Searched refs:priority (Results 226 - 250 of 344) sorted by relevance

1234567891011>>

/freebsd-11-stable/tools/tools/vxge/
H A Dvxge_log.c568 buffer->priority);
/freebsd-11-stable/sys/dev/isci/scil/
H A Dscic_sds_smp_request.c400 task_context->priority = 0;
/freebsd-11-stable/sys/dev/usb/wlan/
H A Dif_uathreg.h367 uint32_t priority; member in struct:uath_cmd_txq_attr
/freebsd-11-stable/sys/dev/vxge/include/
H A Dvxgehal-ll.h4299 u32 *bandwidth, u32 *priority);
4303 u32 *bandwidth, u32 *priority);
4350 * vxge_hal_mrpcim_vpath_qos_set - Set the priority, Guaranteed and maximum
4354 * @priority: Priority
4365 u32 priority,
4370 * vxge_hal_mrpcim_vpath_qos_get - Get the priority, Guaranteed and maximum
4374 * @priority: Buffer to return Priority
4385 u32 *priority,
H A Dvxgehal-config.h479 * priority given to traffic arriving off the wire or simply due to
812 * @actor_port_priority: The priority value assigned to the port.
1455 * higher priority.
1596 * @priority: The priority of vpath
1603 u32 priority; member in struct:vxge_hal_vpath_qos_config_t
2183 u32 priority; member in struct:vxge_hal_vp_config_t
/freebsd-11-stable/sys/dev/vxge/
H A Dvxge.h349 int priority; member in struct:_vxge_bw_info_t
/freebsd-11-stable/sys/dev/iwi/
H A Dif_iwireg.h333 uint8_t priority; member in struct:iwi_tx_desc
/freebsd-11-stable/sys/dev/mlx4/mlx4_core/
H A Dmlx4_mcg.c784 hw->prio = cpu_to_be16(ctrl->priority);
913 rule->port, rule->priority, rule->qpn);
1068 rule.priority = prio;
1380 .priority = MLX4_DOMAIN_NIC,
/freebsd-11-stable/contrib/wpa/wpa_supplicant/
H A Dconfig_file.c432 "network block to priority list.",
822 INT(priority);
943 if (cred->priority)
944 fprintf(f, "\tpriority=%d\n", cred->priority);
1004 p->fqdn, p->exact_match, p->priority,
/freebsd-11-stable/contrib/gcc/
H A Dgcc.c1427 int priority; /* Sort key - priority within list. */
2771 const char *component, /* enum prefix_priority */ int priority,
2778 (*prev) != NULL && (*prev)->priority <= priority;
2792 pl->priority = priority;
2804 /* enum prefix_priority */ int priority,
2821 add_prefix (pprefix, prefix, component, priority,
1421 int priority; /* Sort key - priority within list. */ member in struct:prefix_list
2754 add_prefix(struct path_prefix *pprefix, const char *prefix, const char *component, int priority, int require_machine_suffix, int os_multilib) argument
2786 add_sysrooted_prefix(struct path_prefix *pprefix, const char *prefix, const char *component, int priority, int require_machine_suffix, int os_multilib) argument
H A Dipa-inline.c60 and inlining them in priority order.
485 /* Enqueue all recursive calls from NODE into priority queue depending on
492 static int priority; local
501 !max_count ? priority++
949 /* In the first pass mark all always_inline edges. Do this with a priority
/freebsd-11-stable/sys/dev/pms/RefTisa/sallsdk/spc/
H A Dsasata.c557 (bit8)circularQ->priority);
825 ret = mpiMsgProduce(circularQ, (void *)pMessage, MPI_CATEGORY_SAS_SATA, OPC_INB_SATA_ABORT, outq, (bit8)circularQ->priority);
/freebsd-11-stable/sys/contrib/ck/src/
H A Dck_hs.c422 const void ***priority,
524 *priority = pr;
419 ck_hs_map_probe(struct ck_hs *hs, struct ck_hs_map *map, unsigned long *n_probes, const void ***priority, unsigned long h, const void *key, const void **object, unsigned long probe_limit, enum ck_hs_probe_behavior behavior) argument
/freebsd-11-stable/sys/dev/mpt/
H A Dmpt.h775 #define mpt_sleep(mpt, ident, priority, wmesg, sbt) \
776 msleep_sbt(ident, &(mpt)->mpt_lock, priority, wmesg, sbt, 0, 0)
/freebsd-11-stable/usr.sbin/rtadvctl/
H A Drtadvctl.c125 mysyslog(int priority, const char * restrict fmt, ...) argument
129 if (vflag >= priority) {
/freebsd-11-stable/contrib/wpa/src/fst/
H A Dfst_ctrl_iface.c899 cfg->priority = 0;
917 cfg->priority = (u8) val;
/freebsd-11-stable/sys/dev/mlx5/
H A Ddriver.h1082 int priority, int *is_enable);
1084 int priority, int enable);
/freebsd-11-stable/contrib/sendmail/src/
H A Dmap.c5610 ** SYSLOG_MAP_PARSEARGS -- check for priority level to syslog messages.
5619 char *priority = NULL; local
5646 priority = p;
5659 if (priority == NULL)
5663 if (sm_strncasecmp("LOG_", priority, 4) == 0)
5664 priority += 4;
5667 if (sm_strcasecmp("EMERG", priority) == 0)
5672 if (sm_strcasecmp("ALERT", priority) == 0)
5677 if (sm_strcasecmp("CRIT", priority) == 0)
5682 if (sm_strcasecmp("ERR", priority)
[all...]
H A Dtls.c2471 ** priority -- syslog priority
2480 tlslogerr(priority, ll, who)
2481 int priority;
2496 sm_syslog(priority, NOQID,
/freebsd-11-stable/contrib/wpa/src/pae/
H A Dieee802_1x_kay.c150 wpa_printf(MSG_DEBUG, "\tKey Server Priority: %d", body->priority);
747 body->priority = kay->actor_priority;
892 peer->key_server_priority = body->priority;
898 peer->key_server_priority = body->priority;
3422 u16 port, u8 priority, const char *ifname, const u8 *addr)
3427 " port=%u priority=%u",
3428 ifname, MAC2STR(addr), port, priority);
3451 kay->actor_priority = priority;
3420 ieee802_1x_kay_init(struct ieee802_1x_kay_ctx *ctx, enum macsec_policy policy, Boolean macsec_replay_protect, u32 macsec_replay_window, u16 port, u8 priority, const char *ifname, const u8 *addr) argument
/freebsd-11-stable/sys/dev/vxge/vxgehal/
H A Dvxgehal-mrpcim.c348 * vxge_hal_mrpcim_vpath_qos_set - Set the priority, Guaranteed and maximum
352 * @priority: Priority
363 u32 priority,
377 "priority = %d, min_bandwidth = %d, max_bandwidth = %d",
378 (ptr_t) devh, vp_id, priority, min_bandwidth, max_bandwidth);
396 config.priority = priority;
407 hldev->header.config.mrpcim_config.vp_qos[vp_id].priority =
408 priority;
421 * vxge_hal_mrpcim_vpath_qos_get - Get the priority, Guarantee
360 vxge_hal_mrpcim_vpath_qos_set( vxge_hal_device_h devh, u32 vp_id, u32 priority, u32 min_bandwidth, u32 max_bandwidth) argument
433 vxge_hal_mrpcim_vpath_qos_get( vxge_hal_device_h devh, u32 vp_id, u32 *priority, u32 *min_bandwidth, u32 *max_bandwidth) argument
[all...]
/freebsd-11-stable/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/
H A Darc.c5454 void *private, zio_priority_t priority, int zio_flags,
5485 priority == ZIO_PRIORITY_SYNC_READ) {
5489 * zio have its priority upgraded.
5491 zio_change_priority(head_zio, priority);
5696 if (priority == ZIO_PRIORITY_ASYNC_READ ||
5697 priority == ZIO_PRIORITY_SCRUB)
5777 l2arc_read_done, cb, priority,
5822 arc_read_done, hdr, priority, zio_flags, zb);
6320 arc_write_done_func_t *done, void *private, zio_priority_t priority,
6382 priority, zio_flag
5453 arc_read(zio_t *pio, spa_t *spa, const blkptr_t *bp, arc_read_done_func_t *done, void *private, zio_priority_t priority, int zio_flags, arc_flags_t *arc_flags, const zbookmark_phys_t *zb) argument
6317 arc_write(zio_t *pio, spa_t *spa, uint64_t txg, blkptr_t *bp, arc_buf_t *buf, boolean_t l2arc, const zio_prop_t *zp, arc_write_done_func_t *ready, arc_write_done_func_t *children_ready, arc_write_done_func_t *physdone, arc_write_done_func_t *done, void *private, zio_priority_t priority, int zio_flags, const zbookmark_phys_t *zb) argument
[all...]
/freebsd-11-stable/contrib/ofed/infiniband-diags/src/
H A Dsaquery.c501 uint8_t priority, state; local
502 priority = ib_sminfo_get_priority(p_smi);
518 priority, state);
/freebsd-11-stable/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/lua/
H A Dlparser.c1019 lu_byte left; /* left priority for each binary operator */
1020 lu_byte right; /* right priority */
1021 } priority[] = { /* ORDER OPR */ variable in typeref:struct:__anon5396
1029 #define UNARY_PRIORITY 8 /* priority for unary operators */
1034 ** where `binop' is any binary operator with a priority higher than `limit'
1050 while (op != OPR_NOBINOPR && priority[op].left > limit) {
1056 /* read sub-expression with higher priority */
1057 nextop = subexpr(ls, &v2, priority[op].right);
/freebsd-11-stable/contrib/lua/src/
H A Dlparser.c1026 lu_byte left; /* left priority for each binary operator */
1027 lu_byte right; /* right priority */
1028 } priority[] = { /* ORDER OPR */ variable in typeref:struct:__anon3421
1041 #define UNARY_PRIORITY 12 /* priority for unary operators */
1046 ** where 'binop' is any binary operator with a priority higher than 'limit'
1062 while (op != OPR_NOBINOPR && priority[op].left > limit) {
1068 /* read sub-expression with higher priority */
1069 nextop = subexpr(ls, &v2, priority[op].right);

Completed in 354 milliseconds

1234567891011>>