1/* This file is automatically generated --- changes will be lost */
2/* Generation Date : Wed Jan 27 10:58:12 IST 2016 */
3/* Directory name: t6_reg.txt, Changeset: 4191:ce3ccd95c109 */
4__FBSDID("$FreeBSD: stable/11/usr.sbin/cxgbetool/reg_defs_t6.c 339393 2018-10-16 22:09:33Z np $");
5
6struct reg_info t6_sge_regs[] = {
7	{ "SGE_PF_KDOORBELL", 0x1e000, 0 },
8		{ "QID", 15, 17 },
9		{ "Sync", 14, 1 },
10		{ "Type", 13, 1 },
11		{ "PIDX", 0, 13 },
12	{ "SGE_PF_GTS", 0x1e004, 0 },
13		{ "IngressQID", 16, 16 },
14		{ "TimerReg", 13, 3 },
15		{ "SEIntArm", 12, 1 },
16		{ "CIDXInc", 0, 12 },
17	{ "SGE_PF_KTIMESTAMP_LO", 0x1e008, 0 },
18	{ "SGE_PF_KTIMESTAMP_HI", 0x1e00c, 0 },
19	{ "SGE_PF_KDOORBELL", 0x1e400, 0 },
20		{ "QID", 15, 17 },
21		{ "Sync", 14, 1 },
22		{ "Type", 13, 1 },
23		{ "PIDX", 0, 13 },
24	{ "SGE_PF_GTS", 0x1e404, 0 },
25		{ "IngressQID", 16, 16 },
26		{ "TimerReg", 13, 3 },
27		{ "SEIntArm", 12, 1 },
28		{ "CIDXInc", 0, 12 },
29	{ "SGE_PF_KTIMESTAMP_LO", 0x1e408, 0 },
30	{ "SGE_PF_KTIMESTAMP_HI", 0x1e40c, 0 },
31	{ "SGE_PF_KDOORBELL", 0x1e800, 0 },
32		{ "QID", 15, 17 },
33		{ "Sync", 14, 1 },
34		{ "Type", 13, 1 },
35		{ "PIDX", 0, 13 },
36	{ "SGE_PF_GTS", 0x1e804, 0 },
37		{ "IngressQID", 16, 16 },
38		{ "TimerReg", 13, 3 },
39		{ "SEIntArm", 12, 1 },
40		{ "CIDXInc", 0, 12 },
41	{ "SGE_PF_KTIMESTAMP_LO", 0x1e808, 0 },
42	{ "SGE_PF_KTIMESTAMP_HI", 0x1e80c, 0 },
43	{ "SGE_PF_KDOORBELL", 0x1ec00, 0 },
44		{ "QID", 15, 17 },
45		{ "Sync", 14, 1 },
46		{ "Type", 13, 1 },
47		{ "PIDX", 0, 13 },
48	{ "SGE_PF_GTS", 0x1ec04, 0 },
49		{ "IngressQID", 16, 16 },
50		{ "TimerReg", 13, 3 },
51		{ "SEIntArm", 12, 1 },
52		{ "CIDXInc", 0, 12 },
53	{ "SGE_PF_KTIMESTAMP_LO", 0x1ec08, 0 },
54	{ "SGE_PF_KTIMESTAMP_HI", 0x1ec0c, 0 },
55	{ "SGE_PF_KDOORBELL", 0x1f000, 0 },
56		{ "QID", 15, 17 },
57		{ "Sync", 14, 1 },
58		{ "Type", 13, 1 },
59		{ "PIDX", 0, 13 },
60	{ "SGE_PF_GTS", 0x1f004, 0 },
61		{ "IngressQID", 16, 16 },
62		{ "TimerReg", 13, 3 },
63		{ "SEIntArm", 12, 1 },
64		{ "CIDXInc", 0, 12 },
65	{ "SGE_PF_KTIMESTAMP_LO", 0x1f008, 0 },
66	{ "SGE_PF_KTIMESTAMP_HI", 0x1f00c, 0 },
67	{ "SGE_PF_KDOORBELL", 0x1f400, 0 },
68		{ "QID", 15, 17 },
69		{ "Sync", 14, 1 },
70		{ "Type", 13, 1 },
71		{ "PIDX", 0, 13 },
72	{ "SGE_PF_GTS", 0x1f404, 0 },
73		{ "IngressQID", 16, 16 },
74		{ "TimerReg", 13, 3 },
75		{ "SEIntArm", 12, 1 },
76		{ "CIDXInc", 0, 12 },
77	{ "SGE_PF_KTIMESTAMP_LO", 0x1f408, 0 },
78	{ "SGE_PF_KTIMESTAMP_HI", 0x1f40c, 0 },
79	{ "SGE_PF_KDOORBELL", 0x1f800, 0 },
80		{ "QID", 15, 17 },
81		{ "Sync", 14, 1 },
82		{ "Type", 13, 1 },
83		{ "PIDX", 0, 13 },
84	{ "SGE_PF_GTS", 0x1f804, 0 },
85		{ "IngressQID", 16, 16 },
86		{ "TimerReg", 13, 3 },
87		{ "SEIntArm", 12, 1 },
88		{ "CIDXInc", 0, 12 },
89	{ "SGE_PF_KTIMESTAMP_LO", 0x1f808, 0 },
90	{ "SGE_PF_KTIMESTAMP_HI", 0x1f80c, 0 },
91	{ "SGE_PF_KDOORBELL", 0x1fc00, 0 },
92		{ "QID", 15, 17 },
93		{ "Sync", 14, 1 },
94		{ "Type", 13, 1 },
95		{ "PIDX", 0, 13 },
96	{ "SGE_PF_GTS", 0x1fc04, 0 },
97		{ "IngressQID", 16, 16 },
98		{ "TimerReg", 13, 3 },
99		{ "SEIntArm", 12, 1 },
100		{ "CIDXInc", 0, 12 },
101	{ "SGE_PF_KTIMESTAMP_LO", 0x1fc08, 0 },
102	{ "SGE_PF_KTIMESTAMP_HI", 0x1fc0c, 0 },
103	{ "SGE_CONTROL", 0x1008, 0 },
104		{ "IgrAllCPLtoFL", 31, 1 },
105		{ "FLSplitMin", 22, 9 },
106		{ "RxPktCPLMode", 18, 1 },
107		{ "EgrStatusPageSize", 17, 1 },
108		{ "IngHintEnable1", 15, 1 },
109		{ "IngHintEnable0", 14, 1 },
110		{ "IngIntCompareIDX", 13, 1 },
111		{ "PktShift", 10, 3 },
112		{ "IngPCIeBoundary", 7, 3 },
113		{ "IngPadBoundary", 4, 3 },
114		{ "GlobalEnable", 0, 1 },
115	{ "SGE_HOST_PAGE_SIZE", 0x100c, 0 },
116		{ "HostPageSizePF7", 28, 4 },
117		{ "HostPageSizePF6", 24, 4 },
118		{ "HostPageSizePF5", 20, 4 },
119		{ "HostPageSizePF4", 16, 4 },
120		{ "HostPageSizePF3", 12, 4 },
121		{ "HostPageSizePF2", 8, 4 },
122		{ "HostPageSizePF1", 4, 4 },
123		{ "HostPageSizePF0", 0, 4 },
124	{ "SGE_EGRESS_QUEUES_PER_PAGE_PF", 0x1010, 0 },
125		{ "QueuesPerPagePF7", 28, 4 },
126		{ "QueuesPerPagePF6", 24, 4 },
127		{ "QueuesPerPagePF5", 20, 4 },
128		{ "QueuesPerPagePF4", 16, 4 },
129		{ "QueuesPerPagePF3", 12, 4 },
130		{ "QueuesPerPagePF2", 8, 4 },
131		{ "QueuesPerPagePF1", 4, 4 },
132		{ "QueuesPerPagePF0", 0, 4 },
133	{ "SGE_EGRESS_QUEUES_PER_PAGE_VF", 0x1014, 0 },
134		{ "QueuesPerPageVFPF7", 28, 4 },
135		{ "QueuesPerPageVFPF6", 24, 4 },
136		{ "QueuesPerPageVFPF5", 20, 4 },
137		{ "QueuesPerPageVFPF4", 16, 4 },
138		{ "QueuesPerPageVFPF3", 12, 4 },
139		{ "QueuesPerPageVFPF2", 8, 4 },
140		{ "QueuesPerPageVFPF1", 4, 4 },
141		{ "QueuesPerPageVFPF0", 0, 4 },
142	{ "SGE_USER_MODE_LIMITS", 0x1018, 0 },
143		{ "Opcode_Min", 24, 8 },
144		{ "Opcode_Max", 16, 8 },
145		{ "Length_Min", 8, 8 },
146		{ "Length_Max", 0, 8 },
147	{ "SGE_WR_ERROR", 0x101c, 0 },
148	{ "SGE_INT_CAUSE1", 0x1024, 0 },
149		{ "perr_flm_CreditFifo", 30, 1 },
150		{ "perr_imsg_hint_fifo", 29, 1 },
151		{ "perr_pc_rsp", 23, 1 },
152		{ "perr_pc_req", 22, 1 },
153		{ "perr_dbp_pc_rsp_fifo3", 21, 1 },
154		{ "perr_dbp_pc_rsp_fifo2", 20, 1 },
155		{ "perr_dbp_pc_rsp_fifo1", 19, 1 },
156		{ "perr_dbp_pc_rsp_fifo0", 18, 1 },
157		{ "perr_dmarbt", 17, 1 },
158		{ "perr_flm_DbpFifo", 16, 1 },
159		{ "perr_flm_MCReq_fifo", 15, 1 },
160		{ "perr_flm_HintFifo", 14, 1 },
161		{ "perr_align_ctl_fifo3", 13, 1 },
162		{ "perr_align_ctl_fifo2", 12, 1 },
163		{ "perr_align_ctl_fifo1", 11, 1 },
164		{ "perr_align_ctl_fifo0", 10, 1 },
165		{ "perr_edma_fifo3", 9, 1 },
166		{ "perr_edma_fifo2", 8, 1 },
167		{ "perr_edma_fifo1", 7, 1 },
168		{ "perr_edma_fifo0", 6, 1 },
169		{ "perr_pd_fifo3", 5, 1 },
170		{ "perr_pd_fifo2", 4, 1 },
171		{ "perr_pd_fifo1", 3, 1 },
172		{ "perr_pd_fifo0", 2, 1 },
173		{ "perr_ing_ctxt_mifrsp", 1, 1 },
174		{ "perr_egr_ctxt_mifrsp", 0, 1 },
175	{ "SGE_INT_ENABLE1", 0x1028, 0 },
176		{ "perr_flm_CreditFifo", 30, 1 },
177		{ "perr_imsg_hint_fifo", 29, 1 },
178		{ "perr_pc_rsp", 23, 1 },
179		{ "perr_pc_req", 22, 1 },
180		{ "perr_dbp_pc_rsp_fifo3", 21, 1 },
181		{ "perr_dbp_pc_rsp_fifo2", 20, 1 },
182		{ "perr_dbp_pc_rsp_fifo1", 19, 1 },
183		{ "perr_dbp_pc_rsp_fifo0", 18, 1 },
184		{ "perr_dmarbt", 17, 1 },
185		{ "perr_flm_DbpFifo", 16, 1 },
186		{ "perr_flm_MCReq_fifo", 15, 1 },
187		{ "perr_flm_HintFifo", 14, 1 },
188		{ "perr_align_ctl_fifo3", 13, 1 },
189		{ "perr_align_ctl_fifo2", 12, 1 },
190		{ "perr_align_ctl_fifo1", 11, 1 },
191		{ "perr_align_ctl_fifo0", 10, 1 },
192		{ "perr_edma_fifo3", 9, 1 },
193		{ "perr_edma_fifo2", 8, 1 },
194		{ "perr_edma_fifo1", 7, 1 },
195		{ "perr_edma_fifo0", 6, 1 },
196		{ "perr_pd_fifo3", 5, 1 },
197		{ "perr_pd_fifo2", 4, 1 },
198		{ "perr_pd_fifo1", 3, 1 },
199		{ "perr_pd_fifo0", 2, 1 },
200		{ "perr_ing_ctxt_mifrsp", 1, 1 },
201		{ "perr_egr_ctxt_mifrsp", 0, 1 },
202	{ "SGE_PERR_ENABLE1", 0x102c, 0 },
203		{ "perr_flm_CreditFifo", 30, 1 },
204		{ "perr_imsg_hint_fifo", 29, 1 },
205		{ "perr_pc_rsp", 23, 1 },
206		{ "perr_pc_req", 22, 1 },
207		{ "perr_dbp_pc_rsp_fifo3", 21, 1 },
208		{ "perr_dbp_pc_rsp_fifo2", 20, 1 },
209		{ "perr_dbp_pc_rsp_fifo1", 19, 1 },
210		{ "perr_dbp_pc_rsp_fifo0", 18, 1 },
211		{ "perr_dmarbt", 17, 1 },
212		{ "perr_flm_DbpFifo", 16, 1 },
213		{ "perr_flm_MCReq_fifo", 15, 1 },
214		{ "perr_flm_HintFifo", 14, 1 },
215		{ "perr_align_ctl_fifo3", 13, 1 },
216		{ "perr_align_ctl_fifo2", 12, 1 },
217		{ "perr_align_ctl_fifo1", 11, 1 },
218		{ "perr_align_ctl_fifo0", 10, 1 },
219		{ "perr_edma_fifo3", 9, 1 },
220		{ "perr_edma_fifo2", 8, 1 },
221		{ "perr_edma_fifo1", 7, 1 },
222		{ "perr_edma_fifo0", 6, 1 },
223		{ "perr_pd_fifo3", 5, 1 },
224		{ "perr_pd_fifo2", 4, 1 },
225		{ "perr_pd_fifo1", 3, 1 },
226		{ "perr_pd_fifo0", 2, 1 },
227		{ "perr_ing_ctxt_mifrsp", 1, 1 },
228		{ "perr_egr_ctxt_mifrsp", 0, 1 },
229	{ "SGE_INT_CAUSE2", 0x1030, 0 },
230		{ "perr_dbp_hint_fl_fifo", 24, 1 },
231		{ "perr_egr_dbp_tx_coal", 23, 1 },
232		{ "perr_dbp_fl_fifo", 22, 1 },
233		{ "deq_ll_perr", 21, 1 },
234		{ "enq_perr", 20, 1 },
235		{ "deq_out_perr", 19, 1 },
236		{ "buf_perr", 18, 1 },
237		{ "perr_conm_sram", 14, 1 },
238		{ "perr_isw_idma0_fifo", 12, 1 },
239		{ "perr_isw_idma1_fifo", 11, 1 },
240		{ "perr_isw_dbp_fifo", 10, 1 },
241		{ "perr_isw_gts_fifo", 9, 1 },
242		{ "perr_itp_evr", 8, 1 },
243		{ "perr_flm_cntxmem", 7, 1 },
244		{ "perr_flm_l1Cache", 6, 1 },
245		{ "perr_dbp_hint_fifo", 5, 1 },
246		{ "perr_dbp_hp_fifo", 4, 1 },
247		{ "perr_db_fifo", 3, 1 },
248		{ "perr_ing_ctxt_cache", 2, 1 },
249		{ "perr_egr_ctxt_cache", 1, 1 },
250		{ "perr_base_size", 0, 1 },
251	{ "SGE_INT_ENABLE2", 0x1034, 0 },
252		{ "perr_dbp_hint_fl_fifo", 24, 1 },
253		{ "perr_egr_dbp_tx_coal", 23, 1 },
254		{ "perr_dbp_fl_fifo", 22, 1 },
255		{ "deq_ll_perr", 21, 1 },
256		{ "enq_perr", 20, 1 },
257		{ "deq_out_perr", 19, 1 },
258		{ "buf_perr", 18, 1 },
259		{ "perr_conm_sram", 14, 1 },
260		{ "perr_isw_idma0_fifo", 12, 1 },
261		{ "perr_isw_idma1_fifo", 11, 1 },
262		{ "perr_isw_dbp_fifo", 10, 1 },
263		{ "perr_isw_gts_fifo", 9, 1 },
264		{ "perr_itp_evr", 8, 1 },
265		{ "perr_flm_cntxmem", 7, 1 },
266		{ "perr_flm_l1Cache", 6, 1 },
267		{ "perr_dbp_hint_fifo", 5, 1 },
268		{ "perr_dbp_hp_fifo", 4, 1 },
269		{ "perr_db_fifo", 3, 1 },
270		{ "perr_ing_ctxt_cache", 2, 1 },
271		{ "perr_egr_ctxt_cache", 1, 1 },
272		{ "perr_base_size", 0, 1 },
273	{ "SGE_PERR_ENABLE2", 0x1038, 0 },
274		{ "perr_dbp_hint_fl_fifo", 24, 1 },
275		{ "perr_egr_dbp_tx_coal", 23, 1 },
276		{ "perr_dbp_fl_fifo", 22, 1 },
277		{ "deq_ll_perr", 21, 1 },
278		{ "enq_perr", 20, 1 },
279		{ "deq_out_perr", 19, 1 },
280		{ "buf_perr", 18, 1 },
281		{ "perr_conm_sram", 14, 1 },
282		{ "perr_isw_idma0_fifo", 12, 1 },
283		{ "perr_isw_idma1_fifo", 11, 1 },
284		{ "perr_isw_dbp_fifo", 10, 1 },
285		{ "perr_isw_gts_fifo", 9, 1 },
286		{ "perr_itp_evr", 8, 1 },
287		{ "perr_flm_cntxmem", 7, 1 },
288		{ "perr_flm_l1Cache", 6, 1 },
289		{ "perr_dbp_hint_fifo", 5, 1 },
290		{ "perr_dbp_hp_fifo", 4, 1 },
291		{ "perr_dbp_lp_fifo", 3, 1 },
292		{ "perr_ing_ctxt_cache", 2, 1 },
293		{ "perr_egr_ctxt_cache", 1, 1 },
294		{ "perr_base_size", 0, 1 },
295	{ "SGE_INT_CAUSE3", 0x103c, 0 },
296		{ "err_flm_dbp", 31, 1 },
297		{ "err_flm_idma1", 30, 1 },
298		{ "err_flm_idma0", 29, 1 },
299		{ "err_flm_hint", 28, 1 },
300		{ "err_pcie_error3", 27, 1 },
301		{ "err_pcie_error2", 26, 1 },
302		{ "err_pcie_error1", 25, 1 },
303		{ "err_pcie_error0", 24, 1 },
304		{ "err_timer_above_max_qid", 23, 1 },
305		{ "err_cpl_exceed_iqe_size", 22, 1 },
306		{ "err_invalid_cidx_inc", 21, 1 },
307		{ "err_itp_time_paused", 20, 1 },
308		{ "err_cpl_opcode_0", 19, 1 },
309		{ "err_dropped_db", 18, 1 },
310		{ "err_data_cpl_on_high_qid1", 17, 1 },
311		{ "err_data_cpl_on_high_qid0", 16, 1 },
312		{ "err_bad_db_pidx3", 15, 1 },
313		{ "err_bad_db_pidx2", 14, 1 },
314		{ "err_bad_db_pidx1", 13, 1 },
315		{ "err_bad_db_pidx0", 12, 1 },
316		{ "err_ing_pcie_chan", 11, 1 },
317		{ "err_ing_ctxt_prio", 10, 1 },
318		{ "err_egr_ctxt_prio", 9, 1 },
319		{ "dbp_tbuf_full", 8, 1 },
320		{ "fatal_wre_len", 7, 1 },
321		{ "reg_address_err", 6, 1 },
322		{ "ingress_size_err", 5, 1 },
323		{ "egress_size_err", 4, 1 },
324		{ "err_inv_ctxt3", 3, 1 },
325		{ "err_inv_ctxt2", 2, 1 },
326		{ "err_inv_ctxt1", 1, 1 },
327		{ "err_inv_ctxt0", 0, 1 },
328	{ "SGE_INT_ENABLE3", 0x1040, 0 },
329		{ "err_flm_dbp", 31, 1 },
330		{ "err_flm_idma1", 30, 1 },
331		{ "err_flm_idma0", 29, 1 },
332		{ "err_flm_hint", 28, 1 },
333		{ "err_pcie_error3", 27, 1 },
334		{ "err_pcie_error2", 26, 1 },
335		{ "err_pcie_error1", 25, 1 },
336		{ "err_pcie_error0", 24, 1 },
337		{ "err_timer_above_max_qid", 23, 1 },
338		{ "err_cpl_exceed_iqe_size", 22, 1 },
339		{ "err_invalid_cidx_inc", 21, 1 },
340		{ "err_itp_time_paused", 20, 1 },
341		{ "err_cpl_opcode_0", 19, 1 },
342		{ "err_dropped_db", 18, 1 },
343		{ "err_data_cpl_on_high_qid1", 17, 1 },
344		{ "err_data_cpl_on_high_qid0", 16, 1 },
345		{ "err_bad_db_pidx3", 15, 1 },
346		{ "err_bad_db_pidx2", 14, 1 },
347		{ "err_bad_db_pidx1", 13, 1 },
348		{ "err_bad_db_pidx0", 12, 1 },
349		{ "err_ing_pcie_chan", 11, 1 },
350		{ "err_ing_ctxt_prio", 10, 1 },
351		{ "err_egr_ctxt_prio", 9, 1 },
352		{ "dbp_tbuf_full", 8, 1 },
353		{ "fatal_wre_len", 7, 1 },
354		{ "reg_address_err", 6, 1 },
355		{ "ingress_size_err", 5, 1 },
356		{ "egress_size_err", 4, 1 },
357		{ "err_inv_ctxt3", 3, 1 },
358		{ "err_inv_ctxt2", 2, 1 },
359		{ "err_inv_ctxt1", 1, 1 },
360		{ "err_inv_ctxt0", 0, 1 },
361	{ "SGE_FL_BUFFER_SIZE0", 0x1044, 0 },
362		{ "Size", 4, 20 },
363	{ "SGE_FL_BUFFER_SIZE1", 0x1048, 0 },
364		{ "Size", 4, 20 },
365	{ "SGE_FL_BUFFER_SIZE2", 0x104c, 0 },
366		{ "Size", 4, 20 },
367	{ "SGE_FL_BUFFER_SIZE3", 0x1050, 0 },
368		{ "Size", 4, 20 },
369	{ "SGE_FL_BUFFER_SIZE4", 0x1054, 0 },
370		{ "Size", 4, 20 },
371	{ "SGE_FL_BUFFER_SIZE5", 0x1058, 0 },
372		{ "Size", 4, 20 },
373	{ "SGE_FL_BUFFER_SIZE6", 0x105c, 0 },
374		{ "Size", 4, 20 },
375	{ "SGE_FL_BUFFER_SIZE7", 0x1060, 0 },
376		{ "Size", 4, 20 },
377	{ "SGE_FL_BUFFER_SIZE8", 0x1064, 0 },
378		{ "Size", 4, 20 },
379	{ "SGE_FL_BUFFER_SIZE9", 0x1068, 0 },
380		{ "Size", 4, 20 },
381	{ "SGE_FL_BUFFER_SIZE10", 0x106c, 0 },
382		{ "Size", 4, 20 },
383	{ "SGE_FL_BUFFER_SIZE11", 0x1070, 0 },
384		{ "Size", 4, 20 },
385	{ "SGE_FL_BUFFER_SIZE12", 0x1074, 0 },
386		{ "Size", 4, 20 },
387	{ "SGE_FL_BUFFER_SIZE13", 0x1078, 0 },
388		{ "Size", 4, 20 },
389	{ "SGE_FL_BUFFER_SIZE14", 0x107c, 0 },
390		{ "Size", 4, 20 },
391	{ "SGE_FL_BUFFER_SIZE15", 0x1080, 0 },
392		{ "Size", 4, 20 },
393	{ "SGE_DBQ_CTXT_BADDR", 0x1084, 0 },
394		{ "BaseAddr", 3, 29 },
395	{ "SGE_IMSG_CTXT_BADDR", 0x1088, 0 },
396		{ "BaseAddr", 3, 29 },
397	{ "SGE_FLM_CACHE_BADDR", 0x108c, 0 },
398		{ "BaseAddr", 3, 29 },
399	{ "SGE_FLM_CFG", 0x1090, 0 },
400		{ "OpMode", 26, 6 },
401		{ "NullPtr", 20, 4 },
402		{ "NullPtrEn", 19, 1 },
403		{ "NoHdr", 18, 1 },
404		{ "CachePtrCnt", 16, 2 },
405		{ "EDRAMPtrCnt", 14, 2 },
406		{ "HdrStartFLQ", 11, 3 },
407		{ "FetchThresh", 6, 5 },
408		{ "CreditCnt", 4, 2 },
409		{ "CreditCntPacking", 2, 2 },
410		{ "NoEDRAM", 0, 1 },
411	{ "SGE_CONM_CTRL", 0x1094, 0 },
412		{ "EgrThresholdPacking", 16, 8 },
413		{ "EgrThreshold", 8, 8 },
414		{ "IngThreshold", 2, 6 },
415	{ "SGE_TIMESTAMP_LO", 0x1098, 0 },
416	{ "SGE_TIMESTAMP_HI", 0x109c, 0 },
417		{ "Opcode", 28, 2 },
418		{ "Value", 0, 28 },
419	{ "SGE_INGRESS_RX_THRESHOLD", 0x10a0, 0 },
420		{ "Threshold_0", 24, 6 },
421		{ "Threshold_1", 16, 6 },
422		{ "Threshold_2", 8, 6 },
423		{ "Threshold_3", 0, 6 },
424	{ "SGE_DBFIFO_STATUS", 0x10a4, 0 },
425		{ "vfifo_cnt", 15, 17 },
426		{ "coal_ctl_fifo_cnt", 8, 6 },
427		{ "merge_fifo_cnt", 0, 6 },
428	{ "SGE_DOORBELL_CONTROL", 0x10a8, 0 },
429		{ "HintDepthCtl", 27, 5 },
430		{ "NoCoalesce", 26, 1 },
431		{ "HP_Weight", 24, 2 },
432		{ "HP_Disable", 23, 1 },
433		{ "ForceUserDBtoLP", 22, 1 },
434		{ "ForceVFPF0DBtoLP", 21, 1 },
435		{ "ForceVFPF1DBtoLP", 20, 1 },
436		{ "ForceVFPF2DBtoLP", 19, 1 },
437		{ "ForceVFPF3DBtoLP", 18, 1 },
438		{ "ForceVFPF4DBtoLP", 17, 1 },
439		{ "ForceVFPF5DBtoLP", 16, 1 },
440		{ "ForceVFPF6DBtoLP", 15, 1 },
441		{ "ForceVFPF7DBtoLP", 14, 1 },
442		{ "Enable_Drop", 13, 1 },
443		{ "Drop_Timeout", 7, 6 },
444		{ "InvOnDBSync", 6, 1 },
445		{ "InvOnGTSSync", 5, 1 },
446		{ "db_dbg_en", 4, 1 },
447		{ "gts_dbg_timer_reg", 1, 3 },
448		{ "gts_dbg_en", 0, 1 },
449	{ "SGE_ITP_CONTROL", 0x10b4, 0 },
450		{ "TScale", 28, 4 },
451		{ "Critical_Time", 10, 15 },
452		{ "LL_Empty", 4, 6 },
453		{ "LL_Read_Wait_Disable", 0, 1 },
454	{ "SGE_TIMER_VALUE_0_AND_1", 0x10b8, 0 },
455		{ "TimerValue0", 16, 16 },
456		{ "TimerValue1", 0, 16 },
457	{ "SGE_TIMER_VALUE_2_AND_3", 0x10bc, 0 },
458		{ "TimerValue2", 16, 16 },
459		{ "TimerValue3", 0, 16 },
460	{ "SGE_TIMER_VALUE_4_AND_5", 0x10c0, 0 },
461		{ "TimerValue4", 16, 16 },
462		{ "TimerValue5", 0, 16 },
463	{ "SGE_GK_CONTROL", 0x10c4, 0 },
464		{ "en_flm_fifth", 29, 1 },
465		{ "fl_prog_thresh", 20, 9 },
466		{ "coal_all_thread", 19, 1 },
467		{ "en_pshb", 18, 1 },
468		{ "en_db_fifth", 17, 1 },
469		{ "db_prog_thresh", 8, 9 },
470		{ "100ns_timer", 0, 8 },
471	{ "SGE_GK_CONTROL2", 0x10c8, 0 },
472		{ "dbq_timer_tick", 16, 16 },
473		{ "fl_merge_cnt_thresh", 8, 4 },
474		{ "merge_cnt_thresh", 0, 6 },
475	{ "SGE_DEBUG_INDEX", 0x10cc, 0 },
476	{ "SGE_DEBUG_DATA_HIGH", 0x10d0, 0 },
477	{ "SGE_DEBUG_DATA_LOW", 0x10d4, 0 },
478	{ "SGE_REVISION", 0x10d8, 0 },
479	{ "SGE_INT_CAUSE4", 0x10dc, 0 },
480		{ "err_ishift_ur1", 31, 1 },
481		{ "err_ishift_ur0", 30, 1 },
482		{ "bar2_egress_len_or_addr_err", 29, 1 },
483		{ "err_cpl_exceed_max_iqe_size1", 28, 1 },
484		{ "err_cpl_exceed_max_iqe_size0", 27, 1 },
485		{ "err_wr_len_too_large3", 26, 1 },
486		{ "err_wr_len_too_large2", 25, 1 },
487		{ "err_wr_len_too_large1", 24, 1 },
488		{ "err_wr_len_too_large0", 23, 1 },
489		{ "err_large_minfetch_with_txcoal3", 22, 1 },
490		{ "err_large_minfetch_with_txcoal2", 21, 1 },
491		{ "err_large_minfetch_with_txcoal1", 20, 1 },
492		{ "err_large_minfetch_with_txcoal0", 19, 1 },
493		{ "coal_with_hp_disable_err", 18, 1 },
494		{ "bar2_egress_coal0_err", 17, 1 },
495		{ "bar2_egress_size_err", 16, 1 },
496		{ "flm_pc_rsp_err", 15, 1 },
497		{ "err_th3_max_fetch", 14, 1 },
498		{ "err_th2_max_fetch", 13, 1 },
499		{ "err_th1_max_fetch", 12, 1 },
500		{ "err_th0_max_fetch", 11, 1 },
501		{ "err_rx_cpl_packet_size1", 10, 1 },
502		{ "err_rx_cpl_packet_size0", 9, 1 },
503		{ "err_bad_upfl_inc_credit3", 8, 1 },
504		{ "err_bad_upfl_inc_credit2", 7, 1 },
505		{ "err_bad_upfl_inc_credit1", 6, 1 },
506		{ "err_bad_upfl_inc_credit0", 5, 1 },
507		{ "err_physaddr_len0_idma1", 4, 1 },
508		{ "err_physaddr_len0_idma0", 3, 1 },
509		{ "err_flm_invalid_pkt_drop1", 2, 1 },
510		{ "err_flm_invalid_pkt_drop0", 1, 1 },
511		{ "err_unexpected_timer", 0, 1 },
512	{ "SGE_INT_ENABLE4", 0x10e0, 0 },
513		{ "err_ishift_ur1", 31, 1 },
514		{ "err_ishift_ur0", 30, 1 },
515		{ "bar2_egress_len_or_addr_err", 29, 1 },
516		{ "err_cpl_exceed_max_iqe_size1", 28, 1 },
517		{ "err_cpl_exceed_max_iqe_size0", 27, 1 },
518		{ "err_wr_len_too_large3", 26, 1 },
519		{ "err_wr_len_too_large2", 25, 1 },
520		{ "err_wr_len_too_large1", 24, 1 },
521		{ "err_wr_len_too_large0", 23, 1 },
522		{ "err_large_minfetch_with_txcoal3", 22, 1 },
523		{ "err_large_minfetch_with_txcoal2", 21, 1 },
524		{ "err_large_minfetch_with_txcoal1", 20, 1 },
525		{ "err_large_minfetch_with_txcoal0", 19, 1 },
526		{ "coal_with_hp_disable_err", 18, 1 },
527		{ "bar2_egress_coal0_err", 17, 1 },
528		{ "bar2_egress_size_err", 16, 1 },
529		{ "flm_pc_rsp_err", 15, 1 },
530		{ "err_th3_max_fetch", 14, 1 },
531		{ "err_th2_max_fetch", 13, 1 },
532		{ "err_th1_max_fetch", 12, 1 },
533		{ "err_th0_max_fetch", 11, 1 },
534		{ "err_rx_cpl_packet_size1", 10, 1 },
535		{ "err_rx_cpl_packet_size0", 9, 1 },
536		{ "err_bad_upfl_inc_credit3", 8, 1 },
537		{ "err_bad_upfl_inc_credit2", 7, 1 },
538		{ "err_bad_upfl_inc_credit1", 6, 1 },
539		{ "err_bad_upfl_inc_credit0", 5, 1 },
540		{ "err_physaddr_len0_idma1", 4, 1 },
541		{ "err_physaddr_len0_idma0", 3, 1 },
542		{ "err_flm_invalid_pkt_drop1", 2, 1 },
543		{ "err_flm_invalid_pkt_drop0", 1, 1 },
544		{ "err_unexpected_timer", 0, 1 },
545	{ "SGE_STAT_TOTAL", 0x10e4, 0 },
546	{ "SGE_STAT_MATCH", 0x10e8, 0 },
547	{ "SGE_STAT_CFG", 0x10ec, 0 },
548		{ "StatSource", 9, 4 },
549		{ "ITPOpMode", 8, 1 },
550		{ "EgrCtxtOpMode", 6, 2 },
551		{ "IngCtxtOpMode", 4, 2 },
552		{ "StatMode", 0, 4 },
553	{ "SGE_HINT_CFG", 0x10f0, 0 },
554		{ "uPCutoffThreshLp", 12, 11 },
555		{ "HintsAllowedNoHdr", 6, 6 },
556		{ "HintsAllowedHdr", 0, 6 },
557	{ "SGE_INGRESS_QUEUES_PER_PAGE_PF", 0x10f4, 0 },
558		{ "QueuesPerPagePF7", 28, 4 },
559		{ "QueuesPerPagePF6", 24, 4 },
560		{ "QueuesPerPagePF5", 20, 4 },
561		{ "QueuesPerPagePF4", 16, 4 },
562		{ "QueuesPerPagePF3", 12, 4 },
563		{ "QueuesPerPagePF2", 8, 4 },
564		{ "QueuesPerPagePF1", 4, 4 },
565		{ "QueuesPerPagePF0", 0, 4 },
566	{ "SGE_INGRESS_QUEUES_PER_PAGE_VF", 0x10f8, 0 },
567		{ "QueuesPerPageVFPF7", 28, 4 },
568		{ "QueuesPerPageVFPF6", 24, 4 },
569		{ "QueuesPerPageVFPF5", 20, 4 },
570		{ "QueuesPerPageVFPF4", 16, 4 },
571		{ "QueuesPerPageVFPF3", 12, 4 },
572		{ "QueuesPerPageVFPF2", 8, 4 },
573		{ "QueuesPerPageVFPF1", 4, 4 },
574		{ "QueuesPerPageVFPF0", 0, 4 },
575	{ "SGE_ERROR_STATS", 0x1100, 0 },
576		{ "Cause_Register", 24, 3 },
577		{ "Cause_Bit", 19, 5 },
578		{ "Uncaptured_Error", 18, 1 },
579		{ "Error_QID_Valid", 17, 1 },
580		{ "Error_QID", 0, 17 },
581	{ "SGE_IDMA0_DROP_CNT", 0x1104, 0 },
582	{ "SGE_IDMA1_DROP_CNT", 0x1108, 0 },
583	{ "SGE_INT_CAUSE5", 0x110c, 0 },
584		{ "err_T_RxCRC", 31, 1 },
585		{ "perr_MC_RspData", 30, 1 },
586		{ "perr_PC_RspData", 29, 1 },
587		{ "perr_PD_RdRspData", 28, 1 },
588		{ "perr_U_RxData", 27, 1 },
589		{ "perr_UD_RxData", 26, 1 },
590		{ "perr_uP_Data", 25, 1 },
591		{ "perr_CIM2SGE_RxData", 24, 1 },
592		{ "perr_hint_delay_fifo1", 23, 1 },
593		{ "perr_hint_delay_fifo0", 22, 1 },
594		{ "perr_imsg_pd_fifo", 21, 1 },
595		{ "perr_ulptx_fifo1", 20, 1 },
596		{ "perr_ulptx_fifo0", 19, 1 },
597		{ "perr_idma2imsg_fifo1", 18, 1 },
598		{ "perr_idma2imsg_fifo0", 17, 1 },
599		{ "perr_pointer_data_fifo0", 16, 1 },
600		{ "perr_pointer_data_fifo1", 15, 1 },
601		{ "perr_pointer_hdr_fifo0", 14, 1 },
602		{ "perr_pointer_hdr_fifo1", 13, 1 },
603		{ "perr_payload_fifo0", 12, 1 },
604		{ "perr_payload_fifo1", 11, 1 },
605		{ "perr_edma_input_fifo3", 10, 1 },
606		{ "perr_edma_input_fifo2", 9, 1 },
607		{ "perr_edma_input_fifo1", 8, 1 },
608		{ "perr_edma_input_fifo0", 7, 1 },
609		{ "perr_mgt_bar2_fifo", 6, 1 },
610		{ "perr_headersplit_fifo1", 5, 1 },
611		{ "perr_headersplit_fifo0", 4, 1 },
612		{ "perr_cim_fifo1", 3, 1 },
613		{ "perr_cim_fifo0", 2, 1 },
614		{ "perr_idma_switch_output_fifo1", 1, 1 },
615		{ "perr_idma_switch_output_fifo0", 0, 1 },
616	{ "SGE_INT_ENABLE5", 0x1110, 0 },
617		{ "err_T_RxCRC", 31, 1 },
618		{ "perr_MC_RspData", 30, 1 },
619		{ "perr_PC_RspData", 29, 1 },
620		{ "perr_PD_RdRspData", 28, 1 },
621		{ "perr_U_RxData", 27, 1 },
622		{ "perr_UD_RxData", 26, 1 },
623		{ "perr_uP_Data", 25, 1 },
624		{ "perr_CIM2SGE_RxData", 24, 1 },
625		{ "perr_hint_delay_fifo1", 23, 1 },
626		{ "perr_hint_delay_fifo0", 22, 1 },
627		{ "perr_imsg_pd_fifo", 21, 1 },
628		{ "perr_ulptx_fifo1", 20, 1 },
629		{ "perr_ulptx_fifo0", 19, 1 },
630		{ "perr_idma2imsg_fifo1", 18, 1 },
631		{ "perr_idma2imsg_fifo0", 17, 1 },
632		{ "perr_pointer_data_fifo0", 16, 1 },
633		{ "perr_pointer_data_fifo1", 15, 1 },
634		{ "perr_pointer_hdr_fifo0", 14, 1 },
635		{ "perr_pointer_hdr_fifo1", 13, 1 },
636		{ "perr_payload_fifo0", 12, 1 },
637		{ "perr_payload_fifo1", 11, 1 },
638		{ "perr_edma_input_fifo3", 10, 1 },
639		{ "perr_edma_input_fifo2", 9, 1 },
640		{ "perr_edma_input_fifo1", 8, 1 },
641		{ "perr_edma_input_fifo0", 7, 1 },
642		{ "perr_mgt_bar2_fifo", 6, 1 },
643		{ "perr_headersplit_fifo1", 5, 1 },
644		{ "perr_headersplit_fifo0", 4, 1 },
645		{ "perr_cim_fifo1", 3, 1 },
646		{ "perr_cim_fifo0", 2, 1 },
647		{ "perr_idma_switch_output_fifo1", 1, 1 },
648		{ "perr_idma_switch_output_fifo0", 0, 1 },
649	{ "SGE_PERR_ENABLE5", 0x1114, 0 },
650		{ "err_T_RxCRC", 31, 1 },
651		{ "perr_MC_RspData", 30, 1 },
652		{ "perr_PC_RspData", 29, 1 },
653		{ "perr_PD_RdRspData", 28, 1 },
654		{ "perr_U_RxData", 27, 1 },
655		{ "perr_UD_RxData", 26, 1 },
656		{ "perr_uP_Data", 25, 1 },
657		{ "perr_CIM2SGE_RxData", 24, 1 },
658		{ "perr_hint_delay_fifo1", 23, 1 },
659		{ "perr_hint_delay_fifo0", 22, 1 },
660		{ "perr_imsg_pd_fifo", 21, 1 },
661		{ "perr_ulptx_fifo1", 20, 1 },
662		{ "perr_ulptx_fifo0", 19, 1 },
663		{ "perr_idma2imsg_fifo1", 18, 1 },
664		{ "perr_idma2imsg_fifo0", 17, 1 },
665		{ "perr_pointer_data_fifo0", 16, 1 },
666		{ "perr_pointer_data_fifo1", 15, 1 },
667		{ "perr_pointer_hdr_fifo0", 14, 1 },
668		{ "perr_pointer_hdr_fifo1", 13, 1 },
669		{ "perr_payload_fifo0", 12, 1 },
670		{ "perr_payload_fifo1", 11, 1 },
671		{ "perr_edma_input_fifo3", 10, 1 },
672		{ "perr_edma_input_fifo2", 9, 1 },
673		{ "perr_edma_input_fifo1", 8, 1 },
674		{ "perr_edma_input_fifo0", 7, 1 },
675		{ "perr_mgt_bar2_fifo", 6, 1 },
676		{ "perr_headersplit_fifo1", 5, 1 },
677		{ "perr_headersplit_fifo0", 4, 1 },
678		{ "perr_cim_fifo1", 3, 1 },
679		{ "perr_cim_fifo0", 2, 1 },
680		{ "perr_idma_switch_output_fifo1", 1, 1 },
681		{ "perr_idma_switch_output_fifo0", 0, 1 },
682	{ "SGE_FETCH_BURST_MAX_0_AND_1", 0x111c, 0 },
683		{ "FetchBurstMax0", 16, 10 },
684		{ "FetchBurstMax1", 0, 10 },
685	{ "SGE_FETCH_BURST_MAX_2_AND_3", 0x1120, 0 },
686		{ "FetchBurstMax2", 16, 10 },
687		{ "FetchBurstMax3", 0, 10 },
688	{ "SGE_CONTROL2", 0x1124, 0 },
689		{ "uPFLCutoffDis", 21, 1 },
690		{ "RxCplSizeAutocorrect", 20, 1 },
691		{ "IdmaArbRoundRobin", 19, 1 },
692		{ "IngPackBoundary", 16, 3 },
693		{ "CGEN_Egress_Context", 15, 1 },
694		{ "CGEN_Ingress_Context", 14, 1 },
695		{ "CGEN_IDMA", 13, 1 },
696		{ "CGEN_DBP", 12, 1 },
697		{ "CGEN_EDMA", 11, 1 },
698		{ "VFIFO_Enable", 10, 1 },
699		{ "FLM_Reschedule_Mode", 9, 1 },
700		{ "HintDepthCtlFL", 4, 5 },
701		{ "Force_Ordering", 3, 1 },
702		{ "TX_Coalesce_Size", 2, 1 },
703		{ "Coal_Strict_CIM_Pri", 1, 1 },
704		{ "TX_Coalesce_Pri", 0, 1 },
705	{ "SGE_INT_CAUSE6", 0x1128, 0 },
706		{ "err_db_sync", 21, 1 },
707		{ "err_gts_sync", 20, 1 },
708		{ "fatal_large_coal", 19, 1 },
709		{ "pl_bar2_frm_err", 18, 1 },
710		{ "silent_drop_tx_coal", 17, 1 },
711		{ "err_inv_ctxt4", 16, 1 },
712		{ "err_bad_db_pidx4", 15, 1 },
713		{ "err_bad_upfl_inc_credit4", 14, 1 },
714		{ "fatal_tag_mismatch", 13, 1 },
715		{ "fatal_enq_ctl_rdy", 12, 1 },
716		{ "err_pc_rsp_len3", 11, 1 },
717		{ "err_pc_rsp_len2", 10, 1 },
718		{ "err_pc_rsp_len1", 9, 1 },
719		{ "err_pc_rsp_len0", 8, 1 },
720		{ "fatal_enq2ll_vld", 7, 1 },
721		{ "fatal_ll_empty", 6, 1 },
722		{ "fatal_off_wdenq", 5, 1 },
723		{ "fatal_deq_drdy", 3, 2 },
724		{ "fatal_outp_drdy", 1, 2 },
725		{ "fatal_deq", 0, 1 },
726	{ "SGE_INT_ENABLE6", 0x112c, 0 },
727		{ "err_db_sync", 21, 1 },
728		{ "err_gts_sync", 20, 1 },
729		{ "fatal_large_coal", 19, 1 },
730		{ "pl_bar2_frm_err", 18, 1 },
731		{ "silent_drop_tx_coal", 17, 1 },
732		{ "err_inv_ctxt4", 16, 1 },
733		{ "err_bad_db_pidx4", 15, 1 },
734		{ "err_bad_upfl_inc_credit4", 14, 1 },
735		{ "fatal_tag_mismatch", 13, 1 },
736		{ "fatal_enq_ctl_rdy", 12, 1 },
737		{ "err_pc_rsp_len3", 11, 1 },
738		{ "err_pc_rsp_len2", 10, 1 },
739		{ "err_pc_rsp_len1", 9, 1 },
740		{ "err_pc_rsp_len0", 8, 1 },
741		{ "fatal_enq2ll_vld", 7, 1 },
742		{ "fatal_ll_empty", 6, 1 },
743		{ "fatal_off_wdenq", 5, 1 },
744		{ "fatal_deq_drdy", 3, 2 },
745		{ "fatal_outp_drdy", 1, 2 },
746		{ "fatal_deq", 0, 1 },
747	{ "SGE_DBVFIFO_BADDR", 0x1138, 0 },
748		{ "BaseAddr", 3, 29 },
749	{ "SGE_DBVFIFO_SIZE", 0x113c, 0 },
750	{ "SGE_CHANGESET", 0x1144, 0 },
751	{ "SGE_PC_RSP_ERROR", 0x1148, 0 },
752	{ "SGE_TBUF_CONTROL", 0x114c, 0 },
753		{ "DbpTbufRsv1", 9, 9 },
754		{ "DbpTbufRsv0", 0, 9 },
755	{ "SGE_PC0_REQ_BIST_CMD", 0x1180, 0 },
756	{ "SGE_PC0_REQ_BIST_ERROR_CNT", 0x1184, 0 },
757	{ "SGE_PC1_REQ_BIST_CMD", 0x1190, 0 },
758	{ "SGE_PC1_REQ_BIST_ERROR_CNT", 0x1194, 0 },
759	{ "SGE_PC0_RSP_BIST_CMD", 0x11a0, 0 },
760	{ "SGE_PC0_RSP_BIST_ERROR_CNT", 0x11a4, 0 },
761	{ "SGE_PC1_RSP_BIST_CMD", 0x11b0, 0 },
762	{ "SGE_PC1_RSP_BIST_ERROR_CNT", 0x11b4, 0 },
763	{ "SGE_CTXT_CMD", 0x11fc, 0 },
764		{ "Busy", 31, 1 },
765		{ "Opcode", 28, 2 },
766		{ "CtxtType", 24, 2 },
767		{ "QID", 0, 17 },
768	{ "SGE_CTXT_DATA0", 0x1200, 0 },
769	{ "SGE_CTXT_DATA1", 0x1204, 0 },
770	{ "SGE_CTXT_DATA2", 0x1208, 0 },
771	{ "SGE_CTXT_DATA3", 0x120c, 0 },
772	{ "SGE_CTXT_DATA4", 0x1210, 0 },
773	{ "SGE_CTXT_DATA5", 0x1214, 0 },
774	{ "SGE_CTXT_DATA6", 0x1218, 0 },
775	{ "SGE_CTXT_DATA7", 0x121c, 0 },
776	{ "SGE_CTXT_MASK0", 0x1220, 0 },
777	{ "SGE_CTXT_MASK1", 0x1224, 0 },
778	{ "SGE_CTXT_MASK2", 0x1228, 0 },
779	{ "SGE_CTXT_MASK3", 0x122c, 0 },
780	{ "SGE_CTXT_MASK4", 0x1230, 0 },
781	{ "SGE_CTXT_MASK5", 0x1234, 0 },
782	{ "SGE_CTXT_MASK6", 0x1238, 0 },
783	{ "SGE_CTXT_MASK7", 0x123c, 0 },
784	{ "SGE_QBASE_MAP0", 0x1240, 0 },
785		{ "Egress0_Size", 24, 5 },
786		{ "Egress1_Size", 16, 5 },
787		{ "Ingress0_Size", 8, 5 },
788		{ "Ingress1_Size", 0, 5 },
789	{ "SGE_QBASE_MAP1", 0x1244, 0 },
790	{ "SGE_QBASE_MAP2", 0x1248, 0 },
791	{ "SGE_QBASE_MAP3", 0x124c, 0 },
792		{ "Ingress1_Base", 16, 16 },
793		{ "Ingress0_Base", 0, 16 },
794	{ "SGE_QBASE_INDEX", 0x1250, 0 },
795	{ "SGE_CONM_CTRL2", 0x1254, 0 },
796		{ "FlmThreshPack", 8, 7 },
797		{ "FlmThresh", 0, 7 },
798	{ "SGE_DEBUG_CONM", 0x1258, 0 },
799		{ "mps_ch_cng", 16, 16 },
800		{ "tp_ch_cng", 14, 2 },
801		{ "st_cong", 12, 2 },
802		{ "last_xoff", 10, 1 },
803		{ "last_qid", 0, 10 },
804	{ "SGE_DBG_QUEUE_STAT0_CTRL", 0x125c, 0 },
805		{ "imsg_gts_sel", 18, 1 },
806		{ "mgt_sel", 17, 1 },
807		{ "db_gts_qid", 0, 17 },
808	{ "SGE_DBG_QUEUE_STAT1_CTRL", 0x1260, 0 },
809		{ "imsg_gts_sel", 18, 1 },
810		{ "mgt_sel", 17, 1 },
811		{ "db_gts_qid", 0, 17 },
812	{ "SGE_DBG_QUEUE_STAT0", 0x1264, 0 },
813	{ "SGE_DBG_QUEUE_STAT1", 0x1268, 0 },
814	{ "SGE_DBG_BAR2_PKT_CNT", 0x126c, 0 },
815	{ "SGE_DBG_DB_PKT_CNT", 0x1270, 0 },
816	{ "SGE_DBG_GTS_PKT_CNT", 0x1274, 0 },
817	{ "SGE_DEBUG_DATA_HIGH_INDEX_0", 0x1280, 0 },
818		{ "debug_bar2_sop_cnt", 28, 4 },
819		{ "debug_bar2_eop_cnt", 24, 4 },
820		{ "debug_uP_SOP_cnt", 20, 4 },
821		{ "debug_uP_EOP_cnt", 16, 4 },
822		{ "debug_CIM_SOP1_cnt", 12, 4 },
823		{ "debug_CIM_EOP1_cnt", 8, 4 },
824		{ "debug_CIM_SOP0_cnt", 4, 4 },
825		{ "debug_CIM_EOP0_cnt", 0, 4 },
826	{ "SGE_DEBUG_DATA_HIGH_INDEX_1", 0x1284, 0 },
827		{ "debug_T_Rx_SOP1_cnt", 28, 4 },
828		{ "debug_T_Rx_EOP1_cnt", 24, 4 },
829		{ "debug_T_Rx_SOP0_cnt", 20, 4 },
830		{ "debug_T_Rx_EOP0_cnt", 16, 4 },
831		{ "debug_U_Rx_SOP1_cnt", 12, 4 },
832		{ "debug_U_Rx_EOP1_cnt", 8, 4 },
833		{ "debug_U_Rx_SOP0_cnt", 4, 4 },
834		{ "debug_U_Rx_EOP0_cnt", 0, 4 },
835	{ "SGE_DEBUG_DATA_HIGH_INDEX_2", 0x1288, 0 },
836		{ "dbg_tbuf_used1", 9, 9 },
837		{ "dbg_tbuf_used0", 0, 9 },
838	{ "SGE_DEBUG1_DBP_THREAD", 0x128c, 0 },
839		{ "wr_deq_cnt", 12, 4 },
840		{ "wr_enq_cnt", 8, 4 },
841		{ "fl_deq_cnt", 4, 4 },
842		{ "fl_enq_cnt", 0, 4 },
843	{ "SGE_DEBUG1_DBP_THREAD", 0x1290, 0 },
844		{ "wr_deq_cnt", 12, 4 },
845		{ "wr_enq_cnt", 8, 4 },
846		{ "fl_deq_cnt", 4, 4 },
847		{ "fl_enq_cnt", 0, 4 },
848	{ "SGE_DEBUG1_DBP_THREAD", 0x1294, 0 },
849		{ "wr_deq_cnt", 12, 4 },
850		{ "wr_enq_cnt", 8, 4 },
851		{ "fl_deq_cnt", 4, 4 },
852		{ "fl_enq_cnt", 0, 4 },
853	{ "SGE_DEBUG1_DBP_THREAD", 0x1298, 0 },
854		{ "wr_deq_cnt", 12, 4 },
855		{ "wr_enq_cnt", 8, 4 },
856		{ "fl_deq_cnt", 4, 4 },
857		{ "fl_enq_cnt", 0, 4 },
858	{ "SGE_DEBUG_DATA_HIGH_INDEX_7", 0x129c, 0 },
859		{ "debug_PC_Rsp_SOP_cnt", 28, 4 },
860		{ "debug_PC_Rsp_EOP_cnt", 24, 4 },
861		{ "debug_PC_Req_SOP_cnt", 20, 4 },
862		{ "debug_PC_Req_EOP_cnt", 16, 4 },
863		{ "debug_PD_WrReq_SOP1_cnt", 12, 4 },
864		{ "debug_PD_WrReq_EOP1_cnt", 8, 4 },
865		{ "debug_PD_WrReq_SOP0_cnt", 4, 4 },
866		{ "debug_PD_WrReq_EOP0_cnt", 0, 4 },
867	{ "SGE_DEBUG_DATA_HIGH_INDEX_8", 0x12a0, 0 },
868		{ "debug_PL_BAR2_ReqVld", 31, 1 },
869		{ "debug_PL_BAR2_ReqFull", 30, 1 },
870		{ "GlobalEnable_Off", 29, 1 },
871		{ "debug_CIM2SGE_RxAFull_d", 27, 2 },
872		{ "debug_CPLSW_CIM_TxAFull_d", 25, 2 },
873		{ "debug_uP_Full", 24, 1 },
874		{ "debug_M_rd_req_outstanding_PC", 23, 1 },
875		{ "debug_M_rd_req_outstanding_VFIFO", 22, 1 },
876		{ "debug_M_rd_req_outstanding_IMSG", 21, 1 },
877		{ "debug_M_rd_req_outstanding_CMARB", 20, 1 },
878		{ "debug_M_rd_req_outstanding_FLM", 19, 1 },
879		{ "debug_M_ReqVld", 18, 1 },
880		{ "debug_M_ReqRdy", 17, 1 },
881		{ "debug_M_RspVld", 16, 1 },
882		{ "debug_PD_WrReq_Int3_cnt", 12, 4 },
883		{ "debug_PD_WrReq_Int2_cnt", 8, 4 },
884		{ "debug_PD_WrReq_Int1_cnt", 4, 4 },
885		{ "debug_PD_WrReq_Int0_cnt", 0, 4 },
886	{ "SGE_DEBUG_DATA_HIGH_INDEX_9", 0x12a4, 0 },
887		{ "debug_CPLSW_TP_Rx_SOP1_cnt", 28, 4 },
888		{ "debug_CPLSW_TP_Rx_EOP1_cnt", 24, 4 },
889		{ "debug_CPLSW_TP_Rx_SOP0_cnt", 20, 4 },
890		{ "debug_CPLSW_TP_Rx_EOP0_cnt", 16, 4 },
891		{ "debug_CPLSW_CIM_SOP0_cnt", 4, 4 },
892		{ "debug_CPLSW_CIM_EOP0_cnt", 0, 4 },
893	{ "SGE_DEBUG_DATA_HIGH_INDEX_10", 0x12a8, 0 },
894		{ "debug_idma1_s_cpl_flit_remaining", 28, 4 },
895		{ "debug_idma1_idma2imsg_cmp_out_srdy", 27, 1 },
896		{ "debug_idma1_idma2imsg_cmp_out_rss", 26, 1 },
897		{ "debug_idma1_idma2imsg_cmp_out_nocpl", 25, 1 },
898		{ "debug_idma1_IDMA2IMSG_Full", 24, 1 },
899		{ "debug_idma1_IDMA2IMSG_EOP", 23, 1 },
900		{ "debug_idma1_idma2imsg_fifo_in_drdy", 22, 1 },
901		{ "debug_idma1_idma2imsg_cmp_in_drdy", 21, 1 },
902		{ "debug_idma0_s_cpl_flit_remaining", 17, 4 },
903		{ "debug_idma0_idma2imsg_cmp_out_srdy", 16, 1 },
904		{ "debug_idma0_idma2imsg_cmp_out_rss", 15, 1 },
905		{ "debug_idma0_idma2imsg_cmp_out_nocpl", 14, 1 },
906		{ "debug_idma0_IDMA2IMSG_Full", 13, 1 },
907		{ "debug_idma0_IDMA2IMSG_EOP", 12, 1 },
908		{ "debug_idma0_idma2imsg_cmp_in_drdy", 11, 1 },
909		{ "debug_idma0_idma2imsg_fifo_in_drdy", 10, 1 },
910		{ "debug_T_RxAFull_d", 8, 2 },
911		{ "debug_PD_WrReqAFull_d", 6, 2 },
912		{ "debug_PC_RspAFull_d", 5, 1 },
913		{ "debug_PC_ReqAFull_d", 4, 1 },
914		{ "debug_U_RxAFull_d", 2, 2 },
915		{ "debug_CIM_AFull_d", 0, 1 },
916	{ "SGE_DEBUG_DATA_HIGH_INDEX_11", 0x12ac, 0 },
917		{ "debug_flm_idma1_cache_data_active", 24, 1 },
918		{ "debug_flm_idma1_cache_hdr_active", 23, 1 },
919		{ "debug_flm_idma1_ctxt_data_active", 22, 1 },
920		{ "debug_flm_idma1_ctxt_hdr_active", 21, 1 },
921		{ "debug_st_flm_idma1_cache", 19, 2 },
922		{ "debug_st_flm_idma1_ctxt", 16, 3 },
923		{ "debug_flm_idma0_cache_data_active", 8, 1 },
924		{ "debug_flm_idma0_cache_hdr_active", 7, 1 },
925		{ "debug_flm_idma0_ctxt_data_active", 6, 1 },
926		{ "debug_flm_idma0_ctxt_hdr_active", 5, 1 },
927		{ "debug_st_flm_idma0_cache", 3, 2 },
928		{ "debug_st_flm_idma0_ctxt", 0, 3 },
929	{ "SGE_DEBUG_DATA_HIGH_INDEX_12", 0x12b0, 0 },
930		{ "debug_CPLSW_SOP1_cnt", 28, 4 },
931		{ "debug_CPLSW_EOP1_cnt", 24, 4 },
932		{ "debug_CPLSW_SOP0_cnt", 20, 4 },
933		{ "debug_CPLSW_EOP0_cnt", 16, 4 },
934		{ "debug_idma1_ishift_tx_size", 8, 7 },
935		{ "debug_idma0_ishift_tx_size", 0, 7 },
936	{ "SGE_DEBUG_DATA_HIGH_INDEX_13", 0x12b4, 0 },
937	{ "SGE_DEBUG_DATA_HIGH_INDEX_14", 0x12b8, 0 },
938	{ "SGE_DEBUG_DATA_HIGH_INDEX_15", 0x12bc, 0 },
939	{ "SGE_DEBUG_DATA_LOW_INDEX_0", 0x12c0, 0 },
940		{ "debug_st_idma1_flm_req", 29, 3 },
941		{ "debug_st_idma0_flm_req", 26, 3 },
942		{ "debug_st_imsg_ctxt", 23, 3 },
943		{ "debug_st_imsg", 18, 5 },
944		{ "debug_st_idma1_ialn", 16, 2 },
945		{ "debug_st_idma1_idma2imsg", 15, 1 },
946		{ "debug_st_idma1_idma_sm", 9, 6 },
947		{ "debug_st_idma0_ialn", 7, 2 },
948		{ "debug_st_idma0_idma2imsg", 6, 1 },
949		{ "debug_st_idma0_idma_sm", 0, 6 },
950	{ "SGE_DEBUG_DATA_LOW_INDEX_1", 0x12c4, 0 },
951		{ "debug_itp_empty", 12, 6 },
952		{ "debug_itp_expired", 6, 6 },
953		{ "debug_itp_pause", 5, 1 },
954		{ "debug_itp_del_done", 4, 1 },
955		{ "debug_itp_add_done", 3, 1 },
956		{ "debug_itp_evr_state", 0, 3 },
957	{ "SGE_DEBUG_DATA_LOW_INDEX_2", 0x12c8, 0 },
958		{ "debug_st_dbp_upcp_main", 14, 3 },
959		{ "debug_st_dbp_dbfifo_main", 13, 1 },
960		{ "debug_st_dbp_ctxt", 10, 3 },
961	{ "SGE_DEBUG_DATA_LOW_INDEX_3", 0x12cc, 0 },
962	{ "SGE_DEBUG_DATA_LOW_INDEX_4", 0x12d0, 0 },
963		{ "debug_st_flm_dbptr", 30, 2 },
964		{ "debug_flm_cache_locked_count", 23, 7 },
965		{ "debug_flm_cache_agent", 20, 3 },
966		{ "debug_st_flm_cache", 16, 4 },
967		{ "debug_flm_dbptr_cidx_stall", 12, 1 },
968		{ "debug_flm_dbptr_qid", 0, 12 },
969	{ "SGE_DEBUG0_DBP_THREAD", 0x12d4, 0 },
970		{ "thread_st_main", 25, 6 },
971		{ "thread_st_cimfl", 21, 4 },
972		{ "thread_cmdop", 17, 4 },
973		{ "thread_qid", 0, 17 },
974	{ "SGE_DEBUG0_DBP_THREAD", 0x12d8, 0 },
975		{ "thread_st_main", 25, 6 },
976		{ "thread_st_cimfl", 21, 4 },
977		{ "thread_cmdop", 17, 4 },
978		{ "thread_qid", 0, 17 },
979	{ "SGE_DEBUG0_DBP_THREAD", 0x12dc, 0 },
980		{ "thread_st_main", 25, 6 },
981		{ "thread_st_cimfl", 21, 4 },
982		{ "thread_cmdop", 17, 4 },
983		{ "thread_qid", 0, 17 },
984	{ "SGE_DEBUG0_DBP_THREAD", 0x12e0, 0 },
985		{ "thread_st_main", 25, 6 },
986		{ "thread_st_cimfl", 21, 4 },
987		{ "thread_cmdop", 17, 4 },
988		{ "thread_qid", 0, 17 },
989	{ "SGE_DEBUG0_DBP_THREAD", 0x12e4, 0 },
990		{ "thread_st_main", 25, 6 },
991		{ "thread_st_cimfl", 21, 4 },
992		{ "thread_cmdop", 17, 4 },
993		{ "thread_qid", 0, 17 },
994	{ "SGE_DEBUG_DATA_LOW_INDEX_10", 0x12e8, 0 },
995		{ "debug_imsg_cpl", 16, 8 },
996		{ "debug_imsg_qid", 0, 16 },
997	{ "SGE_DEBUG_DATA_LOW_INDEX_11", 0x12ec, 0 },
998		{ "debug_idma1_qid", 16, 16 },
999		{ "debug_idma0_qid", 0, 16 },
1000	{ "SGE_DEBUG_DATA_LOW_INDEX_12", 0x12f0, 0 },
1001		{ "debug_idma1_flm_req_qid", 16, 16 },
1002		{ "debug_idma0_flm_req_qid", 0, 16 },
1003	{ "SGE_DEBUG_DATA_LOW_INDEX_13", 0x12f4, 0 },
1004	{ "SGE_DEBUG_DATA_LOW_INDEX_14", 0x12f8, 0 },
1005	{ "SGE_DEBUG_DATA_LOW_INDEX_15", 0x12fc, 0 },
1006	{ "SGE_WC_EGRS_BAR2_OFF_PF", 0x1300, 0 },
1007		{ "PfIQsPerPage", 28, 4 },
1008		{ "PfEQsPerPage", 24, 4 },
1009		{ "PfWCQsPerPage", 20, 4 },
1010		{ "PfWCOffEn", 19, 1 },
1011		{ "PfMaxWCSize", 17, 2 },
1012		{ "PfWCOffset", 0, 17 },
1013	{ "SGE_WC_EGRS_BAR2_OFF_PF", 0x1304, 0 },
1014		{ "PfIQsPerPage", 28, 4 },
1015		{ "PfEQsPerPage", 24, 4 },
1016		{ "PfWCQsPerPage", 20, 4 },
1017		{ "PfWCOffEn", 19, 1 },
1018		{ "PfMaxWCSize", 17, 2 },
1019		{ "PfWCOffset", 0, 17 },
1020	{ "SGE_WC_EGRS_BAR2_OFF_PF", 0x1308, 0 },
1021		{ "PfIQsPerPage", 28, 4 },
1022		{ "PfEQsPerPage", 24, 4 },
1023		{ "PfWCQsPerPage", 20, 4 },
1024		{ "PfWCOffEn", 19, 1 },
1025		{ "PfMaxWCSize", 17, 2 },
1026		{ "PfWCOffset", 0, 17 },
1027	{ "SGE_WC_EGRS_BAR2_OFF_PF", 0x130c, 0 },
1028		{ "PfIQsPerPage", 28, 4 },
1029		{ "PfEQsPerPage", 24, 4 },
1030		{ "PfWCQsPerPage", 20, 4 },
1031		{ "PfWCOffEn", 19, 1 },
1032		{ "PfMaxWCSize", 17, 2 },
1033		{ "PfWCOffset", 0, 17 },
1034	{ "SGE_WC_EGRS_BAR2_OFF_PF", 0x1310, 0 },
1035		{ "PfIQsPerPage", 28, 4 },
1036		{ "PfEQsPerPage", 24, 4 },
1037		{ "PfWCQsPerPage", 20, 4 },
1038		{ "PfWCOffEn", 19, 1 },
1039		{ "PfMaxWCSize", 17, 2 },
1040		{ "PfWCOffset", 0, 17 },
1041	{ "SGE_WC_EGRS_BAR2_OFF_PF", 0x1314, 0 },
1042		{ "PfIQsPerPage", 28, 4 },
1043		{ "PfEQsPerPage", 24, 4 },
1044		{ "PfWCQsPerPage", 20, 4 },
1045		{ "PfWCOffEn", 19, 1 },
1046		{ "PfMaxWCSize", 17, 2 },
1047		{ "PfWCOffset", 0, 17 },
1048	{ "SGE_WC_EGRS_BAR2_OFF_PF", 0x1318, 0 },
1049		{ "PfIQsPerPage", 28, 4 },
1050		{ "PfEQsPerPage", 24, 4 },
1051		{ "PfWCQsPerPage", 20, 4 },
1052		{ "PfWCOffEn", 19, 1 },
1053		{ "PfMaxWCSize", 17, 2 },
1054		{ "PfWCOffset", 0, 17 },
1055	{ "SGE_WC_EGRS_BAR2_OFF_PF", 0x131c, 0 },
1056		{ "PfIQsPerPage", 28, 4 },
1057		{ "PfEQsPerPage", 24, 4 },
1058		{ "PfWCQsPerPage", 20, 4 },
1059		{ "PfWCOffEn", 19, 1 },
1060		{ "PfMaxWCSize", 17, 2 },
1061		{ "PfWCOffset", 0, 17 },
1062	{ "SGE_WC_EGRS_BAR2_OFF_VF", 0x1320, 0 },
1063		{ "VfIQsPerPage", 28, 4 },
1064		{ "VfEQsPerPage", 24, 4 },
1065		{ "VfWCQsPerPage", 20, 4 },
1066		{ "VfWCOffEn", 19, 1 },
1067		{ "VfMaxWCSize", 17, 2 },
1068		{ "VfWCOffset", 0, 17 },
1069	{ "SGE_WC_EGRS_BAR2_OFF_VF", 0x1324, 0 },
1070		{ "VfIQsPerPage", 28, 4 },
1071		{ "VfEQsPerPage", 24, 4 },
1072		{ "VfWCQsPerPage", 20, 4 },
1073		{ "VfWCOffEn", 19, 1 },
1074		{ "VfMaxWCSize", 17, 2 },
1075		{ "VfWCOffset", 0, 17 },
1076	{ "SGE_WC_EGRS_BAR2_OFF_VF", 0x1328, 0 },
1077		{ "VfIQsPerPage", 28, 4 },
1078		{ "VfEQsPerPage", 24, 4 },
1079		{ "VfWCQsPerPage", 20, 4 },
1080		{ "VfWCOffEn", 19, 1 },
1081		{ "VfMaxWCSize", 17, 2 },
1082		{ "VfWCOffset", 0, 17 },
1083	{ "SGE_WC_EGRS_BAR2_OFF_VF", 0x132c, 0 },
1084		{ "VfIQsPerPage", 28, 4 },
1085		{ "VfEQsPerPage", 24, 4 },
1086		{ "VfWCQsPerPage", 20, 4 },
1087		{ "VfWCOffEn", 19, 1 },
1088		{ "VfMaxWCSize", 17, 2 },
1089		{ "VfWCOffset", 0, 17 },
1090	{ "SGE_WC_EGRS_BAR2_OFF_VF", 0x1330, 0 },
1091		{ "VfIQsPerPage", 28, 4 },
1092		{ "VfEQsPerPage", 24, 4 },
1093		{ "VfWCQsPerPage", 20, 4 },
1094		{ "VfWCOffEn", 19, 1 },
1095		{ "VfMaxWCSize", 17, 2 },
1096		{ "VfWCOffset", 0, 17 },
1097	{ "SGE_WC_EGRS_BAR2_OFF_VF", 0x1334, 0 },
1098		{ "VfIQsPerPage", 28, 4 },
1099		{ "VfEQsPerPage", 24, 4 },
1100		{ "VfWCQsPerPage", 20, 4 },
1101		{ "VfWCOffEn", 19, 1 },
1102		{ "VfMaxWCSize", 17, 2 },
1103		{ "VfWCOffset", 0, 17 },
1104	{ "SGE_WC_EGRS_BAR2_OFF_VF", 0x1338, 0 },
1105		{ "VfIQsPerPage", 28, 4 },
1106		{ "VfEQsPerPage", 24, 4 },
1107		{ "VfWCQsPerPage", 20, 4 },
1108		{ "VfWCOffEn", 19, 1 },
1109		{ "VfMaxWCSize", 17, 2 },
1110		{ "VfWCOffset", 0, 17 },
1111	{ "SGE_WC_EGRS_BAR2_OFF_VF", 0x133c, 0 },
1112		{ "VfIQsPerPage", 28, 4 },
1113		{ "VfEQsPerPage", 24, 4 },
1114		{ "VfWCQsPerPage", 20, 4 },
1115		{ "VfWCOffEn", 19, 1 },
1116		{ "VfMaxWCSize", 17, 2 },
1117		{ "VfWCOffset", 0, 17 },
1118	{ "SGE_LA_RDPTR_0", 0x1800, 0 },
1119	{ "SGE_LA_RDDATA_0", 0x1804, 0 },
1120	{ "SGE_LA_WRPTR_0", 0x1808, 0 },
1121	{ "SGE_LA_RESERVED_0", 0x180c, 0 },
1122	{ "SGE_LA_RDPTR_1", 0x1810, 0 },
1123	{ "SGE_LA_RDDATA_1", 0x1814, 0 },
1124	{ "SGE_LA_WRPTR_1", 0x1818, 0 },
1125	{ "SGE_LA_RESERVED_1", 0x181c, 0 },
1126	{ "SGE_LA_RDPTR_2", 0x1820, 0 },
1127	{ "SGE_LA_RDDATA_2", 0x1824, 0 },
1128	{ "SGE_LA_WRPTR_2", 0x1828, 0 },
1129	{ "SGE_LA_RESERVED_2", 0x182c, 0 },
1130	{ "SGE_LA_RDPTR_3", 0x1830, 0 },
1131	{ "SGE_LA_RDDATA_3", 0x1834, 0 },
1132	{ "SGE_LA_WRPTR_3", 0x1838, 0 },
1133	{ "SGE_LA_RESERVED_3", 0x183c, 0 },
1134	{ "SGE_LA_RDPTR_4", 0x1840, 0 },
1135	{ "SGE_LA_RDDATA_4", 0x1844, 0 },
1136	{ "SGE_LA_WRPTR_4", 0x1848, 0 },
1137	{ "SGE_LA_RESERVED_4", 0x184c, 0 },
1138	{ "SGE_LA_RDPTR_5", 0x1850, 0 },
1139	{ "SGE_LA_RDDATA_5", 0x1854, 0 },
1140	{ "SGE_LA_WRPTR_5", 0x1858, 0 },
1141	{ "SGE_LA_RESERVED_5", 0x185c, 0 },
1142	{ "SGE_LA_RDPTR_6", 0x1860, 0 },
1143	{ "SGE_LA_RDDATA_6", 0x1864, 0 },
1144	{ "SGE_LA_WRPTR_6", 0x1868, 0 },
1145	{ "SGE_LA_RESERVED_6", 0x186c, 0 },
1146	{ "SGE_LA_RDPTR_7", 0x1870, 0 },
1147	{ "SGE_LA_RDDATA_7", 0x1874, 0 },
1148	{ "SGE_LA_WRPTR_7", 0x1878, 0 },
1149	{ "SGE_LA_RESERVED_7", 0x187c, 0 },
1150	{ "SGE_LA_RDPTR_8", 0x1880, 0 },
1151	{ "SGE_LA_RDDATA_8", 0x1884, 0 },
1152	{ "SGE_LA_WRPTR_8", 0x1888, 0 },
1153	{ "SGE_LA_RESERVED_8", 0x188c, 0 },
1154	{ "SGE_LA_RDPTR_9", 0x1890, 0 },
1155	{ "SGE_LA_RDDATA_9", 0x1894, 0 },
1156	{ "SGE_LA_WRPTR_9", 0x1898, 0 },
1157	{ "SGE_LA_RESERVED_9", 0x189c, 0 },
1158	{ "SGE_LA_RDPTR_10", 0x18a0, 0 },
1159	{ "SGE_LA_RDDATA_10", 0x18a4, 0 },
1160	{ "SGE_LA_WRPTR_10", 0x18a8, 0 },
1161	{ "SGE_LA_RESERVED_10", 0x18ac, 0 },
1162	{ "SGE_LA_RDPTR_11", 0x18b0, 0 },
1163	{ "SGE_LA_RDDATA_11", 0x18b4, 0 },
1164	{ "SGE_LA_WRPTR_11", 0x18b8, 0 },
1165	{ "SGE_LA_RESERVED_11", 0x18bc, 0 },
1166	{ "SGE_LA_RDPTR_12", 0x18c0, 0 },
1167	{ "SGE_LA_RDDATA_12", 0x18c4, 0 },
1168	{ "SGE_LA_WRPTR_12", 0x18c8, 0 },
1169	{ "SGE_LA_RESERVED_12", 0x18cc, 0 },
1170	{ "SGE_LA_RDPTR_13", 0x18d0, 0 },
1171	{ "SGE_LA_RDDATA_13", 0x18d4, 0 },
1172	{ "SGE_LA_WRPTR_13", 0x18d8, 0 },
1173	{ "SGE_LA_RESERVED_13", 0x18dc, 0 },
1174	{ "SGE_LA_RDPTR_14", 0x18e0, 0 },
1175	{ "SGE_LA_RDDATA_14", 0x18e4, 0 },
1176	{ "SGE_LA_WRPTR_14", 0x18e8, 0 },
1177	{ "SGE_LA_RESERVED_14", 0x18ec, 0 },
1178	{ "SGE_LA_RDPTR_15", 0x18f0, 0 },
1179	{ "SGE_LA_RDDATA_15", 0x18f4, 0 },
1180	{ "SGE_LA_WRPTR_15", 0x18f8, 0 },
1181	{ "SGE_LA_RESERVED_15", 0x18fc, 0 },
1182	{ NULL }
1183};
1184
1185struct reg_info t6_pcie_regs[] = {
1186	{ "PCIE_INT_ENABLE", 0x3000, 0 },
1187		{ "IPGrpPerr", 31, 1 },
1188		{ "NonFatalErr", 30, 1 },
1189		{ "RdRspErr", 29, 1 },
1190		{ "TRGT1GrpPerr", 28, 1 },
1191		{ "IPSOTPerr", 27, 1 },
1192		{ "IPRetryPerr", 26, 1 },
1193		{ "IPRxDataGrpPerr", 25, 1 },
1194		{ "IPRxHdrGrpPerr", 24, 1 },
1195		{ "PIOTagQPerr", 23, 1 },
1196		{ "MAGrpPerr", 22, 1 },
1197		{ "VFIDPerr", 21, 1 },
1198		{ "FIDPerr", 20, 1 },
1199		{ "CfgSnpPerr", 19, 1 },
1200		{ "HRspPerr", 18, 1 },
1201		{ "HReqRdPerr", 17, 1 },
1202		{ "HReqWrPerr", 16, 1 },
1203		{ "DRspPerr", 15, 1 },
1204		{ "DReqRdPerr", 14, 1 },
1205		{ "DReqWrPerr", 13, 1 },
1206		{ "CRspPerr", 12, 1 },
1207		{ "CReqRdPerr", 11, 1 },
1208		{ "MstTagQPerr", 10, 1 },
1209		{ "TgtTagQPerr", 9, 1 },
1210		{ "PIOReqGrpPerr", 8, 1 },
1211		{ "PIOCplGrpPerr", 7, 1 },
1212		{ "MSIXDIPerr", 6, 1 },
1213		{ "MSIXDataPerr", 5, 1 },
1214		{ "MSIXAddrHPerr", 4, 1 },
1215		{ "MSIXAddrLPerr", 3, 1 },
1216		{ "MSIXStiPerr", 2, 1 },
1217		{ "MstTimeoutPerr", 1, 1 },
1218		{ "MstGrpPerr", 0, 1 },
1219	{ "PCIE_INT_CAUSE", 0x3004, 0 },
1220		{ "IPGrpPerr", 31, 1 },
1221		{ "NonFatalErr", 30, 1 },
1222		{ "RdRspErr", 29, 1 },
1223		{ "TRGT1GrpPerr", 28, 1 },
1224		{ "IPSOTPerr", 27, 1 },
1225		{ "IPRetryPerr", 26, 1 },
1226		{ "IPRxDataGrpPerr", 25, 1 },
1227		{ "IPRxHdrGrpPerr", 24, 1 },
1228		{ "PIOTagQPerr", 23, 1 },
1229		{ "MAGrpPerr", 22, 1 },
1230		{ "VFIDPerr", 21, 1 },
1231		{ "FIDPerr", 20, 1 },
1232		{ "CfgSnpPerr", 19, 1 },
1233		{ "HRspPerr", 18, 1 },
1234		{ "HReqRdPerr", 17, 1 },
1235		{ "HReqWrPerr", 16, 1 },
1236		{ "DRspPerr", 15, 1 },
1237		{ "DReqRdPerr", 14, 1 },
1238		{ "DReqWrPerr", 13, 1 },
1239		{ "CRspPerr", 12, 1 },
1240		{ "CReqRdPerr", 11, 1 },
1241		{ "MstTagQPerr", 10, 1 },
1242		{ "TgtTagQPerr", 9, 1 },
1243		{ "PIOReqGrpPerr", 8, 1 },
1244		{ "PIOCplGrpPerr", 7, 1 },
1245		{ "MSIXDIPerr", 6, 1 },
1246		{ "MSIXDataPerr", 5, 1 },
1247		{ "MSIXAddrHPerr", 4, 1 },
1248		{ "MSIXAddrLPerr", 3, 1 },
1249		{ "MSIXStiPerr", 2, 1 },
1250		{ "MstTimeoutPerr", 1, 1 },
1251		{ "MstGrpPerr", 0, 1 },
1252	{ "PCIE_PERR_ENABLE", 0x3008, 0 },
1253		{ "IPGrpPerr", 31, 1 },
1254		{ "TRGT1GrpPerr", 28, 1 },
1255		{ "IPSOTPerr", 27, 1 },
1256		{ "IPRetryPerr", 26, 1 },
1257		{ "IPRxDataGrpPerr", 25, 1 },
1258		{ "IPRxHdrGrpPerr", 24, 1 },
1259		{ "PIOTagQPerr", 23, 1 },
1260		{ "MAGrpPerr", 22, 1 },
1261		{ "VFIDPerr", 21, 1 },
1262		{ "FIDPerr", 20, 1 },
1263		{ "CfgSnpPerr", 19, 1 },
1264		{ "HRspPerr", 18, 1 },
1265		{ "HReqRdPerr", 17, 1 },
1266		{ "HReqWrPerr", 16, 1 },
1267		{ "DRspPerr", 15, 1 },
1268		{ "DReqRdPerr", 14, 1 },
1269		{ "DReqWrPerr", 13, 1 },
1270		{ "CRspPerr", 12, 1 },
1271		{ "CReqRdPerr", 11, 1 },
1272		{ "MstTagQPerr", 10, 1 },
1273		{ "TgtTagQPerr", 9, 1 },
1274		{ "PIOReqGrpPerr", 8, 1 },
1275		{ "PIOCplGrpPerr", 7, 1 },
1276		{ "MSIXDIPerr", 6, 1 },
1277		{ "MSIXDataPerr", 5, 1 },
1278		{ "MSIXAddrHPerr", 4, 1 },
1279		{ "MSIXAddrLPerr", 3, 1 },
1280		{ "MSIXStiPerr", 2, 1 },
1281		{ "MstTimeoutPerr", 1, 1 },
1282		{ "MstGrpPerr", 0, 1 },
1283	{ "PCIE_PERR_INJECT", 0x300c, 0 },
1284		{ "MemSel", 1, 5 },
1285		{ "IDE", 0, 1 },
1286	{ "PCIE_NONFAT_ERR", 0x3010, 0 },
1287		{ "MARspUE", 30, 1 },
1288		{ "MAReqTimeout", 29, 1 },
1289		{ "TRGT1BARTypeErr", 28, 1 },
1290		{ "MAExtraRspErr", 27, 1 },
1291		{ "MARspTimeout", 26, 1 },
1292		{ "INTVFAllMSIDisErr", 25, 1 },
1293		{ "INTVFRangeErr", 24, 1 },
1294		{ "INTPLIRspErr", 23, 1 },
1295		{ "MEMReqRdTagErr", 22, 1 },
1296		{ "CFGInitDoneErr", 21, 1 },
1297		{ "BAR2Timeout", 20, 1 },
1298		{ "VPDTimeout", 19, 1 },
1299		{ "MEMRspRdTagErr", 18, 1 },
1300		{ "MEMRspWrTagErr", 17, 1 },
1301		{ "PIORspRdTagErr", 16, 1 },
1302		{ "PIORspWrTagErr", 15, 1 },
1303		{ "DBITimeout", 14, 1 },
1304		{ "PIOUnAlindWr", 13, 1 },
1305		{ "BAR2RdErr", 12, 1 },
1306		{ "MAWrEOPErr", 11, 1 },
1307		{ "MARdEOPErr", 10, 1 },
1308		{ "RdRspErr", 9, 1 },
1309		{ "VPDRspErr", 8, 1 },
1310		{ "KDBEOPErr", 7, 1 },
1311		{ "MemReq", 4, 1 },
1312		{ "PIOReq", 3, 1 },
1313		{ "BAR2Req", 2, 1 },
1314		{ "CfgSnp", 0, 1 },
1315	{ "PCIE_CFG", 0x3014, 0 },
1316		{ "PIOStopEn", 31, 1 },
1317		{ "DiagCtrlBus", 28, 3 },
1318		{ "IPPerrEn", 27, 1 },
1319		{ "CfgdExtTagEn", 26, 1 },
1320		{ "CfgdMaxPyldSz", 23, 3 },
1321		{ "CfgdMaxRdReqSz", 20, 3 },
1322		{ "DCAEn", 17, 1 },
1323		{ "CMDReqPriority", 16, 1 },
1324		{ "VPDReqProtect", 14, 2 },
1325		{ "DroppedRdRspData", 12, 1 },
1326		{ "AI_INTX_ReAssertEn", 11, 1 },
1327		{ "AutoTxnDisable", 10, 1 },
1328		{ "TC0_Stamp", 9, 1 },
1329		{ "AI_TCVal", 6, 3 },
1330		{ "DMAStopEn", 5, 1 },
1331		{ "DevStateRstMode", 4, 1 },
1332		{ "LinkReqRstPCIeCRstMode", 3, 1 },
1333		{ "LinkDnRstEn", 0, 1 },
1334	{ "PCIE_CFG2", 0x3018, 0 },
1335		{ "BAR2Timer", 4, 12 },
1336		{ "MstReqRdRRASimple", 3, 1 },
1337		{ "TotMaxTag", 0, 3 },
1338	{ "PCIE_CFG3", 0x301c, 0 },
1339		{ "AutoPIOCookieMatch", 6, 1 },
1340		{ "FLRPndCplMode", 4, 2 },
1341		{ "HMADCASTFirstOnly", 2, 1 },
1342		{ "CMDDCASTFirstOnly", 1, 1 },
1343		{ "DMADCASTFirstOnly", 0, 1 },
1344	{ "PCIE_CFG4", 0x3020, 0 },
1345		{ "L1ClkRemovalEn", 17, 1 },
1346		{ "ReadyEnterL23", 16, 1 },
1347		{ "ExitL1", 12, 1 },
1348		{ "EnterL1", 8, 1 },
1349		{ "GenPME", 0, 8 },
1350	{ "PCIE_CFG5", 0x3024, 0 },
1351		{ "EnableSKPParityFix", 2, 1 },
1352		{ "EnableL2EntryInL1", 1, 1 },
1353		{ "HoldCplEnteringL1", 0, 1 },
1354	{ "PCIE_CFG6", 0x3028, 0 },
1355		{ "PERstTimerCount", 12, 14 },
1356		{ "PERstTimeout", 8, 1 },
1357		{ "PERstTimer", 0, 4 },
1358	{ "PCIE_CFG7", 0x302c, 0 },
1359	{ "PCIE_CFG_SPACE_REQ", 0x3060, 0 },
1360		{ "Enable", 31, 1 },
1361		{ "AI", 30, 1 },
1362		{ "CS2", 29, 1 },
1363		{ "WrBE", 25, 4 },
1364		{ "VFVld", 24, 1 },
1365		{ "RVF", 16, 8 },
1366		{ "PF", 12, 3 },
1367		{ "ExtRegister", 8, 4 },
1368		{ "Register", 0, 8 },
1369	{ "PCIE_CFG_SPACE_DATA", 0x3064, 0 },
1370	{ "PCIE_MEM_ACCESS_BASE_WIN", 0x3068, 0 },
1371		{ "PCIEOfst", 10, 22 },
1372		{ "BIR", 8, 2 },
1373		{ "Window", 0, 8 },
1374	{ "PCIE_MEM_ACCESS_OFFSET", 0x306c, 0 },
1375		{ "MemOfst", 7, 25 },
1376		{ "PFNum", 0, 3 },
1377	{ "PCIE_MEM_ACCESS_BASE_WIN", 0x3070, 0 },
1378		{ "PCIEOfst", 10, 22 },
1379		{ "BIR", 8, 2 },
1380		{ "Window", 0, 8 },
1381	{ "PCIE_MEM_ACCESS_OFFSET", 0x3074, 0 },
1382		{ "MemOfst", 7, 25 },
1383		{ "PFNum", 0, 3 },
1384	{ "PCIE_MEM_ACCESS_BASE_WIN", 0x3078, 0 },
1385		{ "PCIEOfst", 10, 22 },
1386		{ "BIR", 8, 2 },
1387		{ "Window", 0, 8 },
1388	{ "PCIE_MEM_ACCESS_OFFSET", 0x307c, 0 },
1389		{ "MemOfst", 7, 25 },
1390		{ "PFNum", 0, 3 },
1391	{ "PCIE_MEM_ACCESS_BASE_WIN", 0x3080, 0 },
1392		{ "PCIEOfst", 10, 22 },
1393		{ "BIR", 8, 2 },
1394		{ "Window", 0, 8 },
1395	{ "PCIE_MEM_ACCESS_OFFSET", 0x3084, 0 },
1396		{ "MemOfst", 7, 25 },
1397		{ "PFNum", 0, 3 },
1398	{ "PCIE_MEM_ACCESS_BASE_WIN", 0x3088, 0 },
1399		{ "PCIEOfst", 10, 22 },
1400		{ "BIR", 8, 2 },
1401		{ "Window", 0, 8 },
1402	{ "PCIE_MEM_ACCESS_OFFSET", 0x308c, 0 },
1403		{ "MemOfst", 7, 25 },
1404		{ "PFNum", 0, 3 },
1405	{ "PCIE_MEM_ACCESS_BASE_WIN", 0x3090, 0 },
1406		{ "PCIEOfst", 10, 22 },
1407		{ "BIR", 8, 2 },
1408		{ "Window", 0, 8 },
1409	{ "PCIE_MEM_ACCESS_OFFSET", 0x3094, 0 },
1410		{ "MemOfst", 7, 25 },
1411		{ "PFNum", 0, 3 },
1412	{ "PCIE_MEM_ACCESS_BASE_WIN", 0x3098, 0 },
1413		{ "PCIEOfst", 10, 22 },
1414		{ "BIR", 8, 2 },
1415		{ "Window", 0, 8 },
1416	{ "PCIE_MEM_ACCESS_OFFSET", 0x309c, 0 },
1417		{ "MemOfst", 7, 25 },
1418		{ "PFNum", 0, 3 },
1419	{ "PCIE_MEM_ACCESS_BASE_WIN", 0x30a0, 0 },
1420		{ "PCIEOfst", 10, 22 },
1421		{ "BIR", 8, 2 },
1422		{ "Window", 0, 8 },
1423	{ "PCIE_MEM_ACCESS_OFFSET", 0x30a4, 0 },
1424		{ "MemOfst", 7, 25 },
1425		{ "PFNum", 0, 3 },
1426	{ "PCIE_MAILBOX_BASE_WIN", 0x30a8, 0 },
1427		{ "PCIEOfst", 6, 26 },
1428		{ "BIR", 4, 2 },
1429		{ "Window", 0, 2 },
1430	{ "PCIE_MAILBOX_OFFSET", 0x30ac, 0 },
1431		{ "MemOfst", 7, 25 },
1432	{ "PCIE_MA_CTRL", 0x30b0, 0 },
1433		{ "TagFree", 29, 1 },
1434		{ "MaxRspCnt", 24, 5 },
1435		{ "MaxReqCnt", 16, 7 },
1436		{ "MaxReqSize", 8, 3 },
1437		{ "MaxTag", 0, 5 },
1438	{ "PCIE_FW", 0x30b8, 0 },
1439	{ "PCIE_FW_PF", 0x30bc, 0 },
1440	{ "PCIE_FW_PF", 0x30c0, 0 },
1441	{ "PCIE_FW_PF", 0x30c4, 0 },
1442	{ "PCIE_FW_PF", 0x30c8, 0 },
1443	{ "PCIE_FW_PF", 0x30cc, 0 },
1444	{ "PCIE_FW_PF", 0x30d0, 0 },
1445	{ "PCIE_FW_PF", 0x30d4, 0 },
1446	{ "PCIE_FW_PF", 0x30d8, 0 },
1447	{ "PCIE_PIO_PAUSE", 0x30dc, 0 },
1448		{ "PIOPauseDone", 31, 1 },
1449		{ "MSTPauseDone", 30, 1 },
1450		{ "PauseTime", 4, 24 },
1451		{ "MSTPause", 1, 1 },
1452		{ "PIOPause", 0, 1 },
1453	{ "PCIE_MA_STAT", 0x30e0, 0 },
1454	{ "PCIE_STATIC_CFG1", 0x30e4, 0 },
1455		{ "AUXPOWER_DETECTED", 27, 1 },
1456	{ "PCIE_STATIC_CFG2", 0x30e8, 0 },
1457		{ "PL_CONTROL", 16, 16 },
1458		{ "STATIC_SPARE3", 0, 14 },
1459	{ "PCIE_DBG_INDIR_REQ", 0x30ec, 0 },
1460		{ "Enable", 31, 1 },
1461		{ "AI", 30, 1 },
1462		{ "Pointer", 8, 16 },
1463		{ "Select", 0, 4 },
1464	{ "PCIE_DBG_INDIR_DATA_0", 0x30f0, 0 },
1465	{ "PCIE_DBG_INDIR_DATA_1", 0x30f4, 0 },
1466	{ "PCIE_DBG_INDIR_DATA_2", 0x30f8, 0 },
1467	{ "PCIE_DBG_INDIR_DATA_3", 0x30fc, 0 },
1468	{ "PCIE_PF_INT_CFG", 0x3140, 0 },
1469		{ "PBAOfst", 28, 4 },
1470		{ "TABOfst", 24, 4 },
1471		{ "VecNum", 12, 10 },
1472		{ "VecBase", 0, 11 },
1473	{ "PCIE_PF_INT_CFG2", 0x3144, 0 },
1474		{ "SendFLRRsp", 31, 1 },
1475		{ "ImmFLRRsp", 24, 1 },
1476		{ "TxnDisable", 20, 1 },
1477	{ "PCIE_PF_INT_CFG", 0x3148, 0 },
1478		{ "PBAOfst", 28, 4 },
1479		{ "TABOfst", 24, 4 },
1480		{ "VecNum", 12, 10 },
1481		{ "VecBase", 0, 11 },
1482	{ "PCIE_PF_INT_CFG2", 0x314c, 0 },
1483		{ "SendFLRRsp", 31, 1 },
1484		{ "ImmFLRRsp", 24, 1 },
1485		{ "TxnDisable", 20, 1 },
1486	{ "PCIE_PF_INT_CFG", 0x3150, 0 },
1487		{ "PBAOfst", 28, 4 },
1488		{ "TABOfst", 24, 4 },
1489		{ "VecNum", 12, 10 },
1490		{ "VecBase", 0, 11 },
1491	{ "PCIE_PF_INT_CFG2", 0x3154, 0 },
1492		{ "SendFLRRsp", 31, 1 },
1493		{ "ImmFLRRsp", 24, 1 },
1494		{ "TxnDisable", 20, 1 },
1495	{ "PCIE_PF_INT_CFG", 0x3158, 0 },
1496		{ "PBAOfst", 28, 4 },
1497		{ "TABOfst", 24, 4 },
1498		{ "VecNum", 12, 10 },
1499		{ "VecBase", 0, 11 },
1500	{ "PCIE_PF_INT_CFG2", 0x315c, 0 },
1501		{ "SendFLRRsp", 31, 1 },
1502		{ "ImmFLRRsp", 24, 1 },
1503		{ "TxnDisable", 20, 1 },
1504	{ "PCIE_PF_INT_CFG", 0x3160, 0 },
1505		{ "PBAOfst", 28, 4 },
1506		{ "TABOfst", 24, 4 },
1507		{ "VecNum", 12, 10 },
1508		{ "VecBase", 0, 11 },
1509	{ "PCIE_PF_INT_CFG2", 0x3164, 0 },
1510		{ "SendFLRRsp", 31, 1 },
1511		{ "ImmFLRRsp", 24, 1 },
1512		{ "TxnDisable", 20, 1 },
1513	{ "PCIE_PF_INT_CFG", 0x3168, 0 },
1514		{ "PBAOfst", 28, 4 },
1515		{ "TABOfst", 24, 4 },
1516		{ "VecNum", 12, 10 },
1517		{ "VecBase", 0, 11 },
1518	{ "PCIE_PF_INT_CFG2", 0x316c, 0 },
1519		{ "SendFLRRsp", 31, 1 },
1520		{ "ImmFLRRsp", 24, 1 },
1521		{ "TxnDisable", 20, 1 },
1522	{ "PCIE_PF_INT_CFG", 0x3170, 0 },
1523		{ "PBAOfst", 28, 4 },
1524		{ "TABOfst", 24, 4 },
1525		{ "VecNum", 12, 10 },
1526		{ "VecBase", 0, 11 },
1527	{ "PCIE_PF_INT_CFG2", 0x3174, 0 },
1528		{ "SendFLRRsp", 31, 1 },
1529		{ "ImmFLRRsp", 24, 1 },
1530		{ "TxnDisable", 20, 1 },
1531	{ "PCIE_PF_INT_CFG", 0x3178, 0 },
1532		{ "PBAOfst", 28, 4 },
1533		{ "TABOfst", 24, 4 },
1534		{ "VecNum", 12, 10 },
1535		{ "VecBase", 0, 11 },
1536	{ "PCIE_PF_INT_CFG2", 0x317c, 0 },
1537		{ "SendFLRRsp", 31, 1 },
1538		{ "ImmFLRRsp", 24, 1 },
1539		{ "TxnDisable", 20, 1 },
1540	{ "PCIE_VF_INT_CFG", 0x3180, 0 },
1541		{ "VecNum", 12, 10 },
1542		{ "VecBase", 0, 11 },
1543	{ "PCIE_VF_INT_CFG2", 0x3184, 0 },
1544		{ "SendFLRRsp", 31, 1 },
1545		{ "ImmFLRRsp", 24, 1 },
1546		{ "TxnDisable", 20, 1 },
1547	{ "PCIE_VF_INT_CFG", 0x3188, 0 },
1548		{ "VecNum", 12, 10 },
1549		{ "VecBase", 0, 11 },
1550	{ "PCIE_VF_INT_CFG2", 0x318c, 0 },
1551		{ "SendFLRRsp", 31, 1 },
1552		{ "ImmFLRRsp", 24, 1 },
1553		{ "TxnDisable", 20, 1 },
1554	{ "PCIE_VF_INT_CFG", 0x3190, 0 },
1555		{ "VecNum", 12, 10 },
1556		{ "VecBase", 0, 11 },
1557	{ "PCIE_VF_INT_CFG2", 0x3194, 0 },
1558		{ "SendFLRRsp", 31, 1 },
1559		{ "ImmFLRRsp", 24, 1 },
1560		{ "TxnDisable", 20, 1 },
1561	{ "PCIE_VF_INT_CFG", 0x3198, 0 },
1562		{ "VecNum", 12, 10 },
1563		{ "VecBase", 0, 11 },
1564	{ "PCIE_VF_INT_CFG2", 0x319c, 0 },
1565		{ "SendFLRRsp", 31, 1 },
1566		{ "ImmFLRRsp", 24, 1 },
1567		{ "TxnDisable", 20, 1 },
1568	{ "PCIE_VF_INT_CFG", 0x31a0, 0 },
1569		{ "VecNum", 12, 10 },
1570		{ "VecBase", 0, 11 },
1571	{ "PCIE_VF_INT_CFG2", 0x31a4, 0 },
1572		{ "SendFLRRsp", 31, 1 },
1573		{ "ImmFLRRsp", 24, 1 },
1574		{ "TxnDisable", 20, 1 },
1575	{ "PCIE_VF_INT_CFG", 0x31a8, 0 },
1576		{ "VecNum", 12, 10 },
1577		{ "VecBase", 0, 11 },
1578	{ "PCIE_VF_INT_CFG2", 0x31ac, 0 },
1579		{ "SendFLRRsp", 31, 1 },
1580		{ "ImmFLRRsp", 24, 1 },
1581		{ "TxnDisable", 20, 1 },
1582	{ "PCIE_VF_INT_CFG", 0x31b0, 0 },
1583		{ "VecNum", 12, 10 },
1584		{ "VecBase", 0, 11 },
1585	{ "PCIE_VF_INT_CFG2", 0x31b4, 0 },
1586		{ "SendFLRRsp", 31, 1 },
1587		{ "ImmFLRRsp", 24, 1 },
1588		{ "TxnDisable", 20, 1 },
1589	{ "PCIE_VF_INT_CFG", 0x31b8, 0 },
1590		{ "VecNum", 12, 10 },
1591		{ "VecBase", 0, 11 },
1592	{ "PCIE_VF_INT_CFG2", 0x31bc, 0 },
1593		{ "SendFLRRsp", 31, 1 },
1594		{ "ImmFLRRsp", 24, 1 },
1595		{ "TxnDisable", 20, 1 },
1596	{ "PCIE_VF_INT_CFG", 0x31c0, 0 },
1597		{ "VecNum", 12, 10 },
1598		{ "VecBase", 0, 11 },
1599	{ "PCIE_VF_INT_CFG2", 0x31c4, 0 },
1600		{ "SendFLRRsp", 31, 1 },
1601		{ "ImmFLRRsp", 24, 1 },
1602		{ "TxnDisable", 20, 1 },
1603	{ "PCIE_VF_INT_CFG", 0x31c8, 0 },
1604		{ "VecNum", 12, 10 },
1605		{ "VecBase", 0, 11 },
1606	{ "PCIE_VF_INT_CFG2", 0x31cc, 0 },
1607		{ "SendFLRRsp", 31, 1 },
1608		{ "ImmFLRRsp", 24, 1 },
1609		{ "TxnDisable", 20, 1 },
1610	{ "PCIE_VF_INT_CFG", 0x31d0, 0 },
1611		{ "VecNum", 12, 10 },
1612		{ "VecBase", 0, 11 },
1613	{ "PCIE_VF_INT_CFG2", 0x31d4, 0 },
1614		{ "SendFLRRsp", 31, 1 },
1615		{ "ImmFLRRsp", 24, 1 },
1616		{ "TxnDisable", 20, 1 },
1617	{ "PCIE_VF_INT_CFG", 0x31d8, 0 },
1618		{ "VecNum", 12, 10 },
1619		{ "VecBase", 0, 11 },
1620	{ "PCIE_VF_INT_CFG2", 0x31dc, 0 },
1621		{ "SendFLRRsp", 31, 1 },
1622		{ "ImmFLRRsp", 24, 1 },
1623		{ "TxnDisable", 20, 1 },
1624	{ "PCIE_VF_INT_CFG", 0x31e0, 0 },
1625		{ "VecNum", 12, 10 },
1626		{ "VecBase", 0, 11 },
1627	{ "PCIE_VF_INT_CFG2", 0x31e4, 0 },
1628		{ "SendFLRRsp", 31, 1 },
1629		{ "ImmFLRRsp", 24, 1 },
1630		{ "TxnDisable", 20, 1 },
1631	{ "PCIE_VF_INT_CFG", 0x31e8, 0 },
1632		{ "VecNum", 12, 10 },
1633		{ "VecBase", 0, 11 },
1634	{ "PCIE_VF_INT_CFG2", 0x31ec, 0 },
1635		{ "SendFLRRsp", 31, 1 },
1636		{ "ImmFLRRsp", 24, 1 },
1637		{ "TxnDisable", 20, 1 },
1638	{ "PCIE_VF_INT_CFG", 0x31f0, 0 },
1639		{ "VecNum", 12, 10 },
1640		{ "VecBase", 0, 11 },
1641	{ "PCIE_VF_INT_CFG2", 0x31f4, 0 },
1642		{ "SendFLRRsp", 31, 1 },
1643		{ "ImmFLRRsp", 24, 1 },
1644		{ "TxnDisable", 20, 1 },
1645	{ "PCIE_VF_INT_CFG", 0x31f8, 0 },
1646		{ "VecNum", 12, 10 },
1647		{ "VecBase", 0, 11 },
1648	{ "PCIE_VF_INT_CFG2", 0x31fc, 0 },
1649		{ "SendFLRRsp", 31, 1 },
1650		{ "ImmFLRRsp", 24, 1 },
1651		{ "TxnDisable", 20, 1 },
1652	{ "PCIE_VF_INT_CFG", 0x3200, 0 },
1653		{ "VecNum", 12, 10 },
1654		{ "VecBase", 0, 11 },
1655	{ "PCIE_VF_INT_CFG2", 0x3204, 0 },
1656		{ "SendFLRRsp", 31, 1 },
1657		{ "ImmFLRRsp", 24, 1 },
1658		{ "TxnDisable", 20, 1 },
1659	{ "PCIE_VF_INT_CFG", 0x3208, 0 },
1660		{ "VecNum", 12, 10 },
1661		{ "VecBase", 0, 11 },
1662	{ "PCIE_VF_INT_CFG2", 0x320c, 0 },
1663		{ "SendFLRRsp", 31, 1 },
1664		{ "ImmFLRRsp", 24, 1 },
1665		{ "TxnDisable", 20, 1 },
1666	{ "PCIE_VF_INT_CFG", 0x3210, 0 },
1667		{ "VecNum", 12, 10 },
1668		{ "VecBase", 0, 11 },
1669	{ "PCIE_VF_INT_CFG2", 0x3214, 0 },
1670		{ "SendFLRRsp", 31, 1 },
1671		{ "ImmFLRRsp", 24, 1 },
1672		{ "TxnDisable", 20, 1 },
1673	{ "PCIE_VF_INT_CFG", 0x3218, 0 },
1674		{ "VecNum", 12, 10 },
1675		{ "VecBase", 0, 11 },
1676	{ "PCIE_VF_INT_CFG2", 0x321c, 0 },
1677		{ "SendFLRRsp", 31, 1 },
1678		{ "ImmFLRRsp", 24, 1 },
1679		{ "TxnDisable", 20, 1 },
1680	{ "PCIE_VF_INT_CFG", 0x3220, 0 },
1681		{ "VecNum", 12, 10 },
1682		{ "VecBase", 0, 11 },
1683	{ "PCIE_VF_INT_CFG2", 0x3224, 0 },
1684		{ "SendFLRRsp", 31, 1 },
1685		{ "ImmFLRRsp", 24, 1 },
1686		{ "TxnDisable", 20, 1 },
1687	{ "PCIE_VF_INT_CFG", 0x3228, 0 },
1688		{ "VecNum", 12, 10 },
1689		{ "VecBase", 0, 11 },
1690	{ "PCIE_VF_INT_CFG2", 0x322c, 0 },
1691		{ "SendFLRRsp", 31, 1 },
1692		{ "ImmFLRRsp", 24, 1 },
1693		{ "TxnDisable", 20, 1 },
1694	{ "PCIE_VF_INT_CFG", 0x3230, 0 },
1695		{ "VecNum", 12, 10 },
1696		{ "VecBase", 0, 11 },
1697	{ "PCIE_VF_INT_CFG2", 0x3234, 0 },
1698		{ "SendFLRRsp", 31, 1 },
1699		{ "ImmFLRRsp", 24, 1 },
1700		{ "TxnDisable", 20, 1 },
1701	{ "PCIE_VF_INT_CFG", 0x3238, 0 },
1702		{ "VecNum", 12, 10 },
1703		{ "VecBase", 0, 11 },
1704	{ "PCIE_VF_INT_CFG2", 0x323c, 0 },
1705		{ "SendFLRRsp", 31, 1 },
1706		{ "ImmFLRRsp", 24, 1 },
1707		{ "TxnDisable", 20, 1 },
1708	{ "PCIE_VF_INT_CFG", 0x3240, 0 },
1709		{ "VecNum", 12, 10 },
1710		{ "VecBase", 0, 11 },
1711	{ "PCIE_VF_INT_CFG2", 0x3244, 0 },
1712		{ "SendFLRRsp", 31, 1 },
1713		{ "ImmFLRRsp", 24, 1 },
1714		{ "TxnDisable", 20, 1 },
1715	{ "PCIE_VF_INT_CFG", 0x3248, 0 },
1716		{ "VecNum", 12, 10 },
1717		{ "VecBase", 0, 11 },
1718	{ "PCIE_VF_INT_CFG2", 0x324c, 0 },
1719		{ "SendFLRRsp", 31, 1 },
1720		{ "ImmFLRRsp", 24, 1 },
1721		{ "TxnDisable", 20, 1 },
1722	{ "PCIE_VF_INT_CFG", 0x3250, 0 },
1723		{ "VecNum", 12, 10 },
1724		{ "VecBase", 0, 11 },
1725	{ "PCIE_VF_INT_CFG2", 0x3254, 0 },
1726		{ "SendFLRRsp", 31, 1 },
1727		{ "ImmFLRRsp", 24, 1 },
1728		{ "TxnDisable", 20, 1 },
1729	{ "PCIE_VF_INT_CFG", 0x3258, 0 },
1730		{ "VecNum", 12, 10 },
1731		{ "VecBase", 0, 11 },
1732	{ "PCIE_VF_INT_CFG2", 0x325c, 0 },
1733		{ "SendFLRRsp", 31, 1 },
1734		{ "ImmFLRRsp", 24, 1 },
1735		{ "TxnDisable", 20, 1 },
1736	{ "PCIE_VF_INT_CFG", 0x3260, 0 },
1737		{ "VecNum", 12, 10 },
1738		{ "VecBase", 0, 11 },
1739	{ "PCIE_VF_INT_CFG2", 0x3264, 0 },
1740		{ "SendFLRRsp", 31, 1 },
1741		{ "ImmFLRRsp", 24, 1 },
1742		{ "TxnDisable", 20, 1 },
1743	{ "PCIE_VF_INT_CFG", 0x3268, 0 },
1744		{ "VecNum", 12, 10 },
1745		{ "VecBase", 0, 11 },
1746	{ "PCIE_VF_INT_CFG2", 0x326c, 0 },
1747		{ "SendFLRRsp", 31, 1 },
1748		{ "ImmFLRRsp", 24, 1 },
1749		{ "TxnDisable", 20, 1 },
1750	{ "PCIE_VF_INT_CFG", 0x3270, 0 },
1751		{ "VecNum", 12, 10 },
1752		{ "VecBase", 0, 11 },
1753	{ "PCIE_VF_INT_CFG2", 0x3274, 0 },
1754		{ "SendFLRRsp", 31, 1 },
1755		{ "ImmFLRRsp", 24, 1 },
1756		{ "TxnDisable", 20, 1 },
1757	{ "PCIE_VF_INT_CFG", 0x3278, 0 },
1758		{ "VecNum", 12, 10 },
1759		{ "VecBase", 0, 11 },
1760	{ "PCIE_VF_INT_CFG2", 0x327c, 0 },
1761		{ "SendFLRRsp", 31, 1 },
1762		{ "ImmFLRRsp", 24, 1 },
1763		{ "TxnDisable", 20, 1 },
1764	{ "PCIE_VF_INT_CFG", 0x3280, 0 },
1765		{ "VecNum", 12, 10 },
1766		{ "VecBase", 0, 11 },
1767	{ "PCIE_VF_INT_CFG2", 0x3284, 0 },
1768		{ "SendFLRRsp", 31, 1 },
1769		{ "ImmFLRRsp", 24, 1 },
1770		{ "TxnDisable", 20, 1 },
1771	{ "PCIE_VF_INT_CFG", 0x3288, 0 },
1772		{ "VecNum", 12, 10 },
1773		{ "VecBase", 0, 11 },
1774	{ "PCIE_VF_INT_CFG2", 0x328c, 0 },
1775		{ "SendFLRRsp", 31, 1 },
1776		{ "ImmFLRRsp", 24, 1 },
1777		{ "TxnDisable", 20, 1 },
1778	{ "PCIE_VF_INT_CFG", 0x3290, 0 },
1779		{ "VecNum", 12, 10 },
1780		{ "VecBase", 0, 11 },
1781	{ "PCIE_VF_INT_CFG2", 0x3294, 0 },
1782		{ "SendFLRRsp", 31, 1 },
1783		{ "ImmFLRRsp", 24, 1 },
1784		{ "TxnDisable", 20, 1 },
1785	{ "PCIE_VF_INT_CFG", 0x3298, 0 },
1786		{ "VecNum", 12, 10 },
1787		{ "VecBase", 0, 11 },
1788	{ "PCIE_VF_INT_CFG2", 0x329c, 0 },
1789		{ "SendFLRRsp", 31, 1 },
1790		{ "ImmFLRRsp", 24, 1 },
1791		{ "TxnDisable", 20, 1 },
1792	{ "PCIE_VF_INT_CFG", 0x32a0, 0 },
1793		{ "VecNum", 12, 10 },
1794		{ "VecBase", 0, 11 },
1795	{ "PCIE_VF_INT_CFG2", 0x32a4, 0 },
1796		{ "SendFLRRsp", 31, 1 },
1797		{ "ImmFLRRsp", 24, 1 },
1798		{ "TxnDisable", 20, 1 },
1799	{ "PCIE_VF_INT_CFG", 0x32a8, 0 },
1800		{ "VecNum", 12, 10 },
1801		{ "VecBase", 0, 11 },
1802	{ "PCIE_VF_INT_CFG2", 0x32ac, 0 },
1803		{ "SendFLRRsp", 31, 1 },
1804		{ "ImmFLRRsp", 24, 1 },
1805		{ "TxnDisable", 20, 1 },
1806	{ "PCIE_VF_INT_CFG", 0x32b0, 0 },
1807		{ "VecNum", 12, 10 },
1808		{ "VecBase", 0, 11 },
1809	{ "PCIE_VF_INT_CFG2", 0x32b4, 0 },
1810		{ "SendFLRRsp", 31, 1 },
1811		{ "ImmFLRRsp", 24, 1 },
1812		{ "TxnDisable", 20, 1 },
1813	{ "PCIE_VF_INT_CFG", 0x32b8, 0 },
1814		{ "VecNum", 12, 10 },
1815		{ "VecBase", 0, 11 },
1816	{ "PCIE_VF_INT_CFG2", 0x32bc, 0 },
1817		{ "SendFLRRsp", 31, 1 },
1818		{ "ImmFLRRsp", 24, 1 },
1819		{ "TxnDisable", 20, 1 },
1820	{ "PCIE_VF_INT_CFG", 0x32c0, 0 },
1821		{ "VecNum", 12, 10 },
1822		{ "VecBase", 0, 11 },
1823	{ "PCIE_VF_INT_CFG2", 0x32c4, 0 },
1824		{ "SendFLRRsp", 31, 1 },
1825		{ "ImmFLRRsp", 24, 1 },
1826		{ "TxnDisable", 20, 1 },
1827	{ "PCIE_VF_INT_CFG", 0x32c8, 0 },
1828		{ "VecNum", 12, 10 },
1829		{ "VecBase", 0, 11 },
1830	{ "PCIE_VF_INT_CFG2", 0x32cc, 0 },
1831		{ "SendFLRRsp", 31, 1 },
1832		{ "ImmFLRRsp", 24, 1 },
1833		{ "TxnDisable", 20, 1 },
1834	{ "PCIE_VF_INT_CFG", 0x32d0, 0 },
1835		{ "VecNum", 12, 10 },
1836		{ "VecBase", 0, 11 },
1837	{ "PCIE_VF_INT_CFG2", 0x32d4, 0 },
1838		{ "SendFLRRsp", 31, 1 },
1839		{ "ImmFLRRsp", 24, 1 },
1840		{ "TxnDisable", 20, 1 },
1841	{ "PCIE_VF_INT_CFG", 0x32d8, 0 },
1842		{ "VecNum", 12, 10 },
1843		{ "VecBase", 0, 11 },
1844	{ "PCIE_VF_INT_CFG2", 0x32dc, 0 },
1845		{ "SendFLRRsp", 31, 1 },
1846		{ "ImmFLRRsp", 24, 1 },
1847		{ "TxnDisable", 20, 1 },
1848	{ "PCIE_VF_INT_CFG", 0x32e0, 0 },
1849		{ "VecNum", 12, 10 },
1850		{ "VecBase", 0, 11 },
1851	{ "PCIE_VF_INT_CFG2", 0x32e4, 0 },
1852		{ "SendFLRRsp", 31, 1 },
1853		{ "ImmFLRRsp", 24, 1 },
1854		{ "TxnDisable", 20, 1 },
1855	{ "PCIE_VF_INT_CFG", 0x32e8, 0 },
1856		{ "VecNum", 12, 10 },
1857		{ "VecBase", 0, 11 },
1858	{ "PCIE_VF_INT_CFG2", 0x32ec, 0 },
1859		{ "SendFLRRsp", 31, 1 },
1860		{ "ImmFLRRsp", 24, 1 },
1861		{ "TxnDisable", 20, 1 },
1862	{ "PCIE_VF_INT_CFG", 0x32f0, 0 },
1863		{ "VecNum", 12, 10 },
1864		{ "VecBase", 0, 11 },
1865	{ "PCIE_VF_INT_CFG2", 0x32f4, 0 },
1866		{ "SendFLRRsp", 31, 1 },
1867		{ "ImmFLRRsp", 24, 1 },
1868		{ "TxnDisable", 20, 1 },
1869	{ "PCIE_VF_INT_CFG", 0x32f8, 0 },
1870		{ "VecNum", 12, 10 },
1871		{ "VecBase", 0, 11 },
1872	{ "PCIE_VF_INT_CFG2", 0x32fc, 0 },
1873		{ "SendFLRRsp", 31, 1 },
1874		{ "ImmFLRRsp", 24, 1 },
1875		{ "TxnDisable", 20, 1 },
1876	{ "PCIE_VF_INT_CFG", 0x3300, 0 },
1877		{ "VecNum", 12, 10 },
1878		{ "VecBase", 0, 11 },
1879	{ "PCIE_VF_INT_CFG2", 0x3304, 0 },
1880		{ "SendFLRRsp", 31, 1 },
1881		{ "ImmFLRRsp", 24, 1 },
1882		{ "TxnDisable", 20, 1 },
1883	{ "PCIE_VF_INT_CFG", 0x3308, 0 },
1884		{ "VecNum", 12, 10 },
1885		{ "VecBase", 0, 11 },
1886	{ "PCIE_VF_INT_CFG2", 0x330c, 0 },
1887		{ "SendFLRRsp", 31, 1 },
1888		{ "ImmFLRRsp", 24, 1 },
1889		{ "TxnDisable", 20, 1 },
1890	{ "PCIE_VF_INT_CFG", 0x3310, 0 },
1891		{ "VecNum", 12, 10 },
1892		{ "VecBase", 0, 11 },
1893	{ "PCIE_VF_INT_CFG2", 0x3314, 0 },
1894		{ "SendFLRRsp", 31, 1 },
1895		{ "ImmFLRRsp", 24, 1 },
1896		{ "TxnDisable", 20, 1 },
1897	{ "PCIE_VF_INT_CFG", 0x3318, 0 },
1898		{ "VecNum", 12, 10 },
1899		{ "VecBase", 0, 11 },
1900	{ "PCIE_VF_INT_CFG2", 0x331c, 0 },
1901		{ "SendFLRRsp", 31, 1 },
1902		{ "ImmFLRRsp", 24, 1 },
1903		{ "TxnDisable", 20, 1 },
1904	{ "PCIE_VF_INT_CFG", 0x3320, 0 },
1905		{ "VecNum", 12, 10 },
1906		{ "VecBase", 0, 11 },
1907	{ "PCIE_VF_INT_CFG2", 0x3324, 0 },
1908		{ "SendFLRRsp", 31, 1 },
1909		{ "ImmFLRRsp", 24, 1 },
1910		{ "TxnDisable", 20, 1 },
1911	{ "PCIE_VF_INT_CFG", 0x3328, 0 },
1912		{ "VecNum", 12, 10 },
1913		{ "VecBase", 0, 11 },
1914	{ "PCIE_VF_INT_CFG2", 0x332c, 0 },
1915		{ "SendFLRRsp", 31, 1 },
1916		{ "ImmFLRRsp", 24, 1 },
1917		{ "TxnDisable", 20, 1 },
1918	{ "PCIE_VF_INT_CFG", 0x3330, 0 },
1919		{ "VecNum", 12, 10 },
1920		{ "VecBase", 0, 11 },
1921	{ "PCIE_VF_INT_CFG2", 0x3334, 0 },
1922		{ "SendFLRRsp", 31, 1 },
1923		{ "ImmFLRRsp", 24, 1 },
1924		{ "TxnDisable", 20, 1 },
1925	{ "PCIE_VF_INT_CFG", 0x3338, 0 },
1926		{ "VecNum", 12, 10 },
1927		{ "VecBase", 0, 11 },
1928	{ "PCIE_VF_INT_CFG2", 0x333c, 0 },
1929		{ "SendFLRRsp", 31, 1 },
1930		{ "ImmFLRRsp", 24, 1 },
1931		{ "TxnDisable", 20, 1 },
1932	{ "PCIE_VF_INT_CFG", 0x3340, 0 },
1933		{ "VecNum", 12, 10 },
1934		{ "VecBase", 0, 11 },
1935	{ "PCIE_VF_INT_CFG2", 0x3344, 0 },
1936		{ "SendFLRRsp", 31, 1 },
1937		{ "ImmFLRRsp", 24, 1 },
1938		{ "TxnDisable", 20, 1 },
1939	{ "PCIE_VF_INT_CFG", 0x3348, 0 },
1940		{ "VecNum", 12, 10 },
1941		{ "VecBase", 0, 11 },
1942	{ "PCIE_VF_INT_CFG2", 0x334c, 0 },
1943		{ "SendFLRRsp", 31, 1 },
1944		{ "ImmFLRRsp", 24, 1 },
1945		{ "TxnDisable", 20, 1 },
1946	{ "PCIE_VF_INT_CFG", 0x3350, 0 },
1947		{ "VecNum", 12, 10 },
1948		{ "VecBase", 0, 11 },
1949	{ "PCIE_VF_INT_CFG2", 0x3354, 0 },
1950		{ "SendFLRRsp", 31, 1 },
1951		{ "ImmFLRRsp", 24, 1 },
1952		{ "TxnDisable", 20, 1 },
1953	{ "PCIE_VF_INT_CFG", 0x3358, 0 },
1954		{ "VecNum", 12, 10 },
1955		{ "VecBase", 0, 11 },
1956	{ "PCIE_VF_INT_CFG2", 0x335c, 0 },
1957		{ "SendFLRRsp", 31, 1 },
1958		{ "ImmFLRRsp", 24, 1 },
1959		{ "TxnDisable", 20, 1 },
1960	{ "PCIE_VF_INT_CFG", 0x3360, 0 },
1961		{ "VecNum", 12, 10 },
1962		{ "VecBase", 0, 11 },
1963	{ "PCIE_VF_INT_CFG2", 0x3364, 0 },
1964		{ "SendFLRRsp", 31, 1 },
1965		{ "ImmFLRRsp", 24, 1 },
1966		{ "TxnDisable", 20, 1 },
1967	{ "PCIE_VF_INT_CFG", 0x3368, 0 },
1968		{ "VecNum", 12, 10 },
1969		{ "VecBase", 0, 11 },
1970	{ "PCIE_VF_INT_CFG2", 0x336c, 0 },
1971		{ "SendFLRRsp", 31, 1 },
1972		{ "ImmFLRRsp", 24, 1 },
1973		{ "TxnDisable", 20, 1 },
1974	{ "PCIE_VF_INT_CFG", 0x3370, 0 },
1975		{ "VecNum", 12, 10 },
1976		{ "VecBase", 0, 11 },
1977	{ "PCIE_VF_INT_CFG2", 0x3374, 0 },
1978		{ "SendFLRRsp", 31, 1 },
1979		{ "ImmFLRRsp", 24, 1 },
1980		{ "TxnDisable", 20, 1 },
1981	{ "PCIE_VF_INT_CFG", 0x3378, 0 },
1982		{ "VecNum", 12, 10 },
1983		{ "VecBase", 0, 11 },
1984	{ "PCIE_VF_INT_CFG2", 0x337c, 0 },
1985		{ "SendFLRRsp", 31, 1 },
1986		{ "ImmFLRRsp", 24, 1 },
1987		{ "TxnDisable", 20, 1 },
1988	{ "PCIE_VF_INT_CFG", 0x3380, 0 },
1989		{ "VecNum", 12, 10 },
1990		{ "VecBase", 0, 11 },
1991	{ "PCIE_VF_INT_CFG2", 0x3384, 0 },
1992		{ "SendFLRRsp", 31, 1 },
1993		{ "ImmFLRRsp", 24, 1 },
1994		{ "TxnDisable", 20, 1 },
1995	{ "PCIE_VF_INT_CFG", 0x3388, 0 },
1996		{ "VecNum", 12, 10 },
1997		{ "VecBase", 0, 11 },
1998	{ "PCIE_VF_INT_CFG2", 0x338c, 0 },
1999		{ "SendFLRRsp", 31, 1 },
2000		{ "ImmFLRRsp", 24, 1 },
2001		{ "TxnDisable", 20, 1 },
2002	{ "PCIE_VF_INT_CFG", 0x3390, 0 },
2003		{ "VecNum", 12, 10 },
2004		{ "VecBase", 0, 11 },
2005	{ "PCIE_VF_INT_CFG2", 0x3394, 0 },
2006		{ "SendFLRRsp", 31, 1 },
2007		{ "ImmFLRRsp", 24, 1 },
2008		{ "TxnDisable", 20, 1 },
2009	{ "PCIE_VF_INT_CFG", 0x3398, 0 },
2010		{ "VecNum", 12, 10 },
2011		{ "VecBase", 0, 11 },
2012	{ "PCIE_VF_INT_CFG2", 0x339c, 0 },
2013		{ "SendFLRRsp", 31, 1 },
2014		{ "ImmFLRRsp", 24, 1 },
2015		{ "TxnDisable", 20, 1 },
2016	{ "PCIE_VF_INT_CFG", 0x33a0, 0 },
2017		{ "VecNum", 12, 10 },
2018		{ "VecBase", 0, 11 },
2019	{ "PCIE_VF_INT_CFG2", 0x33a4, 0 },
2020		{ "SendFLRRsp", 31, 1 },
2021		{ "ImmFLRRsp", 24, 1 },
2022		{ "TxnDisable", 20, 1 },
2023	{ "PCIE_VF_INT_CFG", 0x33a8, 0 },
2024		{ "VecNum", 12, 10 },
2025		{ "VecBase", 0, 11 },
2026	{ "PCIE_VF_INT_CFG2", 0x33ac, 0 },
2027		{ "SendFLRRsp", 31, 1 },
2028		{ "ImmFLRRsp", 24, 1 },
2029		{ "TxnDisable", 20, 1 },
2030	{ "PCIE_VF_INT_CFG", 0x33b0, 0 },
2031		{ "VecNum", 12, 10 },
2032		{ "VecBase", 0, 11 },
2033	{ "PCIE_VF_INT_CFG2", 0x33b4, 0 },
2034		{ "SendFLRRsp", 31, 1 },
2035		{ "ImmFLRRsp", 24, 1 },
2036		{ "TxnDisable", 20, 1 },
2037	{ "PCIE_VF_INT_CFG", 0x33b8, 0 },
2038		{ "VecNum", 12, 10 },
2039		{ "VecBase", 0, 11 },
2040	{ "PCIE_VF_INT_CFG2", 0x33bc, 0 },
2041		{ "SendFLRRsp", 31, 1 },
2042		{ "ImmFLRRsp", 24, 1 },
2043		{ "TxnDisable", 20, 1 },
2044	{ "PCIE_VF_INT_CFG", 0x33c0, 0 },
2045		{ "VecNum", 12, 10 },
2046		{ "VecBase", 0, 11 },
2047	{ "PCIE_VF_INT_CFG2", 0x33c4, 0 },
2048		{ "SendFLRRsp", 31, 1 },
2049		{ "ImmFLRRsp", 24, 1 },
2050		{ "TxnDisable", 20, 1 },
2051	{ "PCIE_VF_INT_CFG", 0x33c8, 0 },
2052		{ "VecNum", 12, 10 },
2053		{ "VecBase", 0, 11 },
2054	{ "PCIE_VF_INT_CFG2", 0x33cc, 0 },
2055		{ "SendFLRRsp", 31, 1 },
2056		{ "ImmFLRRsp", 24, 1 },
2057		{ "TxnDisable", 20, 1 },
2058	{ "PCIE_VF_INT_CFG", 0x33d0, 0 },
2059		{ "VecNum", 12, 10 },
2060		{ "VecBase", 0, 11 },
2061	{ "PCIE_VF_INT_CFG2", 0x33d4, 0 },
2062		{ "SendFLRRsp", 31, 1 },
2063		{ "ImmFLRRsp", 24, 1 },
2064		{ "TxnDisable", 20, 1 },
2065	{ "PCIE_VF_INT_CFG", 0x33d8, 0 },
2066		{ "VecNum", 12, 10 },
2067		{ "VecBase", 0, 11 },
2068	{ "PCIE_VF_INT_CFG2", 0x33dc, 0 },
2069		{ "SendFLRRsp", 31, 1 },
2070		{ "ImmFLRRsp", 24, 1 },
2071		{ "TxnDisable", 20, 1 },
2072	{ "PCIE_VF_INT_CFG", 0x33e0, 0 },
2073		{ "VecNum", 12, 10 },
2074		{ "VecBase", 0, 11 },
2075	{ "PCIE_VF_INT_CFG2", 0x33e4, 0 },
2076		{ "SendFLRRsp", 31, 1 },
2077		{ "ImmFLRRsp", 24, 1 },
2078		{ "TxnDisable", 20, 1 },
2079	{ "PCIE_VF_INT_CFG", 0x33e8, 0 },
2080		{ "VecNum", 12, 10 },
2081		{ "VecBase", 0, 11 },
2082	{ "PCIE_VF_INT_CFG2", 0x33ec, 0 },
2083		{ "SendFLRRsp", 31, 1 },
2084		{ "ImmFLRRsp", 24, 1 },
2085		{ "TxnDisable", 20, 1 },
2086	{ "PCIE_VF_INT_CFG", 0x33f0, 0 },
2087		{ "VecNum", 12, 10 },
2088		{ "VecBase", 0, 11 },
2089	{ "PCIE_VF_INT_CFG2", 0x33f4, 0 },
2090		{ "SendFLRRsp", 31, 1 },
2091		{ "ImmFLRRsp", 24, 1 },
2092		{ "TxnDisable", 20, 1 },
2093	{ "PCIE_VF_INT_CFG", 0x33f8, 0 },
2094		{ "VecNum", 12, 10 },
2095		{ "VecBase", 0, 11 },
2096	{ "PCIE_VF_INT_CFG2", 0x33fc, 0 },
2097		{ "SendFLRRsp", 31, 1 },
2098		{ "ImmFLRRsp", 24, 1 },
2099		{ "TxnDisable", 20, 1 },
2100	{ "PCIE_VF_INT_CFG", 0x3400, 0 },
2101		{ "VecNum", 12, 10 },
2102		{ "VecBase", 0, 11 },
2103	{ "PCIE_VF_INT_CFG2", 0x3404, 0 },
2104		{ "SendFLRRsp", 31, 1 },
2105		{ "ImmFLRRsp", 24, 1 },
2106		{ "TxnDisable", 20, 1 },
2107	{ "PCIE_VF_INT_CFG", 0x3408, 0 },
2108		{ "VecNum", 12, 10 },
2109		{ "VecBase", 0, 11 },
2110	{ "PCIE_VF_INT_CFG2", 0x340c, 0 },
2111		{ "SendFLRRsp", 31, 1 },
2112		{ "ImmFLRRsp", 24, 1 },
2113		{ "TxnDisable", 20, 1 },
2114	{ "PCIE_VF_INT_CFG", 0x3410, 0 },
2115		{ "VecNum", 12, 10 },
2116		{ "VecBase", 0, 11 },
2117	{ "PCIE_VF_INT_CFG2", 0x3414, 0 },
2118		{ "SendFLRRsp", 31, 1 },
2119		{ "ImmFLRRsp", 24, 1 },
2120		{ "TxnDisable", 20, 1 },
2121	{ "PCIE_VF_INT_CFG", 0x3418, 0 },
2122		{ "VecNum", 12, 10 },
2123		{ "VecBase", 0, 11 },
2124	{ "PCIE_VF_INT_CFG2", 0x341c, 0 },
2125		{ "SendFLRRsp", 31, 1 },
2126		{ "ImmFLRRsp", 24, 1 },
2127		{ "TxnDisable", 20, 1 },
2128	{ "PCIE_VF_INT_CFG", 0x3420, 0 },
2129		{ "VecNum", 12, 10 },
2130		{ "VecBase", 0, 11 },
2131	{ "PCIE_VF_INT_CFG2", 0x3424, 0 },
2132		{ "SendFLRRsp", 31, 1 },
2133		{ "ImmFLRRsp", 24, 1 },
2134		{ "TxnDisable", 20, 1 },
2135	{ "PCIE_VF_INT_CFG", 0x3428, 0 },
2136		{ "VecNum", 12, 10 },
2137		{ "VecBase", 0, 11 },
2138	{ "PCIE_VF_INT_CFG2", 0x342c, 0 },
2139		{ "SendFLRRsp", 31, 1 },
2140		{ "ImmFLRRsp", 24, 1 },
2141		{ "TxnDisable", 20, 1 },
2142	{ "PCIE_VF_INT_CFG", 0x3430, 0 },
2143		{ "VecNum", 12, 10 },
2144		{ "VecBase", 0, 11 },
2145	{ "PCIE_VF_INT_CFG2", 0x3434, 0 },
2146		{ "SendFLRRsp", 31, 1 },
2147		{ "ImmFLRRsp", 24, 1 },
2148		{ "TxnDisable", 20, 1 },
2149	{ "PCIE_VF_INT_CFG", 0x3438, 0 },
2150		{ "VecNum", 12, 10 },
2151		{ "VecBase", 0, 11 },
2152	{ "PCIE_VF_INT_CFG2", 0x343c, 0 },
2153		{ "SendFLRRsp", 31, 1 },
2154		{ "ImmFLRRsp", 24, 1 },
2155		{ "TxnDisable", 20, 1 },
2156	{ "PCIE_VF_INT_CFG", 0x3440, 0 },
2157		{ "VecNum", 12, 10 },
2158		{ "VecBase", 0, 11 },
2159	{ "PCIE_VF_INT_CFG2", 0x3444, 0 },
2160		{ "SendFLRRsp", 31, 1 },
2161		{ "ImmFLRRsp", 24, 1 },
2162		{ "TxnDisable", 20, 1 },
2163	{ "PCIE_VF_INT_CFG", 0x3448, 0 },
2164		{ "VecNum", 12, 10 },
2165		{ "VecBase", 0, 11 },
2166	{ "PCIE_VF_INT_CFG2", 0x344c, 0 },
2167		{ "SendFLRRsp", 31, 1 },
2168		{ "ImmFLRRsp", 24, 1 },
2169		{ "TxnDisable", 20, 1 },
2170	{ "PCIE_VF_INT_CFG", 0x3450, 0 },
2171		{ "VecNum", 12, 10 },
2172		{ "VecBase", 0, 11 },
2173	{ "PCIE_VF_INT_CFG2", 0x3454, 0 },
2174		{ "SendFLRRsp", 31, 1 },
2175		{ "ImmFLRRsp", 24, 1 },
2176		{ "TxnDisable", 20, 1 },
2177	{ "PCIE_VF_INT_CFG", 0x3458, 0 },
2178		{ "VecNum", 12, 10 },
2179		{ "VecBase", 0, 11 },
2180	{ "PCIE_VF_INT_CFG2", 0x345c, 0 },
2181		{ "SendFLRRsp", 31, 1 },
2182		{ "ImmFLRRsp", 24, 1 },
2183		{ "TxnDisable", 20, 1 },
2184	{ "PCIE_VF_INT_CFG", 0x3460, 0 },
2185		{ "VecNum", 12, 10 },
2186		{ "VecBase", 0, 11 },
2187	{ "PCIE_VF_INT_CFG2", 0x3464, 0 },
2188		{ "SendFLRRsp", 31, 1 },
2189		{ "ImmFLRRsp", 24, 1 },
2190		{ "TxnDisable", 20, 1 },
2191	{ "PCIE_VF_INT_CFG", 0x3468, 0 },
2192		{ "VecNum", 12, 10 },
2193		{ "VecBase", 0, 11 },
2194	{ "PCIE_VF_INT_CFG2", 0x346c, 0 },
2195		{ "SendFLRRsp", 31, 1 },
2196		{ "ImmFLRRsp", 24, 1 },
2197		{ "TxnDisable", 20, 1 },
2198	{ "PCIE_VF_INT_CFG", 0x3470, 0 },
2199		{ "VecNum", 12, 10 },
2200		{ "VecBase", 0, 11 },
2201	{ "PCIE_VF_INT_CFG2", 0x3474, 0 },
2202		{ "SendFLRRsp", 31, 1 },
2203		{ "ImmFLRRsp", 24, 1 },
2204		{ "TxnDisable", 20, 1 },
2205	{ "PCIE_VF_INT_CFG", 0x3478, 0 },
2206		{ "VecNum", 12, 10 },
2207		{ "VecBase", 0, 11 },
2208	{ "PCIE_VF_INT_CFG2", 0x347c, 0 },
2209		{ "SendFLRRsp", 31, 1 },
2210		{ "ImmFLRRsp", 24, 1 },
2211		{ "TxnDisable", 20, 1 },
2212	{ "PCIE_VF_INT_CFG", 0x3480, 0 },
2213		{ "VecNum", 12, 10 },
2214		{ "VecBase", 0, 11 },
2215	{ "PCIE_VF_INT_CFG2", 0x3484, 0 },
2216		{ "SendFLRRsp", 31, 1 },
2217		{ "ImmFLRRsp", 24, 1 },
2218		{ "TxnDisable", 20, 1 },
2219	{ "PCIE_VF_INT_CFG", 0x3488, 0 },
2220		{ "VecNum", 12, 10 },
2221		{ "VecBase", 0, 11 },
2222	{ "PCIE_VF_INT_CFG2", 0x348c, 0 },
2223		{ "SendFLRRsp", 31, 1 },
2224		{ "ImmFLRRsp", 24, 1 },
2225		{ "TxnDisable", 20, 1 },
2226	{ "PCIE_VF_INT_CFG", 0x3490, 0 },
2227		{ "VecNum", 12, 10 },
2228		{ "VecBase", 0, 11 },
2229	{ "PCIE_VF_INT_CFG2", 0x3494, 0 },
2230		{ "SendFLRRsp", 31, 1 },
2231		{ "ImmFLRRsp", 24, 1 },
2232		{ "TxnDisable", 20, 1 },
2233	{ "PCIE_VF_INT_CFG", 0x3498, 0 },
2234		{ "VecNum", 12, 10 },
2235		{ "VecBase", 0, 11 },
2236	{ "PCIE_VF_INT_CFG2", 0x349c, 0 },
2237		{ "SendFLRRsp", 31, 1 },
2238		{ "ImmFLRRsp", 24, 1 },
2239		{ "TxnDisable", 20, 1 },
2240	{ "PCIE_VF_INT_CFG", 0x34a0, 0 },
2241		{ "VecNum", 12, 10 },
2242		{ "VecBase", 0, 11 },
2243	{ "PCIE_VF_INT_CFG2", 0x34a4, 0 },
2244		{ "SendFLRRsp", 31, 1 },
2245		{ "ImmFLRRsp", 24, 1 },
2246		{ "TxnDisable", 20, 1 },
2247	{ "PCIE_VF_INT_CFG", 0x34a8, 0 },
2248		{ "VecNum", 12, 10 },
2249		{ "VecBase", 0, 11 },
2250	{ "PCIE_VF_INT_CFG2", 0x34ac, 0 },
2251		{ "SendFLRRsp", 31, 1 },
2252		{ "ImmFLRRsp", 24, 1 },
2253		{ "TxnDisable", 20, 1 },
2254	{ "PCIE_VF_INT_CFG", 0x34b0, 0 },
2255		{ "VecNum", 12, 10 },
2256		{ "VecBase", 0, 11 },
2257	{ "PCIE_VF_INT_CFG2", 0x34b4, 0 },
2258		{ "SendFLRRsp", 31, 1 },
2259		{ "ImmFLRRsp", 24, 1 },
2260		{ "TxnDisable", 20, 1 },
2261	{ "PCIE_VF_INT_CFG", 0x34b8, 0 },
2262		{ "VecNum", 12, 10 },
2263		{ "VecBase", 0, 11 },
2264	{ "PCIE_VF_INT_CFG2", 0x34bc, 0 },
2265		{ "SendFLRRsp", 31, 1 },
2266		{ "ImmFLRRsp", 24, 1 },
2267		{ "TxnDisable", 20, 1 },
2268	{ "PCIE_VF_INT_CFG", 0x34c0, 0 },
2269		{ "VecNum", 12, 10 },
2270		{ "VecBase", 0, 11 },
2271	{ "PCIE_VF_INT_CFG2", 0x34c4, 0 },
2272		{ "SendFLRRsp", 31, 1 },
2273		{ "ImmFLRRsp", 24, 1 },
2274		{ "TxnDisable", 20, 1 },
2275	{ "PCIE_VF_INT_CFG", 0x34c8, 0 },
2276		{ "VecNum", 12, 10 },
2277		{ "VecBase", 0, 11 },
2278	{ "PCIE_VF_INT_CFG2", 0x34cc, 0 },
2279		{ "SendFLRRsp", 31, 1 },
2280		{ "ImmFLRRsp", 24, 1 },
2281		{ "TxnDisable", 20, 1 },
2282	{ "PCIE_VF_INT_CFG", 0x34d0, 0 },
2283		{ "VecNum", 12, 10 },
2284		{ "VecBase", 0, 11 },
2285	{ "PCIE_VF_INT_CFG2", 0x34d4, 0 },
2286		{ "SendFLRRsp", 31, 1 },
2287		{ "ImmFLRRsp", 24, 1 },
2288		{ "TxnDisable", 20, 1 },
2289	{ "PCIE_VF_INT_CFG", 0x34d8, 0 },
2290		{ "VecNum", 12, 10 },
2291		{ "VecBase", 0, 11 },
2292	{ "PCIE_VF_INT_CFG2", 0x34dc, 0 },
2293		{ "SendFLRRsp", 31, 1 },
2294		{ "ImmFLRRsp", 24, 1 },
2295		{ "TxnDisable", 20, 1 },
2296	{ "PCIE_VF_INT_CFG", 0x34e0, 0 },
2297		{ "VecNum", 12, 10 },
2298		{ "VecBase", 0, 11 },
2299	{ "PCIE_VF_INT_CFG2", 0x34e4, 0 },
2300		{ "SendFLRRsp", 31, 1 },
2301		{ "ImmFLRRsp", 24, 1 },
2302		{ "TxnDisable", 20, 1 },
2303	{ "PCIE_VF_INT_CFG", 0x34e8, 0 },
2304		{ "VecNum", 12, 10 },
2305		{ "VecBase", 0, 11 },
2306	{ "PCIE_VF_INT_CFG2", 0x34ec, 0 },
2307		{ "SendFLRRsp", 31, 1 },
2308		{ "ImmFLRRsp", 24, 1 },
2309		{ "TxnDisable", 20, 1 },
2310	{ "PCIE_VF_INT_CFG", 0x34f0, 0 },
2311		{ "VecNum", 12, 10 },
2312		{ "VecBase", 0, 11 },
2313	{ "PCIE_VF_INT_CFG2", 0x34f4, 0 },
2314		{ "SendFLRRsp", 31, 1 },
2315		{ "ImmFLRRsp", 24, 1 },
2316		{ "TxnDisable", 20, 1 },
2317	{ "PCIE_VF_INT_CFG", 0x34f8, 0 },
2318		{ "VecNum", 12, 10 },
2319		{ "VecBase", 0, 11 },
2320	{ "PCIE_VF_INT_CFG2", 0x34fc, 0 },
2321		{ "SendFLRRsp", 31, 1 },
2322		{ "ImmFLRRsp", 24, 1 },
2323		{ "TxnDisable", 20, 1 },
2324	{ "PCIE_VF_INT_CFG", 0x3500, 0 },
2325		{ "VecNum", 12, 10 },
2326		{ "VecBase", 0, 11 },
2327	{ "PCIE_VF_INT_CFG2", 0x3504, 0 },
2328		{ "SendFLRRsp", 31, 1 },
2329		{ "ImmFLRRsp", 24, 1 },
2330		{ "TxnDisable", 20, 1 },
2331	{ "PCIE_VF_INT_CFG", 0x3508, 0 },
2332		{ "VecNum", 12, 10 },
2333		{ "VecBase", 0, 11 },
2334	{ "PCIE_VF_INT_CFG2", 0x350c, 0 },
2335		{ "SendFLRRsp", 31, 1 },
2336		{ "ImmFLRRsp", 24, 1 },
2337		{ "TxnDisable", 20, 1 },
2338	{ "PCIE_VF_INT_CFG", 0x3510, 0 },
2339		{ "VecNum", 12, 10 },
2340		{ "VecBase", 0, 11 },
2341	{ "PCIE_VF_INT_CFG2", 0x3514, 0 },
2342		{ "SendFLRRsp", 31, 1 },
2343		{ "ImmFLRRsp", 24, 1 },
2344		{ "TxnDisable", 20, 1 },
2345	{ "PCIE_VF_INT_CFG", 0x3518, 0 },
2346		{ "VecNum", 12, 10 },
2347		{ "VecBase", 0, 11 },
2348	{ "PCIE_VF_INT_CFG2", 0x351c, 0 },
2349		{ "SendFLRRsp", 31, 1 },
2350		{ "ImmFLRRsp", 24, 1 },
2351		{ "TxnDisable", 20, 1 },
2352	{ "PCIE_VF_INT_CFG", 0x3520, 0 },
2353		{ "VecNum", 12, 10 },
2354		{ "VecBase", 0, 11 },
2355	{ "PCIE_VF_INT_CFG2", 0x3524, 0 },
2356		{ "SendFLRRsp", 31, 1 },
2357		{ "ImmFLRRsp", 24, 1 },
2358		{ "TxnDisable", 20, 1 },
2359	{ "PCIE_VF_INT_CFG", 0x3528, 0 },
2360		{ "VecNum", 12, 10 },
2361		{ "VecBase", 0, 11 },
2362	{ "PCIE_VF_INT_CFG2", 0x352c, 0 },
2363		{ "SendFLRRsp", 31, 1 },
2364		{ "ImmFLRRsp", 24, 1 },
2365		{ "TxnDisable", 20, 1 },
2366	{ "PCIE_VF_INT_CFG", 0x3530, 0 },
2367		{ "VecNum", 12, 10 },
2368		{ "VecBase", 0, 11 },
2369	{ "PCIE_VF_INT_CFG2", 0x3534, 0 },
2370		{ "SendFLRRsp", 31, 1 },
2371		{ "ImmFLRRsp", 24, 1 },
2372		{ "TxnDisable", 20, 1 },
2373	{ "PCIE_VF_INT_CFG", 0x3538, 0 },
2374		{ "VecNum", 12, 10 },
2375		{ "VecBase", 0, 11 },
2376	{ "PCIE_VF_INT_CFG2", 0x353c, 0 },
2377		{ "SendFLRRsp", 31, 1 },
2378		{ "ImmFLRRsp", 24, 1 },
2379		{ "TxnDisable", 20, 1 },
2380	{ "PCIE_VF_INT_CFG", 0x3540, 0 },
2381		{ "VecNum", 12, 10 },
2382		{ "VecBase", 0, 11 },
2383	{ "PCIE_VF_INT_CFG2", 0x3544, 0 },
2384		{ "SendFLRRsp", 31, 1 },
2385		{ "ImmFLRRsp", 24, 1 },
2386		{ "TxnDisable", 20, 1 },
2387	{ "PCIE_VF_INT_CFG", 0x3548, 0 },
2388		{ "VecNum", 12, 10 },
2389		{ "VecBase", 0, 11 },
2390	{ "PCIE_VF_INT_CFG2", 0x354c, 0 },
2391		{ "SendFLRRsp", 31, 1 },
2392		{ "ImmFLRRsp", 24, 1 },
2393		{ "TxnDisable", 20, 1 },
2394	{ "PCIE_VF_INT_CFG", 0x3550, 0 },
2395		{ "VecNum", 12, 10 },
2396		{ "VecBase", 0, 11 },
2397	{ "PCIE_VF_INT_CFG2", 0x3554, 0 },
2398		{ "SendFLRRsp", 31, 1 },
2399		{ "ImmFLRRsp", 24, 1 },
2400		{ "TxnDisable", 20, 1 },
2401	{ "PCIE_VF_INT_CFG", 0x3558, 0 },
2402		{ "VecNum", 12, 10 },
2403		{ "VecBase", 0, 11 },
2404	{ "PCIE_VF_INT_CFG2", 0x355c, 0 },
2405		{ "SendFLRRsp", 31, 1 },
2406		{ "ImmFLRRsp", 24, 1 },
2407		{ "TxnDisable", 20, 1 },
2408	{ "PCIE_VF_INT_CFG", 0x3560, 0 },
2409		{ "VecNum", 12, 10 },
2410		{ "VecBase", 0, 11 },
2411	{ "PCIE_VF_INT_CFG2", 0x3564, 0 },
2412		{ "SendFLRRsp", 31, 1 },
2413		{ "ImmFLRRsp", 24, 1 },
2414		{ "TxnDisable", 20, 1 },
2415	{ "PCIE_VF_INT_CFG", 0x3568, 0 },
2416		{ "VecNum", 12, 10 },
2417		{ "VecBase", 0, 11 },
2418	{ "PCIE_VF_INT_CFG2", 0x356c, 0 },
2419		{ "SendFLRRsp", 31, 1 },
2420		{ "ImmFLRRsp", 24, 1 },
2421		{ "TxnDisable", 20, 1 },
2422	{ "PCIE_VF_INT_CFG", 0x3570, 0 },
2423		{ "VecNum", 12, 10 },
2424		{ "VecBase", 0, 11 },
2425	{ "PCIE_VF_INT_CFG2", 0x3574, 0 },
2426		{ "SendFLRRsp", 31, 1 },
2427		{ "ImmFLRRsp", 24, 1 },
2428		{ "TxnDisable", 20, 1 },
2429	{ "PCIE_VF_INT_CFG", 0x3578, 0 },
2430		{ "VecNum", 12, 10 },
2431		{ "VecBase", 0, 11 },
2432	{ "PCIE_VF_INT_CFG2", 0x357c, 0 },
2433		{ "SendFLRRsp", 31, 1 },
2434		{ "ImmFLRRsp", 24, 1 },
2435		{ "TxnDisable", 20, 1 },
2436	{ "PCIE_PF_MSI_EN", 0x35a8, 0 },
2437	{ "PCIE_VF_MSI_EN_0", 0x35ac, 0 },
2438	{ "PCIE_VF_MSI_EN_1", 0x35b0, 0 },
2439	{ "PCIE_VF_MSI_EN_2", 0x35b4, 0 },
2440	{ "PCIE_VF_MSI_EN_3", 0x35b8, 0 },
2441	{ "PCIE_PF_MSIX_EN", 0x35bc, 0 },
2442	{ "PCIE_VF_MSIX_EN_0", 0x35c0, 0 },
2443	{ "PCIE_VF_MSIX_EN_1", 0x35c4, 0 },
2444	{ "PCIE_VF_MSIX_EN_2", 0x35c8, 0 },
2445	{ "PCIE_VF_MSIX_EN_3", 0x35cc, 0 },
2446	{ "PCIE_FID_VFID_SEL", 0x35ec, 0 },
2447	{ "PCIE_FID_VFID", 0x3600, 0 },
2448		{ "Select", 30, 2 },
2449		{ "IDO", 24, 1 },
2450		{ "VFID", 15, 9 },
2451		{ "TC", 12, 3 },
2452		{ "VFVld", 11, 1 },
2453		{ "PF", 8, 3 },
2454		{ "RVF", 0, 8 },
2455	{ "PCIE_FID_VFID", 0x3604, 0 },
2456		{ "Select", 30, 2 },
2457		{ "IDO", 24, 1 },
2458		{ "VFID", 15, 9 },
2459		{ "TC", 12, 3 },
2460		{ "VFVld", 11, 1 },
2461		{ "PF", 8, 3 },
2462		{ "RVF", 0, 8 },
2463	{ "PCIE_FID_VFID", 0x3608, 0 },
2464		{ "Select", 30, 2 },
2465		{ "IDO", 24, 1 },
2466		{ "VFID", 15, 9 },
2467		{ "TC", 12, 3 },
2468		{ "VFVld", 11, 1 },
2469		{ "PF", 8, 3 },
2470		{ "RVF", 0, 8 },
2471	{ "PCIE_FID_VFID", 0x360c, 0 },
2472		{ "Select", 30, 2 },
2473		{ "IDO", 24, 1 },
2474		{ "VFID", 15, 9 },
2475		{ "TC", 12, 3 },
2476		{ "VFVld", 11, 1 },
2477		{ "PF", 8, 3 },
2478		{ "RVF", 0, 8 },
2479	{ "PCIE_FID_VFID", 0x3610, 0 },
2480		{ "Select", 30, 2 },
2481		{ "IDO", 24, 1 },
2482		{ "VFID", 15, 9 },
2483		{ "TC", 12, 3 },
2484		{ "VFVld", 11, 1 },
2485		{ "PF", 8, 3 },
2486		{ "RVF", 0, 8 },
2487	{ "PCIE_FID_VFID", 0x3614, 0 },
2488		{ "Select", 30, 2 },
2489		{ "IDO", 24, 1 },
2490		{ "VFID", 15, 9 },
2491		{ "TC", 12, 3 },
2492		{ "VFVld", 11, 1 },
2493		{ "PF", 8, 3 },
2494		{ "RVF", 0, 8 },
2495	{ "PCIE_FID_VFID", 0x3618, 0 },
2496		{ "Select", 30, 2 },
2497		{ "IDO", 24, 1 },
2498		{ "VFID", 15, 9 },
2499		{ "TC", 12, 3 },
2500		{ "VFVld", 11, 1 },
2501		{ "PF", 8, 3 },
2502		{ "RVF", 0, 8 },
2503	{ "PCIE_FID_VFID", 0x361c, 0 },
2504		{ "Select", 30, 2 },
2505		{ "IDO", 24, 1 },
2506		{ "VFID", 15, 9 },
2507		{ "TC", 12, 3 },
2508		{ "VFVld", 11, 1 },
2509		{ "PF", 8, 3 },
2510		{ "RVF", 0, 8 },
2511	{ "PCIE_FID_VFID", 0x3620, 0 },
2512		{ "Select", 30, 2 },
2513		{ "IDO", 24, 1 },
2514		{ "VFID", 15, 9 },
2515		{ "TC", 12, 3 },
2516		{ "VFVld", 11, 1 },
2517		{ "PF", 8, 3 },
2518		{ "RVF", 0, 8 },
2519	{ "PCIE_FID_VFID", 0x3624, 0 },
2520		{ "Select", 30, 2 },
2521		{ "IDO", 24, 1 },
2522		{ "VFID", 15, 9 },
2523		{ "TC", 12, 3 },
2524		{ "VFVld", 11, 1 },
2525		{ "PF", 8, 3 },
2526		{ "RVF", 0, 8 },
2527	{ "PCIE_FID_VFID", 0x3628, 0 },
2528		{ "Select", 30, 2 },
2529		{ "IDO", 24, 1 },
2530		{ "VFID", 15, 9 },
2531		{ "TC", 12, 3 },
2532		{ "VFVld", 11, 1 },
2533		{ "PF", 8, 3 },
2534		{ "RVF", 0, 8 },
2535	{ "PCIE_FID_VFID", 0x362c, 0 },
2536		{ "Select", 30, 2 },
2537		{ "IDO", 24, 1 },
2538		{ "VFID", 15, 9 },
2539		{ "TC", 12, 3 },
2540		{ "VFVld", 11, 1 },
2541		{ "PF", 8, 3 },
2542		{ "RVF", 0, 8 },
2543	{ "PCIE_FID_VFID", 0x3630, 0 },
2544		{ "Select", 30, 2 },
2545		{ "IDO", 24, 1 },
2546		{ "VFID", 15, 9 },
2547		{ "TC", 12, 3 },
2548		{ "VFVld", 11, 1 },
2549		{ "PF", 8, 3 },
2550		{ "RVF", 0, 8 },
2551	{ "PCIE_FID_VFID", 0x3634, 0 },
2552		{ "Select", 30, 2 },
2553		{ "IDO", 24, 1 },
2554		{ "VFID", 15, 9 },
2555		{ "TC", 12, 3 },
2556		{ "VFVld", 11, 1 },
2557		{ "PF", 8, 3 },
2558		{ "RVF", 0, 8 },
2559	{ "PCIE_FID_VFID", 0x3638, 0 },
2560		{ "Select", 30, 2 },
2561		{ "IDO", 24, 1 },
2562		{ "VFID", 15, 9 },
2563		{ "TC", 12, 3 },
2564		{ "VFVld", 11, 1 },
2565		{ "PF", 8, 3 },
2566		{ "RVF", 0, 8 },
2567	{ "PCIE_FID_VFID", 0x363c, 0 },
2568		{ "Select", 30, 2 },
2569		{ "IDO", 24, 1 },
2570		{ "VFID", 15, 9 },
2571		{ "TC", 12, 3 },
2572		{ "VFVld", 11, 1 },
2573		{ "PF", 8, 3 },
2574		{ "RVF", 0, 8 },
2575	{ "PCIE_FID_VFID", 0x3640, 0 },
2576		{ "Select", 30, 2 },
2577		{ "IDO", 24, 1 },
2578		{ "VFID", 15, 9 },
2579		{ "TC", 12, 3 },
2580		{ "VFVld", 11, 1 },
2581		{ "PF", 8, 3 },
2582		{ "RVF", 0, 8 },
2583	{ "PCIE_FID_VFID", 0x3644, 0 },
2584		{ "Select", 30, 2 },
2585		{ "IDO", 24, 1 },
2586		{ "VFID", 15, 9 },
2587		{ "TC", 12, 3 },
2588		{ "VFVld", 11, 1 },
2589		{ "PF", 8, 3 },
2590		{ "RVF", 0, 8 },
2591	{ "PCIE_FID_VFID", 0x3648, 0 },
2592		{ "Select", 30, 2 },
2593		{ "IDO", 24, 1 },
2594		{ "VFID", 15, 9 },
2595		{ "TC", 12, 3 },
2596		{ "VFVld", 11, 1 },
2597		{ "PF", 8, 3 },
2598		{ "RVF", 0, 8 },
2599	{ "PCIE_FID_VFID", 0x364c, 0 },
2600		{ "Select", 30, 2 },
2601		{ "IDO", 24, 1 },
2602		{ "VFID", 15, 9 },
2603		{ "TC", 12, 3 },
2604		{ "VFVld", 11, 1 },
2605		{ "PF", 8, 3 },
2606		{ "RVF", 0, 8 },
2607	{ "PCIE_FID_VFID", 0x3650, 0 },
2608		{ "Select", 30, 2 },
2609		{ "IDO", 24, 1 },
2610		{ "VFID", 15, 9 },
2611		{ "TC", 12, 3 },
2612		{ "VFVld", 11, 1 },
2613		{ "PF", 8, 3 },
2614		{ "RVF", 0, 8 },
2615	{ "PCIE_FID_VFID", 0x3654, 0 },
2616		{ "Select", 30, 2 },
2617		{ "IDO", 24, 1 },
2618		{ "VFID", 15, 9 },
2619		{ "TC", 12, 3 },
2620		{ "VFVld", 11, 1 },
2621		{ "PF", 8, 3 },
2622		{ "RVF", 0, 8 },
2623	{ "PCIE_FID_VFID", 0x3658, 0 },
2624		{ "Select", 30, 2 },
2625		{ "IDO", 24, 1 },
2626		{ "VFID", 15, 9 },
2627		{ "TC", 12, 3 },
2628		{ "VFVld", 11, 1 },
2629		{ "PF", 8, 3 },
2630		{ "RVF", 0, 8 },
2631	{ "PCIE_FID_VFID", 0x365c, 0 },
2632		{ "Select", 30, 2 },
2633		{ "IDO", 24, 1 },
2634		{ "VFID", 15, 9 },
2635		{ "TC", 12, 3 },
2636		{ "VFVld", 11, 1 },
2637		{ "PF", 8, 3 },
2638		{ "RVF", 0, 8 },
2639	{ "PCIE_FID_VFID", 0x3660, 0 },
2640		{ "Select", 30, 2 },
2641		{ "IDO", 24, 1 },
2642		{ "VFID", 15, 9 },
2643		{ "TC", 12, 3 },
2644		{ "VFVld", 11, 1 },
2645		{ "PF", 8, 3 },
2646		{ "RVF", 0, 8 },
2647	{ "PCIE_FID_VFID", 0x3664, 0 },
2648		{ "Select", 30, 2 },
2649		{ "IDO", 24, 1 },
2650		{ "VFID", 15, 9 },
2651		{ "TC", 12, 3 },
2652		{ "VFVld", 11, 1 },
2653		{ "PF", 8, 3 },
2654		{ "RVF", 0, 8 },
2655	{ "PCIE_FID_VFID", 0x3668, 0 },
2656		{ "Select", 30, 2 },
2657		{ "IDO", 24, 1 },
2658		{ "VFID", 15, 9 },
2659		{ "TC", 12, 3 },
2660		{ "VFVld", 11, 1 },
2661		{ "PF", 8, 3 },
2662		{ "RVF", 0, 8 },
2663	{ "PCIE_FID_VFID", 0x366c, 0 },
2664		{ "Select", 30, 2 },
2665		{ "IDO", 24, 1 },
2666		{ "VFID", 15, 9 },
2667		{ "TC", 12, 3 },
2668		{ "VFVld", 11, 1 },
2669		{ "PF", 8, 3 },
2670		{ "RVF", 0, 8 },
2671	{ "PCIE_FID_VFID", 0x3670, 0 },
2672		{ "Select", 30, 2 },
2673		{ "IDO", 24, 1 },
2674		{ "VFID", 15, 9 },
2675		{ "TC", 12, 3 },
2676		{ "VFVld", 11, 1 },
2677		{ "PF", 8, 3 },
2678		{ "RVF", 0, 8 },
2679	{ "PCIE_FID_VFID", 0x3674, 0 },
2680		{ "Select", 30, 2 },
2681		{ "IDO", 24, 1 },
2682		{ "VFID", 15, 9 },
2683		{ "TC", 12, 3 },
2684		{ "VFVld", 11, 1 },
2685		{ "PF", 8, 3 },
2686		{ "RVF", 0, 8 },
2687	{ "PCIE_FID_VFID", 0x3678, 0 },
2688		{ "Select", 30, 2 },
2689		{ "IDO", 24, 1 },
2690		{ "VFID", 15, 9 },
2691		{ "TC", 12, 3 },
2692		{ "VFVld", 11, 1 },
2693		{ "PF", 8, 3 },
2694		{ "RVF", 0, 8 },
2695	{ "PCIE_FID_VFID", 0x367c, 0 },
2696		{ "Select", 30, 2 },
2697		{ "IDO", 24, 1 },
2698		{ "VFID", 15, 9 },
2699		{ "TC", 12, 3 },
2700		{ "VFVld", 11, 1 },
2701		{ "PF", 8, 3 },
2702		{ "RVF", 0, 8 },
2703	{ "PCIE_FID_VFID", 0x3680, 0 },
2704		{ "Select", 30, 2 },
2705		{ "IDO", 24, 1 },
2706		{ "VFID", 15, 9 },
2707		{ "TC", 12, 3 },
2708		{ "VFVld", 11, 1 },
2709		{ "PF", 8, 3 },
2710		{ "RVF", 0, 8 },
2711	{ "PCIE_FID_VFID", 0x3684, 0 },
2712		{ "Select", 30, 2 },
2713		{ "IDO", 24, 1 },
2714		{ "VFID", 15, 9 },
2715		{ "TC", 12, 3 },
2716		{ "VFVld", 11, 1 },
2717		{ "PF", 8, 3 },
2718		{ "RVF", 0, 8 },
2719	{ "PCIE_FID_VFID", 0x3688, 0 },
2720		{ "Select", 30, 2 },
2721		{ "IDO", 24, 1 },
2722		{ "VFID", 15, 9 },
2723		{ "TC", 12, 3 },
2724		{ "VFVld", 11, 1 },
2725		{ "PF", 8, 3 },
2726		{ "RVF", 0, 8 },
2727	{ "PCIE_FID_VFID", 0x368c, 0 },
2728		{ "Select", 30, 2 },
2729		{ "IDO", 24, 1 },
2730		{ "VFID", 15, 9 },
2731		{ "TC", 12, 3 },
2732		{ "VFVld", 11, 1 },
2733		{ "PF", 8, 3 },
2734		{ "RVF", 0, 8 },
2735	{ "PCIE_FID_VFID", 0x3690, 0 },
2736		{ "Select", 30, 2 },
2737		{ "IDO", 24, 1 },
2738		{ "VFID", 15, 9 },
2739		{ "TC", 12, 3 },
2740		{ "VFVld", 11, 1 },
2741		{ "PF", 8, 3 },
2742		{ "RVF", 0, 8 },
2743	{ "PCIE_FID_VFID", 0x3694, 0 },
2744		{ "Select", 30, 2 },
2745		{ "IDO", 24, 1 },
2746		{ "VFID", 15, 9 },
2747		{ "TC", 12, 3 },
2748		{ "VFVld", 11, 1 },
2749		{ "PF", 8, 3 },
2750		{ "RVF", 0, 8 },
2751	{ "PCIE_FID_VFID", 0x3698, 0 },
2752		{ "Select", 30, 2 },
2753		{ "IDO", 24, 1 },
2754		{ "VFID", 15, 9 },
2755		{ "TC", 12, 3 },
2756		{ "VFVld", 11, 1 },
2757		{ "PF", 8, 3 },
2758		{ "RVF", 0, 8 },
2759	{ "PCIE_FID_VFID", 0x369c, 0 },
2760		{ "Select", 30, 2 },
2761		{ "IDO", 24, 1 },
2762		{ "VFID", 15, 9 },
2763		{ "TC", 12, 3 },
2764		{ "VFVld", 11, 1 },
2765		{ "PF", 8, 3 },
2766		{ "RVF", 0, 8 },
2767	{ "PCIE_FID_VFID", 0x36a0, 0 },
2768		{ "Select", 30, 2 },
2769		{ "IDO", 24, 1 },
2770		{ "VFID", 15, 9 },
2771		{ "TC", 12, 3 },
2772		{ "VFVld", 11, 1 },
2773		{ "PF", 8, 3 },
2774		{ "RVF", 0, 8 },
2775	{ "PCIE_FID_VFID", 0x36a4, 0 },
2776		{ "Select", 30, 2 },
2777		{ "IDO", 24, 1 },
2778		{ "VFID", 15, 9 },
2779		{ "TC", 12, 3 },
2780		{ "VFVld", 11, 1 },
2781		{ "PF", 8, 3 },
2782		{ "RVF", 0, 8 },
2783	{ "PCIE_FID_VFID", 0x36a8, 0 },
2784		{ "Select", 30, 2 },
2785		{ "IDO", 24, 1 },
2786		{ "VFID", 15, 9 },
2787		{ "TC", 12, 3 },
2788		{ "VFVld", 11, 1 },
2789		{ "PF", 8, 3 },
2790		{ "RVF", 0, 8 },
2791	{ "PCIE_FID_VFID", 0x36ac, 0 },
2792		{ "Select", 30, 2 },
2793		{ "IDO", 24, 1 },
2794		{ "VFID", 15, 9 },
2795		{ "TC", 12, 3 },
2796		{ "VFVld", 11, 1 },
2797		{ "PF", 8, 3 },
2798		{ "RVF", 0, 8 },
2799	{ "PCIE_FID_VFID", 0x36b0, 0 },
2800		{ "Select", 30, 2 },
2801		{ "IDO", 24, 1 },
2802		{ "VFID", 15, 9 },
2803		{ "TC", 12, 3 },
2804		{ "VFVld", 11, 1 },
2805		{ "PF", 8, 3 },
2806		{ "RVF", 0, 8 },
2807	{ "PCIE_FID_VFID", 0x36b4, 0 },
2808		{ "Select", 30, 2 },
2809		{ "IDO", 24, 1 },
2810		{ "VFID", 15, 9 },
2811		{ "TC", 12, 3 },
2812		{ "VFVld", 11, 1 },
2813		{ "PF", 8, 3 },
2814		{ "RVF", 0, 8 },
2815	{ "PCIE_FID_VFID", 0x36b8, 0 },
2816		{ "Select", 30, 2 },
2817		{ "IDO", 24, 1 },
2818		{ "VFID", 15, 9 },
2819		{ "TC", 12, 3 },
2820		{ "VFVld", 11, 1 },
2821		{ "PF", 8, 3 },
2822		{ "RVF", 0, 8 },
2823	{ "PCIE_FID_VFID", 0x36bc, 0 },
2824		{ "Select", 30, 2 },
2825		{ "IDO", 24, 1 },
2826		{ "VFID", 15, 9 },
2827		{ "TC", 12, 3 },
2828		{ "VFVld", 11, 1 },
2829		{ "PF", 8, 3 },
2830		{ "RVF", 0, 8 },
2831	{ "PCIE_FID_VFID", 0x36c0, 0 },
2832		{ "Select", 30, 2 },
2833		{ "IDO", 24, 1 },
2834		{ "VFID", 15, 9 },
2835		{ "TC", 12, 3 },
2836		{ "VFVld", 11, 1 },
2837		{ "PF", 8, 3 },
2838		{ "RVF", 0, 8 },
2839	{ "PCIE_FID_VFID", 0x36c4, 0 },
2840		{ "Select", 30, 2 },
2841		{ "IDO", 24, 1 },
2842		{ "VFID", 15, 9 },
2843		{ "TC", 12, 3 },
2844		{ "VFVld", 11, 1 },
2845		{ "PF", 8, 3 },
2846		{ "RVF", 0, 8 },
2847	{ "PCIE_FID_VFID", 0x36c8, 0 },
2848		{ "Select", 30, 2 },
2849		{ "IDO", 24, 1 },
2850		{ "VFID", 15, 9 },
2851		{ "TC", 12, 3 },
2852		{ "VFVld", 11, 1 },
2853		{ "PF", 8, 3 },
2854		{ "RVF", 0, 8 },
2855	{ "PCIE_FID_VFID", 0x36cc, 0 },
2856		{ "Select", 30, 2 },
2857		{ "IDO", 24, 1 },
2858		{ "VFID", 15, 9 },
2859		{ "TC", 12, 3 },
2860		{ "VFVld", 11, 1 },
2861		{ "PF", 8, 3 },
2862		{ "RVF", 0, 8 },
2863	{ "PCIE_FID_VFID", 0x36d0, 0 },
2864		{ "Select", 30, 2 },
2865		{ "IDO", 24, 1 },
2866		{ "VFID", 15, 9 },
2867		{ "TC", 12, 3 },
2868		{ "VFVld", 11, 1 },
2869		{ "PF", 8, 3 },
2870		{ "RVF", 0, 8 },
2871	{ "PCIE_FID_VFID", 0x36d4, 0 },
2872		{ "Select", 30, 2 },
2873		{ "IDO", 24, 1 },
2874		{ "VFID", 15, 9 },
2875		{ "TC", 12, 3 },
2876		{ "VFVld", 11, 1 },
2877		{ "PF", 8, 3 },
2878		{ "RVF", 0, 8 },
2879	{ "PCIE_FID_VFID", 0x36d8, 0 },
2880		{ "Select", 30, 2 },
2881		{ "IDO", 24, 1 },
2882		{ "VFID", 15, 9 },
2883		{ "TC", 12, 3 },
2884		{ "VFVld", 11, 1 },
2885		{ "PF", 8, 3 },
2886		{ "RVF", 0, 8 },
2887	{ "PCIE_FID_VFID", 0x36dc, 0 },
2888		{ "Select", 30, 2 },
2889		{ "IDO", 24, 1 },
2890		{ "VFID", 15, 9 },
2891		{ "TC", 12, 3 },
2892		{ "VFVld", 11, 1 },
2893		{ "PF", 8, 3 },
2894		{ "RVF", 0, 8 },
2895	{ "PCIE_FID_VFID", 0x36e0, 0 },
2896		{ "Select", 30, 2 },
2897		{ "IDO", 24, 1 },
2898		{ "VFID", 15, 9 },
2899		{ "TC", 12, 3 },
2900		{ "VFVld", 11, 1 },
2901		{ "PF", 8, 3 },
2902		{ "RVF", 0, 8 },
2903	{ "PCIE_FID_VFID", 0x36e4, 0 },
2904		{ "Select", 30, 2 },
2905		{ "IDO", 24, 1 },
2906		{ "VFID", 15, 9 },
2907		{ "TC", 12, 3 },
2908		{ "VFVld", 11, 1 },
2909		{ "PF", 8, 3 },
2910		{ "RVF", 0, 8 },
2911	{ "PCIE_FID_VFID", 0x36e8, 0 },
2912		{ "Select", 30, 2 },
2913		{ "IDO", 24, 1 },
2914		{ "VFID", 15, 9 },
2915		{ "TC", 12, 3 },
2916		{ "VFVld", 11, 1 },
2917		{ "PF", 8, 3 },
2918		{ "RVF", 0, 8 },
2919	{ "PCIE_FID_VFID", 0x36ec, 0 },
2920		{ "Select", 30, 2 },
2921		{ "IDO", 24, 1 },
2922		{ "VFID", 15, 9 },
2923		{ "TC", 12, 3 },
2924		{ "VFVld", 11, 1 },
2925		{ "PF", 8, 3 },
2926		{ "RVF", 0, 8 },
2927	{ "PCIE_FID_VFID", 0x36f0, 0 },
2928		{ "Select", 30, 2 },
2929		{ "IDO", 24, 1 },
2930		{ "VFID", 15, 9 },
2931		{ "TC", 12, 3 },
2932		{ "VFVld", 11, 1 },
2933		{ "PF", 8, 3 },
2934		{ "RVF", 0, 8 },
2935	{ "PCIE_FID_VFID", 0x36f4, 0 },
2936		{ "Select", 30, 2 },
2937		{ "IDO", 24, 1 },
2938		{ "VFID", 15, 9 },
2939		{ "TC", 12, 3 },
2940		{ "VFVld", 11, 1 },
2941		{ "PF", 8, 3 },
2942		{ "RVF", 0, 8 },
2943	{ "PCIE_FID_VFID", 0x36f8, 0 },
2944		{ "Select", 30, 2 },
2945		{ "IDO", 24, 1 },
2946		{ "VFID", 15, 9 },
2947		{ "TC", 12, 3 },
2948		{ "VFVld", 11, 1 },
2949		{ "PF", 8, 3 },
2950		{ "RVF", 0, 8 },
2951	{ "PCIE_FID_VFID", 0x36fc, 0 },
2952		{ "Select", 30, 2 },
2953		{ "IDO", 24, 1 },
2954		{ "VFID", 15, 9 },
2955		{ "TC", 12, 3 },
2956		{ "VFVld", 11, 1 },
2957		{ "PF", 8, 3 },
2958		{ "RVF", 0, 8 },
2959	{ "PCIE_FID_VFID", 0x3700, 0 },
2960		{ "Select", 30, 2 },
2961		{ "IDO", 24, 1 },
2962		{ "VFID", 15, 9 },
2963		{ "TC", 12, 3 },
2964		{ "VFVld", 11, 1 },
2965		{ "PF", 8, 3 },
2966		{ "RVF", 0, 8 },
2967	{ "PCIE_FID_VFID", 0x3704, 0 },
2968		{ "Select", 30, 2 },
2969		{ "IDO", 24, 1 },
2970		{ "VFID", 15, 9 },
2971		{ "TC", 12, 3 },
2972		{ "VFVld", 11, 1 },
2973		{ "PF", 8, 3 },
2974		{ "RVF", 0, 8 },
2975	{ "PCIE_FID_VFID", 0x3708, 0 },
2976		{ "Select", 30, 2 },
2977		{ "IDO", 24, 1 },
2978		{ "VFID", 15, 9 },
2979		{ "TC", 12, 3 },
2980		{ "VFVld", 11, 1 },
2981		{ "PF", 8, 3 },
2982		{ "RVF", 0, 8 },
2983	{ "PCIE_FID_VFID", 0x370c, 0 },
2984		{ "Select", 30, 2 },
2985		{ "IDO", 24, 1 },
2986		{ "VFID", 15, 9 },
2987		{ "TC", 12, 3 },
2988		{ "VFVld", 11, 1 },
2989		{ "PF", 8, 3 },
2990		{ "RVF", 0, 8 },
2991	{ "PCIE_FID_VFID", 0x3710, 0 },
2992		{ "Select", 30, 2 },
2993		{ "IDO", 24, 1 },
2994		{ "VFID", 15, 9 },
2995		{ "TC", 12, 3 },
2996		{ "VFVld", 11, 1 },
2997		{ "PF", 8, 3 },
2998		{ "RVF", 0, 8 },
2999	{ "PCIE_FID_VFID", 0x3714, 0 },
3000		{ "Select", 30, 2 },
3001		{ "IDO", 24, 1 },
3002		{ "VFID", 15, 9 },
3003		{ "TC", 12, 3 },
3004		{ "VFVld", 11, 1 },
3005		{ "PF", 8, 3 },
3006		{ "RVF", 0, 8 },
3007	{ "PCIE_FID_VFID", 0x3718, 0 },
3008		{ "Select", 30, 2 },
3009		{ "IDO", 24, 1 },
3010		{ "VFID", 15, 9 },
3011		{ "TC", 12, 3 },
3012		{ "VFVld", 11, 1 },
3013		{ "PF", 8, 3 },
3014		{ "RVF", 0, 8 },
3015	{ "PCIE_FID_VFID", 0x371c, 0 },
3016		{ "Select", 30, 2 },
3017		{ "IDO", 24, 1 },
3018		{ "VFID", 15, 9 },
3019		{ "TC", 12, 3 },
3020		{ "VFVld", 11, 1 },
3021		{ "PF", 8, 3 },
3022		{ "RVF", 0, 8 },
3023	{ "PCIE_FID_VFID", 0x3720, 0 },
3024		{ "Select", 30, 2 },
3025		{ "IDO", 24, 1 },
3026		{ "VFID", 15, 9 },
3027		{ "TC", 12, 3 },
3028		{ "VFVld", 11, 1 },
3029		{ "PF", 8, 3 },
3030		{ "RVF", 0, 8 },
3031	{ "PCIE_FID_VFID", 0x3724, 0 },
3032		{ "Select", 30, 2 },
3033		{ "IDO", 24, 1 },
3034		{ "VFID", 15, 9 },
3035		{ "TC", 12, 3 },
3036		{ "VFVld", 11, 1 },
3037		{ "PF", 8, 3 },
3038		{ "RVF", 0, 8 },
3039	{ "PCIE_FID_VFID", 0x3728, 0 },
3040		{ "Select", 30, 2 },
3041		{ "IDO", 24, 1 },
3042		{ "VFID", 15, 9 },
3043		{ "TC", 12, 3 },
3044		{ "VFVld", 11, 1 },
3045		{ "PF", 8, 3 },
3046		{ "RVF", 0, 8 },
3047	{ "PCIE_FID_VFID", 0x372c, 0 },
3048		{ "Select", 30, 2 },
3049		{ "IDO", 24, 1 },
3050		{ "VFID", 15, 9 },
3051		{ "TC", 12, 3 },
3052		{ "VFVld", 11, 1 },
3053		{ "PF", 8, 3 },
3054		{ "RVF", 0, 8 },
3055	{ "PCIE_FID_VFID", 0x3730, 0 },
3056		{ "Select", 30, 2 },
3057		{ "IDO", 24, 1 },
3058		{ "VFID", 15, 9 },
3059		{ "TC", 12, 3 },
3060		{ "VFVld", 11, 1 },
3061		{ "PF", 8, 3 },
3062		{ "RVF", 0, 8 },
3063	{ "PCIE_FID_VFID", 0x3734, 0 },
3064		{ "Select", 30, 2 },
3065		{ "IDO", 24, 1 },
3066		{ "VFID", 15, 9 },
3067		{ "TC", 12, 3 },
3068		{ "VFVld", 11, 1 },
3069		{ "PF", 8, 3 },
3070		{ "RVF", 0, 8 },
3071	{ "PCIE_FID_VFID", 0x3738, 0 },
3072		{ "Select", 30, 2 },
3073		{ "IDO", 24, 1 },
3074		{ "VFID", 15, 9 },
3075		{ "TC", 12, 3 },
3076		{ "VFVld", 11, 1 },
3077		{ "PF", 8, 3 },
3078		{ "RVF", 0, 8 },
3079	{ "PCIE_FID_VFID", 0x373c, 0 },
3080		{ "Select", 30, 2 },
3081		{ "IDO", 24, 1 },
3082		{ "VFID", 15, 9 },
3083		{ "TC", 12, 3 },
3084		{ "VFVld", 11, 1 },
3085		{ "PF", 8, 3 },
3086		{ "RVF", 0, 8 },
3087	{ "PCIE_FID_VFID", 0x3740, 0 },
3088		{ "Select", 30, 2 },
3089		{ "IDO", 24, 1 },
3090		{ "VFID", 15, 9 },
3091		{ "TC", 12, 3 },
3092		{ "VFVld", 11, 1 },
3093		{ "PF", 8, 3 },
3094		{ "RVF", 0, 8 },
3095	{ "PCIE_FID_VFID", 0x3744, 0 },
3096		{ "Select", 30, 2 },
3097		{ "IDO", 24, 1 },
3098		{ "VFID", 15, 9 },
3099		{ "TC", 12, 3 },
3100		{ "VFVld", 11, 1 },
3101		{ "PF", 8, 3 },
3102		{ "RVF", 0, 8 },
3103	{ "PCIE_FID_VFID", 0x3748, 0 },
3104		{ "Select", 30, 2 },
3105		{ "IDO", 24, 1 },
3106		{ "VFID", 15, 9 },
3107		{ "TC", 12, 3 },
3108		{ "VFVld", 11, 1 },
3109		{ "PF", 8, 3 },
3110		{ "RVF", 0, 8 },
3111	{ "PCIE_FID_VFID", 0x374c, 0 },
3112		{ "Select", 30, 2 },
3113		{ "IDO", 24, 1 },
3114		{ "VFID", 15, 9 },
3115		{ "TC", 12, 3 },
3116		{ "VFVld", 11, 1 },
3117		{ "PF", 8, 3 },
3118		{ "RVF", 0, 8 },
3119	{ "PCIE_FID_VFID", 0x3750, 0 },
3120		{ "Select", 30, 2 },
3121		{ "IDO", 24, 1 },
3122		{ "VFID", 15, 9 },
3123		{ "TC", 12, 3 },
3124		{ "VFVld", 11, 1 },
3125		{ "PF", 8, 3 },
3126		{ "RVF", 0, 8 },
3127	{ "PCIE_FID_VFID", 0x3754, 0 },
3128		{ "Select", 30, 2 },
3129		{ "IDO", 24, 1 },
3130		{ "VFID", 15, 9 },
3131		{ "TC", 12, 3 },
3132		{ "VFVld", 11, 1 },
3133		{ "PF", 8, 3 },
3134		{ "RVF", 0, 8 },
3135	{ "PCIE_FID_VFID", 0x3758, 0 },
3136		{ "Select", 30, 2 },
3137		{ "IDO", 24, 1 },
3138		{ "VFID", 15, 9 },
3139		{ "TC", 12, 3 },
3140		{ "VFVld", 11, 1 },
3141		{ "PF", 8, 3 },
3142		{ "RVF", 0, 8 },
3143	{ "PCIE_FID_VFID", 0x375c, 0 },
3144		{ "Select", 30, 2 },
3145		{ "IDO", 24, 1 },
3146		{ "VFID", 15, 9 },
3147		{ "TC", 12, 3 },
3148		{ "VFVld", 11, 1 },
3149		{ "PF", 8, 3 },
3150		{ "RVF", 0, 8 },
3151	{ "PCIE_FID_VFID", 0x3760, 0 },
3152		{ "Select", 30, 2 },
3153		{ "IDO", 24, 1 },
3154		{ "VFID", 15, 9 },
3155		{ "TC", 12, 3 },
3156		{ "VFVld", 11, 1 },
3157		{ "PF", 8, 3 },
3158		{ "RVF", 0, 8 },
3159	{ "PCIE_FID_VFID", 0x3764, 0 },
3160		{ "Select", 30, 2 },
3161		{ "IDO", 24, 1 },
3162		{ "VFID", 15, 9 },
3163		{ "TC", 12, 3 },
3164		{ "VFVld", 11, 1 },
3165		{ "PF", 8, 3 },
3166		{ "RVF", 0, 8 },
3167	{ "PCIE_FID_VFID", 0x3768, 0 },
3168		{ "Select", 30, 2 },
3169		{ "IDO", 24, 1 },
3170		{ "VFID", 15, 9 },
3171		{ "TC", 12, 3 },
3172		{ "VFVld", 11, 1 },
3173		{ "PF", 8, 3 },
3174		{ "RVF", 0, 8 },
3175	{ "PCIE_FID_VFID", 0x376c, 0 },
3176		{ "Select", 30, 2 },
3177		{ "IDO", 24, 1 },
3178		{ "VFID", 15, 9 },
3179		{ "TC", 12, 3 },
3180		{ "VFVld", 11, 1 },
3181		{ "PF", 8, 3 },
3182		{ "RVF", 0, 8 },
3183	{ "PCIE_FID_VFID", 0x3770, 0 },
3184		{ "Select", 30, 2 },
3185		{ "IDO", 24, 1 },
3186		{ "VFID", 15, 9 },
3187		{ "TC", 12, 3 },
3188		{ "VFVld", 11, 1 },
3189		{ "PF", 8, 3 },
3190		{ "RVF", 0, 8 },
3191	{ "PCIE_FID_VFID", 0x3774, 0 },
3192		{ "Select", 30, 2 },
3193		{ "IDO", 24, 1 },
3194		{ "VFID", 15, 9 },
3195		{ "TC", 12, 3 },
3196		{ "VFVld", 11, 1 },
3197		{ "PF", 8, 3 },
3198		{ "RVF", 0, 8 },
3199	{ "PCIE_FID_VFID", 0x3778, 0 },
3200		{ "Select", 30, 2 },
3201		{ "IDO", 24, 1 },
3202		{ "VFID", 15, 9 },
3203		{ "TC", 12, 3 },
3204		{ "VFVld", 11, 1 },
3205		{ "PF", 8, 3 },
3206		{ "RVF", 0, 8 },
3207	{ "PCIE_FID_VFID", 0x377c, 0 },
3208		{ "Select", 30, 2 },
3209		{ "IDO", 24, 1 },
3210		{ "VFID", 15, 9 },
3211		{ "TC", 12, 3 },
3212		{ "VFVld", 11, 1 },
3213		{ "PF", 8, 3 },
3214		{ "RVF", 0, 8 },
3215	{ "PCIE_FID_VFID", 0x3780, 0 },
3216		{ "Select", 30, 2 },
3217		{ "IDO", 24, 1 },
3218		{ "VFID", 15, 9 },
3219		{ "TC", 12, 3 },
3220		{ "VFVld", 11, 1 },
3221		{ "PF", 8, 3 },
3222		{ "RVF", 0, 8 },
3223	{ "PCIE_FID_VFID", 0x3784, 0 },
3224		{ "Select", 30, 2 },
3225		{ "IDO", 24, 1 },
3226		{ "VFID", 15, 9 },
3227		{ "TC", 12, 3 },
3228		{ "VFVld", 11, 1 },
3229		{ "PF", 8, 3 },
3230		{ "RVF", 0, 8 },
3231	{ "PCIE_FID_VFID", 0x3788, 0 },
3232		{ "Select", 30, 2 },
3233		{ "IDO", 24, 1 },
3234		{ "VFID", 15, 9 },
3235		{ "TC", 12, 3 },
3236		{ "VFVld", 11, 1 },
3237		{ "PF", 8, 3 },
3238		{ "RVF", 0, 8 },
3239	{ "PCIE_FID_VFID", 0x378c, 0 },
3240		{ "Select", 30, 2 },
3241		{ "IDO", 24, 1 },
3242		{ "VFID", 15, 9 },
3243		{ "TC", 12, 3 },
3244		{ "VFVld", 11, 1 },
3245		{ "PF", 8, 3 },
3246		{ "RVF", 0, 8 },
3247	{ "PCIE_FID_VFID", 0x3790, 0 },
3248		{ "Select", 30, 2 },
3249		{ "IDO", 24, 1 },
3250		{ "VFID", 15, 9 },
3251		{ "TC", 12, 3 },
3252		{ "VFVld", 11, 1 },
3253		{ "PF", 8, 3 },
3254		{ "RVF", 0, 8 },
3255	{ "PCIE_FID_VFID", 0x3794, 0 },
3256		{ "Select", 30, 2 },
3257		{ "IDO", 24, 1 },
3258		{ "VFID", 15, 9 },
3259		{ "TC", 12, 3 },
3260		{ "VFVld", 11, 1 },
3261		{ "PF", 8, 3 },
3262		{ "RVF", 0, 8 },
3263	{ "PCIE_FID_VFID", 0x3798, 0 },
3264		{ "Select", 30, 2 },
3265		{ "IDO", 24, 1 },
3266		{ "VFID", 15, 9 },
3267		{ "TC", 12, 3 },
3268		{ "VFVld", 11, 1 },
3269		{ "PF", 8, 3 },
3270		{ "RVF", 0, 8 },
3271	{ "PCIE_FID_VFID", 0x379c, 0 },
3272		{ "Select", 30, 2 },
3273		{ "IDO", 24, 1 },
3274		{ "VFID", 15, 9 },
3275		{ "TC", 12, 3 },
3276		{ "VFVld", 11, 1 },
3277		{ "PF", 8, 3 },
3278		{ "RVF", 0, 8 },
3279	{ "PCIE_FID_VFID", 0x37a0, 0 },
3280		{ "Select", 30, 2 },
3281		{ "IDO", 24, 1 },
3282		{ "VFID", 15, 9 },
3283		{ "TC", 12, 3 },
3284		{ "VFVld", 11, 1 },
3285		{ "PF", 8, 3 },
3286		{ "RVF", 0, 8 },
3287	{ "PCIE_FID_VFID", 0x37a4, 0 },
3288		{ "Select", 30, 2 },
3289		{ "IDO", 24, 1 },
3290		{ "VFID", 15, 9 },
3291		{ "TC", 12, 3 },
3292		{ "VFVld", 11, 1 },
3293		{ "PF", 8, 3 },
3294		{ "RVF", 0, 8 },
3295	{ "PCIE_FID_VFID", 0x37a8, 0 },
3296		{ "Select", 30, 2 },
3297		{ "IDO", 24, 1 },
3298		{ "VFID", 15, 9 },
3299		{ "TC", 12, 3 },
3300		{ "VFVld", 11, 1 },
3301		{ "PF", 8, 3 },
3302		{ "RVF", 0, 8 },
3303	{ "PCIE_FID_VFID", 0x37ac, 0 },
3304		{ "Select", 30, 2 },
3305		{ "IDO", 24, 1 },
3306		{ "VFID", 15, 9 },
3307		{ "TC", 12, 3 },
3308		{ "VFVld", 11, 1 },
3309		{ "PF", 8, 3 },
3310		{ "RVF", 0, 8 },
3311	{ "PCIE_FID_VFID", 0x37b0, 0 },
3312		{ "Select", 30, 2 },
3313		{ "IDO", 24, 1 },
3314		{ "VFID", 15, 9 },
3315		{ "TC", 12, 3 },
3316		{ "VFVld", 11, 1 },
3317		{ "PF", 8, 3 },
3318		{ "RVF", 0, 8 },
3319	{ "PCIE_FID_VFID", 0x37b4, 0 },
3320		{ "Select", 30, 2 },
3321		{ "IDO", 24, 1 },
3322		{ "VFID", 15, 9 },
3323		{ "TC", 12, 3 },
3324		{ "VFVld", 11, 1 },
3325		{ "PF", 8, 3 },
3326		{ "RVF", 0, 8 },
3327	{ "PCIE_FID_VFID", 0x37b8, 0 },
3328		{ "Select", 30, 2 },
3329		{ "IDO", 24, 1 },
3330		{ "VFID", 15, 9 },
3331		{ "TC", 12, 3 },
3332		{ "VFVld", 11, 1 },
3333		{ "PF", 8, 3 },
3334		{ "RVF", 0, 8 },
3335	{ "PCIE_FID_VFID", 0x37bc, 0 },
3336		{ "Select", 30, 2 },
3337		{ "IDO", 24, 1 },
3338		{ "VFID", 15, 9 },
3339		{ "TC", 12, 3 },
3340		{ "VFVld", 11, 1 },
3341		{ "PF", 8, 3 },
3342		{ "RVF", 0, 8 },
3343	{ "PCIE_FID_VFID", 0x37c0, 0 },
3344		{ "Select", 30, 2 },
3345		{ "IDO", 24, 1 },
3346		{ "VFID", 15, 9 },
3347		{ "TC", 12, 3 },
3348		{ "VFVld", 11, 1 },
3349		{ "PF", 8, 3 },
3350		{ "RVF", 0, 8 },
3351	{ "PCIE_FID_VFID", 0x37c4, 0 },
3352		{ "Select", 30, 2 },
3353		{ "IDO", 24, 1 },
3354		{ "VFID", 15, 9 },
3355		{ "TC", 12, 3 },
3356		{ "VFVld", 11, 1 },
3357		{ "PF", 8, 3 },
3358		{ "RVF", 0, 8 },
3359	{ "PCIE_FID_VFID", 0x37c8, 0 },
3360		{ "Select", 30, 2 },
3361		{ "IDO", 24, 1 },
3362		{ "VFID", 15, 9 },
3363		{ "TC", 12, 3 },
3364		{ "VFVld", 11, 1 },
3365		{ "PF", 8, 3 },
3366		{ "RVF", 0, 8 },
3367	{ "PCIE_FID_VFID", 0x37cc, 0 },
3368		{ "Select", 30, 2 },
3369		{ "IDO", 24, 1 },
3370		{ "VFID", 15, 9 },
3371		{ "TC", 12, 3 },
3372		{ "VFVld", 11, 1 },
3373		{ "PF", 8, 3 },
3374		{ "RVF", 0, 8 },
3375	{ "PCIE_FID_VFID", 0x37d0, 0 },
3376		{ "Select", 30, 2 },
3377		{ "IDO", 24, 1 },
3378		{ "VFID", 15, 9 },
3379		{ "TC", 12, 3 },
3380		{ "VFVld", 11, 1 },
3381		{ "PF", 8, 3 },
3382		{ "RVF", 0, 8 },
3383	{ "PCIE_FID_VFID", 0x37d4, 0 },
3384		{ "Select", 30, 2 },
3385		{ "IDO", 24, 1 },
3386		{ "VFID", 15, 9 },
3387		{ "TC", 12, 3 },
3388		{ "VFVld", 11, 1 },
3389		{ "PF", 8, 3 },
3390		{ "RVF", 0, 8 },
3391	{ "PCIE_FID_VFID", 0x37d8, 0 },
3392		{ "Select", 30, 2 },
3393		{ "IDO", 24, 1 },
3394		{ "VFID", 15, 9 },
3395		{ "TC", 12, 3 },
3396		{ "VFVld", 11, 1 },
3397		{ "PF", 8, 3 },
3398		{ "RVF", 0, 8 },
3399	{ "PCIE_FID_VFID", 0x37dc, 0 },
3400		{ "Select", 30, 2 },
3401		{ "IDO", 24, 1 },
3402		{ "VFID", 15, 9 },
3403		{ "TC", 12, 3 },
3404		{ "VFVld", 11, 1 },
3405		{ "PF", 8, 3 },
3406		{ "RVF", 0, 8 },
3407	{ "PCIE_FID_VFID", 0x37e0, 0 },
3408		{ "Select", 30, 2 },
3409		{ "IDO", 24, 1 },
3410		{ "VFID", 15, 9 },
3411		{ "TC", 12, 3 },
3412		{ "VFVld", 11, 1 },
3413		{ "PF", 8, 3 },
3414		{ "RVF", 0, 8 },
3415	{ "PCIE_FID_VFID", 0x37e4, 0 },
3416		{ "Select", 30, 2 },
3417		{ "IDO", 24, 1 },
3418		{ "VFID", 15, 9 },
3419		{ "TC", 12, 3 },
3420		{ "VFVld", 11, 1 },
3421		{ "PF", 8, 3 },
3422		{ "RVF", 0, 8 },
3423	{ "PCIE_FID_VFID", 0x37e8, 0 },
3424		{ "Select", 30, 2 },
3425		{ "IDO", 24, 1 },
3426		{ "VFID", 15, 9 },
3427		{ "TC", 12, 3 },
3428		{ "VFVld", 11, 1 },
3429		{ "PF", 8, 3 },
3430		{ "RVF", 0, 8 },
3431	{ "PCIE_FID_VFID", 0x37ec, 0 },
3432		{ "Select", 30, 2 },
3433		{ "IDO", 24, 1 },
3434		{ "VFID", 15, 9 },
3435		{ "TC", 12, 3 },
3436		{ "VFVld", 11, 1 },
3437		{ "PF", 8, 3 },
3438		{ "RVF", 0, 8 },
3439	{ "PCIE_FID_VFID", 0x37f0, 0 },
3440		{ "Select", 30, 2 },
3441		{ "IDO", 24, 1 },
3442		{ "VFID", 15, 9 },
3443		{ "TC", 12, 3 },
3444		{ "VFVld", 11, 1 },
3445		{ "PF", 8, 3 },
3446		{ "RVF", 0, 8 },
3447	{ "PCIE_FID_VFID", 0x37f4, 0 },
3448		{ "Select", 30, 2 },
3449		{ "IDO", 24, 1 },
3450		{ "VFID", 15, 9 },
3451		{ "TC", 12, 3 },
3452		{ "VFVld", 11, 1 },
3453		{ "PF", 8, 3 },
3454		{ "RVF", 0, 8 },
3455	{ "PCIE_FID_VFID", 0x37f8, 0 },
3456		{ "Select", 30, 2 },
3457		{ "IDO", 24, 1 },
3458		{ "VFID", 15, 9 },
3459		{ "TC", 12, 3 },
3460		{ "VFVld", 11, 1 },
3461		{ "PF", 8, 3 },
3462		{ "RVF", 0, 8 },
3463	{ "PCIE_FID_VFID", 0x37fc, 0 },
3464		{ "Select", 30, 2 },
3465		{ "IDO", 24, 1 },
3466		{ "VFID", 15, 9 },
3467		{ "TC", 12, 3 },
3468		{ "VFVld", 11, 1 },
3469		{ "PF", 8, 3 },
3470		{ "RVF", 0, 8 },
3471	{ "PCIE_FID_VFID", 0x3800, 0 },
3472		{ "Select", 30, 2 },
3473		{ "IDO", 24, 1 },
3474		{ "VFID", 15, 9 },
3475		{ "TC", 12, 3 },
3476		{ "VFVld", 11, 1 },
3477		{ "PF", 8, 3 },
3478		{ "RVF", 0, 8 },
3479	{ "PCIE_FID_VFID", 0x3804, 0 },
3480		{ "Select", 30, 2 },
3481		{ "IDO", 24, 1 },
3482		{ "VFID", 15, 9 },
3483		{ "TC", 12, 3 },
3484		{ "VFVld", 11, 1 },
3485		{ "PF", 8, 3 },
3486		{ "RVF", 0, 8 },
3487	{ "PCIE_FID_VFID", 0x3808, 0 },
3488		{ "Select", 30, 2 },
3489		{ "IDO", 24, 1 },
3490		{ "VFID", 15, 9 },
3491		{ "TC", 12, 3 },
3492		{ "VFVld", 11, 1 },
3493		{ "PF", 8, 3 },
3494		{ "RVF", 0, 8 },
3495	{ "PCIE_FID_VFID", 0x380c, 0 },
3496		{ "Select", 30, 2 },
3497		{ "IDO", 24, 1 },
3498		{ "VFID", 15, 9 },
3499		{ "TC", 12, 3 },
3500		{ "VFVld", 11, 1 },
3501		{ "PF", 8, 3 },
3502		{ "RVF", 0, 8 },
3503	{ "PCIE_FID_VFID", 0x3810, 0 },
3504		{ "Select", 30, 2 },
3505		{ "IDO", 24, 1 },
3506		{ "VFID", 15, 9 },
3507		{ "TC", 12, 3 },
3508		{ "VFVld", 11, 1 },
3509		{ "PF", 8, 3 },
3510		{ "RVF", 0, 8 },
3511	{ "PCIE_FID_VFID", 0x3814, 0 },
3512		{ "Select", 30, 2 },
3513		{ "IDO", 24, 1 },
3514		{ "VFID", 15, 9 },
3515		{ "TC", 12, 3 },
3516		{ "VFVld", 11, 1 },
3517		{ "PF", 8, 3 },
3518		{ "RVF", 0, 8 },
3519	{ "PCIE_FID_VFID", 0x3818, 0 },
3520		{ "Select", 30, 2 },
3521		{ "IDO", 24, 1 },
3522		{ "VFID", 15, 9 },
3523		{ "TC", 12, 3 },
3524		{ "VFVld", 11, 1 },
3525		{ "PF", 8, 3 },
3526		{ "RVF", 0, 8 },
3527	{ "PCIE_FID_VFID", 0x381c, 0 },
3528		{ "Select", 30, 2 },
3529		{ "IDO", 24, 1 },
3530		{ "VFID", 15, 9 },
3531		{ "TC", 12, 3 },
3532		{ "VFVld", 11, 1 },
3533		{ "PF", 8, 3 },
3534		{ "RVF", 0, 8 },
3535	{ "PCIE_FID_VFID", 0x3820, 0 },
3536		{ "Select", 30, 2 },
3537		{ "IDO", 24, 1 },
3538		{ "VFID", 15, 9 },
3539		{ "TC", 12, 3 },
3540		{ "VFVld", 11, 1 },
3541		{ "PF", 8, 3 },
3542		{ "RVF", 0, 8 },
3543	{ "PCIE_FID_VFID", 0x3824, 0 },
3544		{ "Select", 30, 2 },
3545		{ "IDO", 24, 1 },
3546		{ "VFID", 15, 9 },
3547		{ "TC", 12, 3 },
3548		{ "VFVld", 11, 1 },
3549		{ "PF", 8, 3 },
3550		{ "RVF", 0, 8 },
3551	{ "PCIE_FID_VFID", 0x3828, 0 },
3552		{ "Select", 30, 2 },
3553		{ "IDO", 24, 1 },
3554		{ "VFID", 15, 9 },
3555		{ "TC", 12, 3 },
3556		{ "VFVld", 11, 1 },
3557		{ "PF", 8, 3 },
3558		{ "RVF", 0, 8 },
3559	{ "PCIE_FID_VFID", 0x382c, 0 },
3560		{ "Select", 30, 2 },
3561		{ "IDO", 24, 1 },
3562		{ "VFID", 15, 9 },
3563		{ "TC", 12, 3 },
3564		{ "VFVld", 11, 1 },
3565		{ "PF", 8, 3 },
3566		{ "RVF", 0, 8 },
3567	{ "PCIE_FID_VFID", 0x3830, 0 },
3568		{ "Select", 30, 2 },
3569		{ "IDO", 24, 1 },
3570		{ "VFID", 15, 9 },
3571		{ "TC", 12, 3 },
3572		{ "VFVld", 11, 1 },
3573		{ "PF", 8, 3 },
3574		{ "RVF", 0, 8 },
3575	{ "PCIE_FID_VFID", 0x3834, 0 },
3576		{ "Select", 30, 2 },
3577		{ "IDO", 24, 1 },
3578		{ "VFID", 15, 9 },
3579		{ "TC", 12, 3 },
3580		{ "VFVld", 11, 1 },
3581		{ "PF", 8, 3 },
3582		{ "RVF", 0, 8 },
3583	{ "PCIE_FID_VFID", 0x3838, 0 },
3584		{ "Select", 30, 2 },
3585		{ "IDO", 24, 1 },
3586		{ "VFID", 15, 9 },
3587		{ "TC", 12, 3 },
3588		{ "VFVld", 11, 1 },
3589		{ "PF", 8, 3 },
3590		{ "RVF", 0, 8 },
3591	{ "PCIE_FID_VFID", 0x383c, 0 },
3592		{ "Select", 30, 2 },
3593		{ "IDO", 24, 1 },
3594		{ "VFID", 15, 9 },
3595		{ "TC", 12, 3 },
3596		{ "VFVld", 11, 1 },
3597		{ "PF", 8, 3 },
3598		{ "RVF", 0, 8 },
3599	{ "PCIE_FID_VFID", 0x3840, 0 },
3600		{ "Select", 30, 2 },
3601		{ "IDO", 24, 1 },
3602		{ "VFID", 15, 9 },
3603		{ "TC", 12, 3 },
3604		{ "VFVld", 11, 1 },
3605		{ "PF", 8, 3 },
3606		{ "RVF", 0, 8 },
3607	{ "PCIE_FID_VFID", 0x3844, 0 },
3608		{ "Select", 30, 2 },
3609		{ "IDO", 24, 1 },
3610		{ "VFID", 15, 9 },
3611		{ "TC", 12, 3 },
3612		{ "VFVld", 11, 1 },
3613		{ "PF", 8, 3 },
3614		{ "RVF", 0, 8 },
3615	{ "PCIE_FID_VFID", 0x3848, 0 },
3616		{ "Select", 30, 2 },
3617		{ "IDO", 24, 1 },
3618		{ "VFID", 15, 9 },
3619		{ "TC", 12, 3 },
3620		{ "VFVld", 11, 1 },
3621		{ "PF", 8, 3 },
3622		{ "RVF", 0, 8 },
3623	{ "PCIE_FID_VFID", 0x384c, 0 },
3624		{ "Select", 30, 2 },
3625		{ "IDO", 24, 1 },
3626		{ "VFID", 15, 9 },
3627		{ "TC", 12, 3 },
3628		{ "VFVld", 11, 1 },
3629		{ "PF", 8, 3 },
3630		{ "RVF", 0, 8 },
3631	{ "PCIE_FID_VFID", 0x3850, 0 },
3632		{ "Select", 30, 2 },
3633		{ "IDO", 24, 1 },
3634		{ "VFID", 15, 9 },
3635		{ "TC", 12, 3 },
3636		{ "VFVld", 11, 1 },
3637		{ "PF", 8, 3 },
3638		{ "RVF", 0, 8 },
3639	{ "PCIE_FID_VFID", 0x3854, 0 },
3640		{ "Select", 30, 2 },
3641		{ "IDO", 24, 1 },
3642		{ "VFID", 15, 9 },
3643		{ "TC", 12, 3 },
3644		{ "VFVld", 11, 1 },
3645		{ "PF", 8, 3 },
3646		{ "RVF", 0, 8 },
3647	{ "PCIE_FID_VFID", 0x3858, 0 },
3648		{ "Select", 30, 2 },
3649		{ "IDO", 24, 1 },
3650		{ "VFID", 15, 9 },
3651		{ "TC", 12, 3 },
3652		{ "VFVld", 11, 1 },
3653		{ "PF", 8, 3 },
3654		{ "RVF", 0, 8 },
3655	{ "PCIE_FID_VFID", 0x385c, 0 },
3656		{ "Select", 30, 2 },
3657		{ "IDO", 24, 1 },
3658		{ "VFID", 15, 9 },
3659		{ "TC", 12, 3 },
3660		{ "VFVld", 11, 1 },
3661		{ "PF", 8, 3 },
3662		{ "RVF", 0, 8 },
3663	{ "PCIE_FID_VFID", 0x3860, 0 },
3664		{ "Select", 30, 2 },
3665		{ "IDO", 24, 1 },
3666		{ "VFID", 15, 9 },
3667		{ "TC", 12, 3 },
3668		{ "VFVld", 11, 1 },
3669		{ "PF", 8, 3 },
3670		{ "RVF", 0, 8 },
3671	{ "PCIE_FID_VFID", 0x3864, 0 },
3672		{ "Select", 30, 2 },
3673		{ "IDO", 24, 1 },
3674		{ "VFID", 15, 9 },
3675		{ "TC", 12, 3 },
3676		{ "VFVld", 11, 1 },
3677		{ "PF", 8, 3 },
3678		{ "RVF", 0, 8 },
3679	{ "PCIE_FID_VFID", 0x3868, 0 },
3680		{ "Select", 30, 2 },
3681		{ "IDO", 24, 1 },
3682		{ "VFID", 15, 9 },
3683		{ "TC", 12, 3 },
3684		{ "VFVld", 11, 1 },
3685		{ "PF", 8, 3 },
3686		{ "RVF", 0, 8 },
3687	{ "PCIE_FID_VFID", 0x386c, 0 },
3688		{ "Select", 30, 2 },
3689		{ "IDO", 24, 1 },
3690		{ "VFID", 15, 9 },
3691		{ "TC", 12, 3 },
3692		{ "VFVld", 11, 1 },
3693		{ "PF", 8, 3 },
3694		{ "RVF", 0, 8 },
3695	{ "PCIE_FID_VFID", 0x3870, 0 },
3696		{ "Select", 30, 2 },
3697		{ "IDO", 24, 1 },
3698		{ "VFID", 15, 9 },
3699		{ "TC", 12, 3 },
3700		{ "VFVld", 11, 1 },
3701		{ "PF", 8, 3 },
3702		{ "RVF", 0, 8 },
3703	{ "PCIE_FID_VFID", 0x3874, 0 },
3704		{ "Select", 30, 2 },
3705		{ "IDO", 24, 1 },
3706		{ "VFID", 15, 9 },
3707		{ "TC", 12, 3 },
3708		{ "VFVld", 11, 1 },
3709		{ "PF", 8, 3 },
3710		{ "RVF", 0, 8 },
3711	{ "PCIE_FID_VFID", 0x3878, 0 },
3712		{ "Select", 30, 2 },
3713		{ "IDO", 24, 1 },
3714		{ "VFID", 15, 9 },
3715		{ "TC", 12, 3 },
3716		{ "VFVld", 11, 1 },
3717		{ "PF", 8, 3 },
3718		{ "RVF", 0, 8 },
3719	{ "PCIE_FID_VFID", 0x387c, 0 },
3720		{ "Select", 30, 2 },
3721		{ "IDO", 24, 1 },
3722		{ "VFID", 15, 9 },
3723		{ "TC", 12, 3 },
3724		{ "VFVld", 11, 1 },
3725		{ "PF", 8, 3 },
3726		{ "RVF", 0, 8 },
3727	{ "PCIE_FID_VFID", 0x3880, 0 },
3728		{ "Select", 30, 2 },
3729		{ "IDO", 24, 1 },
3730		{ "VFID", 15, 9 },
3731		{ "TC", 12, 3 },
3732		{ "VFVld", 11, 1 },
3733		{ "PF", 8, 3 },
3734		{ "RVF", 0, 8 },
3735	{ "PCIE_FID_VFID", 0x3884, 0 },
3736		{ "Select", 30, 2 },
3737		{ "IDO", 24, 1 },
3738		{ "VFID", 15, 9 },
3739		{ "TC", 12, 3 },
3740		{ "VFVld", 11, 1 },
3741		{ "PF", 8, 3 },
3742		{ "RVF", 0, 8 },
3743	{ "PCIE_FID_VFID", 0x3888, 0 },
3744		{ "Select", 30, 2 },
3745		{ "IDO", 24, 1 },
3746		{ "VFID", 15, 9 },
3747		{ "TC", 12, 3 },
3748		{ "VFVld", 11, 1 },
3749		{ "PF", 8, 3 },
3750		{ "RVF", 0, 8 },
3751	{ "PCIE_FID_VFID", 0x388c, 0 },
3752		{ "Select", 30, 2 },
3753		{ "IDO", 24, 1 },
3754		{ "VFID", 15, 9 },
3755		{ "TC", 12, 3 },
3756		{ "VFVld", 11, 1 },
3757		{ "PF", 8, 3 },
3758		{ "RVF", 0, 8 },
3759	{ "PCIE_FID_VFID", 0x3890, 0 },
3760		{ "Select", 30, 2 },
3761		{ "IDO", 24, 1 },
3762		{ "VFID", 15, 9 },
3763		{ "TC", 12, 3 },
3764		{ "VFVld", 11, 1 },
3765		{ "PF", 8, 3 },
3766		{ "RVF", 0, 8 },
3767	{ "PCIE_FID_VFID", 0x3894, 0 },
3768		{ "Select", 30, 2 },
3769		{ "IDO", 24, 1 },
3770		{ "VFID", 15, 9 },
3771		{ "TC", 12, 3 },
3772		{ "VFVld", 11, 1 },
3773		{ "PF", 8, 3 },
3774		{ "RVF", 0, 8 },
3775	{ "PCIE_FID_VFID", 0x3898, 0 },
3776		{ "Select", 30, 2 },
3777		{ "IDO", 24, 1 },
3778		{ "VFID", 15, 9 },
3779		{ "TC", 12, 3 },
3780		{ "VFVld", 11, 1 },
3781		{ "PF", 8, 3 },
3782		{ "RVF", 0, 8 },
3783	{ "PCIE_FID_VFID", 0x389c, 0 },
3784		{ "Select", 30, 2 },
3785		{ "IDO", 24, 1 },
3786		{ "VFID", 15, 9 },
3787		{ "TC", 12, 3 },
3788		{ "VFVld", 11, 1 },
3789		{ "PF", 8, 3 },
3790		{ "RVF", 0, 8 },
3791	{ "PCIE_FID_VFID", 0x38a0, 0 },
3792		{ "Select", 30, 2 },
3793		{ "IDO", 24, 1 },
3794		{ "VFID", 15, 9 },
3795		{ "TC", 12, 3 },
3796		{ "VFVld", 11, 1 },
3797		{ "PF", 8, 3 },
3798		{ "RVF", 0, 8 },
3799	{ "PCIE_FID_VFID", 0x38a4, 0 },
3800		{ "Select", 30, 2 },
3801		{ "IDO", 24, 1 },
3802		{ "VFID", 15, 9 },
3803		{ "TC", 12, 3 },
3804		{ "VFVld", 11, 1 },
3805		{ "PF", 8, 3 },
3806		{ "RVF", 0, 8 },
3807	{ "PCIE_FID_VFID", 0x38a8, 0 },
3808		{ "Select", 30, 2 },
3809		{ "IDO", 24, 1 },
3810		{ "VFID", 15, 9 },
3811		{ "TC", 12, 3 },
3812		{ "VFVld", 11, 1 },
3813		{ "PF", 8, 3 },
3814		{ "RVF", 0, 8 },
3815	{ "PCIE_FID_VFID", 0x38ac, 0 },
3816		{ "Select", 30, 2 },
3817		{ "IDO", 24, 1 },
3818		{ "VFID", 15, 9 },
3819		{ "TC", 12, 3 },
3820		{ "VFVld", 11, 1 },
3821		{ "PF", 8, 3 },
3822		{ "RVF", 0, 8 },
3823	{ "PCIE_FID_VFID", 0x38b0, 0 },
3824		{ "Select", 30, 2 },
3825		{ "IDO", 24, 1 },
3826		{ "VFID", 15, 9 },
3827		{ "TC", 12, 3 },
3828		{ "VFVld", 11, 1 },
3829		{ "PF", 8, 3 },
3830		{ "RVF", 0, 8 },
3831	{ "PCIE_FID_VFID", 0x38b4, 0 },
3832		{ "Select", 30, 2 },
3833		{ "IDO", 24, 1 },
3834		{ "VFID", 15, 9 },
3835		{ "TC", 12, 3 },
3836		{ "VFVld", 11, 1 },
3837		{ "PF", 8, 3 },
3838		{ "RVF", 0, 8 },
3839	{ "PCIE_FID_VFID", 0x38b8, 0 },
3840		{ "Select", 30, 2 },
3841		{ "IDO", 24, 1 },
3842		{ "VFID", 15, 9 },
3843		{ "TC", 12, 3 },
3844		{ "VFVld", 11, 1 },
3845		{ "PF", 8, 3 },
3846		{ "RVF", 0, 8 },
3847	{ "PCIE_FID_VFID", 0x38bc, 0 },
3848		{ "Select", 30, 2 },
3849		{ "IDO", 24, 1 },
3850		{ "VFID", 15, 9 },
3851		{ "TC", 12, 3 },
3852		{ "VFVld", 11, 1 },
3853		{ "PF", 8, 3 },
3854		{ "RVF", 0, 8 },
3855	{ "PCIE_FID_VFID", 0x38c0, 0 },
3856		{ "Select", 30, 2 },
3857		{ "IDO", 24, 1 },
3858		{ "VFID", 15, 9 },
3859		{ "TC", 12, 3 },
3860		{ "VFVld", 11, 1 },
3861		{ "PF", 8, 3 },
3862		{ "RVF", 0, 8 },
3863	{ "PCIE_FID_VFID", 0x38c4, 0 },
3864		{ "Select", 30, 2 },
3865		{ "IDO", 24, 1 },
3866		{ "VFID", 15, 9 },
3867		{ "TC", 12, 3 },
3868		{ "VFVld", 11, 1 },
3869		{ "PF", 8, 3 },
3870		{ "RVF", 0, 8 },
3871	{ "PCIE_FID_VFID", 0x38c8, 0 },
3872		{ "Select", 30, 2 },
3873		{ "IDO", 24, 1 },
3874		{ "VFID", 15, 9 },
3875		{ "TC", 12, 3 },
3876		{ "VFVld", 11, 1 },
3877		{ "PF", 8, 3 },
3878		{ "RVF", 0, 8 },
3879	{ "PCIE_FID_VFID", 0x38cc, 0 },
3880		{ "Select", 30, 2 },
3881		{ "IDO", 24, 1 },
3882		{ "VFID", 15, 9 },
3883		{ "TC", 12, 3 },
3884		{ "VFVld", 11, 1 },
3885		{ "PF", 8, 3 },
3886		{ "RVF", 0, 8 },
3887	{ "PCIE_FID_VFID", 0x38d0, 0 },
3888		{ "Select", 30, 2 },
3889		{ "IDO", 24, 1 },
3890		{ "VFID", 15, 9 },
3891		{ "TC", 12, 3 },
3892		{ "VFVld", 11, 1 },
3893		{ "PF", 8, 3 },
3894		{ "RVF", 0, 8 },
3895	{ "PCIE_FID_VFID", 0x38d4, 0 },
3896		{ "Select", 30, 2 },
3897		{ "IDO", 24, 1 },
3898		{ "VFID", 15, 9 },
3899		{ "TC", 12, 3 },
3900		{ "VFVld", 11, 1 },
3901		{ "PF", 8, 3 },
3902		{ "RVF", 0, 8 },
3903	{ "PCIE_FID_VFID", 0x38d8, 0 },
3904		{ "Select", 30, 2 },
3905		{ "IDO", 24, 1 },
3906		{ "VFID", 15, 9 },
3907		{ "TC", 12, 3 },
3908		{ "VFVld", 11, 1 },
3909		{ "PF", 8, 3 },
3910		{ "RVF", 0, 8 },
3911	{ "PCIE_FID_VFID", 0x38dc, 0 },
3912		{ "Select", 30, 2 },
3913		{ "IDO", 24, 1 },
3914		{ "VFID", 15, 9 },
3915		{ "TC", 12, 3 },
3916		{ "VFVld", 11, 1 },
3917		{ "PF", 8, 3 },
3918		{ "RVF", 0, 8 },
3919	{ "PCIE_FID_VFID", 0x38e0, 0 },
3920		{ "Select", 30, 2 },
3921		{ "IDO", 24, 1 },
3922		{ "VFID", 15, 9 },
3923		{ "TC", 12, 3 },
3924		{ "VFVld", 11, 1 },
3925		{ "PF", 8, 3 },
3926		{ "RVF", 0, 8 },
3927	{ "PCIE_FID_VFID", 0x38e4, 0 },
3928		{ "Select", 30, 2 },
3929		{ "IDO", 24, 1 },
3930		{ "VFID", 15, 9 },
3931		{ "TC", 12, 3 },
3932		{ "VFVld", 11, 1 },
3933		{ "PF", 8, 3 },
3934		{ "RVF", 0, 8 },
3935	{ "PCIE_FID_VFID", 0x38e8, 0 },
3936		{ "Select", 30, 2 },
3937		{ "IDO", 24, 1 },
3938		{ "VFID", 15, 9 },
3939		{ "TC", 12, 3 },
3940		{ "VFVld", 11, 1 },
3941		{ "PF", 8, 3 },
3942		{ "RVF", 0, 8 },
3943	{ "PCIE_FID_VFID", 0x38ec, 0 },
3944		{ "Select", 30, 2 },
3945		{ "IDO", 24, 1 },
3946		{ "VFID", 15, 9 },
3947		{ "TC", 12, 3 },
3948		{ "VFVld", 11, 1 },
3949		{ "PF", 8, 3 },
3950		{ "RVF", 0, 8 },
3951	{ "PCIE_FID_VFID", 0x38f0, 0 },
3952		{ "Select", 30, 2 },
3953		{ "IDO", 24, 1 },
3954		{ "VFID", 15, 9 },
3955		{ "TC", 12, 3 },
3956		{ "VFVld", 11, 1 },
3957		{ "PF", 8, 3 },
3958		{ "RVF", 0, 8 },
3959	{ "PCIE_FID_VFID", 0x38f4, 0 },
3960		{ "Select", 30, 2 },
3961		{ "IDO", 24, 1 },
3962		{ "VFID", 15, 9 },
3963		{ "TC", 12, 3 },
3964		{ "VFVld", 11, 1 },
3965		{ "PF", 8, 3 },
3966		{ "RVF", 0, 8 },
3967	{ "PCIE_FID_VFID", 0x38f8, 0 },
3968		{ "Select", 30, 2 },
3969		{ "IDO", 24, 1 },
3970		{ "VFID", 15, 9 },
3971		{ "TC", 12, 3 },
3972		{ "VFVld", 11, 1 },
3973		{ "PF", 8, 3 },
3974		{ "RVF", 0, 8 },
3975	{ "PCIE_FID_VFID", 0x38fc, 0 },
3976		{ "Select", 30, 2 },
3977		{ "IDO", 24, 1 },
3978		{ "VFID", 15, 9 },
3979		{ "TC", 12, 3 },
3980		{ "VFVld", 11, 1 },
3981		{ "PF", 8, 3 },
3982		{ "RVF", 0, 8 },
3983	{ "PCIE_FID_VFID", 0x3900, 0 },
3984		{ "Select", 30, 2 },
3985		{ "IDO", 24, 1 },
3986		{ "VFID", 15, 9 },
3987		{ "TC", 12, 3 },
3988		{ "VFVld", 11, 1 },
3989		{ "PF", 8, 3 },
3990		{ "RVF", 0, 8 },
3991	{ "PCIE_FID_VFID", 0x3904, 0 },
3992		{ "Select", 30, 2 },
3993		{ "IDO", 24, 1 },
3994		{ "VFID", 15, 9 },
3995		{ "TC", 12, 3 },
3996		{ "VFVld", 11, 1 },
3997		{ "PF", 8, 3 },
3998		{ "RVF", 0, 8 },
3999	{ "PCIE_FID_VFID", 0x3908, 0 },
4000		{ "Select", 30, 2 },
4001		{ "IDO", 24, 1 },
4002		{ "VFID", 15, 9 },
4003		{ "TC", 12, 3 },
4004		{ "VFVld", 11, 1 },
4005		{ "PF", 8, 3 },
4006		{ "RVF", 0, 8 },
4007	{ "PCIE_FID_VFID", 0x390c, 0 },
4008		{ "Select", 30, 2 },
4009		{ "IDO", 24, 1 },
4010		{ "VFID", 15, 9 },
4011		{ "TC", 12, 3 },
4012		{ "VFVld", 11, 1 },
4013		{ "PF", 8, 3 },
4014		{ "RVF", 0, 8 },
4015	{ "PCIE_FID_VFID", 0x3910, 0 },
4016		{ "Select", 30, 2 },
4017		{ "IDO", 24, 1 },
4018		{ "VFID", 15, 9 },
4019		{ "TC", 12, 3 },
4020		{ "VFVld", 11, 1 },
4021		{ "PF", 8, 3 },
4022		{ "RVF", 0, 8 },
4023	{ "PCIE_FID_VFID", 0x3914, 0 },
4024		{ "Select", 30, 2 },
4025		{ "IDO", 24, 1 },
4026		{ "VFID", 15, 9 },
4027		{ "TC", 12, 3 },
4028		{ "VFVld", 11, 1 },
4029		{ "PF", 8, 3 },
4030		{ "RVF", 0, 8 },
4031	{ "PCIE_FID_VFID", 0x3918, 0 },
4032		{ "Select", 30, 2 },
4033		{ "IDO", 24, 1 },
4034		{ "VFID", 15, 9 },
4035		{ "TC", 12, 3 },
4036		{ "VFVld", 11, 1 },
4037		{ "PF", 8, 3 },
4038		{ "RVF", 0, 8 },
4039	{ "PCIE_FID_VFID", 0x391c, 0 },
4040		{ "Select", 30, 2 },
4041		{ "IDO", 24, 1 },
4042		{ "VFID", 15, 9 },
4043		{ "TC", 12, 3 },
4044		{ "VFVld", 11, 1 },
4045		{ "PF", 8, 3 },
4046		{ "RVF", 0, 8 },
4047	{ "PCIE_FID_VFID", 0x3920, 0 },
4048		{ "Select", 30, 2 },
4049		{ "IDO", 24, 1 },
4050		{ "VFID", 15, 9 },
4051		{ "TC", 12, 3 },
4052		{ "VFVld", 11, 1 },
4053		{ "PF", 8, 3 },
4054		{ "RVF", 0, 8 },
4055	{ "PCIE_FID_VFID", 0x3924, 0 },
4056		{ "Select", 30, 2 },
4057		{ "IDO", 24, 1 },
4058		{ "VFID", 15, 9 },
4059		{ "TC", 12, 3 },
4060		{ "VFVld", 11, 1 },
4061		{ "PF", 8, 3 },
4062		{ "RVF", 0, 8 },
4063	{ "PCIE_FID_VFID", 0x3928, 0 },
4064		{ "Select", 30, 2 },
4065		{ "IDO", 24, 1 },
4066		{ "VFID", 15, 9 },
4067		{ "TC", 12, 3 },
4068		{ "VFVld", 11, 1 },
4069		{ "PF", 8, 3 },
4070		{ "RVF", 0, 8 },
4071	{ "PCIE_FID_VFID", 0x392c, 0 },
4072		{ "Select", 30, 2 },
4073		{ "IDO", 24, 1 },
4074		{ "VFID", 15, 9 },
4075		{ "TC", 12, 3 },
4076		{ "VFVld", 11, 1 },
4077		{ "PF", 8, 3 },
4078		{ "RVF", 0, 8 },
4079	{ "PCIE_FID_VFID", 0x3930, 0 },
4080		{ "Select", 30, 2 },
4081		{ "IDO", 24, 1 },
4082		{ "VFID", 15, 9 },
4083		{ "TC", 12, 3 },
4084		{ "VFVld", 11, 1 },
4085		{ "PF", 8, 3 },
4086		{ "RVF", 0, 8 },
4087	{ "PCIE_FID_VFID", 0x3934, 0 },
4088		{ "Select", 30, 2 },
4089		{ "IDO", 24, 1 },
4090		{ "VFID", 15, 9 },
4091		{ "TC", 12, 3 },
4092		{ "VFVld", 11, 1 },
4093		{ "PF", 8, 3 },
4094		{ "RVF", 0, 8 },
4095	{ "PCIE_FID_VFID", 0x3938, 0 },
4096		{ "Select", 30, 2 },
4097		{ "IDO", 24, 1 },
4098		{ "VFID", 15, 9 },
4099		{ "TC", 12, 3 },
4100		{ "VFVld", 11, 1 },
4101		{ "PF", 8, 3 },
4102		{ "RVF", 0, 8 },
4103	{ "PCIE_FID_VFID", 0x393c, 0 },
4104		{ "Select", 30, 2 },
4105		{ "IDO", 24, 1 },
4106		{ "VFID", 15, 9 },
4107		{ "TC", 12, 3 },
4108		{ "VFVld", 11, 1 },
4109		{ "PF", 8, 3 },
4110		{ "RVF", 0, 8 },
4111	{ "PCIE_FID_VFID", 0x3940, 0 },
4112		{ "Select", 30, 2 },
4113		{ "IDO", 24, 1 },
4114		{ "VFID", 15, 9 },
4115		{ "TC", 12, 3 },
4116		{ "VFVld", 11, 1 },
4117		{ "PF", 8, 3 },
4118		{ "RVF", 0, 8 },
4119	{ "PCIE_FID_VFID", 0x3944, 0 },
4120		{ "Select", 30, 2 },
4121		{ "IDO", 24, 1 },
4122		{ "VFID", 15, 9 },
4123		{ "TC", 12, 3 },
4124		{ "VFVld", 11, 1 },
4125		{ "PF", 8, 3 },
4126		{ "RVF", 0, 8 },
4127	{ "PCIE_FID_VFID", 0x3948, 0 },
4128		{ "Select", 30, 2 },
4129		{ "IDO", 24, 1 },
4130		{ "VFID", 15, 9 },
4131		{ "TC", 12, 3 },
4132		{ "VFVld", 11, 1 },
4133		{ "PF", 8, 3 },
4134		{ "RVF", 0, 8 },
4135	{ "PCIE_FID_VFID", 0x394c, 0 },
4136		{ "Select", 30, 2 },
4137		{ "IDO", 24, 1 },
4138		{ "VFID", 15, 9 },
4139		{ "TC", 12, 3 },
4140		{ "VFVld", 11, 1 },
4141		{ "PF", 8, 3 },
4142		{ "RVF", 0, 8 },
4143	{ "PCIE_FID_VFID", 0x3950, 0 },
4144		{ "Select", 30, 2 },
4145		{ "IDO", 24, 1 },
4146		{ "VFID", 15, 9 },
4147		{ "TC", 12, 3 },
4148		{ "VFVld", 11, 1 },
4149		{ "PF", 8, 3 },
4150		{ "RVF", 0, 8 },
4151	{ "PCIE_FID_VFID", 0x3954, 0 },
4152		{ "Select", 30, 2 },
4153		{ "IDO", 24, 1 },
4154		{ "VFID", 15, 9 },
4155		{ "TC", 12, 3 },
4156		{ "VFVld", 11, 1 },
4157		{ "PF", 8, 3 },
4158		{ "RVF", 0, 8 },
4159	{ "PCIE_FID_VFID", 0x3958, 0 },
4160		{ "Select", 30, 2 },
4161		{ "IDO", 24, 1 },
4162		{ "VFID", 15, 9 },
4163		{ "TC", 12, 3 },
4164		{ "VFVld", 11, 1 },
4165		{ "PF", 8, 3 },
4166		{ "RVF", 0, 8 },
4167	{ "PCIE_FID_VFID", 0x395c, 0 },
4168		{ "Select", 30, 2 },
4169		{ "IDO", 24, 1 },
4170		{ "VFID", 15, 9 },
4171		{ "TC", 12, 3 },
4172		{ "VFVld", 11, 1 },
4173		{ "PF", 8, 3 },
4174		{ "RVF", 0, 8 },
4175	{ "PCIE_FID_VFID", 0x3960, 0 },
4176		{ "Select", 30, 2 },
4177		{ "IDO", 24, 1 },
4178		{ "VFID", 15, 9 },
4179		{ "TC", 12, 3 },
4180		{ "VFVld", 11, 1 },
4181		{ "PF", 8, 3 },
4182		{ "RVF", 0, 8 },
4183	{ "PCIE_FID_VFID", 0x3964, 0 },
4184		{ "Select", 30, 2 },
4185		{ "IDO", 24, 1 },
4186		{ "VFID", 15, 9 },
4187		{ "TC", 12, 3 },
4188		{ "VFVld", 11, 1 },
4189		{ "PF", 8, 3 },
4190		{ "RVF", 0, 8 },
4191	{ "PCIE_FID_VFID", 0x3968, 0 },
4192		{ "Select", 30, 2 },
4193		{ "IDO", 24, 1 },
4194		{ "VFID", 15, 9 },
4195		{ "TC", 12, 3 },
4196		{ "VFVld", 11, 1 },
4197		{ "PF", 8, 3 },
4198		{ "RVF", 0, 8 },
4199	{ "PCIE_FID_VFID", 0x396c, 0 },
4200		{ "Select", 30, 2 },
4201		{ "IDO", 24, 1 },
4202		{ "VFID", 15, 9 },
4203		{ "TC", 12, 3 },
4204		{ "VFVld", 11, 1 },
4205		{ "PF", 8, 3 },
4206		{ "RVF", 0, 8 },
4207	{ "PCIE_FID_VFID", 0x3970, 0 },
4208		{ "Select", 30, 2 },
4209		{ "IDO", 24, 1 },
4210		{ "VFID", 15, 9 },
4211		{ "TC", 12, 3 },
4212		{ "VFVld", 11, 1 },
4213		{ "PF", 8, 3 },
4214		{ "RVF", 0, 8 },
4215	{ "PCIE_FID_VFID", 0x3974, 0 },
4216		{ "Select", 30, 2 },
4217		{ "IDO", 24, 1 },
4218		{ "VFID", 15, 9 },
4219		{ "TC", 12, 3 },
4220		{ "VFVld", 11, 1 },
4221		{ "PF", 8, 3 },
4222		{ "RVF", 0, 8 },
4223	{ "PCIE_FID_VFID", 0x3978, 0 },
4224		{ "Select", 30, 2 },
4225		{ "IDO", 24, 1 },
4226		{ "VFID", 15, 9 },
4227		{ "TC", 12, 3 },
4228		{ "VFVld", 11, 1 },
4229		{ "PF", 8, 3 },
4230		{ "RVF", 0, 8 },
4231	{ "PCIE_FID_VFID", 0x397c, 0 },
4232		{ "Select", 30, 2 },
4233		{ "IDO", 24, 1 },
4234		{ "VFID", 15, 9 },
4235		{ "TC", 12, 3 },
4236		{ "VFVld", 11, 1 },
4237		{ "PF", 8, 3 },
4238		{ "RVF", 0, 8 },
4239	{ "PCIE_FID_VFID", 0x3980, 0 },
4240		{ "Select", 30, 2 },
4241		{ "IDO", 24, 1 },
4242		{ "VFID", 15, 9 },
4243		{ "TC", 12, 3 },
4244		{ "VFVld", 11, 1 },
4245		{ "PF", 8, 3 },
4246		{ "RVF", 0, 8 },
4247	{ "PCIE_FID_VFID", 0x3984, 0 },
4248		{ "Select", 30, 2 },
4249		{ "IDO", 24, 1 },
4250		{ "VFID", 15, 9 },
4251		{ "TC", 12, 3 },
4252		{ "VFVld", 11, 1 },
4253		{ "PF", 8, 3 },
4254		{ "RVF", 0, 8 },
4255	{ "PCIE_FID_VFID", 0x3988, 0 },
4256		{ "Select", 30, 2 },
4257		{ "IDO", 24, 1 },
4258		{ "VFID", 15, 9 },
4259		{ "TC", 12, 3 },
4260		{ "VFVld", 11, 1 },
4261		{ "PF", 8, 3 },
4262		{ "RVF", 0, 8 },
4263	{ "PCIE_FID_VFID", 0x398c, 0 },
4264		{ "Select", 30, 2 },
4265		{ "IDO", 24, 1 },
4266		{ "VFID", 15, 9 },
4267		{ "TC", 12, 3 },
4268		{ "VFVld", 11, 1 },
4269		{ "PF", 8, 3 },
4270		{ "RVF", 0, 8 },
4271	{ "PCIE_FID_VFID", 0x3990, 0 },
4272		{ "Select", 30, 2 },
4273		{ "IDO", 24, 1 },
4274		{ "VFID", 15, 9 },
4275		{ "TC", 12, 3 },
4276		{ "VFVld", 11, 1 },
4277		{ "PF", 8, 3 },
4278		{ "RVF", 0, 8 },
4279	{ "PCIE_FID_VFID", 0x3994, 0 },
4280		{ "Select", 30, 2 },
4281		{ "IDO", 24, 1 },
4282		{ "VFID", 15, 9 },
4283		{ "TC", 12, 3 },
4284		{ "VFVld", 11, 1 },
4285		{ "PF", 8, 3 },
4286		{ "RVF", 0, 8 },
4287	{ "PCIE_FID_VFID", 0x3998, 0 },
4288		{ "Select", 30, 2 },
4289		{ "IDO", 24, 1 },
4290		{ "VFID", 15, 9 },
4291		{ "TC", 12, 3 },
4292		{ "VFVld", 11, 1 },
4293		{ "PF", 8, 3 },
4294		{ "RVF", 0, 8 },
4295	{ "PCIE_FID_VFID", 0x399c, 0 },
4296		{ "Select", 30, 2 },
4297		{ "IDO", 24, 1 },
4298		{ "VFID", 15, 9 },
4299		{ "TC", 12, 3 },
4300		{ "VFVld", 11, 1 },
4301		{ "PF", 8, 3 },
4302		{ "RVF", 0, 8 },
4303	{ "PCIE_FID_VFID", 0x39a0, 0 },
4304		{ "Select", 30, 2 },
4305		{ "IDO", 24, 1 },
4306		{ "VFID", 15, 9 },
4307		{ "TC", 12, 3 },
4308		{ "VFVld", 11, 1 },
4309		{ "PF", 8, 3 },
4310		{ "RVF", 0, 8 },
4311	{ "PCIE_FID_VFID", 0x39a4, 0 },
4312		{ "Select", 30, 2 },
4313		{ "IDO", 24, 1 },
4314		{ "VFID", 15, 9 },
4315		{ "TC", 12, 3 },
4316		{ "VFVld", 11, 1 },
4317		{ "PF", 8, 3 },
4318		{ "RVF", 0, 8 },
4319	{ "PCIE_FID_VFID", 0x39a8, 0 },
4320		{ "Select", 30, 2 },
4321		{ "IDO", 24, 1 },
4322		{ "VFID", 15, 9 },
4323		{ "TC", 12, 3 },
4324		{ "VFVld", 11, 1 },
4325		{ "PF", 8, 3 },
4326		{ "RVF", 0, 8 },
4327	{ "PCIE_FID_VFID", 0x39ac, 0 },
4328		{ "Select", 30, 2 },
4329		{ "IDO", 24, 1 },
4330		{ "VFID", 15, 9 },
4331		{ "TC", 12, 3 },
4332		{ "VFVld", 11, 1 },
4333		{ "PF", 8, 3 },
4334		{ "RVF", 0, 8 },
4335	{ "PCIE_FID_VFID", 0x39b0, 0 },
4336		{ "Select", 30, 2 },
4337		{ "IDO", 24, 1 },
4338		{ "VFID", 15, 9 },
4339		{ "TC", 12, 3 },
4340		{ "VFVld", 11, 1 },
4341		{ "PF", 8, 3 },
4342		{ "RVF", 0, 8 },
4343	{ "PCIE_FID_VFID", 0x39b4, 0 },
4344		{ "Select", 30, 2 },
4345		{ "IDO", 24, 1 },
4346		{ "VFID", 15, 9 },
4347		{ "TC", 12, 3 },
4348		{ "VFVld", 11, 1 },
4349		{ "PF", 8, 3 },
4350		{ "RVF", 0, 8 },
4351	{ "PCIE_FID_VFID", 0x39b8, 0 },
4352		{ "Select", 30, 2 },
4353		{ "IDO", 24, 1 },
4354		{ "VFID", 15, 9 },
4355		{ "TC", 12, 3 },
4356		{ "VFVld", 11, 1 },
4357		{ "PF", 8, 3 },
4358		{ "RVF", 0, 8 },
4359	{ "PCIE_FID_VFID", 0x39bc, 0 },
4360		{ "Select", 30, 2 },
4361		{ "IDO", 24, 1 },
4362		{ "VFID", 15, 9 },
4363		{ "TC", 12, 3 },
4364		{ "VFVld", 11, 1 },
4365		{ "PF", 8, 3 },
4366		{ "RVF", 0, 8 },
4367	{ "PCIE_FID_VFID", 0x39c0, 0 },
4368		{ "Select", 30, 2 },
4369		{ "IDO", 24, 1 },
4370		{ "VFID", 15, 9 },
4371		{ "TC", 12, 3 },
4372		{ "VFVld", 11, 1 },
4373		{ "PF", 8, 3 },
4374		{ "RVF", 0, 8 },
4375	{ "PCIE_FID_VFID", 0x39c4, 0 },
4376		{ "Select", 30, 2 },
4377		{ "IDO", 24, 1 },
4378		{ "VFID", 15, 9 },
4379		{ "TC", 12, 3 },
4380		{ "VFVld", 11, 1 },
4381		{ "PF", 8, 3 },
4382		{ "RVF", 0, 8 },
4383	{ "PCIE_FID_VFID", 0x39c8, 0 },
4384		{ "Select", 30, 2 },
4385		{ "IDO", 24, 1 },
4386		{ "VFID", 15, 9 },
4387		{ "TC", 12, 3 },
4388		{ "VFVld", 11, 1 },
4389		{ "PF", 8, 3 },
4390		{ "RVF", 0, 8 },
4391	{ "PCIE_FID_VFID", 0x39cc, 0 },
4392		{ "Select", 30, 2 },
4393		{ "IDO", 24, 1 },
4394		{ "VFID", 15, 9 },
4395		{ "TC", 12, 3 },
4396		{ "VFVld", 11, 1 },
4397		{ "PF", 8, 3 },
4398		{ "RVF", 0, 8 },
4399	{ "PCIE_FID_VFID", 0x39d0, 0 },
4400		{ "Select", 30, 2 },
4401		{ "IDO", 24, 1 },
4402		{ "VFID", 15, 9 },
4403		{ "TC", 12, 3 },
4404		{ "VFVld", 11, 1 },
4405		{ "PF", 8, 3 },
4406		{ "RVF", 0, 8 },
4407	{ "PCIE_FID_VFID", 0x39d4, 0 },
4408		{ "Select", 30, 2 },
4409		{ "IDO", 24, 1 },
4410		{ "VFID", 15, 9 },
4411		{ "TC", 12, 3 },
4412		{ "VFVld", 11, 1 },
4413		{ "PF", 8, 3 },
4414		{ "RVF", 0, 8 },
4415	{ "PCIE_FID_VFID", 0x39d8, 0 },
4416		{ "Select", 30, 2 },
4417		{ "IDO", 24, 1 },
4418		{ "VFID", 15, 9 },
4419		{ "TC", 12, 3 },
4420		{ "VFVld", 11, 1 },
4421		{ "PF", 8, 3 },
4422		{ "RVF", 0, 8 },
4423	{ "PCIE_FID_VFID", 0x39dc, 0 },
4424		{ "Select", 30, 2 },
4425		{ "IDO", 24, 1 },
4426		{ "VFID", 15, 9 },
4427		{ "TC", 12, 3 },
4428		{ "VFVld", 11, 1 },
4429		{ "PF", 8, 3 },
4430		{ "RVF", 0, 8 },
4431	{ "PCIE_FID_VFID", 0x39e0, 0 },
4432		{ "Select", 30, 2 },
4433		{ "IDO", 24, 1 },
4434		{ "VFID", 15, 9 },
4435		{ "TC", 12, 3 },
4436		{ "VFVld", 11, 1 },
4437		{ "PF", 8, 3 },
4438		{ "RVF", 0, 8 },
4439	{ "PCIE_FID_VFID", 0x39e4, 0 },
4440		{ "Select", 30, 2 },
4441		{ "IDO", 24, 1 },
4442		{ "VFID", 15, 9 },
4443		{ "TC", 12, 3 },
4444		{ "VFVld", 11, 1 },
4445		{ "PF", 8, 3 },
4446		{ "RVF", 0, 8 },
4447	{ "PCIE_FID_VFID", 0x39e8, 0 },
4448		{ "Select", 30, 2 },
4449		{ "IDO", 24, 1 },
4450		{ "VFID", 15, 9 },
4451		{ "TC", 12, 3 },
4452		{ "VFVld", 11, 1 },
4453		{ "PF", 8, 3 },
4454		{ "RVF", 0, 8 },
4455	{ "PCIE_FID_VFID", 0x39ec, 0 },
4456		{ "Select", 30, 2 },
4457		{ "IDO", 24, 1 },
4458		{ "VFID", 15, 9 },
4459		{ "TC", 12, 3 },
4460		{ "VFVld", 11, 1 },
4461		{ "PF", 8, 3 },
4462		{ "RVF", 0, 8 },
4463	{ "PCIE_FID_VFID", 0x39f0, 0 },
4464		{ "Select", 30, 2 },
4465		{ "IDO", 24, 1 },
4466		{ "VFID", 15, 9 },
4467		{ "TC", 12, 3 },
4468		{ "VFVld", 11, 1 },
4469		{ "PF", 8, 3 },
4470		{ "RVF", 0, 8 },
4471	{ "PCIE_FID_VFID", 0x39f4, 0 },
4472		{ "Select", 30, 2 },
4473		{ "IDO", 24, 1 },
4474		{ "VFID", 15, 9 },
4475		{ "TC", 12, 3 },
4476		{ "VFVld", 11, 1 },
4477		{ "PF", 8, 3 },
4478		{ "RVF", 0, 8 },
4479	{ "PCIE_FID_VFID", 0x39f8, 0 },
4480		{ "Select", 30, 2 },
4481		{ "IDO", 24, 1 },
4482		{ "VFID", 15, 9 },
4483		{ "TC", 12, 3 },
4484		{ "VFVld", 11, 1 },
4485		{ "PF", 8, 3 },
4486		{ "RVF", 0, 8 },
4487	{ "PCIE_FID_VFID", 0x39fc, 0 },
4488		{ "Select", 30, 2 },
4489		{ "IDO", 24, 1 },
4490		{ "VFID", 15, 9 },
4491		{ "TC", 12, 3 },
4492		{ "VFVld", 11, 1 },
4493		{ "PF", 8, 3 },
4494		{ "RVF", 0, 8 },
4495	{ "PCIE_FID_VFID", 0x3a00, 0 },
4496		{ "Select", 30, 2 },
4497		{ "IDO", 24, 1 },
4498		{ "VFID", 15, 9 },
4499		{ "TC", 12, 3 },
4500		{ "VFVld", 11, 1 },
4501		{ "PF", 8, 3 },
4502		{ "RVF", 0, 8 },
4503	{ "PCIE_FID_VFID", 0x3a04, 0 },
4504		{ "Select", 30, 2 },
4505		{ "IDO", 24, 1 },
4506		{ "VFID", 15, 9 },
4507		{ "TC", 12, 3 },
4508		{ "VFVld", 11, 1 },
4509		{ "PF", 8, 3 },
4510		{ "RVF", 0, 8 },
4511	{ "PCIE_FID_VFID", 0x3a08, 0 },
4512		{ "Select", 30, 2 },
4513		{ "IDO", 24, 1 },
4514		{ "VFID", 15, 9 },
4515		{ "TC", 12, 3 },
4516		{ "VFVld", 11, 1 },
4517		{ "PF", 8, 3 },
4518		{ "RVF", 0, 8 },
4519	{ "PCIE_FID_VFID", 0x3a0c, 0 },
4520		{ "Select", 30, 2 },
4521		{ "IDO", 24, 1 },
4522		{ "VFID", 15, 9 },
4523		{ "TC", 12, 3 },
4524		{ "VFVld", 11, 1 },
4525		{ "PF", 8, 3 },
4526		{ "RVF", 0, 8 },
4527	{ "PCIE_FID_VFID", 0x3a10, 0 },
4528		{ "Select", 30, 2 },
4529		{ "IDO", 24, 1 },
4530		{ "VFID", 15, 9 },
4531		{ "TC", 12, 3 },
4532		{ "VFVld", 11, 1 },
4533		{ "PF", 8, 3 },
4534		{ "RVF", 0, 8 },
4535	{ "PCIE_FID_VFID", 0x3a14, 0 },
4536		{ "Select", 30, 2 },
4537		{ "IDO", 24, 1 },
4538		{ "VFID", 15, 9 },
4539		{ "TC", 12, 3 },
4540		{ "VFVld", 11, 1 },
4541		{ "PF", 8, 3 },
4542		{ "RVF", 0, 8 },
4543	{ "PCIE_FID_VFID", 0x3a18, 0 },
4544		{ "Select", 30, 2 },
4545		{ "IDO", 24, 1 },
4546		{ "VFID", 15, 9 },
4547		{ "TC", 12, 3 },
4548		{ "VFVld", 11, 1 },
4549		{ "PF", 8, 3 },
4550		{ "RVF", 0, 8 },
4551	{ "PCIE_FID_VFID", 0x3a1c, 0 },
4552		{ "Select", 30, 2 },
4553		{ "IDO", 24, 1 },
4554		{ "VFID", 15, 9 },
4555		{ "TC", 12, 3 },
4556		{ "VFVld", 11, 1 },
4557		{ "PF", 8, 3 },
4558		{ "RVF", 0, 8 },
4559	{ "PCIE_FID_VFID", 0x3a20, 0 },
4560		{ "Select", 30, 2 },
4561		{ "IDO", 24, 1 },
4562		{ "VFID", 15, 9 },
4563		{ "TC", 12, 3 },
4564		{ "VFVld", 11, 1 },
4565		{ "PF", 8, 3 },
4566		{ "RVF", 0, 8 },
4567	{ "PCIE_FID_VFID", 0x3a24, 0 },
4568		{ "Select", 30, 2 },
4569		{ "IDO", 24, 1 },
4570		{ "VFID", 15, 9 },
4571		{ "TC", 12, 3 },
4572		{ "VFVld", 11, 1 },
4573		{ "PF", 8, 3 },
4574		{ "RVF", 0, 8 },
4575	{ "PCIE_FID_VFID", 0x3a28, 0 },
4576		{ "Select", 30, 2 },
4577		{ "IDO", 24, 1 },
4578		{ "VFID", 15, 9 },
4579		{ "TC", 12, 3 },
4580		{ "VFVld", 11, 1 },
4581		{ "PF", 8, 3 },
4582		{ "RVF", 0, 8 },
4583	{ "PCIE_FID_VFID", 0x3a2c, 0 },
4584		{ "Select", 30, 2 },
4585		{ "IDO", 24, 1 },
4586		{ "VFID", 15, 9 },
4587		{ "TC", 12, 3 },
4588		{ "VFVld", 11, 1 },
4589		{ "PF", 8, 3 },
4590		{ "RVF", 0, 8 },
4591	{ "PCIE_FID_VFID", 0x3a30, 0 },
4592		{ "Select", 30, 2 },
4593		{ "IDO", 24, 1 },
4594		{ "VFID", 15, 9 },
4595		{ "TC", 12, 3 },
4596		{ "VFVld", 11, 1 },
4597		{ "PF", 8, 3 },
4598		{ "RVF", 0, 8 },
4599	{ "PCIE_FID_VFID", 0x3a34, 0 },
4600		{ "Select", 30, 2 },
4601		{ "IDO", 24, 1 },
4602		{ "VFID", 15, 9 },
4603		{ "TC", 12, 3 },
4604		{ "VFVld", 11, 1 },
4605		{ "PF", 8, 3 },
4606		{ "RVF", 0, 8 },
4607	{ "PCIE_FID_VFID", 0x3a38, 0 },
4608		{ "Select", 30, 2 },
4609		{ "IDO", 24, 1 },
4610		{ "VFID", 15, 9 },
4611		{ "TC", 12, 3 },
4612		{ "VFVld", 11, 1 },
4613		{ "PF", 8, 3 },
4614		{ "RVF", 0, 8 },
4615	{ "PCIE_FID_VFID", 0x3a3c, 0 },
4616		{ "Select", 30, 2 },
4617		{ "IDO", 24, 1 },
4618		{ "VFID", 15, 9 },
4619		{ "TC", 12, 3 },
4620		{ "VFVld", 11, 1 },
4621		{ "PF", 8, 3 },
4622		{ "RVF", 0, 8 },
4623	{ "PCIE_FID_VFID", 0x3a40, 0 },
4624		{ "Select", 30, 2 },
4625		{ "IDO", 24, 1 },
4626		{ "VFID", 15, 9 },
4627		{ "TC", 12, 3 },
4628		{ "VFVld", 11, 1 },
4629		{ "PF", 8, 3 },
4630		{ "RVF", 0, 8 },
4631	{ "PCIE_FID_VFID", 0x3a44, 0 },
4632		{ "Select", 30, 2 },
4633		{ "IDO", 24, 1 },
4634		{ "VFID", 15, 9 },
4635		{ "TC", 12, 3 },
4636		{ "VFVld", 11, 1 },
4637		{ "PF", 8, 3 },
4638		{ "RVF", 0, 8 },
4639	{ "PCIE_FID_VFID", 0x3a48, 0 },
4640		{ "Select", 30, 2 },
4641		{ "IDO", 24, 1 },
4642		{ "VFID", 15, 9 },
4643		{ "TC", 12, 3 },
4644		{ "VFVld", 11, 1 },
4645		{ "PF", 8, 3 },
4646		{ "RVF", 0, 8 },
4647	{ "PCIE_FID_VFID", 0x3a4c, 0 },
4648		{ "Select", 30, 2 },
4649		{ "IDO", 24, 1 },
4650		{ "VFID", 15, 9 },
4651		{ "TC", 12, 3 },
4652		{ "VFVld", 11, 1 },
4653		{ "PF", 8, 3 },
4654		{ "RVF", 0, 8 },
4655	{ "PCIE_FID_VFID", 0x3a50, 0 },
4656		{ "Select", 30, 2 },
4657		{ "IDO", 24, 1 },
4658		{ "VFID", 15, 9 },
4659		{ "TC", 12, 3 },
4660		{ "VFVld", 11, 1 },
4661		{ "PF", 8, 3 },
4662		{ "RVF", 0, 8 },
4663	{ "PCIE_FID_VFID", 0x3a54, 0 },
4664		{ "Select", 30, 2 },
4665		{ "IDO", 24, 1 },
4666		{ "VFID", 15, 9 },
4667		{ "TC", 12, 3 },
4668		{ "VFVld", 11, 1 },
4669		{ "PF", 8, 3 },
4670		{ "RVF", 0, 8 },
4671	{ "PCIE_FID_VFID", 0x3a58, 0 },
4672		{ "Select", 30, 2 },
4673		{ "IDO", 24, 1 },
4674		{ "VFID", 15, 9 },
4675		{ "TC", 12, 3 },
4676		{ "VFVld", 11, 1 },
4677		{ "PF", 8, 3 },
4678		{ "RVF", 0, 8 },
4679	{ "PCIE_FID_VFID", 0x3a5c, 0 },
4680		{ "Select", 30, 2 },
4681		{ "IDO", 24, 1 },
4682		{ "VFID", 15, 9 },
4683		{ "TC", 12, 3 },
4684		{ "VFVld", 11, 1 },
4685		{ "PF", 8, 3 },
4686		{ "RVF", 0, 8 },
4687	{ "PCIE_FID_VFID", 0x3a60, 0 },
4688		{ "Select", 30, 2 },
4689		{ "IDO", 24, 1 },
4690		{ "VFID", 15, 9 },
4691		{ "TC", 12, 3 },
4692		{ "VFVld", 11, 1 },
4693		{ "PF", 8, 3 },
4694		{ "RVF", 0, 8 },
4695	{ "PCIE_FID_VFID", 0x3a64, 0 },
4696		{ "Select", 30, 2 },
4697		{ "IDO", 24, 1 },
4698		{ "VFID", 15, 9 },
4699		{ "TC", 12, 3 },
4700		{ "VFVld", 11, 1 },
4701		{ "PF", 8, 3 },
4702		{ "RVF", 0, 8 },
4703	{ "PCIE_FID_VFID", 0x3a68, 0 },
4704		{ "Select", 30, 2 },
4705		{ "IDO", 24, 1 },
4706		{ "VFID", 15, 9 },
4707		{ "TC", 12, 3 },
4708		{ "VFVld", 11, 1 },
4709		{ "PF", 8, 3 },
4710		{ "RVF", 0, 8 },
4711	{ "PCIE_FID_VFID", 0x3a6c, 0 },
4712		{ "Select", 30, 2 },
4713		{ "IDO", 24, 1 },
4714		{ "VFID", 15, 9 },
4715		{ "TC", 12, 3 },
4716		{ "VFVld", 11, 1 },
4717		{ "PF", 8, 3 },
4718		{ "RVF", 0, 8 },
4719	{ "PCIE_FID_VFID", 0x3a70, 0 },
4720		{ "Select", 30, 2 },
4721		{ "IDO", 24, 1 },
4722		{ "VFID", 15, 9 },
4723		{ "TC", 12, 3 },
4724		{ "VFVld", 11, 1 },
4725		{ "PF", 8, 3 },
4726		{ "RVF", 0, 8 },
4727	{ "PCIE_FID_VFID", 0x3a74, 0 },
4728		{ "Select", 30, 2 },
4729		{ "IDO", 24, 1 },
4730		{ "VFID", 15, 9 },
4731		{ "TC", 12, 3 },
4732		{ "VFVld", 11, 1 },
4733		{ "PF", 8, 3 },
4734		{ "RVF", 0, 8 },
4735	{ "PCIE_FID_VFID", 0x3a78, 0 },
4736		{ "Select", 30, 2 },
4737		{ "IDO", 24, 1 },
4738		{ "VFID", 15, 9 },
4739		{ "TC", 12, 3 },
4740		{ "VFVld", 11, 1 },
4741		{ "PF", 8, 3 },
4742		{ "RVF", 0, 8 },
4743	{ "PCIE_FID_VFID", 0x3a7c, 0 },
4744		{ "Select", 30, 2 },
4745		{ "IDO", 24, 1 },
4746		{ "VFID", 15, 9 },
4747		{ "TC", 12, 3 },
4748		{ "VFVld", 11, 1 },
4749		{ "PF", 8, 3 },
4750		{ "RVF", 0, 8 },
4751	{ "PCIE_FID_VFID", 0x3a80, 0 },
4752		{ "Select", 30, 2 },
4753		{ "IDO", 24, 1 },
4754		{ "VFID", 15, 9 },
4755		{ "TC", 12, 3 },
4756		{ "VFVld", 11, 1 },
4757		{ "PF", 8, 3 },
4758		{ "RVF", 0, 8 },
4759	{ "PCIE_FID_VFID", 0x3a84, 0 },
4760		{ "Select", 30, 2 },
4761		{ "IDO", 24, 1 },
4762		{ "VFID", 15, 9 },
4763		{ "TC", 12, 3 },
4764		{ "VFVld", 11, 1 },
4765		{ "PF", 8, 3 },
4766		{ "RVF", 0, 8 },
4767	{ "PCIE_FID_VFID", 0x3a88, 0 },
4768		{ "Select", 30, 2 },
4769		{ "IDO", 24, 1 },
4770		{ "VFID", 15, 9 },
4771		{ "TC", 12, 3 },
4772		{ "VFVld", 11, 1 },
4773		{ "PF", 8, 3 },
4774		{ "RVF", 0, 8 },
4775	{ "PCIE_FID_VFID", 0x3a8c, 0 },
4776		{ "Select", 30, 2 },
4777		{ "IDO", 24, 1 },
4778		{ "VFID", 15, 9 },
4779		{ "TC", 12, 3 },
4780		{ "VFVld", 11, 1 },
4781		{ "PF", 8, 3 },
4782		{ "RVF", 0, 8 },
4783	{ "PCIE_FID_VFID", 0x3a90, 0 },
4784		{ "Select", 30, 2 },
4785		{ "IDO", 24, 1 },
4786		{ "VFID", 15, 9 },
4787		{ "TC", 12, 3 },
4788		{ "VFVld", 11, 1 },
4789		{ "PF", 8, 3 },
4790		{ "RVF", 0, 8 },
4791	{ "PCIE_FID_VFID", 0x3a94, 0 },
4792		{ "Select", 30, 2 },
4793		{ "IDO", 24, 1 },
4794		{ "VFID", 15, 9 },
4795		{ "TC", 12, 3 },
4796		{ "VFVld", 11, 1 },
4797		{ "PF", 8, 3 },
4798		{ "RVF", 0, 8 },
4799	{ "PCIE_FID_VFID", 0x3a98, 0 },
4800		{ "Select", 30, 2 },
4801		{ "IDO", 24, 1 },
4802		{ "VFID", 15, 9 },
4803		{ "TC", 12, 3 },
4804		{ "VFVld", 11, 1 },
4805		{ "PF", 8, 3 },
4806		{ "RVF", 0, 8 },
4807	{ "PCIE_FID_VFID", 0x3a9c, 0 },
4808		{ "Select", 30, 2 },
4809		{ "IDO", 24, 1 },
4810		{ "VFID", 15, 9 },
4811		{ "TC", 12, 3 },
4812		{ "VFVld", 11, 1 },
4813		{ "PF", 8, 3 },
4814		{ "RVF", 0, 8 },
4815	{ "PCIE_FID_VFID", 0x3aa0, 0 },
4816		{ "Select", 30, 2 },
4817		{ "IDO", 24, 1 },
4818		{ "VFID", 15, 9 },
4819		{ "TC", 12, 3 },
4820		{ "VFVld", 11, 1 },
4821		{ "PF", 8, 3 },
4822		{ "RVF", 0, 8 },
4823	{ "PCIE_FID_VFID", 0x3aa4, 0 },
4824		{ "Select", 30, 2 },
4825		{ "IDO", 24, 1 },
4826		{ "VFID", 15, 9 },
4827		{ "TC", 12, 3 },
4828		{ "VFVld", 11, 1 },
4829		{ "PF", 8, 3 },
4830		{ "RVF", 0, 8 },
4831	{ "PCIE_FID_VFID", 0x3aa8, 0 },
4832		{ "Select", 30, 2 },
4833		{ "IDO", 24, 1 },
4834		{ "VFID", 15, 9 },
4835		{ "TC", 12, 3 },
4836		{ "VFVld", 11, 1 },
4837		{ "PF", 8, 3 },
4838		{ "RVF", 0, 8 },
4839	{ "PCIE_FID_VFID", 0x3aac, 0 },
4840		{ "Select", 30, 2 },
4841		{ "IDO", 24, 1 },
4842		{ "VFID", 15, 9 },
4843		{ "TC", 12, 3 },
4844		{ "VFVld", 11, 1 },
4845		{ "PF", 8, 3 },
4846		{ "RVF", 0, 8 },
4847	{ "PCIE_FID_VFID", 0x3ab0, 0 },
4848		{ "Select", 30, 2 },
4849		{ "IDO", 24, 1 },
4850		{ "VFID", 15, 9 },
4851		{ "TC", 12, 3 },
4852		{ "VFVld", 11, 1 },
4853		{ "PF", 8, 3 },
4854		{ "RVF", 0, 8 },
4855	{ "PCIE_FID_VFID", 0x3ab4, 0 },
4856		{ "Select", 30, 2 },
4857		{ "IDO", 24, 1 },
4858		{ "VFID", 15, 9 },
4859		{ "TC", 12, 3 },
4860		{ "VFVld", 11, 1 },
4861		{ "PF", 8, 3 },
4862		{ "RVF", 0, 8 },
4863	{ "PCIE_FID_VFID", 0x3ab8, 0 },
4864		{ "Select", 30, 2 },
4865		{ "IDO", 24, 1 },
4866		{ "VFID", 15, 9 },
4867		{ "TC", 12, 3 },
4868		{ "VFVld", 11, 1 },
4869		{ "PF", 8, 3 },
4870		{ "RVF", 0, 8 },
4871	{ "PCIE_FID_VFID", 0x3abc, 0 },
4872		{ "Select", 30, 2 },
4873		{ "IDO", 24, 1 },
4874		{ "VFID", 15, 9 },
4875		{ "TC", 12, 3 },
4876		{ "VFVld", 11, 1 },
4877		{ "PF", 8, 3 },
4878		{ "RVF", 0, 8 },
4879	{ "PCIE_FID_VFID", 0x3ac0, 0 },
4880		{ "Select", 30, 2 },
4881		{ "IDO", 24, 1 },
4882		{ "VFID", 15, 9 },
4883		{ "TC", 12, 3 },
4884		{ "VFVld", 11, 1 },
4885		{ "PF", 8, 3 },
4886		{ "RVF", 0, 8 },
4887	{ "PCIE_FID_VFID", 0x3ac4, 0 },
4888		{ "Select", 30, 2 },
4889		{ "IDO", 24, 1 },
4890		{ "VFID", 15, 9 },
4891		{ "TC", 12, 3 },
4892		{ "VFVld", 11, 1 },
4893		{ "PF", 8, 3 },
4894		{ "RVF", 0, 8 },
4895	{ "PCIE_FID_VFID", 0x3ac8, 0 },
4896		{ "Select", 30, 2 },
4897		{ "IDO", 24, 1 },
4898		{ "VFID", 15, 9 },
4899		{ "TC", 12, 3 },
4900		{ "VFVld", 11, 1 },
4901		{ "PF", 8, 3 },
4902		{ "RVF", 0, 8 },
4903	{ "PCIE_FID_VFID", 0x3acc, 0 },
4904		{ "Select", 30, 2 },
4905		{ "IDO", 24, 1 },
4906		{ "VFID", 15, 9 },
4907		{ "TC", 12, 3 },
4908		{ "VFVld", 11, 1 },
4909		{ "PF", 8, 3 },
4910		{ "RVF", 0, 8 },
4911	{ "PCIE_FID_VFID", 0x3ad0, 0 },
4912		{ "Select", 30, 2 },
4913		{ "IDO", 24, 1 },
4914		{ "VFID", 15, 9 },
4915		{ "TC", 12, 3 },
4916		{ "VFVld", 11, 1 },
4917		{ "PF", 8, 3 },
4918		{ "RVF", 0, 8 },
4919	{ "PCIE_FID_VFID", 0x3ad4, 0 },
4920		{ "Select", 30, 2 },
4921		{ "IDO", 24, 1 },
4922		{ "VFID", 15, 9 },
4923		{ "TC", 12, 3 },
4924		{ "VFVld", 11, 1 },
4925		{ "PF", 8, 3 },
4926		{ "RVF", 0, 8 },
4927	{ "PCIE_FID_VFID", 0x3ad8, 0 },
4928		{ "Select", 30, 2 },
4929		{ "IDO", 24, 1 },
4930		{ "VFID", 15, 9 },
4931		{ "TC", 12, 3 },
4932		{ "VFVld", 11, 1 },
4933		{ "PF", 8, 3 },
4934		{ "RVF", 0, 8 },
4935	{ "PCIE_FID_VFID", 0x3adc, 0 },
4936		{ "Select", 30, 2 },
4937		{ "IDO", 24, 1 },
4938		{ "VFID", 15, 9 },
4939		{ "TC", 12, 3 },
4940		{ "VFVld", 11, 1 },
4941		{ "PF", 8, 3 },
4942		{ "RVF", 0, 8 },
4943	{ "PCIE_FID_VFID", 0x3ae0, 0 },
4944		{ "Select", 30, 2 },
4945		{ "IDO", 24, 1 },
4946		{ "VFID", 15, 9 },
4947		{ "TC", 12, 3 },
4948		{ "VFVld", 11, 1 },
4949		{ "PF", 8, 3 },
4950		{ "RVF", 0, 8 },
4951	{ "PCIE_FID_VFID", 0x3ae4, 0 },
4952		{ "Select", 30, 2 },
4953		{ "IDO", 24, 1 },
4954		{ "VFID", 15, 9 },
4955		{ "TC", 12, 3 },
4956		{ "VFVld", 11, 1 },
4957		{ "PF", 8, 3 },
4958		{ "RVF", 0, 8 },
4959	{ "PCIE_FID_VFID", 0x3ae8, 0 },
4960		{ "Select", 30, 2 },
4961		{ "IDO", 24, 1 },
4962		{ "VFID", 15, 9 },
4963		{ "TC", 12, 3 },
4964		{ "VFVld", 11, 1 },
4965		{ "PF", 8, 3 },
4966		{ "RVF", 0, 8 },
4967	{ "PCIE_FID_VFID", 0x3aec, 0 },
4968		{ "Select", 30, 2 },
4969		{ "IDO", 24, 1 },
4970		{ "VFID", 15, 9 },
4971		{ "TC", 12, 3 },
4972		{ "VFVld", 11, 1 },
4973		{ "PF", 8, 3 },
4974		{ "RVF", 0, 8 },
4975	{ "PCIE_FID_VFID", 0x3af0, 0 },
4976		{ "Select", 30, 2 },
4977		{ "IDO", 24, 1 },
4978		{ "VFID", 15, 9 },
4979		{ "TC", 12, 3 },
4980		{ "VFVld", 11, 1 },
4981		{ "PF", 8, 3 },
4982		{ "RVF", 0, 8 },
4983	{ "PCIE_FID_VFID", 0x3af4, 0 },
4984		{ "Select", 30, 2 },
4985		{ "IDO", 24, 1 },
4986		{ "VFID", 15, 9 },
4987		{ "TC", 12, 3 },
4988		{ "VFVld", 11, 1 },
4989		{ "PF", 8, 3 },
4990		{ "RVF", 0, 8 },
4991	{ "PCIE_FID_VFID", 0x3af8, 0 },
4992		{ "Select", 30, 2 },
4993		{ "IDO", 24, 1 },
4994		{ "VFID", 15, 9 },
4995		{ "TC", 12, 3 },
4996		{ "VFVld", 11, 1 },
4997		{ "PF", 8, 3 },
4998		{ "RVF", 0, 8 },
4999	{ "PCIE_FID_VFID", 0x3afc, 0 },
5000		{ "Select", 30, 2 },
5001		{ "IDO", 24, 1 },
5002		{ "VFID", 15, 9 },
5003		{ "TC", 12, 3 },
5004		{ "VFVld", 11, 1 },
5005		{ "PF", 8, 3 },
5006		{ "RVF", 0, 8 },
5007	{ "PCIE_FID_VFID", 0x3b00, 0 },
5008		{ "Select", 30, 2 },
5009		{ "IDO", 24, 1 },
5010		{ "VFID", 15, 9 },
5011		{ "TC", 12, 3 },
5012		{ "VFVld", 11, 1 },
5013		{ "PF", 8, 3 },
5014		{ "RVF", 0, 8 },
5015	{ "PCIE_FID_VFID", 0x3b04, 0 },
5016		{ "Select", 30, 2 },
5017		{ "IDO", 24, 1 },
5018		{ "VFID", 15, 9 },
5019		{ "TC", 12, 3 },
5020		{ "VFVld", 11, 1 },
5021		{ "PF", 8, 3 },
5022		{ "RVF", 0, 8 },
5023	{ "PCIE_FID_VFID", 0x3b08, 0 },
5024		{ "Select", 30, 2 },
5025		{ "IDO", 24, 1 },
5026		{ "VFID", 15, 9 },
5027		{ "TC", 12, 3 },
5028		{ "VFVld", 11, 1 },
5029		{ "PF", 8, 3 },
5030		{ "RVF", 0, 8 },
5031	{ "PCIE_FID_VFID", 0x3b0c, 0 },
5032		{ "Select", 30, 2 },
5033		{ "IDO", 24, 1 },
5034		{ "VFID", 15, 9 },
5035		{ "TC", 12, 3 },
5036		{ "VFVld", 11, 1 },
5037		{ "PF", 8, 3 },
5038		{ "RVF", 0, 8 },
5039	{ "PCIE_FID_VFID", 0x3b10, 0 },
5040		{ "Select", 30, 2 },
5041		{ "IDO", 24, 1 },
5042		{ "VFID", 15, 9 },
5043		{ "TC", 12, 3 },
5044		{ "VFVld", 11, 1 },
5045		{ "PF", 8, 3 },
5046		{ "RVF", 0, 8 },
5047	{ "PCIE_FID_VFID", 0x3b14, 0 },
5048		{ "Select", 30, 2 },
5049		{ "IDO", 24, 1 },
5050		{ "VFID", 15, 9 },
5051		{ "TC", 12, 3 },
5052		{ "VFVld", 11, 1 },
5053		{ "PF", 8, 3 },
5054		{ "RVF", 0, 8 },
5055	{ "PCIE_FID_VFID", 0x3b18, 0 },
5056		{ "Select", 30, 2 },
5057		{ "IDO", 24, 1 },
5058		{ "VFID", 15, 9 },
5059		{ "TC", 12, 3 },
5060		{ "VFVld", 11, 1 },
5061		{ "PF", 8, 3 },
5062		{ "RVF", 0, 8 },
5063	{ "PCIE_FID_VFID", 0x3b1c, 0 },
5064		{ "Select", 30, 2 },
5065		{ "IDO", 24, 1 },
5066		{ "VFID", 15, 9 },
5067		{ "TC", 12, 3 },
5068		{ "VFVld", 11, 1 },
5069		{ "PF", 8, 3 },
5070		{ "RVF", 0, 8 },
5071	{ "PCIE_FID_VFID", 0x3b20, 0 },
5072		{ "Select", 30, 2 },
5073		{ "IDO", 24, 1 },
5074		{ "VFID", 15, 9 },
5075		{ "TC", 12, 3 },
5076		{ "VFVld", 11, 1 },
5077		{ "PF", 8, 3 },
5078		{ "RVF", 0, 8 },
5079	{ "PCIE_FID_VFID", 0x3b24, 0 },
5080		{ "Select", 30, 2 },
5081		{ "IDO", 24, 1 },
5082		{ "VFID", 15, 9 },
5083		{ "TC", 12, 3 },
5084		{ "VFVld", 11, 1 },
5085		{ "PF", 8, 3 },
5086		{ "RVF", 0, 8 },
5087	{ "PCIE_FID_VFID", 0x3b28, 0 },
5088		{ "Select", 30, 2 },
5089		{ "IDO", 24, 1 },
5090		{ "VFID", 15, 9 },
5091		{ "TC", 12, 3 },
5092		{ "VFVld", 11, 1 },
5093		{ "PF", 8, 3 },
5094		{ "RVF", 0, 8 },
5095	{ "PCIE_FID_VFID", 0x3b2c, 0 },
5096		{ "Select", 30, 2 },
5097		{ "IDO", 24, 1 },
5098		{ "VFID", 15, 9 },
5099		{ "TC", 12, 3 },
5100		{ "VFVld", 11, 1 },
5101		{ "PF", 8, 3 },
5102		{ "RVF", 0, 8 },
5103	{ "PCIE_FID_VFID", 0x3b30, 0 },
5104		{ "Select", 30, 2 },
5105		{ "IDO", 24, 1 },
5106		{ "VFID", 15, 9 },
5107		{ "TC", 12, 3 },
5108		{ "VFVld", 11, 1 },
5109		{ "PF", 8, 3 },
5110		{ "RVF", 0, 8 },
5111	{ "PCIE_FID_VFID", 0x3b34, 0 },
5112		{ "Select", 30, 2 },
5113		{ "IDO", 24, 1 },
5114		{ "VFID", 15, 9 },
5115		{ "TC", 12, 3 },
5116		{ "VFVld", 11, 1 },
5117		{ "PF", 8, 3 },
5118		{ "RVF", 0, 8 },
5119	{ "PCIE_FID_VFID", 0x3b38, 0 },
5120		{ "Select", 30, 2 },
5121		{ "IDO", 24, 1 },
5122		{ "VFID", 15, 9 },
5123		{ "TC", 12, 3 },
5124		{ "VFVld", 11, 1 },
5125		{ "PF", 8, 3 },
5126		{ "RVF", 0, 8 },
5127	{ "PCIE_FID_VFID", 0x3b3c, 0 },
5128		{ "Select", 30, 2 },
5129		{ "IDO", 24, 1 },
5130		{ "VFID", 15, 9 },
5131		{ "TC", 12, 3 },
5132		{ "VFVld", 11, 1 },
5133		{ "PF", 8, 3 },
5134		{ "RVF", 0, 8 },
5135	{ "PCIE_FID_VFID", 0x3b40, 0 },
5136		{ "Select", 30, 2 },
5137		{ "IDO", 24, 1 },
5138		{ "VFID", 15, 9 },
5139		{ "TC", 12, 3 },
5140		{ "VFVld", 11, 1 },
5141		{ "PF", 8, 3 },
5142		{ "RVF", 0, 8 },
5143	{ "PCIE_FID_VFID", 0x3b44, 0 },
5144		{ "Select", 30, 2 },
5145		{ "IDO", 24, 1 },
5146		{ "VFID", 15, 9 },
5147		{ "TC", 12, 3 },
5148		{ "VFVld", 11, 1 },
5149		{ "PF", 8, 3 },
5150		{ "RVF", 0, 8 },
5151	{ "PCIE_FID_VFID", 0x3b48, 0 },
5152		{ "Select", 30, 2 },
5153		{ "IDO", 24, 1 },
5154		{ "VFID", 15, 9 },
5155		{ "TC", 12, 3 },
5156		{ "VFVld", 11, 1 },
5157		{ "PF", 8, 3 },
5158		{ "RVF", 0, 8 },
5159	{ "PCIE_FID_VFID", 0x3b4c, 0 },
5160		{ "Select", 30, 2 },
5161		{ "IDO", 24, 1 },
5162		{ "VFID", 15, 9 },
5163		{ "TC", 12, 3 },
5164		{ "VFVld", 11, 1 },
5165		{ "PF", 8, 3 },
5166		{ "RVF", 0, 8 },
5167	{ "PCIE_FID_VFID", 0x3b50, 0 },
5168		{ "Select", 30, 2 },
5169		{ "IDO", 24, 1 },
5170		{ "VFID", 15, 9 },
5171		{ "TC", 12, 3 },
5172		{ "VFVld", 11, 1 },
5173		{ "PF", 8, 3 },
5174		{ "RVF", 0, 8 },
5175	{ "PCIE_FID_VFID", 0x3b54, 0 },
5176		{ "Select", 30, 2 },
5177		{ "IDO", 24, 1 },
5178		{ "VFID", 15, 9 },
5179		{ "TC", 12, 3 },
5180		{ "VFVld", 11, 1 },
5181		{ "PF", 8, 3 },
5182		{ "RVF", 0, 8 },
5183	{ "PCIE_FID_VFID", 0x3b58, 0 },
5184		{ "Select", 30, 2 },
5185		{ "IDO", 24, 1 },
5186		{ "VFID", 15, 9 },
5187		{ "TC", 12, 3 },
5188		{ "VFVld", 11, 1 },
5189		{ "PF", 8, 3 },
5190		{ "RVF", 0, 8 },
5191	{ "PCIE_FID_VFID", 0x3b5c, 0 },
5192		{ "Select", 30, 2 },
5193		{ "IDO", 24, 1 },
5194		{ "VFID", 15, 9 },
5195		{ "TC", 12, 3 },
5196		{ "VFVld", 11, 1 },
5197		{ "PF", 8, 3 },
5198		{ "RVF", 0, 8 },
5199	{ "PCIE_FID_VFID", 0x3b60, 0 },
5200		{ "Select", 30, 2 },
5201		{ "IDO", 24, 1 },
5202		{ "VFID", 15, 9 },
5203		{ "TC", 12, 3 },
5204		{ "VFVld", 11, 1 },
5205		{ "PF", 8, 3 },
5206		{ "RVF", 0, 8 },
5207	{ "PCIE_FID_VFID", 0x3b64, 0 },
5208		{ "Select", 30, 2 },
5209		{ "IDO", 24, 1 },
5210		{ "VFID", 15, 9 },
5211		{ "TC", 12, 3 },
5212		{ "VFVld", 11, 1 },
5213		{ "PF", 8, 3 },
5214		{ "RVF", 0, 8 },
5215	{ "PCIE_FID_VFID", 0x3b68, 0 },
5216		{ "Select", 30, 2 },
5217		{ "IDO", 24, 1 },
5218		{ "VFID", 15, 9 },
5219		{ "TC", 12, 3 },
5220		{ "VFVld", 11, 1 },
5221		{ "PF", 8, 3 },
5222		{ "RVF", 0, 8 },
5223	{ "PCIE_FID_VFID", 0x3b6c, 0 },
5224		{ "Select", 30, 2 },
5225		{ "IDO", 24, 1 },
5226		{ "VFID", 15, 9 },
5227		{ "TC", 12, 3 },
5228		{ "VFVld", 11, 1 },
5229		{ "PF", 8, 3 },
5230		{ "RVF", 0, 8 },
5231	{ "PCIE_FID_VFID", 0x3b70, 0 },
5232		{ "Select", 30, 2 },
5233		{ "IDO", 24, 1 },
5234		{ "VFID", 15, 9 },
5235		{ "TC", 12, 3 },
5236		{ "VFVld", 11, 1 },
5237		{ "PF", 8, 3 },
5238		{ "RVF", 0, 8 },
5239	{ "PCIE_FID_VFID", 0x3b74, 0 },
5240		{ "Select", 30, 2 },
5241		{ "IDO", 24, 1 },
5242		{ "VFID", 15, 9 },
5243		{ "TC", 12, 3 },
5244		{ "VFVld", 11, 1 },
5245		{ "PF", 8, 3 },
5246		{ "RVF", 0, 8 },
5247	{ "PCIE_FID_VFID", 0x3b78, 0 },
5248		{ "Select", 30, 2 },
5249		{ "IDO", 24, 1 },
5250		{ "VFID", 15, 9 },
5251		{ "TC", 12, 3 },
5252		{ "VFVld", 11, 1 },
5253		{ "PF", 8, 3 },
5254		{ "RVF", 0, 8 },
5255	{ "PCIE_FID_VFID", 0x3b7c, 0 },
5256		{ "Select", 30, 2 },
5257		{ "IDO", 24, 1 },
5258		{ "VFID", 15, 9 },
5259		{ "TC", 12, 3 },
5260		{ "VFVld", 11, 1 },
5261		{ "PF", 8, 3 },
5262		{ "RVF", 0, 8 },
5263	{ "PCIE_FID_VFID", 0x3b80, 0 },
5264		{ "Select", 30, 2 },
5265		{ "IDO", 24, 1 },
5266		{ "VFID", 15, 9 },
5267		{ "TC", 12, 3 },
5268		{ "VFVld", 11, 1 },
5269		{ "PF", 8, 3 },
5270		{ "RVF", 0, 8 },
5271	{ "PCIE_FID_VFID", 0x3b84, 0 },
5272		{ "Select", 30, 2 },
5273		{ "IDO", 24, 1 },
5274		{ "VFID", 15, 9 },
5275		{ "TC", 12, 3 },
5276		{ "VFVld", 11, 1 },
5277		{ "PF", 8, 3 },
5278		{ "RVF", 0, 8 },
5279	{ "PCIE_FID_VFID", 0x3b88, 0 },
5280		{ "Select", 30, 2 },
5281		{ "IDO", 24, 1 },
5282		{ "VFID", 15, 9 },
5283		{ "TC", 12, 3 },
5284		{ "VFVld", 11, 1 },
5285		{ "PF", 8, 3 },
5286		{ "RVF", 0, 8 },
5287	{ "PCIE_FID_VFID", 0x3b8c, 0 },
5288		{ "Select", 30, 2 },
5289		{ "IDO", 24, 1 },
5290		{ "VFID", 15, 9 },
5291		{ "TC", 12, 3 },
5292		{ "VFVld", 11, 1 },
5293		{ "PF", 8, 3 },
5294		{ "RVF", 0, 8 },
5295	{ "PCIE_FID_VFID", 0x3b90, 0 },
5296		{ "Select", 30, 2 },
5297		{ "IDO", 24, 1 },
5298		{ "VFID", 15, 9 },
5299		{ "TC", 12, 3 },
5300		{ "VFVld", 11, 1 },
5301		{ "PF", 8, 3 },
5302		{ "RVF", 0, 8 },
5303	{ "PCIE_FID_VFID", 0x3b94, 0 },
5304		{ "Select", 30, 2 },
5305		{ "IDO", 24, 1 },
5306		{ "VFID", 15, 9 },
5307		{ "TC", 12, 3 },
5308		{ "VFVld", 11, 1 },
5309		{ "PF", 8, 3 },
5310		{ "RVF", 0, 8 },
5311	{ "PCIE_FID_VFID", 0x3b98, 0 },
5312		{ "Select", 30, 2 },
5313		{ "IDO", 24, 1 },
5314		{ "VFID", 15, 9 },
5315		{ "TC", 12, 3 },
5316		{ "VFVld", 11, 1 },
5317		{ "PF", 8, 3 },
5318		{ "RVF", 0, 8 },
5319	{ "PCIE_FID_VFID", 0x3b9c, 0 },
5320		{ "Select", 30, 2 },
5321		{ "IDO", 24, 1 },
5322		{ "VFID", 15, 9 },
5323		{ "TC", 12, 3 },
5324		{ "VFVld", 11, 1 },
5325		{ "PF", 8, 3 },
5326		{ "RVF", 0, 8 },
5327	{ "PCIE_FID_VFID", 0x3ba0, 0 },
5328		{ "Select", 30, 2 },
5329		{ "IDO", 24, 1 },
5330		{ "VFID", 15, 9 },
5331		{ "TC", 12, 3 },
5332		{ "VFVld", 11, 1 },
5333		{ "PF", 8, 3 },
5334		{ "RVF", 0, 8 },
5335	{ "PCIE_FID_VFID", 0x3ba4, 0 },
5336		{ "Select", 30, 2 },
5337		{ "IDO", 24, 1 },
5338		{ "VFID", 15, 9 },
5339		{ "TC", 12, 3 },
5340		{ "VFVld", 11, 1 },
5341		{ "PF", 8, 3 },
5342		{ "RVF", 0, 8 },
5343	{ "PCIE_FID_VFID", 0x3ba8, 0 },
5344		{ "Select", 30, 2 },
5345		{ "IDO", 24, 1 },
5346		{ "VFID", 15, 9 },
5347		{ "TC", 12, 3 },
5348		{ "VFVld", 11, 1 },
5349		{ "PF", 8, 3 },
5350		{ "RVF", 0, 8 },
5351	{ "PCIE_FID_VFID", 0x3bac, 0 },
5352		{ "Select", 30, 2 },
5353		{ "IDO", 24, 1 },
5354		{ "VFID", 15, 9 },
5355		{ "TC", 12, 3 },
5356		{ "VFVld", 11, 1 },
5357		{ "PF", 8, 3 },
5358		{ "RVF", 0, 8 },
5359	{ "PCIE_FID_VFID", 0x3bb0, 0 },
5360		{ "Select", 30, 2 },
5361		{ "IDO", 24, 1 },
5362		{ "VFID", 15, 9 },
5363		{ "TC", 12, 3 },
5364		{ "VFVld", 11, 1 },
5365		{ "PF", 8, 3 },
5366		{ "RVF", 0, 8 },
5367	{ "PCIE_FID_VFID", 0x3bb4, 0 },
5368		{ "Select", 30, 2 },
5369		{ "IDO", 24, 1 },
5370		{ "VFID", 15, 9 },
5371		{ "TC", 12, 3 },
5372		{ "VFVld", 11, 1 },
5373		{ "PF", 8, 3 },
5374		{ "RVF", 0, 8 },
5375	{ "PCIE_FID_VFID", 0x3bb8, 0 },
5376		{ "Select", 30, 2 },
5377		{ "IDO", 24, 1 },
5378		{ "VFID", 15, 9 },
5379		{ "TC", 12, 3 },
5380		{ "VFVld", 11, 1 },
5381		{ "PF", 8, 3 },
5382		{ "RVF", 0, 8 },
5383	{ "PCIE_FID_VFID", 0x3bbc, 0 },
5384		{ "Select", 30, 2 },
5385		{ "IDO", 24, 1 },
5386		{ "VFID", 15, 9 },
5387		{ "TC", 12, 3 },
5388		{ "VFVld", 11, 1 },
5389		{ "PF", 8, 3 },
5390		{ "RVF", 0, 8 },
5391	{ "PCIE_FID_VFID", 0x3bc0, 0 },
5392		{ "Select", 30, 2 },
5393		{ "IDO", 24, 1 },
5394		{ "VFID", 15, 9 },
5395		{ "TC", 12, 3 },
5396		{ "VFVld", 11, 1 },
5397		{ "PF", 8, 3 },
5398		{ "RVF", 0, 8 },
5399	{ "PCIE_FID_VFID", 0x3bc4, 0 },
5400		{ "Select", 30, 2 },
5401		{ "IDO", 24, 1 },
5402		{ "VFID", 15, 9 },
5403		{ "TC", 12, 3 },
5404		{ "VFVld", 11, 1 },
5405		{ "PF", 8, 3 },
5406		{ "RVF", 0, 8 },
5407	{ "PCIE_FID_VFID", 0x3bc8, 0 },
5408		{ "Select", 30, 2 },
5409		{ "IDO", 24, 1 },
5410		{ "VFID", 15, 9 },
5411		{ "TC", 12, 3 },
5412		{ "VFVld", 11, 1 },
5413		{ "PF", 8, 3 },
5414		{ "RVF", 0, 8 },
5415	{ "PCIE_FID_VFID", 0x3bcc, 0 },
5416		{ "Select", 30, 2 },
5417		{ "IDO", 24, 1 },
5418		{ "VFID", 15, 9 },
5419		{ "TC", 12, 3 },
5420		{ "VFVld", 11, 1 },
5421		{ "PF", 8, 3 },
5422		{ "RVF", 0, 8 },
5423	{ "PCIE_FID_VFID", 0x3bd0, 0 },
5424		{ "Select", 30, 2 },
5425		{ "IDO", 24, 1 },
5426		{ "VFID", 15, 9 },
5427		{ "TC", 12, 3 },
5428		{ "VFVld", 11, 1 },
5429		{ "PF", 8, 3 },
5430		{ "RVF", 0, 8 },
5431	{ "PCIE_FID_VFID", 0x3bd4, 0 },
5432		{ "Select", 30, 2 },
5433		{ "IDO", 24, 1 },
5434		{ "VFID", 15, 9 },
5435		{ "TC", 12, 3 },
5436		{ "VFVld", 11, 1 },
5437		{ "PF", 8, 3 },
5438		{ "RVF", 0, 8 },
5439	{ "PCIE_FID_VFID", 0x3bd8, 0 },
5440		{ "Select", 30, 2 },
5441		{ "IDO", 24, 1 },
5442		{ "VFID", 15, 9 },
5443		{ "TC", 12, 3 },
5444		{ "VFVld", 11, 1 },
5445		{ "PF", 8, 3 },
5446		{ "RVF", 0, 8 },
5447	{ "PCIE_FID_VFID", 0x3bdc, 0 },
5448		{ "Select", 30, 2 },
5449		{ "IDO", 24, 1 },
5450		{ "VFID", 15, 9 },
5451		{ "TC", 12, 3 },
5452		{ "VFVld", 11, 1 },
5453		{ "PF", 8, 3 },
5454		{ "RVF", 0, 8 },
5455	{ "PCIE_FID_VFID", 0x3be0, 0 },
5456		{ "Select", 30, 2 },
5457		{ "IDO", 24, 1 },
5458		{ "VFID", 15, 9 },
5459		{ "TC", 12, 3 },
5460		{ "VFVld", 11, 1 },
5461		{ "PF", 8, 3 },
5462		{ "RVF", 0, 8 },
5463	{ "PCIE_FID_VFID", 0x3be4, 0 },
5464		{ "Select", 30, 2 },
5465		{ "IDO", 24, 1 },
5466		{ "VFID", 15, 9 },
5467		{ "TC", 12, 3 },
5468		{ "VFVld", 11, 1 },
5469		{ "PF", 8, 3 },
5470		{ "RVF", 0, 8 },
5471	{ "PCIE_FID_VFID", 0x3be8, 0 },
5472		{ "Select", 30, 2 },
5473		{ "IDO", 24, 1 },
5474		{ "VFID", 15, 9 },
5475		{ "TC", 12, 3 },
5476		{ "VFVld", 11, 1 },
5477		{ "PF", 8, 3 },
5478		{ "RVF", 0, 8 },
5479	{ "PCIE_FID_VFID", 0x3bec, 0 },
5480		{ "Select", 30, 2 },
5481		{ "IDO", 24, 1 },
5482		{ "VFID", 15, 9 },
5483		{ "TC", 12, 3 },
5484		{ "VFVld", 11, 1 },
5485		{ "PF", 8, 3 },
5486		{ "RVF", 0, 8 },
5487	{ "PCIE_FID_VFID", 0x3bf0, 0 },
5488		{ "Select", 30, 2 },
5489		{ "IDO", 24, 1 },
5490		{ "VFID", 15, 9 },
5491		{ "TC", 12, 3 },
5492		{ "VFVld", 11, 1 },
5493		{ "PF", 8, 3 },
5494		{ "RVF", 0, 8 },
5495	{ "PCIE_FID_VFID", 0x3bf4, 0 },
5496		{ "Select", 30, 2 },
5497		{ "IDO", 24, 1 },
5498		{ "VFID", 15, 9 },
5499		{ "TC", 12, 3 },
5500		{ "VFVld", 11, 1 },
5501		{ "PF", 8, 3 },
5502		{ "RVF", 0, 8 },
5503	{ "PCIE_FID_VFID", 0x3bf8, 0 },
5504		{ "Select", 30, 2 },
5505		{ "IDO", 24, 1 },
5506		{ "VFID", 15, 9 },
5507		{ "TC", 12, 3 },
5508		{ "VFVld", 11, 1 },
5509		{ "PF", 8, 3 },
5510		{ "RVF", 0, 8 },
5511	{ "PCIE_FID_VFID", 0x3bfc, 0 },
5512		{ "Select", 30, 2 },
5513		{ "IDO", 24, 1 },
5514		{ "VFID", 15, 9 },
5515		{ "TC", 12, 3 },
5516		{ "VFVld", 11, 1 },
5517		{ "PF", 8, 3 },
5518		{ "RVF", 0, 8 },
5519	{ "PCIE_FID_VFID", 0x3c00, 0 },
5520		{ "Select", 30, 2 },
5521		{ "IDO", 24, 1 },
5522		{ "VFID", 15, 9 },
5523		{ "TC", 12, 3 },
5524		{ "VFVld", 11, 1 },
5525		{ "PF", 8, 3 },
5526		{ "RVF", 0, 8 },
5527	{ "PCIE_FID_VFID", 0x3c04, 0 },
5528		{ "Select", 30, 2 },
5529		{ "IDO", 24, 1 },
5530		{ "VFID", 15, 9 },
5531		{ "TC", 12, 3 },
5532		{ "VFVld", 11, 1 },
5533		{ "PF", 8, 3 },
5534		{ "RVF", 0, 8 },
5535	{ "PCIE_FID_VFID", 0x3c08, 0 },
5536		{ "Select", 30, 2 },
5537		{ "IDO", 24, 1 },
5538		{ "VFID", 15, 9 },
5539		{ "TC", 12, 3 },
5540		{ "VFVld", 11, 1 },
5541		{ "PF", 8, 3 },
5542		{ "RVF", 0, 8 },
5543	{ "PCIE_FID_VFID", 0x3c0c, 0 },
5544		{ "Select", 30, 2 },
5545		{ "IDO", 24, 1 },
5546		{ "VFID", 15, 9 },
5547		{ "TC", 12, 3 },
5548		{ "VFVld", 11, 1 },
5549		{ "PF", 8, 3 },
5550		{ "RVF", 0, 8 },
5551	{ "PCIE_FID_VFID", 0x3c10, 0 },
5552		{ "Select", 30, 2 },
5553		{ "IDO", 24, 1 },
5554		{ "VFID", 15, 9 },
5555		{ "TC", 12, 3 },
5556		{ "VFVld", 11, 1 },
5557		{ "PF", 8, 3 },
5558		{ "RVF", 0, 8 },
5559	{ "PCIE_FID_VFID", 0x3c14, 0 },
5560		{ "Select", 30, 2 },
5561		{ "IDO", 24, 1 },
5562		{ "VFID", 15, 9 },
5563		{ "TC", 12, 3 },
5564		{ "VFVld", 11, 1 },
5565		{ "PF", 8, 3 },
5566		{ "RVF", 0, 8 },
5567	{ "PCIE_FID_VFID", 0x3c18, 0 },
5568		{ "Select", 30, 2 },
5569		{ "IDO", 24, 1 },
5570		{ "VFID", 15, 9 },
5571		{ "TC", 12, 3 },
5572		{ "VFVld", 11, 1 },
5573		{ "PF", 8, 3 },
5574		{ "RVF", 0, 8 },
5575	{ "PCIE_FID_VFID", 0x3c1c, 0 },
5576		{ "Select", 30, 2 },
5577		{ "IDO", 24, 1 },
5578		{ "VFID", 15, 9 },
5579		{ "TC", 12, 3 },
5580		{ "VFVld", 11, 1 },
5581		{ "PF", 8, 3 },
5582		{ "RVF", 0, 8 },
5583	{ "PCIE_FID_VFID", 0x3c20, 0 },
5584		{ "Select", 30, 2 },
5585		{ "IDO", 24, 1 },
5586		{ "VFID", 15, 9 },
5587		{ "TC", 12, 3 },
5588		{ "VFVld", 11, 1 },
5589		{ "PF", 8, 3 },
5590		{ "RVF", 0, 8 },
5591	{ "PCIE_FID_VFID", 0x3c24, 0 },
5592		{ "Select", 30, 2 },
5593		{ "IDO", 24, 1 },
5594		{ "VFID", 15, 9 },
5595		{ "TC", 12, 3 },
5596		{ "VFVld", 11, 1 },
5597		{ "PF", 8, 3 },
5598		{ "RVF", 0, 8 },
5599	{ "PCIE_FID_VFID", 0x3c28, 0 },
5600		{ "Select", 30, 2 },
5601		{ "IDO", 24, 1 },
5602		{ "VFID", 15, 9 },
5603		{ "TC", 12, 3 },
5604		{ "VFVld", 11, 1 },
5605		{ "PF", 8, 3 },
5606		{ "RVF", 0, 8 },
5607	{ "PCIE_FID_VFID", 0x3c2c, 0 },
5608		{ "Select", 30, 2 },
5609		{ "IDO", 24, 1 },
5610		{ "VFID", 15, 9 },
5611		{ "TC", 12, 3 },
5612		{ "VFVld", 11, 1 },
5613		{ "PF", 8, 3 },
5614		{ "RVF", 0, 8 },
5615	{ "PCIE_FID_VFID", 0x3c30, 0 },
5616		{ "Select", 30, 2 },
5617		{ "IDO", 24, 1 },
5618		{ "VFID", 15, 9 },
5619		{ "TC", 12, 3 },
5620		{ "VFVld", 11, 1 },
5621		{ "PF", 8, 3 },
5622		{ "RVF", 0, 8 },
5623	{ "PCIE_FID_VFID", 0x3c34, 0 },
5624		{ "Select", 30, 2 },
5625		{ "IDO", 24, 1 },
5626		{ "VFID", 15, 9 },
5627		{ "TC", 12, 3 },
5628		{ "VFVld", 11, 1 },
5629		{ "PF", 8, 3 },
5630		{ "RVF", 0, 8 },
5631	{ "PCIE_FID_VFID", 0x3c38, 0 },
5632		{ "Select", 30, 2 },
5633		{ "IDO", 24, 1 },
5634		{ "VFID", 15, 9 },
5635		{ "TC", 12, 3 },
5636		{ "VFVld", 11, 1 },
5637		{ "PF", 8, 3 },
5638		{ "RVF", 0, 8 },
5639	{ "PCIE_FID_VFID", 0x3c3c, 0 },
5640		{ "Select", 30, 2 },
5641		{ "IDO", 24, 1 },
5642		{ "VFID", 15, 9 },
5643		{ "TC", 12, 3 },
5644		{ "VFVld", 11, 1 },
5645		{ "PF", 8, 3 },
5646		{ "RVF", 0, 8 },
5647	{ "PCIE_FID_VFID", 0x3c40, 0 },
5648		{ "Select", 30, 2 },
5649		{ "IDO", 24, 1 },
5650		{ "VFID", 15, 9 },
5651		{ "TC", 12, 3 },
5652		{ "VFVld", 11, 1 },
5653		{ "PF", 8, 3 },
5654		{ "RVF", 0, 8 },
5655	{ "PCIE_FID_VFID", 0x3c44, 0 },
5656		{ "Select", 30, 2 },
5657		{ "IDO", 24, 1 },
5658		{ "VFID", 15, 9 },
5659		{ "TC", 12, 3 },
5660		{ "VFVld", 11, 1 },
5661		{ "PF", 8, 3 },
5662		{ "RVF", 0, 8 },
5663	{ "PCIE_FID_VFID", 0x3c48, 0 },
5664		{ "Select", 30, 2 },
5665		{ "IDO", 24, 1 },
5666		{ "VFID", 15, 9 },
5667		{ "TC", 12, 3 },
5668		{ "VFVld", 11, 1 },
5669		{ "PF", 8, 3 },
5670		{ "RVF", 0, 8 },
5671	{ "PCIE_FID_VFID", 0x3c4c, 0 },
5672		{ "Select", 30, 2 },
5673		{ "IDO", 24, 1 },
5674		{ "VFID", 15, 9 },
5675		{ "TC", 12, 3 },
5676		{ "VFVld", 11, 1 },
5677		{ "PF", 8, 3 },
5678		{ "RVF", 0, 8 },
5679	{ "PCIE_FID_VFID", 0x3c50, 0 },
5680		{ "Select", 30, 2 },
5681		{ "IDO", 24, 1 },
5682		{ "VFID", 15, 9 },
5683		{ "TC", 12, 3 },
5684		{ "VFVld", 11, 1 },
5685		{ "PF", 8, 3 },
5686		{ "RVF", 0, 8 },
5687	{ "PCIE_FID_VFID", 0x3c54, 0 },
5688		{ "Select", 30, 2 },
5689		{ "IDO", 24, 1 },
5690		{ "VFID", 15, 9 },
5691		{ "TC", 12, 3 },
5692		{ "VFVld", 11, 1 },
5693		{ "PF", 8, 3 },
5694		{ "RVF", 0, 8 },
5695	{ "PCIE_FID_VFID", 0x3c58, 0 },
5696		{ "Select", 30, 2 },
5697		{ "IDO", 24, 1 },
5698		{ "VFID", 15, 9 },
5699		{ "TC", 12, 3 },
5700		{ "VFVld", 11, 1 },
5701		{ "PF", 8, 3 },
5702		{ "RVF", 0, 8 },
5703	{ "PCIE_FID_VFID", 0x3c5c, 0 },
5704		{ "Select", 30, 2 },
5705		{ "IDO", 24, 1 },
5706		{ "VFID", 15, 9 },
5707		{ "TC", 12, 3 },
5708		{ "VFVld", 11, 1 },
5709		{ "PF", 8, 3 },
5710		{ "RVF", 0, 8 },
5711	{ "PCIE_FID_VFID", 0x3c60, 0 },
5712		{ "Select", 30, 2 },
5713		{ "IDO", 24, 1 },
5714		{ "VFID", 15, 9 },
5715		{ "TC", 12, 3 },
5716		{ "VFVld", 11, 1 },
5717		{ "PF", 8, 3 },
5718		{ "RVF", 0, 8 },
5719	{ "PCIE_FID_VFID", 0x3c64, 0 },
5720		{ "Select", 30, 2 },
5721		{ "IDO", 24, 1 },
5722		{ "VFID", 15, 9 },
5723		{ "TC", 12, 3 },
5724		{ "VFVld", 11, 1 },
5725		{ "PF", 8, 3 },
5726		{ "RVF", 0, 8 },
5727	{ "PCIE_FID_VFID", 0x3c68, 0 },
5728		{ "Select", 30, 2 },
5729		{ "IDO", 24, 1 },
5730		{ "VFID", 15, 9 },
5731		{ "TC", 12, 3 },
5732		{ "VFVld", 11, 1 },
5733		{ "PF", 8, 3 },
5734		{ "RVF", 0, 8 },
5735	{ "PCIE_FID_VFID", 0x3c6c, 0 },
5736		{ "Select", 30, 2 },
5737		{ "IDO", 24, 1 },
5738		{ "VFID", 15, 9 },
5739		{ "TC", 12, 3 },
5740		{ "VFVld", 11, 1 },
5741		{ "PF", 8, 3 },
5742		{ "RVF", 0, 8 },
5743	{ "PCIE_FID_VFID", 0x3c70, 0 },
5744		{ "Select", 30, 2 },
5745		{ "IDO", 24, 1 },
5746		{ "VFID", 15, 9 },
5747		{ "TC", 12, 3 },
5748		{ "VFVld", 11, 1 },
5749		{ "PF", 8, 3 },
5750		{ "RVF", 0, 8 },
5751	{ "PCIE_FID_VFID", 0x3c74, 0 },
5752		{ "Select", 30, 2 },
5753		{ "IDO", 24, 1 },
5754		{ "VFID", 15, 9 },
5755		{ "TC", 12, 3 },
5756		{ "VFVld", 11, 1 },
5757		{ "PF", 8, 3 },
5758		{ "RVF", 0, 8 },
5759	{ "PCIE_FID_VFID", 0x3c78, 0 },
5760		{ "Select", 30, 2 },
5761		{ "IDO", 24, 1 },
5762		{ "VFID", 15, 9 },
5763		{ "TC", 12, 3 },
5764		{ "VFVld", 11, 1 },
5765		{ "PF", 8, 3 },
5766		{ "RVF", 0, 8 },
5767	{ "PCIE_FID_VFID", 0x3c7c, 0 },
5768		{ "Select", 30, 2 },
5769		{ "IDO", 24, 1 },
5770		{ "VFID", 15, 9 },
5771		{ "TC", 12, 3 },
5772		{ "VFVld", 11, 1 },
5773		{ "PF", 8, 3 },
5774		{ "RVF", 0, 8 },
5775	{ "PCIE_FID_VFID", 0x3c80, 0 },
5776		{ "Select", 30, 2 },
5777		{ "IDO", 24, 1 },
5778		{ "VFID", 15, 9 },
5779		{ "TC", 12, 3 },
5780		{ "VFVld", 11, 1 },
5781		{ "PF", 8, 3 },
5782		{ "RVF", 0, 8 },
5783	{ "PCIE_FID_VFID", 0x3c84, 0 },
5784		{ "Select", 30, 2 },
5785		{ "IDO", 24, 1 },
5786		{ "VFID", 15, 9 },
5787		{ "TC", 12, 3 },
5788		{ "VFVld", 11, 1 },
5789		{ "PF", 8, 3 },
5790		{ "RVF", 0, 8 },
5791	{ "PCIE_FID_VFID", 0x3c88, 0 },
5792		{ "Select", 30, 2 },
5793		{ "IDO", 24, 1 },
5794		{ "VFID", 15, 9 },
5795		{ "TC", 12, 3 },
5796		{ "VFVld", 11, 1 },
5797		{ "PF", 8, 3 },
5798		{ "RVF", 0, 8 },
5799	{ "PCIE_FID_VFID", 0x3c8c, 0 },
5800		{ "Select", 30, 2 },
5801		{ "IDO", 24, 1 },
5802		{ "VFID", 15, 9 },
5803		{ "TC", 12, 3 },
5804		{ "VFVld", 11, 1 },
5805		{ "PF", 8, 3 },
5806		{ "RVF", 0, 8 },
5807	{ "PCIE_FID_VFID", 0x3c90, 0 },
5808		{ "Select", 30, 2 },
5809		{ "IDO", 24, 1 },
5810		{ "VFID", 15, 9 },
5811		{ "TC", 12, 3 },
5812		{ "VFVld", 11, 1 },
5813		{ "PF", 8, 3 },
5814		{ "RVF", 0, 8 },
5815	{ "PCIE_FID_VFID", 0x3c94, 0 },
5816		{ "Select", 30, 2 },
5817		{ "IDO", 24, 1 },
5818		{ "VFID", 15, 9 },
5819		{ "TC", 12, 3 },
5820		{ "VFVld", 11, 1 },
5821		{ "PF", 8, 3 },
5822		{ "RVF", 0, 8 },
5823	{ "PCIE_FID_VFID", 0x3c98, 0 },
5824		{ "Select", 30, 2 },
5825		{ "IDO", 24, 1 },
5826		{ "VFID", 15, 9 },
5827		{ "TC", 12, 3 },
5828		{ "VFVld", 11, 1 },
5829		{ "PF", 8, 3 },
5830		{ "RVF", 0, 8 },
5831	{ "PCIE_FID_VFID", 0x3c9c, 0 },
5832		{ "Select", 30, 2 },
5833		{ "IDO", 24, 1 },
5834		{ "VFID", 15, 9 },
5835		{ "TC", 12, 3 },
5836		{ "VFVld", 11, 1 },
5837		{ "PF", 8, 3 },
5838		{ "RVF", 0, 8 },
5839	{ "PCIE_FID_VFID", 0x3ca0, 0 },
5840		{ "Select", 30, 2 },
5841		{ "IDO", 24, 1 },
5842		{ "VFID", 15, 9 },
5843		{ "TC", 12, 3 },
5844		{ "VFVld", 11, 1 },
5845		{ "PF", 8, 3 },
5846		{ "RVF", 0, 8 },
5847	{ "PCIE_FID_VFID", 0x3ca4, 0 },
5848		{ "Select", 30, 2 },
5849		{ "IDO", 24, 1 },
5850		{ "VFID", 15, 9 },
5851		{ "TC", 12, 3 },
5852		{ "VFVld", 11, 1 },
5853		{ "PF", 8, 3 },
5854		{ "RVF", 0, 8 },
5855	{ "PCIE_FID_VFID", 0x3ca8, 0 },
5856		{ "Select", 30, 2 },
5857		{ "IDO", 24, 1 },
5858		{ "VFID", 15, 9 },
5859		{ "TC", 12, 3 },
5860		{ "VFVld", 11, 1 },
5861		{ "PF", 8, 3 },
5862		{ "RVF", 0, 8 },
5863	{ "PCIE_FID_VFID", 0x3cac, 0 },
5864		{ "Select", 30, 2 },
5865		{ "IDO", 24, 1 },
5866		{ "VFID", 15, 9 },
5867		{ "TC", 12, 3 },
5868		{ "VFVld", 11, 1 },
5869		{ "PF", 8, 3 },
5870		{ "RVF", 0, 8 },
5871	{ "PCIE_FID_VFID", 0x3cb0, 0 },
5872		{ "Select", 30, 2 },
5873		{ "IDO", 24, 1 },
5874		{ "VFID", 15, 9 },
5875		{ "TC", 12, 3 },
5876		{ "VFVld", 11, 1 },
5877		{ "PF", 8, 3 },
5878		{ "RVF", 0, 8 },
5879	{ "PCIE_FID_VFID", 0x3cb4, 0 },
5880		{ "Select", 30, 2 },
5881		{ "IDO", 24, 1 },
5882		{ "VFID", 15, 9 },
5883		{ "TC", 12, 3 },
5884		{ "VFVld", 11, 1 },
5885		{ "PF", 8, 3 },
5886		{ "RVF", 0, 8 },
5887	{ "PCIE_FID_VFID", 0x3cb8, 0 },
5888		{ "Select", 30, 2 },
5889		{ "IDO", 24, 1 },
5890		{ "VFID", 15, 9 },
5891		{ "TC", 12, 3 },
5892		{ "VFVld", 11, 1 },
5893		{ "PF", 8, 3 },
5894		{ "RVF", 0, 8 },
5895	{ "PCIE_FID_VFID", 0x3cbc, 0 },
5896		{ "Select", 30, 2 },
5897		{ "IDO", 24, 1 },
5898		{ "VFID", 15, 9 },
5899		{ "TC", 12, 3 },
5900		{ "VFVld", 11, 1 },
5901		{ "PF", 8, 3 },
5902		{ "RVF", 0, 8 },
5903	{ "PCIE_FID_VFID", 0x3cc0, 0 },
5904		{ "Select", 30, 2 },
5905		{ "IDO", 24, 1 },
5906		{ "VFID", 15, 9 },
5907		{ "TC", 12, 3 },
5908		{ "VFVld", 11, 1 },
5909		{ "PF", 8, 3 },
5910		{ "RVF", 0, 8 },
5911	{ "PCIE_FID_VFID", 0x3cc4, 0 },
5912		{ "Select", 30, 2 },
5913		{ "IDO", 24, 1 },
5914		{ "VFID", 15, 9 },
5915		{ "TC", 12, 3 },
5916		{ "VFVld", 11, 1 },
5917		{ "PF", 8, 3 },
5918		{ "RVF", 0, 8 },
5919	{ "PCIE_FID_VFID", 0x3cc8, 0 },
5920		{ "Select", 30, 2 },
5921		{ "IDO", 24, 1 },
5922		{ "VFID", 15, 9 },
5923		{ "TC", 12, 3 },
5924		{ "VFVld", 11, 1 },
5925		{ "PF", 8, 3 },
5926		{ "RVF", 0, 8 },
5927	{ "PCIE_FID_VFID", 0x3ccc, 0 },
5928		{ "Select", 30, 2 },
5929		{ "IDO", 24, 1 },
5930		{ "VFID", 15, 9 },
5931		{ "TC", 12, 3 },
5932		{ "VFVld", 11, 1 },
5933		{ "PF", 8, 3 },
5934		{ "RVF", 0, 8 },
5935	{ "PCIE_FID_VFID", 0x3cd0, 0 },
5936		{ "Select", 30, 2 },
5937		{ "IDO", 24, 1 },
5938		{ "VFID", 15, 9 },
5939		{ "TC", 12, 3 },
5940		{ "VFVld", 11, 1 },
5941		{ "PF", 8, 3 },
5942		{ "RVF", 0, 8 },
5943	{ "PCIE_FID_VFID", 0x3cd4, 0 },
5944		{ "Select", 30, 2 },
5945		{ "IDO", 24, 1 },
5946		{ "VFID", 15, 9 },
5947		{ "TC", 12, 3 },
5948		{ "VFVld", 11, 1 },
5949		{ "PF", 8, 3 },
5950		{ "RVF", 0, 8 },
5951	{ "PCIE_FID_VFID", 0x3cd8, 0 },
5952		{ "Select", 30, 2 },
5953		{ "IDO", 24, 1 },
5954		{ "VFID", 15, 9 },
5955		{ "TC", 12, 3 },
5956		{ "VFVld", 11, 1 },
5957		{ "PF", 8, 3 },
5958		{ "RVF", 0, 8 },
5959	{ "PCIE_FID_VFID", 0x3cdc, 0 },
5960		{ "Select", 30, 2 },
5961		{ "IDO", 24, 1 },
5962		{ "VFID", 15, 9 },
5963		{ "TC", 12, 3 },
5964		{ "VFVld", 11, 1 },
5965		{ "PF", 8, 3 },
5966		{ "RVF", 0, 8 },
5967	{ "PCIE_FID_VFID", 0x3ce0, 0 },
5968		{ "Select", 30, 2 },
5969		{ "IDO", 24, 1 },
5970		{ "VFID", 15, 9 },
5971		{ "TC", 12, 3 },
5972		{ "VFVld", 11, 1 },
5973		{ "PF", 8, 3 },
5974		{ "RVF", 0, 8 },
5975	{ "PCIE_FID_VFID", 0x3ce4, 0 },
5976		{ "Select", 30, 2 },
5977		{ "IDO", 24, 1 },
5978		{ "VFID", 15, 9 },
5979		{ "TC", 12, 3 },
5980		{ "VFVld", 11, 1 },
5981		{ "PF", 8, 3 },
5982		{ "RVF", 0, 8 },
5983	{ "PCIE_FID_VFID", 0x3ce8, 0 },
5984		{ "Select", 30, 2 },
5985		{ "IDO", 24, 1 },
5986		{ "VFID", 15, 9 },
5987		{ "TC", 12, 3 },
5988		{ "VFVld", 11, 1 },
5989		{ "PF", 8, 3 },
5990		{ "RVF", 0, 8 },
5991	{ "PCIE_FID_VFID", 0x3cec, 0 },
5992		{ "Select", 30, 2 },
5993		{ "IDO", 24, 1 },
5994		{ "VFID", 15, 9 },
5995		{ "TC", 12, 3 },
5996		{ "VFVld", 11, 1 },
5997		{ "PF", 8, 3 },
5998		{ "RVF", 0, 8 },
5999	{ "PCIE_FID_VFID", 0x3cf0, 0 },
6000		{ "Select", 30, 2 },
6001		{ "IDO", 24, 1 },
6002		{ "VFID", 15, 9 },
6003		{ "TC", 12, 3 },
6004		{ "VFVld", 11, 1 },
6005		{ "PF", 8, 3 },
6006		{ "RVF", 0, 8 },
6007	{ "PCIE_FID_VFID", 0x3cf4, 0 },
6008		{ "Select", 30, 2 },
6009		{ "IDO", 24, 1 },
6010		{ "VFID", 15, 9 },
6011		{ "TC", 12, 3 },
6012		{ "VFVld", 11, 1 },
6013		{ "PF", 8, 3 },
6014		{ "RVF", 0, 8 },
6015	{ "PCIE_FID_VFID", 0x3cf8, 0 },
6016		{ "Select", 30, 2 },
6017		{ "IDO", 24, 1 },
6018		{ "VFID", 15, 9 },
6019		{ "TC", 12, 3 },
6020		{ "VFVld", 11, 1 },
6021		{ "PF", 8, 3 },
6022		{ "RVF", 0, 8 },
6023	{ "PCIE_FID_VFID", 0x3cfc, 0 },
6024		{ "Select", 30, 2 },
6025		{ "IDO", 24, 1 },
6026		{ "VFID", 15, 9 },
6027		{ "TC", 12, 3 },
6028		{ "VFVld", 11, 1 },
6029		{ "PF", 8, 3 },
6030		{ "RVF", 0, 8 },
6031	{ "PCIE_FID_VFID", 0x3d00, 0 },
6032		{ "Select", 30, 2 },
6033		{ "IDO", 24, 1 },
6034		{ "VFID", 15, 9 },
6035		{ "TC", 12, 3 },
6036		{ "VFVld", 11, 1 },
6037		{ "PF", 8, 3 },
6038		{ "RVF", 0, 8 },
6039	{ "PCIE_FID_VFID", 0x3d04, 0 },
6040		{ "Select", 30, 2 },
6041		{ "IDO", 24, 1 },
6042		{ "VFID", 15, 9 },
6043		{ "TC", 12, 3 },
6044		{ "VFVld", 11, 1 },
6045		{ "PF", 8, 3 },
6046		{ "RVF", 0, 8 },
6047	{ "PCIE_FID_VFID", 0x3d08, 0 },
6048		{ "Select", 30, 2 },
6049		{ "IDO", 24, 1 },
6050		{ "VFID", 15, 9 },
6051		{ "TC", 12, 3 },
6052		{ "VFVld", 11, 1 },
6053		{ "PF", 8, 3 },
6054		{ "RVF", 0, 8 },
6055	{ "PCIE_FID_VFID", 0x3d0c, 0 },
6056		{ "Select", 30, 2 },
6057		{ "IDO", 24, 1 },
6058		{ "VFID", 15, 9 },
6059		{ "TC", 12, 3 },
6060		{ "VFVld", 11, 1 },
6061		{ "PF", 8, 3 },
6062		{ "RVF", 0, 8 },
6063	{ "PCIE_FID_VFID", 0x3d10, 0 },
6064		{ "Select", 30, 2 },
6065		{ "IDO", 24, 1 },
6066		{ "VFID", 15, 9 },
6067		{ "TC", 12, 3 },
6068		{ "VFVld", 11, 1 },
6069		{ "PF", 8, 3 },
6070		{ "RVF", 0, 8 },
6071	{ "PCIE_FID_VFID", 0x3d14, 0 },
6072		{ "Select", 30, 2 },
6073		{ "IDO", 24, 1 },
6074		{ "VFID", 15, 9 },
6075		{ "TC", 12, 3 },
6076		{ "VFVld", 11, 1 },
6077		{ "PF", 8, 3 },
6078		{ "RVF", 0, 8 },
6079	{ "PCIE_FID_VFID", 0x3d18, 0 },
6080		{ "Select", 30, 2 },
6081		{ "IDO", 24, 1 },
6082		{ "VFID", 15, 9 },
6083		{ "TC", 12, 3 },
6084		{ "VFVld", 11, 1 },
6085		{ "PF", 8, 3 },
6086		{ "RVF", 0, 8 },
6087	{ "PCIE_FID_VFID", 0x3d1c, 0 },
6088		{ "Select", 30, 2 },
6089		{ "IDO", 24, 1 },
6090		{ "VFID", 15, 9 },
6091		{ "TC", 12, 3 },
6092		{ "VFVld", 11, 1 },
6093		{ "PF", 8, 3 },
6094		{ "RVF", 0, 8 },
6095	{ "PCIE_FID_VFID", 0x3d20, 0 },
6096		{ "Select", 30, 2 },
6097		{ "IDO", 24, 1 },
6098		{ "VFID", 15, 9 },
6099		{ "TC", 12, 3 },
6100		{ "VFVld", 11, 1 },
6101		{ "PF", 8, 3 },
6102		{ "RVF", 0, 8 },
6103	{ "PCIE_FID_VFID", 0x3d24, 0 },
6104		{ "Select", 30, 2 },
6105		{ "IDO", 24, 1 },
6106		{ "VFID", 15, 9 },
6107		{ "TC", 12, 3 },
6108		{ "VFVld", 11, 1 },
6109		{ "PF", 8, 3 },
6110		{ "RVF", 0, 8 },
6111	{ "PCIE_FID_VFID", 0x3d28, 0 },
6112		{ "Select", 30, 2 },
6113		{ "IDO", 24, 1 },
6114		{ "VFID", 15, 9 },
6115		{ "TC", 12, 3 },
6116		{ "VFVld", 11, 1 },
6117		{ "PF", 8, 3 },
6118		{ "RVF", 0, 8 },
6119	{ "PCIE_FID_VFID", 0x3d2c, 0 },
6120		{ "Select", 30, 2 },
6121		{ "IDO", 24, 1 },
6122		{ "VFID", 15, 9 },
6123		{ "TC", 12, 3 },
6124		{ "VFVld", 11, 1 },
6125		{ "PF", 8, 3 },
6126		{ "RVF", 0, 8 },
6127	{ "PCIE_FID_VFID", 0x3d30, 0 },
6128		{ "Select", 30, 2 },
6129		{ "IDO", 24, 1 },
6130		{ "VFID", 15, 9 },
6131		{ "TC", 12, 3 },
6132		{ "VFVld", 11, 1 },
6133		{ "PF", 8, 3 },
6134		{ "RVF", 0, 8 },
6135	{ "PCIE_FID_VFID", 0x3d34, 0 },
6136		{ "Select", 30, 2 },
6137		{ "IDO", 24, 1 },
6138		{ "VFID", 15, 9 },
6139		{ "TC", 12, 3 },
6140		{ "VFVld", 11, 1 },
6141		{ "PF", 8, 3 },
6142		{ "RVF", 0, 8 },
6143	{ "PCIE_FID_VFID", 0x3d38, 0 },
6144		{ "Select", 30, 2 },
6145		{ "IDO", 24, 1 },
6146		{ "VFID", 15, 9 },
6147		{ "TC", 12, 3 },
6148		{ "VFVld", 11, 1 },
6149		{ "PF", 8, 3 },
6150		{ "RVF", 0, 8 },
6151	{ "PCIE_FID_VFID", 0x3d3c, 0 },
6152		{ "Select", 30, 2 },
6153		{ "IDO", 24, 1 },
6154		{ "VFID", 15, 9 },
6155		{ "TC", 12, 3 },
6156		{ "VFVld", 11, 1 },
6157		{ "PF", 8, 3 },
6158		{ "RVF", 0, 8 },
6159	{ "PCIE_FID_VFID", 0x3d40, 0 },
6160		{ "Select", 30, 2 },
6161		{ "IDO", 24, 1 },
6162		{ "VFID", 15, 9 },
6163		{ "TC", 12, 3 },
6164		{ "VFVld", 11, 1 },
6165		{ "PF", 8, 3 },
6166		{ "RVF", 0, 8 },
6167	{ "PCIE_FID_VFID", 0x3d44, 0 },
6168		{ "Select", 30, 2 },
6169		{ "IDO", 24, 1 },
6170		{ "VFID", 15, 9 },
6171		{ "TC", 12, 3 },
6172		{ "VFVld", 11, 1 },
6173		{ "PF", 8, 3 },
6174		{ "RVF", 0, 8 },
6175	{ "PCIE_FID_VFID", 0x3d48, 0 },
6176		{ "Select", 30, 2 },
6177		{ "IDO", 24, 1 },
6178		{ "VFID", 15, 9 },
6179		{ "TC", 12, 3 },
6180		{ "VFVld", 11, 1 },
6181		{ "PF", 8, 3 },
6182		{ "RVF", 0, 8 },
6183	{ "PCIE_FID_VFID", 0x3d4c, 0 },
6184		{ "Select", 30, 2 },
6185		{ "IDO", 24, 1 },
6186		{ "VFID", 15, 9 },
6187		{ "TC", 12, 3 },
6188		{ "VFVld", 11, 1 },
6189		{ "PF", 8, 3 },
6190		{ "RVF", 0, 8 },
6191	{ "PCIE_FID_VFID", 0x3d50, 0 },
6192		{ "Select", 30, 2 },
6193		{ "IDO", 24, 1 },
6194		{ "VFID", 15, 9 },
6195		{ "TC", 12, 3 },
6196		{ "VFVld", 11, 1 },
6197		{ "PF", 8, 3 },
6198		{ "RVF", 0, 8 },
6199	{ "PCIE_FID_VFID", 0x3d54, 0 },
6200		{ "Select", 30, 2 },
6201		{ "IDO", 24, 1 },
6202		{ "VFID", 15, 9 },
6203		{ "TC", 12, 3 },
6204		{ "VFVld", 11, 1 },
6205		{ "PF", 8, 3 },
6206		{ "RVF", 0, 8 },
6207	{ "PCIE_FID_VFID", 0x3d58, 0 },
6208		{ "Select", 30, 2 },
6209		{ "IDO", 24, 1 },
6210		{ "VFID", 15, 9 },
6211		{ "TC", 12, 3 },
6212		{ "VFVld", 11, 1 },
6213		{ "PF", 8, 3 },
6214		{ "RVF", 0, 8 },
6215	{ "PCIE_FID_VFID", 0x3d5c, 0 },
6216		{ "Select", 30, 2 },
6217		{ "IDO", 24, 1 },
6218		{ "VFID", 15, 9 },
6219		{ "TC", 12, 3 },
6220		{ "VFVld", 11, 1 },
6221		{ "PF", 8, 3 },
6222		{ "RVF", 0, 8 },
6223	{ "PCIE_FID_VFID", 0x3d60, 0 },
6224		{ "Select", 30, 2 },
6225		{ "IDO", 24, 1 },
6226		{ "VFID", 15, 9 },
6227		{ "TC", 12, 3 },
6228		{ "VFVld", 11, 1 },
6229		{ "PF", 8, 3 },
6230		{ "RVF", 0, 8 },
6231	{ "PCIE_FID_VFID", 0x3d64, 0 },
6232		{ "Select", 30, 2 },
6233		{ "IDO", 24, 1 },
6234		{ "VFID", 15, 9 },
6235		{ "TC", 12, 3 },
6236		{ "VFVld", 11, 1 },
6237		{ "PF", 8, 3 },
6238		{ "RVF", 0, 8 },
6239	{ "PCIE_FID_VFID", 0x3d68, 0 },
6240		{ "Select", 30, 2 },
6241		{ "IDO", 24, 1 },
6242		{ "VFID", 15, 9 },
6243		{ "TC", 12, 3 },
6244		{ "VFVld", 11, 1 },
6245		{ "PF", 8, 3 },
6246		{ "RVF", 0, 8 },
6247	{ "PCIE_FID_VFID", 0x3d6c, 0 },
6248		{ "Select", 30, 2 },
6249		{ "IDO", 24, 1 },
6250		{ "VFID", 15, 9 },
6251		{ "TC", 12, 3 },
6252		{ "VFVld", 11, 1 },
6253		{ "PF", 8, 3 },
6254		{ "RVF", 0, 8 },
6255	{ "PCIE_FID_VFID", 0x3d70, 0 },
6256		{ "Select", 30, 2 },
6257		{ "IDO", 24, 1 },
6258		{ "VFID", 15, 9 },
6259		{ "TC", 12, 3 },
6260		{ "VFVld", 11, 1 },
6261		{ "PF", 8, 3 },
6262		{ "RVF", 0, 8 },
6263	{ "PCIE_FID_VFID", 0x3d74, 0 },
6264		{ "Select", 30, 2 },
6265		{ "IDO", 24, 1 },
6266		{ "VFID", 15, 9 },
6267		{ "TC", 12, 3 },
6268		{ "VFVld", 11, 1 },
6269		{ "PF", 8, 3 },
6270		{ "RVF", 0, 8 },
6271	{ "PCIE_FID_VFID", 0x3d78, 0 },
6272		{ "Select", 30, 2 },
6273		{ "IDO", 24, 1 },
6274		{ "VFID", 15, 9 },
6275		{ "TC", 12, 3 },
6276		{ "VFVld", 11, 1 },
6277		{ "PF", 8, 3 },
6278		{ "RVF", 0, 8 },
6279	{ "PCIE_FID_VFID", 0x3d7c, 0 },
6280		{ "Select", 30, 2 },
6281		{ "IDO", 24, 1 },
6282		{ "VFID", 15, 9 },
6283		{ "TC", 12, 3 },
6284		{ "VFVld", 11, 1 },
6285		{ "PF", 8, 3 },
6286		{ "RVF", 0, 8 },
6287	{ "PCIE_FID_VFID", 0x3d80, 0 },
6288		{ "Select", 30, 2 },
6289		{ "IDO", 24, 1 },
6290		{ "VFID", 15, 9 },
6291		{ "TC", 12, 3 },
6292		{ "VFVld", 11, 1 },
6293		{ "PF", 8, 3 },
6294		{ "RVF", 0, 8 },
6295	{ "PCIE_FID_VFID", 0x3d84, 0 },
6296		{ "Select", 30, 2 },
6297		{ "IDO", 24, 1 },
6298		{ "VFID", 15, 9 },
6299		{ "TC", 12, 3 },
6300		{ "VFVld", 11, 1 },
6301		{ "PF", 8, 3 },
6302		{ "RVF", 0, 8 },
6303	{ "PCIE_FID_VFID", 0x3d88, 0 },
6304		{ "Select", 30, 2 },
6305		{ "IDO", 24, 1 },
6306		{ "VFID", 15, 9 },
6307		{ "TC", 12, 3 },
6308		{ "VFVld", 11, 1 },
6309		{ "PF", 8, 3 },
6310		{ "RVF", 0, 8 },
6311	{ "PCIE_FID_VFID", 0x3d8c, 0 },
6312		{ "Select", 30, 2 },
6313		{ "IDO", 24, 1 },
6314		{ "VFID", 15, 9 },
6315		{ "TC", 12, 3 },
6316		{ "VFVld", 11, 1 },
6317		{ "PF", 8, 3 },
6318		{ "RVF", 0, 8 },
6319	{ "PCIE_FID_VFID", 0x3d90, 0 },
6320		{ "Select", 30, 2 },
6321		{ "IDO", 24, 1 },
6322		{ "VFID", 15, 9 },
6323		{ "TC", 12, 3 },
6324		{ "VFVld", 11, 1 },
6325		{ "PF", 8, 3 },
6326		{ "RVF", 0, 8 },
6327	{ "PCIE_FID_VFID", 0x3d94, 0 },
6328		{ "Select", 30, 2 },
6329		{ "IDO", 24, 1 },
6330		{ "VFID", 15, 9 },
6331		{ "TC", 12, 3 },
6332		{ "VFVld", 11, 1 },
6333		{ "PF", 8, 3 },
6334		{ "RVF", 0, 8 },
6335	{ "PCIE_FID_VFID", 0x3d98, 0 },
6336		{ "Select", 30, 2 },
6337		{ "IDO", 24, 1 },
6338		{ "VFID", 15, 9 },
6339		{ "TC", 12, 3 },
6340		{ "VFVld", 11, 1 },
6341		{ "PF", 8, 3 },
6342		{ "RVF", 0, 8 },
6343	{ "PCIE_FID_VFID", 0x3d9c, 0 },
6344		{ "Select", 30, 2 },
6345		{ "IDO", 24, 1 },
6346		{ "VFID", 15, 9 },
6347		{ "TC", 12, 3 },
6348		{ "VFVld", 11, 1 },
6349		{ "PF", 8, 3 },
6350		{ "RVF", 0, 8 },
6351	{ "PCIE_FID_VFID", 0x3da0, 0 },
6352		{ "Select", 30, 2 },
6353		{ "IDO", 24, 1 },
6354		{ "VFID", 15, 9 },
6355		{ "TC", 12, 3 },
6356		{ "VFVld", 11, 1 },
6357		{ "PF", 8, 3 },
6358		{ "RVF", 0, 8 },
6359	{ "PCIE_FID_VFID", 0x3da4, 0 },
6360		{ "Select", 30, 2 },
6361		{ "IDO", 24, 1 },
6362		{ "VFID", 15, 9 },
6363		{ "TC", 12, 3 },
6364		{ "VFVld", 11, 1 },
6365		{ "PF", 8, 3 },
6366		{ "RVF", 0, 8 },
6367	{ "PCIE_FID_VFID", 0x3da8, 0 },
6368		{ "Select", 30, 2 },
6369		{ "IDO", 24, 1 },
6370		{ "VFID", 15, 9 },
6371		{ "TC", 12, 3 },
6372		{ "VFVld", 11, 1 },
6373		{ "PF", 8, 3 },
6374		{ "RVF", 0, 8 },
6375	{ "PCIE_FID_VFID", 0x3dac, 0 },
6376		{ "Select", 30, 2 },
6377		{ "IDO", 24, 1 },
6378		{ "VFID", 15, 9 },
6379		{ "TC", 12, 3 },
6380		{ "VFVld", 11, 1 },
6381		{ "PF", 8, 3 },
6382		{ "RVF", 0, 8 },
6383	{ "PCIE_FID_VFID", 0x3db0, 0 },
6384		{ "Select", 30, 2 },
6385		{ "IDO", 24, 1 },
6386		{ "VFID", 15, 9 },
6387		{ "TC", 12, 3 },
6388		{ "VFVld", 11, 1 },
6389		{ "PF", 8, 3 },
6390		{ "RVF", 0, 8 },
6391	{ "PCIE_FID_VFID", 0x3db4, 0 },
6392		{ "Select", 30, 2 },
6393		{ "IDO", 24, 1 },
6394		{ "VFID", 15, 9 },
6395		{ "TC", 12, 3 },
6396		{ "VFVld", 11, 1 },
6397		{ "PF", 8, 3 },
6398		{ "RVF", 0, 8 },
6399	{ "PCIE_FID_VFID", 0x3db8, 0 },
6400		{ "Select", 30, 2 },
6401		{ "IDO", 24, 1 },
6402		{ "VFID", 15, 9 },
6403		{ "TC", 12, 3 },
6404		{ "VFVld", 11, 1 },
6405		{ "PF", 8, 3 },
6406		{ "RVF", 0, 8 },
6407	{ "PCIE_FID_VFID", 0x3dbc, 0 },
6408		{ "Select", 30, 2 },
6409		{ "IDO", 24, 1 },
6410		{ "VFID", 15, 9 },
6411		{ "TC", 12, 3 },
6412		{ "VFVld", 11, 1 },
6413		{ "PF", 8, 3 },
6414		{ "RVF", 0, 8 },
6415	{ "PCIE_FID_VFID", 0x3dc0, 0 },
6416		{ "Select", 30, 2 },
6417		{ "IDO", 24, 1 },
6418		{ "VFID", 15, 9 },
6419		{ "TC", 12, 3 },
6420		{ "VFVld", 11, 1 },
6421		{ "PF", 8, 3 },
6422		{ "RVF", 0, 8 },
6423	{ "PCIE_FID_VFID", 0x3dc4, 0 },
6424		{ "Select", 30, 2 },
6425		{ "IDO", 24, 1 },
6426		{ "VFID", 15, 9 },
6427		{ "TC", 12, 3 },
6428		{ "VFVld", 11, 1 },
6429		{ "PF", 8, 3 },
6430		{ "RVF", 0, 8 },
6431	{ "PCIE_FID_VFID", 0x3dc8, 0 },
6432		{ "Select", 30, 2 },
6433		{ "IDO", 24, 1 },
6434		{ "VFID", 15, 9 },
6435		{ "TC", 12, 3 },
6436		{ "VFVld", 11, 1 },
6437		{ "PF", 8, 3 },
6438		{ "RVF", 0, 8 },
6439	{ "PCIE_FID_VFID", 0x3dcc, 0 },
6440		{ "Select", 30, 2 },
6441		{ "IDO", 24, 1 },
6442		{ "VFID", 15, 9 },
6443		{ "TC", 12, 3 },
6444		{ "VFVld", 11, 1 },
6445		{ "PF", 8, 3 },
6446		{ "RVF", 0, 8 },
6447	{ "PCIE_FID_VFID", 0x3dd0, 0 },
6448		{ "Select", 30, 2 },
6449		{ "IDO", 24, 1 },
6450		{ "VFID", 15, 9 },
6451		{ "TC", 12, 3 },
6452		{ "VFVld", 11, 1 },
6453		{ "PF", 8, 3 },
6454		{ "RVF", 0, 8 },
6455	{ "PCIE_FID_VFID", 0x3dd4, 0 },
6456		{ "Select", 30, 2 },
6457		{ "IDO", 24, 1 },
6458		{ "VFID", 15, 9 },
6459		{ "TC", 12, 3 },
6460		{ "VFVld", 11, 1 },
6461		{ "PF", 8, 3 },
6462		{ "RVF", 0, 8 },
6463	{ "PCIE_FID_VFID", 0x3dd8, 0 },
6464		{ "Select", 30, 2 },
6465		{ "IDO", 24, 1 },
6466		{ "VFID", 15, 9 },
6467		{ "TC", 12, 3 },
6468		{ "VFVld", 11, 1 },
6469		{ "PF", 8, 3 },
6470		{ "RVF", 0, 8 },
6471	{ "PCIE_FID_VFID", 0x3ddc, 0 },
6472		{ "Select", 30, 2 },
6473		{ "IDO", 24, 1 },
6474		{ "VFID", 15, 9 },
6475		{ "TC", 12, 3 },
6476		{ "VFVld", 11, 1 },
6477		{ "PF", 8, 3 },
6478		{ "RVF", 0, 8 },
6479	{ "PCIE_FID_VFID", 0x3de0, 0 },
6480		{ "Select", 30, 2 },
6481		{ "IDO", 24, 1 },
6482		{ "VFID", 15, 9 },
6483		{ "TC", 12, 3 },
6484		{ "VFVld", 11, 1 },
6485		{ "PF", 8, 3 },
6486		{ "RVF", 0, 8 },
6487	{ "PCIE_FID_VFID", 0x3de4, 0 },
6488		{ "Select", 30, 2 },
6489		{ "IDO", 24, 1 },
6490		{ "VFID", 15, 9 },
6491		{ "TC", 12, 3 },
6492		{ "VFVld", 11, 1 },
6493		{ "PF", 8, 3 },
6494		{ "RVF", 0, 8 },
6495	{ "PCIE_FID_VFID", 0x3de8, 0 },
6496		{ "Select", 30, 2 },
6497		{ "IDO", 24, 1 },
6498		{ "VFID", 15, 9 },
6499		{ "TC", 12, 3 },
6500		{ "VFVld", 11, 1 },
6501		{ "PF", 8, 3 },
6502		{ "RVF", 0, 8 },
6503	{ "PCIE_FID_VFID", 0x3dec, 0 },
6504		{ "Select", 30, 2 },
6505		{ "IDO", 24, 1 },
6506		{ "VFID", 15, 9 },
6507		{ "TC", 12, 3 },
6508		{ "VFVld", 11, 1 },
6509		{ "PF", 8, 3 },
6510		{ "RVF", 0, 8 },
6511	{ "PCIE_FID_VFID", 0x3df0, 0 },
6512		{ "Select", 30, 2 },
6513		{ "IDO", 24, 1 },
6514		{ "VFID", 15, 9 },
6515		{ "TC", 12, 3 },
6516		{ "VFVld", 11, 1 },
6517		{ "PF", 8, 3 },
6518		{ "RVF", 0, 8 },
6519	{ "PCIE_FID_VFID", 0x3df4, 0 },
6520		{ "Select", 30, 2 },
6521		{ "IDO", 24, 1 },
6522		{ "VFID", 15, 9 },
6523		{ "TC", 12, 3 },
6524		{ "VFVld", 11, 1 },
6525		{ "PF", 8, 3 },
6526		{ "RVF", 0, 8 },
6527	{ "PCIE_FID_VFID", 0x3df8, 0 },
6528		{ "Select", 30, 2 },
6529		{ "IDO", 24, 1 },
6530		{ "VFID", 15, 9 },
6531		{ "TC", 12, 3 },
6532		{ "VFVld", 11, 1 },
6533		{ "PF", 8, 3 },
6534		{ "RVF", 0, 8 },
6535	{ "PCIE_FID_VFID", 0x3dfc, 0 },
6536		{ "Select", 30, 2 },
6537		{ "IDO", 24, 1 },
6538		{ "VFID", 15, 9 },
6539		{ "TC", 12, 3 },
6540		{ "VFVld", 11, 1 },
6541		{ "PF", 8, 3 },
6542		{ "RVF", 0, 8 },
6543	{ "PCIE_FID_VFID", 0x3e00, 0 },
6544		{ "Select", 30, 2 },
6545		{ "IDO", 24, 1 },
6546		{ "VFID", 15, 9 },
6547		{ "TC", 12, 3 },
6548		{ "VFVld", 11, 1 },
6549		{ "PF", 8, 3 },
6550		{ "RVF", 0, 8 },
6551	{ "PCIE_FID_VFID", 0x3e04, 0 },
6552		{ "Select", 30, 2 },
6553		{ "IDO", 24, 1 },
6554		{ "VFID", 15, 9 },
6555		{ "TC", 12, 3 },
6556		{ "VFVld", 11, 1 },
6557		{ "PF", 8, 3 },
6558		{ "RVF", 0, 8 },
6559	{ "PCIE_FID_VFID", 0x3e08, 0 },
6560		{ "Select", 30, 2 },
6561		{ "IDO", 24, 1 },
6562		{ "VFID", 15, 9 },
6563		{ "TC", 12, 3 },
6564		{ "VFVld", 11, 1 },
6565		{ "PF", 8, 3 },
6566		{ "RVF", 0, 8 },
6567	{ "PCIE_FID_VFID", 0x3e0c, 0 },
6568		{ "Select", 30, 2 },
6569		{ "IDO", 24, 1 },
6570		{ "VFID", 15, 9 },
6571		{ "TC", 12, 3 },
6572		{ "VFVld", 11, 1 },
6573		{ "PF", 8, 3 },
6574		{ "RVF", 0, 8 },
6575	{ "PCIE_FID_VFID", 0x3e10, 0 },
6576		{ "Select", 30, 2 },
6577		{ "IDO", 24, 1 },
6578		{ "VFID", 15, 9 },
6579		{ "TC", 12, 3 },
6580		{ "VFVld", 11, 1 },
6581		{ "PF", 8, 3 },
6582		{ "RVF", 0, 8 },
6583	{ "PCIE_FID_VFID", 0x3e14, 0 },
6584		{ "Select", 30, 2 },
6585		{ "IDO", 24, 1 },
6586		{ "VFID", 15, 9 },
6587		{ "TC", 12, 3 },
6588		{ "VFVld", 11, 1 },
6589		{ "PF", 8, 3 },
6590		{ "RVF", 0, 8 },
6591	{ "PCIE_FID_VFID", 0x3e18, 0 },
6592		{ "Select", 30, 2 },
6593		{ "IDO", 24, 1 },
6594		{ "VFID", 15, 9 },
6595		{ "TC", 12, 3 },
6596		{ "VFVld", 11, 1 },
6597		{ "PF", 8, 3 },
6598		{ "RVF", 0, 8 },
6599	{ "PCIE_FID_VFID", 0x3e1c, 0 },
6600		{ "Select", 30, 2 },
6601		{ "IDO", 24, 1 },
6602		{ "VFID", 15, 9 },
6603		{ "TC", 12, 3 },
6604		{ "VFVld", 11, 1 },
6605		{ "PF", 8, 3 },
6606		{ "RVF", 0, 8 },
6607	{ "PCIE_FID_VFID", 0x3e20, 0 },
6608		{ "Select", 30, 2 },
6609		{ "IDO", 24, 1 },
6610		{ "VFID", 15, 9 },
6611		{ "TC", 12, 3 },
6612		{ "VFVld", 11, 1 },
6613		{ "PF", 8, 3 },
6614		{ "RVF", 0, 8 },
6615	{ "PCIE_FID_VFID", 0x3e24, 0 },
6616		{ "Select", 30, 2 },
6617		{ "IDO", 24, 1 },
6618		{ "VFID", 15, 9 },
6619		{ "TC", 12, 3 },
6620		{ "VFVld", 11, 1 },
6621		{ "PF", 8, 3 },
6622		{ "RVF", 0, 8 },
6623	{ "PCIE_FID_VFID", 0x3e28, 0 },
6624		{ "Select", 30, 2 },
6625		{ "IDO", 24, 1 },
6626		{ "VFID", 15, 9 },
6627		{ "TC", 12, 3 },
6628		{ "VFVld", 11, 1 },
6629		{ "PF", 8, 3 },
6630		{ "RVF", 0, 8 },
6631	{ "PCIE_FID_VFID", 0x3e2c, 0 },
6632		{ "Select", 30, 2 },
6633		{ "IDO", 24, 1 },
6634		{ "VFID", 15, 9 },
6635		{ "TC", 12, 3 },
6636		{ "VFVld", 11, 1 },
6637		{ "PF", 8, 3 },
6638		{ "RVF", 0, 8 },
6639	{ "PCIE_FID_VFID", 0x3e30, 0 },
6640		{ "Select", 30, 2 },
6641		{ "IDO", 24, 1 },
6642		{ "VFID", 15, 9 },
6643		{ "TC", 12, 3 },
6644		{ "VFVld", 11, 1 },
6645		{ "PF", 8, 3 },
6646		{ "RVF", 0, 8 },
6647	{ "PCIE_FID_VFID", 0x3e34, 0 },
6648		{ "Select", 30, 2 },
6649		{ "IDO", 24, 1 },
6650		{ "VFID", 15, 9 },
6651		{ "TC", 12, 3 },
6652		{ "VFVld", 11, 1 },
6653		{ "PF", 8, 3 },
6654		{ "RVF", 0, 8 },
6655	{ "PCIE_FID_VFID", 0x3e38, 0 },
6656		{ "Select", 30, 2 },
6657		{ "IDO", 24, 1 },
6658		{ "VFID", 15, 9 },
6659		{ "TC", 12, 3 },
6660		{ "VFVld", 11, 1 },
6661		{ "PF", 8, 3 },
6662		{ "RVF", 0, 8 },
6663	{ "PCIE_FID_VFID", 0x3e3c, 0 },
6664		{ "Select", 30, 2 },
6665		{ "IDO", 24, 1 },
6666		{ "VFID", 15, 9 },
6667		{ "TC", 12, 3 },
6668		{ "VFVld", 11, 1 },
6669		{ "PF", 8, 3 },
6670		{ "RVF", 0, 8 },
6671	{ "PCIE_FID_VFID", 0x3e40, 0 },
6672		{ "Select", 30, 2 },
6673		{ "IDO", 24, 1 },
6674		{ "VFID", 15, 9 },
6675		{ "TC", 12, 3 },
6676		{ "VFVld", 11, 1 },
6677		{ "PF", 8, 3 },
6678		{ "RVF", 0, 8 },
6679	{ "PCIE_FID_VFID", 0x3e44, 0 },
6680		{ "Select", 30, 2 },
6681		{ "IDO", 24, 1 },
6682		{ "VFID", 15, 9 },
6683		{ "TC", 12, 3 },
6684		{ "VFVld", 11, 1 },
6685		{ "PF", 8, 3 },
6686		{ "RVF", 0, 8 },
6687	{ "PCIE_FID_VFID", 0x3e48, 0 },
6688		{ "Select", 30, 2 },
6689		{ "IDO", 24, 1 },
6690		{ "VFID", 15, 9 },
6691		{ "TC", 12, 3 },
6692		{ "VFVld", 11, 1 },
6693		{ "PF", 8, 3 },
6694		{ "RVF", 0, 8 },
6695	{ "PCIE_FID_VFID", 0x3e4c, 0 },
6696		{ "Select", 30, 2 },
6697		{ "IDO", 24, 1 },
6698		{ "VFID", 15, 9 },
6699		{ "TC", 12, 3 },
6700		{ "VFVld", 11, 1 },
6701		{ "PF", 8, 3 },
6702		{ "RVF", 0, 8 },
6703	{ "PCIE_FID_VFID", 0x3e50, 0 },
6704		{ "Select", 30, 2 },
6705		{ "IDO", 24, 1 },
6706		{ "VFID", 15, 9 },
6707		{ "TC", 12, 3 },
6708		{ "VFVld", 11, 1 },
6709		{ "PF", 8, 3 },
6710		{ "RVF", 0, 8 },
6711	{ "PCIE_FID_VFID", 0x3e54, 0 },
6712		{ "Select", 30, 2 },
6713		{ "IDO", 24, 1 },
6714		{ "VFID", 15, 9 },
6715		{ "TC", 12, 3 },
6716		{ "VFVld", 11, 1 },
6717		{ "PF", 8, 3 },
6718		{ "RVF", 0, 8 },
6719	{ "PCIE_FID_VFID", 0x3e58, 0 },
6720		{ "Select", 30, 2 },
6721		{ "IDO", 24, 1 },
6722		{ "VFID", 15, 9 },
6723		{ "TC", 12, 3 },
6724		{ "VFVld", 11, 1 },
6725		{ "PF", 8, 3 },
6726		{ "RVF", 0, 8 },
6727	{ "PCIE_FID_VFID", 0x3e5c, 0 },
6728		{ "Select", 30, 2 },
6729		{ "IDO", 24, 1 },
6730		{ "VFID", 15, 9 },
6731		{ "TC", 12, 3 },
6732		{ "VFVld", 11, 1 },
6733		{ "PF", 8, 3 },
6734		{ "RVF", 0, 8 },
6735	{ "PCIE_FID_VFID", 0x3e60, 0 },
6736		{ "Select", 30, 2 },
6737		{ "IDO", 24, 1 },
6738		{ "VFID", 15, 9 },
6739		{ "TC", 12, 3 },
6740		{ "VFVld", 11, 1 },
6741		{ "PF", 8, 3 },
6742		{ "RVF", 0, 8 },
6743	{ "PCIE_FID_VFID", 0x3e64, 0 },
6744		{ "Select", 30, 2 },
6745		{ "IDO", 24, 1 },
6746		{ "VFID", 15, 9 },
6747		{ "TC", 12, 3 },
6748		{ "VFVld", 11, 1 },
6749		{ "PF", 8, 3 },
6750		{ "RVF", 0, 8 },
6751	{ "PCIE_FID_VFID", 0x3e68, 0 },
6752		{ "Select", 30, 2 },
6753		{ "IDO", 24, 1 },
6754		{ "VFID", 15, 9 },
6755		{ "TC", 12, 3 },
6756		{ "VFVld", 11, 1 },
6757		{ "PF", 8, 3 },
6758		{ "RVF", 0, 8 },
6759	{ "PCIE_FID_VFID", 0x3e6c, 0 },
6760		{ "Select", 30, 2 },
6761		{ "IDO", 24, 1 },
6762		{ "VFID", 15, 9 },
6763		{ "TC", 12, 3 },
6764		{ "VFVld", 11, 1 },
6765		{ "PF", 8, 3 },
6766		{ "RVF", 0, 8 },
6767	{ "PCIE_FID_VFID", 0x3e70, 0 },
6768		{ "Select", 30, 2 },
6769		{ "IDO", 24, 1 },
6770		{ "VFID", 15, 9 },
6771		{ "TC", 12, 3 },
6772		{ "VFVld", 11, 1 },
6773		{ "PF", 8, 3 },
6774		{ "RVF", 0, 8 },
6775	{ "PCIE_FID_VFID", 0x3e74, 0 },
6776		{ "Select", 30, 2 },
6777		{ "IDO", 24, 1 },
6778		{ "VFID", 15, 9 },
6779		{ "TC", 12, 3 },
6780		{ "VFVld", 11, 1 },
6781		{ "PF", 8, 3 },
6782		{ "RVF", 0, 8 },
6783	{ "PCIE_FID_VFID", 0x3e78, 0 },
6784		{ "Select", 30, 2 },
6785		{ "IDO", 24, 1 },
6786		{ "VFID", 15, 9 },
6787		{ "TC", 12, 3 },
6788		{ "VFVld", 11, 1 },
6789		{ "PF", 8, 3 },
6790		{ "RVF", 0, 8 },
6791	{ "PCIE_FID_VFID", 0x3e7c, 0 },
6792		{ "Select", 30, 2 },
6793		{ "IDO", 24, 1 },
6794		{ "VFID", 15, 9 },
6795		{ "TC", 12, 3 },
6796		{ "VFVld", 11, 1 },
6797		{ "PF", 8, 3 },
6798		{ "RVF", 0, 8 },
6799	{ "PCIE_FID_VFID", 0x3e80, 0 },
6800		{ "Select", 30, 2 },
6801		{ "IDO", 24, 1 },
6802		{ "VFID", 15, 9 },
6803		{ "TC", 12, 3 },
6804		{ "VFVld", 11, 1 },
6805		{ "PF", 8, 3 },
6806		{ "RVF", 0, 8 },
6807	{ "PCIE_FID_VFID", 0x3e84, 0 },
6808		{ "Select", 30, 2 },
6809		{ "IDO", 24, 1 },
6810		{ "VFID", 15, 9 },
6811		{ "TC", 12, 3 },
6812		{ "VFVld", 11, 1 },
6813		{ "PF", 8, 3 },
6814		{ "RVF", 0, 8 },
6815	{ "PCIE_FID_VFID", 0x3e88, 0 },
6816		{ "Select", 30, 2 },
6817		{ "IDO", 24, 1 },
6818		{ "VFID", 15, 9 },
6819		{ "TC", 12, 3 },
6820		{ "VFVld", 11, 1 },
6821		{ "PF", 8, 3 },
6822		{ "RVF", 0, 8 },
6823	{ "PCIE_FID_VFID", 0x3e8c, 0 },
6824		{ "Select", 30, 2 },
6825		{ "IDO", 24, 1 },
6826		{ "VFID", 15, 9 },
6827		{ "TC", 12, 3 },
6828		{ "VFVld", 11, 1 },
6829		{ "PF", 8, 3 },
6830		{ "RVF", 0, 8 },
6831	{ "PCIE_FID_VFID", 0x3e90, 0 },
6832		{ "Select", 30, 2 },
6833		{ "IDO", 24, 1 },
6834		{ "VFID", 15, 9 },
6835		{ "TC", 12, 3 },
6836		{ "VFVld", 11, 1 },
6837		{ "PF", 8, 3 },
6838		{ "RVF", 0, 8 },
6839	{ "PCIE_FID_VFID", 0x3e94, 0 },
6840		{ "Select", 30, 2 },
6841		{ "IDO", 24, 1 },
6842		{ "VFID", 15, 9 },
6843		{ "TC", 12, 3 },
6844		{ "VFVld", 11, 1 },
6845		{ "PF", 8, 3 },
6846		{ "RVF", 0, 8 },
6847	{ "PCIE_FID_VFID", 0x3e98, 0 },
6848		{ "Select", 30, 2 },
6849		{ "IDO", 24, 1 },
6850		{ "VFID", 15, 9 },
6851		{ "TC", 12, 3 },
6852		{ "VFVld", 11, 1 },
6853		{ "PF", 8, 3 },
6854		{ "RVF", 0, 8 },
6855	{ "PCIE_FID_VFID", 0x3e9c, 0 },
6856		{ "Select", 30, 2 },
6857		{ "IDO", 24, 1 },
6858		{ "VFID", 15, 9 },
6859		{ "TC", 12, 3 },
6860		{ "VFVld", 11, 1 },
6861		{ "PF", 8, 3 },
6862		{ "RVF", 0, 8 },
6863	{ "PCIE_FID_VFID", 0x3ea0, 0 },
6864		{ "Select", 30, 2 },
6865		{ "IDO", 24, 1 },
6866		{ "VFID", 15, 9 },
6867		{ "TC", 12, 3 },
6868		{ "VFVld", 11, 1 },
6869		{ "PF", 8, 3 },
6870		{ "RVF", 0, 8 },
6871	{ "PCIE_FID_VFID", 0x3ea4, 0 },
6872		{ "Select", 30, 2 },
6873		{ "IDO", 24, 1 },
6874		{ "VFID", 15, 9 },
6875		{ "TC", 12, 3 },
6876		{ "VFVld", 11, 1 },
6877		{ "PF", 8, 3 },
6878		{ "RVF", 0, 8 },
6879	{ "PCIE_FID_VFID", 0x3ea8, 0 },
6880		{ "Select", 30, 2 },
6881		{ "IDO", 24, 1 },
6882		{ "VFID", 15, 9 },
6883		{ "TC", 12, 3 },
6884		{ "VFVld", 11, 1 },
6885		{ "PF", 8, 3 },
6886		{ "RVF", 0, 8 },
6887	{ "PCIE_FID_VFID", 0x3eac, 0 },
6888		{ "Select", 30, 2 },
6889		{ "IDO", 24, 1 },
6890		{ "VFID", 15, 9 },
6891		{ "TC", 12, 3 },
6892		{ "VFVld", 11, 1 },
6893		{ "PF", 8, 3 },
6894		{ "RVF", 0, 8 },
6895	{ "PCIE_FID_VFID", 0x3eb0, 0 },
6896		{ "Select", 30, 2 },
6897		{ "IDO", 24, 1 },
6898		{ "VFID", 15, 9 },
6899		{ "TC", 12, 3 },
6900		{ "VFVld", 11, 1 },
6901		{ "PF", 8, 3 },
6902		{ "RVF", 0, 8 },
6903	{ "PCIE_FID_VFID", 0x3eb4, 0 },
6904		{ "Select", 30, 2 },
6905		{ "IDO", 24, 1 },
6906		{ "VFID", 15, 9 },
6907		{ "TC", 12, 3 },
6908		{ "VFVld", 11, 1 },
6909		{ "PF", 8, 3 },
6910		{ "RVF", 0, 8 },
6911	{ "PCIE_FID_VFID", 0x3eb8, 0 },
6912		{ "Select", 30, 2 },
6913		{ "IDO", 24, 1 },
6914		{ "VFID", 15, 9 },
6915		{ "TC", 12, 3 },
6916		{ "VFVld", 11, 1 },
6917		{ "PF", 8, 3 },
6918		{ "RVF", 0, 8 },
6919	{ "PCIE_FID_VFID", 0x3ebc, 0 },
6920		{ "Select", 30, 2 },
6921		{ "IDO", 24, 1 },
6922		{ "VFID", 15, 9 },
6923		{ "TC", 12, 3 },
6924		{ "VFVld", 11, 1 },
6925		{ "PF", 8, 3 },
6926		{ "RVF", 0, 8 },
6927	{ "PCIE_FID_VFID", 0x3ec0, 0 },
6928		{ "Select", 30, 2 },
6929		{ "IDO", 24, 1 },
6930		{ "VFID", 15, 9 },
6931		{ "TC", 12, 3 },
6932		{ "VFVld", 11, 1 },
6933		{ "PF", 8, 3 },
6934		{ "RVF", 0, 8 },
6935	{ "PCIE_FID_VFID", 0x3ec4, 0 },
6936		{ "Select", 30, 2 },
6937		{ "IDO", 24, 1 },
6938		{ "VFID", 15, 9 },
6939		{ "TC", 12, 3 },
6940		{ "VFVld", 11, 1 },
6941		{ "PF", 8, 3 },
6942		{ "RVF", 0, 8 },
6943	{ "PCIE_FID_VFID", 0x3ec8, 0 },
6944		{ "Select", 30, 2 },
6945		{ "IDO", 24, 1 },
6946		{ "VFID", 15, 9 },
6947		{ "TC", 12, 3 },
6948		{ "VFVld", 11, 1 },
6949		{ "PF", 8, 3 },
6950		{ "RVF", 0, 8 },
6951	{ "PCIE_FID_VFID", 0x3ecc, 0 },
6952		{ "Select", 30, 2 },
6953		{ "IDO", 24, 1 },
6954		{ "VFID", 15, 9 },
6955		{ "TC", 12, 3 },
6956		{ "VFVld", 11, 1 },
6957		{ "PF", 8, 3 },
6958		{ "RVF", 0, 8 },
6959	{ "PCIE_FID_VFID", 0x3ed0, 0 },
6960		{ "Select", 30, 2 },
6961		{ "IDO", 24, 1 },
6962		{ "VFID", 15, 9 },
6963		{ "TC", 12, 3 },
6964		{ "VFVld", 11, 1 },
6965		{ "PF", 8, 3 },
6966		{ "RVF", 0, 8 },
6967	{ "PCIE_FID_VFID", 0x3ed4, 0 },
6968		{ "Select", 30, 2 },
6969		{ "IDO", 24, 1 },
6970		{ "VFID", 15, 9 },
6971		{ "TC", 12, 3 },
6972		{ "VFVld", 11, 1 },
6973		{ "PF", 8, 3 },
6974		{ "RVF", 0, 8 },
6975	{ "PCIE_FID_VFID", 0x3ed8, 0 },
6976		{ "Select", 30, 2 },
6977		{ "IDO", 24, 1 },
6978		{ "VFID", 15, 9 },
6979		{ "TC", 12, 3 },
6980		{ "VFVld", 11, 1 },
6981		{ "PF", 8, 3 },
6982		{ "RVF", 0, 8 },
6983	{ "PCIE_FID_VFID", 0x3edc, 0 },
6984		{ "Select", 30, 2 },
6985		{ "IDO", 24, 1 },
6986		{ "VFID", 15, 9 },
6987		{ "TC", 12, 3 },
6988		{ "VFVld", 11, 1 },
6989		{ "PF", 8, 3 },
6990		{ "RVF", 0, 8 },
6991	{ "PCIE_FID_VFID", 0x3ee0, 0 },
6992		{ "Select", 30, 2 },
6993		{ "IDO", 24, 1 },
6994		{ "VFID", 15, 9 },
6995		{ "TC", 12, 3 },
6996		{ "VFVld", 11, 1 },
6997		{ "PF", 8, 3 },
6998		{ "RVF", 0, 8 },
6999	{ "PCIE_FID_VFID", 0x3ee4, 0 },
7000		{ "Select", 30, 2 },
7001		{ "IDO", 24, 1 },
7002		{ "VFID", 15, 9 },
7003		{ "TC", 12, 3 },
7004		{ "VFVld", 11, 1 },
7005		{ "PF", 8, 3 },
7006		{ "RVF", 0, 8 },
7007	{ "PCIE_FID_VFID", 0x3ee8, 0 },
7008		{ "Select", 30, 2 },
7009		{ "IDO", 24, 1 },
7010		{ "VFID", 15, 9 },
7011		{ "TC", 12, 3 },
7012		{ "VFVld", 11, 1 },
7013		{ "PF", 8, 3 },
7014		{ "RVF", 0, 8 },
7015	{ "PCIE_FID_VFID", 0x3eec, 0 },
7016		{ "Select", 30, 2 },
7017		{ "IDO", 24, 1 },
7018		{ "VFID", 15, 9 },
7019		{ "TC", 12, 3 },
7020		{ "VFVld", 11, 1 },
7021		{ "PF", 8, 3 },
7022		{ "RVF", 0, 8 },
7023	{ "PCIE_FID_VFID", 0x3ef0, 0 },
7024		{ "Select", 30, 2 },
7025		{ "IDO", 24, 1 },
7026		{ "VFID", 15, 9 },
7027		{ "TC", 12, 3 },
7028		{ "VFVld", 11, 1 },
7029		{ "PF", 8, 3 },
7030		{ "RVF", 0, 8 },
7031	{ "PCIE_FID_VFID", 0x3ef4, 0 },
7032		{ "Select", 30, 2 },
7033		{ "IDO", 24, 1 },
7034		{ "VFID", 15, 9 },
7035		{ "TC", 12, 3 },
7036		{ "VFVld", 11, 1 },
7037		{ "PF", 8, 3 },
7038		{ "RVF", 0, 8 },
7039	{ "PCIE_FID_VFID", 0x3ef8, 0 },
7040		{ "Select", 30, 2 },
7041		{ "IDO", 24, 1 },
7042		{ "VFID", 15, 9 },
7043		{ "TC", 12, 3 },
7044		{ "VFVld", 11, 1 },
7045		{ "PF", 8, 3 },
7046		{ "RVF", 0, 8 },
7047	{ "PCIE_FID_VFID", 0x3efc, 0 },
7048		{ "Select", 30, 2 },
7049		{ "IDO", 24, 1 },
7050		{ "VFID", 15, 9 },
7051		{ "TC", 12, 3 },
7052		{ "VFVld", 11, 1 },
7053		{ "PF", 8, 3 },
7054		{ "RVF", 0, 8 },
7055	{ "PCIE_FID_VFID", 0x3f00, 0 },
7056		{ "Select", 30, 2 },
7057		{ "IDO", 24, 1 },
7058		{ "VFID", 15, 9 },
7059		{ "TC", 12, 3 },
7060		{ "VFVld", 11, 1 },
7061		{ "PF", 8, 3 },
7062		{ "RVF", 0, 8 },
7063	{ "PCIE_FID_VFID", 0x3f04, 0 },
7064		{ "Select", 30, 2 },
7065		{ "IDO", 24, 1 },
7066		{ "VFID", 15, 9 },
7067		{ "TC", 12, 3 },
7068		{ "VFVld", 11, 1 },
7069		{ "PF", 8, 3 },
7070		{ "RVF", 0, 8 },
7071	{ "PCIE_FID_VFID", 0x3f08, 0 },
7072		{ "Select", 30, 2 },
7073		{ "IDO", 24, 1 },
7074		{ "VFID", 15, 9 },
7075		{ "TC", 12, 3 },
7076		{ "VFVld", 11, 1 },
7077		{ "PF", 8, 3 },
7078		{ "RVF", 0, 8 },
7079	{ "PCIE_FID_VFID", 0x3f0c, 0 },
7080		{ "Select", 30, 2 },
7081		{ "IDO", 24, 1 },
7082		{ "VFID", 15, 9 },
7083		{ "TC", 12, 3 },
7084		{ "VFVld", 11, 1 },
7085		{ "PF", 8, 3 },
7086		{ "RVF", 0, 8 },
7087	{ "PCIE_FID_VFID", 0x3f10, 0 },
7088		{ "Select", 30, 2 },
7089		{ "IDO", 24, 1 },
7090		{ "VFID", 15, 9 },
7091		{ "TC", 12, 3 },
7092		{ "VFVld", 11, 1 },
7093		{ "PF", 8, 3 },
7094		{ "RVF", 0, 8 },
7095	{ "PCIE_FID_VFID", 0x3f14, 0 },
7096		{ "Select", 30, 2 },
7097		{ "IDO", 24, 1 },
7098		{ "VFID", 15, 9 },
7099		{ "TC", 12, 3 },
7100		{ "VFVld", 11, 1 },
7101		{ "PF", 8, 3 },
7102		{ "RVF", 0, 8 },
7103	{ "PCIE_FID_VFID", 0x3f18, 0 },
7104		{ "Select", 30, 2 },
7105		{ "IDO", 24, 1 },
7106		{ "VFID", 15, 9 },
7107		{ "TC", 12, 3 },
7108		{ "VFVld", 11, 1 },
7109		{ "PF", 8, 3 },
7110		{ "RVF", 0, 8 },
7111	{ "PCIE_FID_VFID", 0x3f1c, 0 },
7112		{ "Select", 30, 2 },
7113		{ "IDO", 24, 1 },
7114		{ "VFID", 15, 9 },
7115		{ "TC", 12, 3 },
7116		{ "VFVld", 11, 1 },
7117		{ "PF", 8, 3 },
7118		{ "RVF", 0, 8 },
7119	{ "PCIE_FID_VFID", 0x3f20, 0 },
7120		{ "Select", 30, 2 },
7121		{ "IDO", 24, 1 },
7122		{ "VFID", 15, 9 },
7123		{ "TC", 12, 3 },
7124		{ "VFVld", 11, 1 },
7125		{ "PF", 8, 3 },
7126		{ "RVF", 0, 8 },
7127	{ "PCIE_FID_VFID", 0x3f24, 0 },
7128		{ "Select", 30, 2 },
7129		{ "IDO", 24, 1 },
7130		{ "VFID", 15, 9 },
7131		{ "TC", 12, 3 },
7132		{ "VFVld", 11, 1 },
7133		{ "PF", 8, 3 },
7134		{ "RVF", 0, 8 },
7135	{ "PCIE_FID_VFID", 0x3f28, 0 },
7136		{ "Select", 30, 2 },
7137		{ "IDO", 24, 1 },
7138		{ "VFID", 15, 9 },
7139		{ "TC", 12, 3 },
7140		{ "VFVld", 11, 1 },
7141		{ "PF", 8, 3 },
7142		{ "RVF", 0, 8 },
7143	{ "PCIE_FID_VFID", 0x3f2c, 0 },
7144		{ "Select", 30, 2 },
7145		{ "IDO", 24, 1 },
7146		{ "VFID", 15, 9 },
7147		{ "TC", 12, 3 },
7148		{ "VFVld", 11, 1 },
7149		{ "PF", 8, 3 },
7150		{ "RVF", 0, 8 },
7151	{ "PCIE_FID_VFID", 0x3f30, 0 },
7152		{ "Select", 30, 2 },
7153		{ "IDO", 24, 1 },
7154		{ "VFID", 15, 9 },
7155		{ "TC", 12, 3 },
7156		{ "VFVld", 11, 1 },
7157		{ "PF", 8, 3 },
7158		{ "RVF", 0, 8 },
7159	{ "PCIE_FID_VFID", 0x3f34, 0 },
7160		{ "Select", 30, 2 },
7161		{ "IDO", 24, 1 },
7162		{ "VFID", 15, 9 },
7163		{ "TC", 12, 3 },
7164		{ "VFVld", 11, 1 },
7165		{ "PF", 8, 3 },
7166		{ "RVF", 0, 8 },
7167	{ "PCIE_FID_VFID", 0x3f38, 0 },
7168		{ "Select", 30, 2 },
7169		{ "IDO", 24, 1 },
7170		{ "VFID", 15, 9 },
7171		{ "TC", 12, 3 },
7172		{ "VFVld", 11, 1 },
7173		{ "PF", 8, 3 },
7174		{ "RVF", 0, 8 },
7175	{ "PCIE_FID_VFID", 0x3f3c, 0 },
7176		{ "Select", 30, 2 },
7177		{ "IDO", 24, 1 },
7178		{ "VFID", 15, 9 },
7179		{ "TC", 12, 3 },
7180		{ "VFVld", 11, 1 },
7181		{ "PF", 8, 3 },
7182		{ "RVF", 0, 8 },
7183	{ "PCIE_FID_VFID", 0x3f40, 0 },
7184		{ "Select", 30, 2 },
7185		{ "IDO", 24, 1 },
7186		{ "VFID", 15, 9 },
7187		{ "TC", 12, 3 },
7188		{ "VFVld", 11, 1 },
7189		{ "PF", 8, 3 },
7190		{ "RVF", 0, 8 },
7191	{ "PCIE_FID_VFID", 0x3f44, 0 },
7192		{ "Select", 30, 2 },
7193		{ "IDO", 24, 1 },
7194		{ "VFID", 15, 9 },
7195		{ "TC", 12, 3 },
7196		{ "VFVld", 11, 1 },
7197		{ "PF", 8, 3 },
7198		{ "RVF", 0, 8 },
7199	{ "PCIE_FID_VFID", 0x3f48, 0 },
7200		{ "Select", 30, 2 },
7201		{ "IDO", 24, 1 },
7202		{ "VFID", 15, 9 },
7203		{ "TC", 12, 3 },
7204		{ "VFVld", 11, 1 },
7205		{ "PF", 8, 3 },
7206		{ "RVF", 0, 8 },
7207	{ "PCIE_FID_VFID", 0x3f4c, 0 },
7208		{ "Select", 30, 2 },
7209		{ "IDO", 24, 1 },
7210		{ "VFID", 15, 9 },
7211		{ "TC", 12, 3 },
7212		{ "VFVld", 11, 1 },
7213		{ "PF", 8, 3 },
7214		{ "RVF", 0, 8 },
7215	{ "PCIE_FID_VFID", 0x3f50, 0 },
7216		{ "Select", 30, 2 },
7217		{ "IDO", 24, 1 },
7218		{ "VFID", 15, 9 },
7219		{ "TC", 12, 3 },
7220		{ "VFVld", 11, 1 },
7221		{ "PF", 8, 3 },
7222		{ "RVF", 0, 8 },
7223	{ "PCIE_FID_VFID", 0x3f54, 0 },
7224		{ "Select", 30, 2 },
7225		{ "IDO", 24, 1 },
7226		{ "VFID", 15, 9 },
7227		{ "TC", 12, 3 },
7228		{ "VFVld", 11, 1 },
7229		{ "PF", 8, 3 },
7230		{ "RVF", 0, 8 },
7231	{ "PCIE_FID_VFID", 0x3f58, 0 },
7232		{ "Select", 30, 2 },
7233		{ "IDO", 24, 1 },
7234		{ "VFID", 15, 9 },
7235		{ "TC", 12, 3 },
7236		{ "VFVld", 11, 1 },
7237		{ "PF", 8, 3 },
7238		{ "RVF", 0, 8 },
7239	{ "PCIE_FID_VFID", 0x3f5c, 0 },
7240		{ "Select", 30, 2 },
7241		{ "IDO", 24, 1 },
7242		{ "VFID", 15, 9 },
7243		{ "TC", 12, 3 },
7244		{ "VFVld", 11, 1 },
7245		{ "PF", 8, 3 },
7246		{ "RVF", 0, 8 },
7247	{ "PCIE_FID_VFID", 0x3f60, 0 },
7248		{ "Select", 30, 2 },
7249		{ "IDO", 24, 1 },
7250		{ "VFID", 15, 9 },
7251		{ "TC", 12, 3 },
7252		{ "VFVld", 11, 1 },
7253		{ "PF", 8, 3 },
7254		{ "RVF", 0, 8 },
7255	{ "PCIE_FID_VFID", 0x3f64, 0 },
7256		{ "Select", 30, 2 },
7257		{ "IDO", 24, 1 },
7258		{ "VFID", 15, 9 },
7259		{ "TC", 12, 3 },
7260		{ "VFVld", 11, 1 },
7261		{ "PF", 8, 3 },
7262		{ "RVF", 0, 8 },
7263	{ "PCIE_FID_VFID", 0x3f68, 0 },
7264		{ "Select", 30, 2 },
7265		{ "IDO", 24, 1 },
7266		{ "VFID", 15, 9 },
7267		{ "TC", 12, 3 },
7268		{ "VFVld", 11, 1 },
7269		{ "PF", 8, 3 },
7270		{ "RVF", 0, 8 },
7271	{ "PCIE_FID_VFID", 0x3f6c, 0 },
7272		{ "Select", 30, 2 },
7273		{ "IDO", 24, 1 },
7274		{ "VFID", 15, 9 },
7275		{ "TC", 12, 3 },
7276		{ "VFVld", 11, 1 },
7277		{ "PF", 8, 3 },
7278		{ "RVF", 0, 8 },
7279	{ "PCIE_FID_VFID", 0x3f70, 0 },
7280		{ "Select", 30, 2 },
7281		{ "IDO", 24, 1 },
7282		{ "VFID", 15, 9 },
7283		{ "TC", 12, 3 },
7284		{ "VFVld", 11, 1 },
7285		{ "PF", 8, 3 },
7286		{ "RVF", 0, 8 },
7287	{ "PCIE_FID_VFID", 0x3f74, 0 },
7288		{ "Select", 30, 2 },
7289		{ "IDO", 24, 1 },
7290		{ "VFID", 15, 9 },
7291		{ "TC", 12, 3 },
7292		{ "VFVld", 11, 1 },
7293		{ "PF", 8, 3 },
7294		{ "RVF", 0, 8 },
7295	{ "PCIE_FID_VFID", 0x3f78, 0 },
7296		{ "Select", 30, 2 },
7297		{ "IDO", 24, 1 },
7298		{ "VFID", 15, 9 },
7299		{ "TC", 12, 3 },
7300		{ "VFVld", 11, 1 },
7301		{ "PF", 8, 3 },
7302		{ "RVF", 0, 8 },
7303	{ "PCIE_FID_VFID", 0x3f7c, 0 },
7304		{ "Select", 30, 2 },
7305		{ "IDO", 24, 1 },
7306		{ "VFID", 15, 9 },
7307		{ "TC", 12, 3 },
7308		{ "VFVld", 11, 1 },
7309		{ "PF", 8, 3 },
7310		{ "RVF", 0, 8 },
7311	{ "PCIE_FID_VFID", 0x3f80, 0 },
7312		{ "Select", 30, 2 },
7313		{ "IDO", 24, 1 },
7314		{ "VFID", 15, 9 },
7315		{ "TC", 12, 3 },
7316		{ "VFVld", 11, 1 },
7317		{ "PF", 8, 3 },
7318		{ "RVF", 0, 8 },
7319	{ "PCIE_FID_VFID", 0x3f84, 0 },
7320		{ "Select", 30, 2 },
7321		{ "IDO", 24, 1 },
7322		{ "VFID", 15, 9 },
7323		{ "TC", 12, 3 },
7324		{ "VFVld", 11, 1 },
7325		{ "PF", 8, 3 },
7326		{ "RVF", 0, 8 },
7327	{ "PCIE_FID_VFID", 0x3f88, 0 },
7328		{ "Select", 30, 2 },
7329		{ "IDO", 24, 1 },
7330		{ "VFID", 15, 9 },
7331		{ "TC", 12, 3 },
7332		{ "VFVld", 11, 1 },
7333		{ "PF", 8, 3 },
7334		{ "RVF", 0, 8 },
7335	{ "PCIE_FID_VFID", 0x3f8c, 0 },
7336		{ "Select", 30, 2 },
7337		{ "IDO", 24, 1 },
7338		{ "VFID", 15, 9 },
7339		{ "TC", 12, 3 },
7340		{ "VFVld", 11, 1 },
7341		{ "PF", 8, 3 },
7342		{ "RVF", 0, 8 },
7343	{ "PCIE_FID_VFID", 0x3f90, 0 },
7344		{ "Select", 30, 2 },
7345		{ "IDO", 24, 1 },
7346		{ "VFID", 15, 9 },
7347		{ "TC", 12, 3 },
7348		{ "VFVld", 11, 1 },
7349		{ "PF", 8, 3 },
7350		{ "RVF", 0, 8 },
7351	{ "PCIE_FID_VFID", 0x3f94, 0 },
7352		{ "Select", 30, 2 },
7353		{ "IDO", 24, 1 },
7354		{ "VFID", 15, 9 },
7355		{ "TC", 12, 3 },
7356		{ "VFVld", 11, 1 },
7357		{ "PF", 8, 3 },
7358		{ "RVF", 0, 8 },
7359	{ "PCIE_FID_VFID", 0x3f98, 0 },
7360		{ "Select", 30, 2 },
7361		{ "IDO", 24, 1 },
7362		{ "VFID", 15, 9 },
7363		{ "TC", 12, 3 },
7364		{ "VFVld", 11, 1 },
7365		{ "PF", 8, 3 },
7366		{ "RVF", 0, 8 },
7367	{ "PCIE_FID_VFID", 0x3f9c, 0 },
7368		{ "Select", 30, 2 },
7369		{ "IDO", 24, 1 },
7370		{ "VFID", 15, 9 },
7371		{ "TC", 12, 3 },
7372		{ "VFVld", 11, 1 },
7373		{ "PF", 8, 3 },
7374		{ "RVF", 0, 8 },
7375	{ "PCIE_FID_VFID", 0x3fa0, 0 },
7376		{ "Select", 30, 2 },
7377		{ "IDO", 24, 1 },
7378		{ "VFID", 15, 9 },
7379		{ "TC", 12, 3 },
7380		{ "VFVld", 11, 1 },
7381		{ "PF", 8, 3 },
7382		{ "RVF", 0, 8 },
7383	{ "PCIE_FID_VFID", 0x3fa4, 0 },
7384		{ "Select", 30, 2 },
7385		{ "IDO", 24, 1 },
7386		{ "VFID", 15, 9 },
7387		{ "TC", 12, 3 },
7388		{ "VFVld", 11, 1 },
7389		{ "PF", 8, 3 },
7390		{ "RVF", 0, 8 },
7391	{ "PCIE_FID_VFID", 0x3fa8, 0 },
7392		{ "Select", 30, 2 },
7393		{ "IDO", 24, 1 },
7394		{ "VFID", 15, 9 },
7395		{ "TC", 12, 3 },
7396		{ "VFVld", 11, 1 },
7397		{ "PF", 8, 3 },
7398		{ "RVF", 0, 8 },
7399	{ "PCIE_FID_VFID", 0x3fac, 0 },
7400		{ "Select", 30, 2 },
7401		{ "IDO", 24, 1 },
7402		{ "VFID", 15, 9 },
7403		{ "TC", 12, 3 },
7404		{ "VFVld", 11, 1 },
7405		{ "PF", 8, 3 },
7406		{ "RVF", 0, 8 },
7407	{ "PCIE_FID_VFID", 0x3fb0, 0 },
7408		{ "Select", 30, 2 },
7409		{ "IDO", 24, 1 },
7410		{ "VFID", 15, 9 },
7411		{ "TC", 12, 3 },
7412		{ "VFVld", 11, 1 },
7413		{ "PF", 8, 3 },
7414		{ "RVF", 0, 8 },
7415	{ "PCIE_FID_VFID", 0x3fb4, 0 },
7416		{ "Select", 30, 2 },
7417		{ "IDO", 24, 1 },
7418		{ "VFID", 15, 9 },
7419		{ "TC", 12, 3 },
7420		{ "VFVld", 11, 1 },
7421		{ "PF", 8, 3 },
7422		{ "RVF", 0, 8 },
7423	{ "PCIE_FID_VFID", 0x3fb8, 0 },
7424		{ "Select", 30, 2 },
7425		{ "IDO", 24, 1 },
7426		{ "VFID", 15, 9 },
7427		{ "TC", 12, 3 },
7428		{ "VFVld", 11, 1 },
7429		{ "PF", 8, 3 },
7430		{ "RVF", 0, 8 },
7431	{ "PCIE_FID_VFID", 0x3fbc, 0 },
7432		{ "Select", 30, 2 },
7433		{ "IDO", 24, 1 },
7434		{ "VFID", 15, 9 },
7435		{ "TC", 12, 3 },
7436		{ "VFVld", 11, 1 },
7437		{ "PF", 8, 3 },
7438		{ "RVF", 0, 8 },
7439	{ "PCIE_FID_VFID", 0x3fc0, 0 },
7440		{ "Select", 30, 2 },
7441		{ "IDO", 24, 1 },
7442		{ "VFID", 15, 9 },
7443		{ "TC", 12, 3 },
7444		{ "VFVld", 11, 1 },
7445		{ "PF", 8, 3 },
7446		{ "RVF", 0, 8 },
7447	{ "PCIE_FID_VFID", 0x3fc4, 0 },
7448		{ "Select", 30, 2 },
7449		{ "IDO", 24, 1 },
7450		{ "VFID", 15, 9 },
7451		{ "TC", 12, 3 },
7452		{ "VFVld", 11, 1 },
7453		{ "PF", 8, 3 },
7454		{ "RVF", 0, 8 },
7455	{ "PCIE_FID_VFID", 0x3fc8, 0 },
7456		{ "Select", 30, 2 },
7457		{ "IDO", 24, 1 },
7458		{ "VFID", 15, 9 },
7459		{ "TC", 12, 3 },
7460		{ "VFVld", 11, 1 },
7461		{ "PF", 8, 3 },
7462		{ "RVF", 0, 8 },
7463	{ "PCIE_FID_VFID", 0x3fcc, 0 },
7464		{ "Select", 30, 2 },
7465		{ "IDO", 24, 1 },
7466		{ "VFID", 15, 9 },
7467		{ "TC", 12, 3 },
7468		{ "VFVld", 11, 1 },
7469		{ "PF", 8, 3 },
7470		{ "RVF", 0, 8 },
7471	{ "PCIE_FID_VFID", 0x3fd0, 0 },
7472		{ "Select", 30, 2 },
7473		{ "IDO", 24, 1 },
7474		{ "VFID", 15, 9 },
7475		{ "TC", 12, 3 },
7476		{ "VFVld", 11, 1 },
7477		{ "PF", 8, 3 },
7478		{ "RVF", 0, 8 },
7479	{ "PCIE_FID_VFID", 0x3fd4, 0 },
7480		{ "Select", 30, 2 },
7481		{ "IDO", 24, 1 },
7482		{ "VFID", 15, 9 },
7483		{ "TC", 12, 3 },
7484		{ "VFVld", 11, 1 },
7485		{ "PF", 8, 3 },
7486		{ "RVF", 0, 8 },
7487	{ "PCIE_FID_VFID", 0x3fd8, 0 },
7488		{ "Select", 30, 2 },
7489		{ "IDO", 24, 1 },
7490		{ "VFID", 15, 9 },
7491		{ "TC", 12, 3 },
7492		{ "VFVld", 11, 1 },
7493		{ "PF", 8, 3 },
7494		{ "RVF", 0, 8 },
7495	{ "PCIE_FID_VFID", 0x3fdc, 0 },
7496		{ "Select", 30, 2 },
7497		{ "IDO", 24, 1 },
7498		{ "VFID", 15, 9 },
7499		{ "TC", 12, 3 },
7500		{ "VFVld", 11, 1 },
7501		{ "PF", 8, 3 },
7502		{ "RVF", 0, 8 },
7503	{ "PCIE_FID_VFID", 0x3fe0, 0 },
7504		{ "Select", 30, 2 },
7505		{ "IDO", 24, 1 },
7506		{ "VFID", 15, 9 },
7507		{ "TC", 12, 3 },
7508		{ "VFVld", 11, 1 },
7509		{ "PF", 8, 3 },
7510		{ "RVF", 0, 8 },
7511	{ "PCIE_FID_VFID", 0x3fe4, 0 },
7512		{ "Select", 30, 2 },
7513		{ "IDO", 24, 1 },
7514		{ "VFID", 15, 9 },
7515		{ "TC", 12, 3 },
7516		{ "VFVld", 11, 1 },
7517		{ "PF", 8, 3 },
7518		{ "RVF", 0, 8 },
7519	{ "PCIE_FID_VFID", 0x3fe8, 0 },
7520		{ "Select", 30, 2 },
7521		{ "IDO", 24, 1 },
7522		{ "VFID", 15, 9 },
7523		{ "TC", 12, 3 },
7524		{ "VFVld", 11, 1 },
7525		{ "PF", 8, 3 },
7526		{ "RVF", 0, 8 },
7527	{ "PCIE_FID_VFID", 0x3fec, 0 },
7528		{ "Select", 30, 2 },
7529		{ "IDO", 24, 1 },
7530		{ "VFID", 15, 9 },
7531		{ "TC", 12, 3 },
7532		{ "VFVld", 11, 1 },
7533		{ "PF", 8, 3 },
7534		{ "RVF", 0, 8 },
7535	{ "PCIE_FID_VFID", 0x3ff0, 0 },
7536		{ "Select", 30, 2 },
7537		{ "IDO", 24, 1 },
7538		{ "VFID", 15, 9 },
7539		{ "TC", 12, 3 },
7540		{ "VFVld", 11, 1 },
7541		{ "PF", 8, 3 },
7542		{ "RVF", 0, 8 },
7543	{ "PCIE_FID_VFID", 0x3ff4, 0 },
7544		{ "Select", 30, 2 },
7545		{ "IDO", 24, 1 },
7546		{ "VFID", 15, 9 },
7547		{ "TC", 12, 3 },
7548		{ "VFVld", 11, 1 },
7549		{ "PF", 8, 3 },
7550		{ "RVF", 0, 8 },
7551	{ "PCIE_FID_VFID", 0x3ff8, 0 },
7552		{ "Select", 30, 2 },
7553		{ "IDO", 24, 1 },
7554		{ "VFID", 15, 9 },
7555		{ "TC", 12, 3 },
7556		{ "VFVld", 11, 1 },
7557		{ "PF", 8, 3 },
7558		{ "RVF", 0, 8 },
7559	{ "PCIE_FID_VFID", 0x3ffc, 0 },
7560		{ "Select", 30, 2 },
7561		{ "IDO", 24, 1 },
7562		{ "VFID", 15, 9 },
7563		{ "TC", 12, 3 },
7564		{ "VFVld", 11, 1 },
7565		{ "PF", 8, 3 },
7566		{ "RVF", 0, 8 },
7567	{ "PCIE_FID_VFID", 0x4000, 0 },
7568		{ "Select", 30, 2 },
7569		{ "IDO", 24, 1 },
7570		{ "VFID", 15, 9 },
7571		{ "TC", 12, 3 },
7572		{ "VFVld", 11, 1 },
7573		{ "PF", 8, 3 },
7574		{ "RVF", 0, 8 },
7575	{ "PCIE_FID_VFID", 0x4004, 0 },
7576		{ "Select", 30, 2 },
7577		{ "IDO", 24, 1 },
7578		{ "VFID", 15, 9 },
7579		{ "TC", 12, 3 },
7580		{ "VFVld", 11, 1 },
7581		{ "PF", 8, 3 },
7582		{ "RVF", 0, 8 },
7583	{ "PCIE_FID_VFID", 0x4008, 0 },
7584		{ "Select", 30, 2 },
7585		{ "IDO", 24, 1 },
7586		{ "VFID", 15, 9 },
7587		{ "TC", 12, 3 },
7588		{ "VFVld", 11, 1 },
7589		{ "PF", 8, 3 },
7590		{ "RVF", 0, 8 },
7591	{ "PCIE_FID_VFID", 0x400c, 0 },
7592		{ "Select", 30, 2 },
7593		{ "IDO", 24, 1 },
7594		{ "VFID", 15, 9 },
7595		{ "TC", 12, 3 },
7596		{ "VFVld", 11, 1 },
7597		{ "PF", 8, 3 },
7598		{ "RVF", 0, 8 },
7599	{ "PCIE_FID_VFID", 0x4010, 0 },
7600		{ "Select", 30, 2 },
7601		{ "IDO", 24, 1 },
7602		{ "VFID", 15, 9 },
7603		{ "TC", 12, 3 },
7604		{ "VFVld", 11, 1 },
7605		{ "PF", 8, 3 },
7606		{ "RVF", 0, 8 },
7607	{ "PCIE_FID_VFID", 0x4014, 0 },
7608		{ "Select", 30, 2 },
7609		{ "IDO", 24, 1 },
7610		{ "VFID", 15, 9 },
7611		{ "TC", 12, 3 },
7612		{ "VFVld", 11, 1 },
7613		{ "PF", 8, 3 },
7614		{ "RVF", 0, 8 },
7615	{ "PCIE_FID_VFID", 0x4018, 0 },
7616		{ "Select", 30, 2 },
7617		{ "IDO", 24, 1 },
7618		{ "VFID", 15, 9 },
7619		{ "TC", 12, 3 },
7620		{ "VFVld", 11, 1 },
7621		{ "PF", 8, 3 },
7622		{ "RVF", 0, 8 },
7623	{ "PCIE_FID_VFID", 0x401c, 0 },
7624		{ "Select", 30, 2 },
7625		{ "IDO", 24, 1 },
7626		{ "VFID", 15, 9 },
7627		{ "TC", 12, 3 },
7628		{ "VFVld", 11, 1 },
7629		{ "PF", 8, 3 },
7630		{ "RVF", 0, 8 },
7631	{ "PCIE_FID_VFID", 0x4020, 0 },
7632		{ "Select", 30, 2 },
7633		{ "IDO", 24, 1 },
7634		{ "VFID", 15, 9 },
7635		{ "TC", 12, 3 },
7636		{ "VFVld", 11, 1 },
7637		{ "PF", 8, 3 },
7638		{ "RVF", 0, 8 },
7639	{ "PCIE_FID_VFID", 0x4024, 0 },
7640		{ "Select", 30, 2 },
7641		{ "IDO", 24, 1 },
7642		{ "VFID", 15, 9 },
7643		{ "TC", 12, 3 },
7644		{ "VFVld", 11, 1 },
7645		{ "PF", 8, 3 },
7646		{ "RVF", 0, 8 },
7647	{ "PCIE_FID_VFID", 0x4028, 0 },
7648		{ "Select", 30, 2 },
7649		{ "IDO", 24, 1 },
7650		{ "VFID", 15, 9 },
7651		{ "TC", 12, 3 },
7652		{ "VFVld", 11, 1 },
7653		{ "PF", 8, 3 },
7654		{ "RVF", 0, 8 },
7655	{ "PCIE_FID_VFID", 0x402c, 0 },
7656		{ "Select", 30, 2 },
7657		{ "IDO", 24, 1 },
7658		{ "VFID", 15, 9 },
7659		{ "TC", 12, 3 },
7660		{ "VFVld", 11, 1 },
7661		{ "PF", 8, 3 },
7662		{ "RVF", 0, 8 },
7663	{ "PCIE_FID_VFID", 0x4030, 0 },
7664		{ "Select", 30, 2 },
7665		{ "IDO", 24, 1 },
7666		{ "VFID", 15, 9 },
7667		{ "TC", 12, 3 },
7668		{ "VFVld", 11, 1 },
7669		{ "PF", 8, 3 },
7670		{ "RVF", 0, 8 },
7671	{ "PCIE_FID_VFID", 0x4034, 0 },
7672		{ "Select", 30, 2 },
7673		{ "IDO", 24, 1 },
7674		{ "VFID", 15, 9 },
7675		{ "TC", 12, 3 },
7676		{ "VFVld", 11, 1 },
7677		{ "PF", 8, 3 },
7678		{ "RVF", 0, 8 },
7679	{ "PCIE_FID_VFID", 0x4038, 0 },
7680		{ "Select", 30, 2 },
7681		{ "IDO", 24, 1 },
7682		{ "VFID", 15, 9 },
7683		{ "TC", 12, 3 },
7684		{ "VFVld", 11, 1 },
7685		{ "PF", 8, 3 },
7686		{ "RVF", 0, 8 },
7687	{ "PCIE_FID_VFID", 0x403c, 0 },
7688		{ "Select", 30, 2 },
7689		{ "IDO", 24, 1 },
7690		{ "VFID", 15, 9 },
7691		{ "TC", 12, 3 },
7692		{ "VFVld", 11, 1 },
7693		{ "PF", 8, 3 },
7694		{ "RVF", 0, 8 },
7695	{ "PCIE_FID_VFID", 0x4040, 0 },
7696		{ "Select", 30, 2 },
7697		{ "IDO", 24, 1 },
7698		{ "VFID", 15, 9 },
7699		{ "TC", 12, 3 },
7700		{ "VFVld", 11, 1 },
7701		{ "PF", 8, 3 },
7702		{ "RVF", 0, 8 },
7703	{ "PCIE_FID_VFID", 0x4044, 0 },
7704		{ "Select", 30, 2 },
7705		{ "IDO", 24, 1 },
7706		{ "VFID", 15, 9 },
7707		{ "TC", 12, 3 },
7708		{ "VFVld", 11, 1 },
7709		{ "PF", 8, 3 },
7710		{ "RVF", 0, 8 },
7711	{ "PCIE_FID_VFID", 0x4048, 0 },
7712		{ "Select", 30, 2 },
7713		{ "IDO", 24, 1 },
7714		{ "VFID", 15, 9 },
7715		{ "TC", 12, 3 },
7716		{ "VFVld", 11, 1 },
7717		{ "PF", 8, 3 },
7718		{ "RVF", 0, 8 },
7719	{ "PCIE_FID_VFID", 0x404c, 0 },
7720		{ "Select", 30, 2 },
7721		{ "IDO", 24, 1 },
7722		{ "VFID", 15, 9 },
7723		{ "TC", 12, 3 },
7724		{ "VFVld", 11, 1 },
7725		{ "PF", 8, 3 },
7726		{ "RVF", 0, 8 },
7727	{ "PCIE_FID_VFID", 0x4050, 0 },
7728		{ "Select", 30, 2 },
7729		{ "IDO", 24, 1 },
7730		{ "VFID", 15, 9 },
7731		{ "TC", 12, 3 },
7732		{ "VFVld", 11, 1 },
7733		{ "PF", 8, 3 },
7734		{ "RVF", 0, 8 },
7735	{ "PCIE_FID_VFID", 0x4054, 0 },
7736		{ "Select", 30, 2 },
7737		{ "IDO", 24, 1 },
7738		{ "VFID", 15, 9 },
7739		{ "TC", 12, 3 },
7740		{ "VFVld", 11, 1 },
7741		{ "PF", 8, 3 },
7742		{ "RVF", 0, 8 },
7743	{ "PCIE_FID_VFID", 0x4058, 0 },
7744		{ "Select", 30, 2 },
7745		{ "IDO", 24, 1 },
7746		{ "VFID", 15, 9 },
7747		{ "TC", 12, 3 },
7748		{ "VFVld", 11, 1 },
7749		{ "PF", 8, 3 },
7750		{ "RVF", 0, 8 },
7751	{ "PCIE_FID_VFID", 0x405c, 0 },
7752		{ "Select", 30, 2 },
7753		{ "IDO", 24, 1 },
7754		{ "VFID", 15, 9 },
7755		{ "TC", 12, 3 },
7756		{ "VFVld", 11, 1 },
7757		{ "PF", 8, 3 },
7758		{ "RVF", 0, 8 },
7759	{ "PCIE_FID_VFID", 0x4060, 0 },
7760		{ "Select", 30, 2 },
7761		{ "IDO", 24, 1 },
7762		{ "VFID", 15, 9 },
7763		{ "TC", 12, 3 },
7764		{ "VFVld", 11, 1 },
7765		{ "PF", 8, 3 },
7766		{ "RVF", 0, 8 },
7767	{ "PCIE_FID_VFID", 0x4064, 0 },
7768		{ "Select", 30, 2 },
7769		{ "IDO", 24, 1 },
7770		{ "VFID", 15, 9 },
7771		{ "TC", 12, 3 },
7772		{ "VFVld", 11, 1 },
7773		{ "PF", 8, 3 },
7774		{ "RVF", 0, 8 },
7775	{ "PCIE_FID_VFID", 0x4068, 0 },
7776		{ "Select", 30, 2 },
7777		{ "IDO", 24, 1 },
7778		{ "VFID", 15, 9 },
7779		{ "TC", 12, 3 },
7780		{ "VFVld", 11, 1 },
7781		{ "PF", 8, 3 },
7782		{ "RVF", 0, 8 },
7783	{ "PCIE_FID_VFID", 0x406c, 0 },
7784		{ "Select", 30, 2 },
7785		{ "IDO", 24, 1 },
7786		{ "VFID", 15, 9 },
7787		{ "TC", 12, 3 },
7788		{ "VFVld", 11, 1 },
7789		{ "PF", 8, 3 },
7790		{ "RVF", 0, 8 },
7791	{ "PCIE_FID_VFID", 0x4070, 0 },
7792		{ "Select", 30, 2 },
7793		{ "IDO", 24, 1 },
7794		{ "VFID", 15, 9 },
7795		{ "TC", 12, 3 },
7796		{ "VFVld", 11, 1 },
7797		{ "PF", 8, 3 },
7798		{ "RVF", 0, 8 },
7799	{ "PCIE_FID_VFID", 0x4074, 0 },
7800		{ "Select", 30, 2 },
7801		{ "IDO", 24, 1 },
7802		{ "VFID", 15, 9 },
7803		{ "TC", 12, 3 },
7804		{ "VFVld", 11, 1 },
7805		{ "PF", 8, 3 },
7806		{ "RVF", 0, 8 },
7807	{ "PCIE_FID_VFID", 0x4078, 0 },
7808		{ "Select", 30, 2 },
7809		{ "IDO", 24, 1 },
7810		{ "VFID", 15, 9 },
7811		{ "TC", 12, 3 },
7812		{ "VFVld", 11, 1 },
7813		{ "PF", 8, 3 },
7814		{ "RVF", 0, 8 },
7815	{ "PCIE_FID_VFID", 0x407c, 0 },
7816		{ "Select", 30, 2 },
7817		{ "IDO", 24, 1 },
7818		{ "VFID", 15, 9 },
7819		{ "TC", 12, 3 },
7820		{ "VFVld", 11, 1 },
7821		{ "PF", 8, 3 },
7822		{ "RVF", 0, 8 },
7823	{ "PCIE_FID_VFID", 0x4080, 0 },
7824		{ "Select", 30, 2 },
7825		{ "IDO", 24, 1 },
7826		{ "VFID", 15, 9 },
7827		{ "TC", 12, 3 },
7828		{ "VFVld", 11, 1 },
7829		{ "PF", 8, 3 },
7830		{ "RVF", 0, 8 },
7831	{ "PCIE_FID_VFID", 0x4084, 0 },
7832		{ "Select", 30, 2 },
7833		{ "IDO", 24, 1 },
7834		{ "VFID", 15, 9 },
7835		{ "TC", 12, 3 },
7836		{ "VFVld", 11, 1 },
7837		{ "PF", 8, 3 },
7838		{ "RVF", 0, 8 },
7839	{ "PCIE_FID_VFID", 0x4088, 0 },
7840		{ "Select", 30, 2 },
7841		{ "IDO", 24, 1 },
7842		{ "VFID", 15, 9 },
7843		{ "TC", 12, 3 },
7844		{ "VFVld", 11, 1 },
7845		{ "PF", 8, 3 },
7846		{ "RVF", 0, 8 },
7847	{ "PCIE_FID_VFID", 0x408c, 0 },
7848		{ "Select", 30, 2 },
7849		{ "IDO", 24, 1 },
7850		{ "VFID", 15, 9 },
7851		{ "TC", 12, 3 },
7852		{ "VFVld", 11, 1 },
7853		{ "PF", 8, 3 },
7854		{ "RVF", 0, 8 },
7855	{ "PCIE_FID_VFID", 0x4090, 0 },
7856		{ "Select", 30, 2 },
7857		{ "IDO", 24, 1 },
7858		{ "VFID", 15, 9 },
7859		{ "TC", 12, 3 },
7860		{ "VFVld", 11, 1 },
7861		{ "PF", 8, 3 },
7862		{ "RVF", 0, 8 },
7863	{ "PCIE_FID_VFID", 0x4094, 0 },
7864		{ "Select", 30, 2 },
7865		{ "IDO", 24, 1 },
7866		{ "VFID", 15, 9 },
7867		{ "TC", 12, 3 },
7868		{ "VFVld", 11, 1 },
7869		{ "PF", 8, 3 },
7870		{ "RVF", 0, 8 },
7871	{ "PCIE_FID_VFID", 0x4098, 0 },
7872		{ "Select", 30, 2 },
7873		{ "IDO", 24, 1 },
7874		{ "VFID", 15, 9 },
7875		{ "TC", 12, 3 },
7876		{ "VFVld", 11, 1 },
7877		{ "PF", 8, 3 },
7878		{ "RVF", 0, 8 },
7879	{ "PCIE_FID_VFID", 0x409c, 0 },
7880		{ "Select", 30, 2 },
7881		{ "IDO", 24, 1 },
7882		{ "VFID", 15, 9 },
7883		{ "TC", 12, 3 },
7884		{ "VFVld", 11, 1 },
7885		{ "PF", 8, 3 },
7886		{ "RVF", 0, 8 },
7887	{ "PCIE_FID_VFID", 0x40a0, 0 },
7888		{ "Select", 30, 2 },
7889		{ "IDO", 24, 1 },
7890		{ "VFID", 15, 9 },
7891		{ "TC", 12, 3 },
7892		{ "VFVld", 11, 1 },
7893		{ "PF", 8, 3 },
7894		{ "RVF", 0, 8 },
7895	{ "PCIE_FID_VFID", 0x40a4, 0 },
7896		{ "Select", 30, 2 },
7897		{ "IDO", 24, 1 },
7898		{ "VFID", 15, 9 },
7899		{ "TC", 12, 3 },
7900		{ "VFVld", 11, 1 },
7901		{ "PF", 8, 3 },
7902		{ "RVF", 0, 8 },
7903	{ "PCIE_FID_VFID", 0x40a8, 0 },
7904		{ "Select", 30, 2 },
7905		{ "IDO", 24, 1 },
7906		{ "VFID", 15, 9 },
7907		{ "TC", 12, 3 },
7908		{ "VFVld", 11, 1 },
7909		{ "PF", 8, 3 },
7910		{ "RVF", 0, 8 },
7911	{ "PCIE_FID_VFID", 0x40ac, 0 },
7912		{ "Select", 30, 2 },
7913		{ "IDO", 24, 1 },
7914		{ "VFID", 15, 9 },
7915		{ "TC", 12, 3 },
7916		{ "VFVld", 11, 1 },
7917		{ "PF", 8, 3 },
7918		{ "RVF", 0, 8 },
7919	{ "PCIE_FID_VFID", 0x40b0, 0 },
7920		{ "Select", 30, 2 },
7921		{ "IDO", 24, 1 },
7922		{ "VFID", 15, 9 },
7923		{ "TC", 12, 3 },
7924		{ "VFVld", 11, 1 },
7925		{ "PF", 8, 3 },
7926		{ "RVF", 0, 8 },
7927	{ "PCIE_FID_VFID", 0x40b4, 0 },
7928		{ "Select", 30, 2 },
7929		{ "IDO", 24, 1 },
7930		{ "VFID", 15, 9 },
7931		{ "TC", 12, 3 },
7932		{ "VFVld", 11, 1 },
7933		{ "PF", 8, 3 },
7934		{ "RVF", 0, 8 },
7935	{ "PCIE_FID_VFID", 0x40b8, 0 },
7936		{ "Select", 30, 2 },
7937		{ "IDO", 24, 1 },
7938		{ "VFID", 15, 9 },
7939		{ "TC", 12, 3 },
7940		{ "VFVld", 11, 1 },
7941		{ "PF", 8, 3 },
7942		{ "RVF", 0, 8 },
7943	{ "PCIE_FID_VFID", 0x40bc, 0 },
7944		{ "Select", 30, 2 },
7945		{ "IDO", 24, 1 },
7946		{ "VFID", 15, 9 },
7947		{ "TC", 12, 3 },
7948		{ "VFVld", 11, 1 },
7949		{ "PF", 8, 3 },
7950		{ "RVF", 0, 8 },
7951	{ "PCIE_FID_VFID", 0x40c0, 0 },
7952		{ "Select", 30, 2 },
7953		{ "IDO", 24, 1 },
7954		{ "VFID", 15, 9 },
7955		{ "TC", 12, 3 },
7956		{ "VFVld", 11, 1 },
7957		{ "PF", 8, 3 },
7958		{ "RVF", 0, 8 },
7959	{ "PCIE_FID_VFID", 0x40c4, 0 },
7960		{ "Select", 30, 2 },
7961		{ "IDO", 24, 1 },
7962		{ "VFID", 15, 9 },
7963		{ "TC", 12, 3 },
7964		{ "VFVld", 11, 1 },
7965		{ "PF", 8, 3 },
7966		{ "RVF", 0, 8 },
7967	{ "PCIE_FID_VFID", 0x40c8, 0 },
7968		{ "Select", 30, 2 },
7969		{ "IDO", 24, 1 },
7970		{ "VFID", 15, 9 },
7971		{ "TC", 12, 3 },
7972		{ "VFVld", 11, 1 },
7973		{ "PF", 8, 3 },
7974		{ "RVF", 0, 8 },
7975	{ "PCIE_FID_VFID", 0x40cc, 0 },
7976		{ "Select", 30, 2 },
7977		{ "IDO", 24, 1 },
7978		{ "VFID", 15, 9 },
7979		{ "TC", 12, 3 },
7980		{ "VFVld", 11, 1 },
7981		{ "PF", 8, 3 },
7982		{ "RVF", 0, 8 },
7983	{ "PCIE_FID_VFID", 0x40d0, 0 },
7984		{ "Select", 30, 2 },
7985		{ "IDO", 24, 1 },
7986		{ "VFID", 15, 9 },
7987		{ "TC", 12, 3 },
7988		{ "VFVld", 11, 1 },
7989		{ "PF", 8, 3 },
7990		{ "RVF", 0, 8 },
7991	{ "PCIE_FID_VFID", 0x40d4, 0 },
7992		{ "Select", 30, 2 },
7993		{ "IDO", 24, 1 },
7994		{ "VFID", 15, 9 },
7995		{ "TC", 12, 3 },
7996		{ "VFVld", 11, 1 },
7997		{ "PF", 8, 3 },
7998		{ "RVF", 0, 8 },
7999	{ "PCIE_FID_VFID", 0x40d8, 0 },
8000		{ "Select", 30, 2 },
8001		{ "IDO", 24, 1 },
8002		{ "VFID", 15, 9 },
8003		{ "TC", 12, 3 },
8004		{ "VFVld", 11, 1 },
8005		{ "PF", 8, 3 },
8006		{ "RVF", 0, 8 },
8007	{ "PCIE_FID_VFID", 0x40dc, 0 },
8008		{ "Select", 30, 2 },
8009		{ "IDO", 24, 1 },
8010		{ "VFID", 15, 9 },
8011		{ "TC", 12, 3 },
8012		{ "VFVld", 11, 1 },
8013		{ "PF", 8, 3 },
8014		{ "RVF", 0, 8 },
8015	{ "PCIE_FID_VFID", 0x40e0, 0 },
8016		{ "Select", 30, 2 },
8017		{ "IDO", 24, 1 },
8018		{ "VFID", 15, 9 },
8019		{ "TC", 12, 3 },
8020		{ "VFVld", 11, 1 },
8021		{ "PF", 8, 3 },
8022		{ "RVF", 0, 8 },
8023	{ "PCIE_FID_VFID", 0x40e4, 0 },
8024		{ "Select", 30, 2 },
8025		{ "IDO", 24, 1 },
8026		{ "VFID", 15, 9 },
8027		{ "TC", 12, 3 },
8028		{ "VFVld", 11, 1 },
8029		{ "PF", 8, 3 },
8030		{ "RVF", 0, 8 },
8031	{ "PCIE_FID_VFID", 0x40e8, 0 },
8032		{ "Select", 30, 2 },
8033		{ "IDO", 24, 1 },
8034		{ "VFID", 15, 9 },
8035		{ "TC", 12, 3 },
8036		{ "VFVld", 11, 1 },
8037		{ "PF", 8, 3 },
8038		{ "RVF", 0, 8 },
8039	{ "PCIE_FID_VFID", 0x40ec, 0 },
8040		{ "Select", 30, 2 },
8041		{ "IDO", 24, 1 },
8042		{ "VFID", 15, 9 },
8043		{ "TC", 12, 3 },
8044		{ "VFVld", 11, 1 },
8045		{ "PF", 8, 3 },
8046		{ "RVF", 0, 8 },
8047	{ "PCIE_FID_VFID", 0x40f0, 0 },
8048		{ "Select", 30, 2 },
8049		{ "IDO", 24, 1 },
8050		{ "VFID", 15, 9 },
8051		{ "TC", 12, 3 },
8052		{ "VFVld", 11, 1 },
8053		{ "PF", 8, 3 },
8054		{ "RVF", 0, 8 },
8055	{ "PCIE_FID_VFID", 0x40f4, 0 },
8056		{ "Select", 30, 2 },
8057		{ "IDO", 24, 1 },
8058		{ "VFID", 15, 9 },
8059		{ "TC", 12, 3 },
8060		{ "VFVld", 11, 1 },
8061		{ "PF", 8, 3 },
8062		{ "RVF", 0, 8 },
8063	{ "PCIE_FID_VFID", 0x40f8, 0 },
8064		{ "Select", 30, 2 },
8065		{ "IDO", 24, 1 },
8066		{ "VFID", 15, 9 },
8067		{ "TC", 12, 3 },
8068		{ "VFVld", 11, 1 },
8069		{ "PF", 8, 3 },
8070		{ "RVF", 0, 8 },
8071	{ "PCIE_FID_VFID", 0x40fc, 0 },
8072		{ "Select", 30, 2 },
8073		{ "IDO", 24, 1 },
8074		{ "VFID", 15, 9 },
8075		{ "TC", 12, 3 },
8076		{ "VFVld", 11, 1 },
8077		{ "PF", 8, 3 },
8078		{ "RVF", 0, 8 },
8079	{ "PCIE_FID_VFID", 0x4100, 0 },
8080		{ "Select", 30, 2 },
8081		{ "IDO", 24, 1 },
8082		{ "VFID", 15, 9 },
8083		{ "TC", 12, 3 },
8084		{ "VFVld", 11, 1 },
8085		{ "PF", 8, 3 },
8086		{ "RVF", 0, 8 },
8087	{ "PCIE_FID_VFID", 0x4104, 0 },
8088		{ "Select", 30, 2 },
8089		{ "IDO", 24, 1 },
8090		{ "VFID", 15, 9 },
8091		{ "TC", 12, 3 },
8092		{ "VFVld", 11, 1 },
8093		{ "PF", 8, 3 },
8094		{ "RVF", 0, 8 },
8095	{ "PCIE_FID_VFID", 0x4108, 0 },
8096		{ "Select", 30, 2 },
8097		{ "IDO", 24, 1 },
8098		{ "VFID", 15, 9 },
8099		{ "TC", 12, 3 },
8100		{ "VFVld", 11, 1 },
8101		{ "PF", 8, 3 },
8102		{ "RVF", 0, 8 },
8103	{ "PCIE_FID_VFID", 0x410c, 0 },
8104		{ "Select", 30, 2 },
8105		{ "IDO", 24, 1 },
8106		{ "VFID", 15, 9 },
8107		{ "TC", 12, 3 },
8108		{ "VFVld", 11, 1 },
8109		{ "PF", 8, 3 },
8110		{ "RVF", 0, 8 },
8111	{ "PCIE_FID_VFID", 0x4110, 0 },
8112		{ "Select", 30, 2 },
8113		{ "IDO", 24, 1 },
8114		{ "VFID", 15, 9 },
8115		{ "TC", 12, 3 },
8116		{ "VFVld", 11, 1 },
8117		{ "PF", 8, 3 },
8118		{ "RVF", 0, 8 },
8119	{ "PCIE_FID_VFID", 0x4114, 0 },
8120		{ "Select", 30, 2 },
8121		{ "IDO", 24, 1 },
8122		{ "VFID", 15, 9 },
8123		{ "TC", 12, 3 },
8124		{ "VFVld", 11, 1 },
8125		{ "PF", 8, 3 },
8126		{ "RVF", 0, 8 },
8127	{ "PCIE_FID_VFID", 0x4118, 0 },
8128		{ "Select", 30, 2 },
8129		{ "IDO", 24, 1 },
8130		{ "VFID", 15, 9 },
8131		{ "TC", 12, 3 },
8132		{ "VFVld", 11, 1 },
8133		{ "PF", 8, 3 },
8134		{ "RVF", 0, 8 },
8135	{ "PCIE_FID_VFID", 0x411c, 0 },
8136		{ "Select", 30, 2 },
8137		{ "IDO", 24, 1 },
8138		{ "VFID", 15, 9 },
8139		{ "TC", 12, 3 },
8140		{ "VFVld", 11, 1 },
8141		{ "PF", 8, 3 },
8142		{ "RVF", 0, 8 },
8143	{ "PCIE_FID_VFID", 0x4120, 0 },
8144		{ "Select", 30, 2 },
8145		{ "IDO", 24, 1 },
8146		{ "VFID", 15, 9 },
8147		{ "TC", 12, 3 },
8148		{ "VFVld", 11, 1 },
8149		{ "PF", 8, 3 },
8150		{ "RVF", 0, 8 },
8151	{ "PCIE_FID_VFID", 0x4124, 0 },
8152		{ "Select", 30, 2 },
8153		{ "IDO", 24, 1 },
8154		{ "VFID", 15, 9 },
8155		{ "TC", 12, 3 },
8156		{ "VFVld", 11, 1 },
8157		{ "PF", 8, 3 },
8158		{ "RVF", 0, 8 },
8159	{ "PCIE_FID_VFID", 0x4128, 0 },
8160		{ "Select", 30, 2 },
8161		{ "IDO", 24, 1 },
8162		{ "VFID", 15, 9 },
8163		{ "TC", 12, 3 },
8164		{ "VFVld", 11, 1 },
8165		{ "PF", 8, 3 },
8166		{ "RVF", 0, 8 },
8167	{ "PCIE_FID_VFID", 0x412c, 0 },
8168		{ "Select", 30, 2 },
8169		{ "IDO", 24, 1 },
8170		{ "VFID", 15, 9 },
8171		{ "TC", 12, 3 },
8172		{ "VFVld", 11, 1 },
8173		{ "PF", 8, 3 },
8174		{ "RVF", 0, 8 },
8175	{ "PCIE_FID_VFID", 0x4130, 0 },
8176		{ "Select", 30, 2 },
8177		{ "IDO", 24, 1 },
8178		{ "VFID", 15, 9 },
8179		{ "TC", 12, 3 },
8180		{ "VFVld", 11, 1 },
8181		{ "PF", 8, 3 },
8182		{ "RVF", 0, 8 },
8183	{ "PCIE_FID_VFID", 0x4134, 0 },
8184		{ "Select", 30, 2 },
8185		{ "IDO", 24, 1 },
8186		{ "VFID", 15, 9 },
8187		{ "TC", 12, 3 },
8188		{ "VFVld", 11, 1 },
8189		{ "PF", 8, 3 },
8190		{ "RVF", 0, 8 },
8191	{ "PCIE_FID_VFID", 0x4138, 0 },
8192		{ "Select", 30, 2 },
8193		{ "IDO", 24, 1 },
8194		{ "VFID", 15, 9 },
8195		{ "TC", 12, 3 },
8196		{ "VFVld", 11, 1 },
8197		{ "PF", 8, 3 },
8198		{ "RVF", 0, 8 },
8199	{ "PCIE_FID_VFID", 0x413c, 0 },
8200		{ "Select", 30, 2 },
8201		{ "IDO", 24, 1 },
8202		{ "VFID", 15, 9 },
8203		{ "TC", 12, 3 },
8204		{ "VFVld", 11, 1 },
8205		{ "PF", 8, 3 },
8206		{ "RVF", 0, 8 },
8207	{ "PCIE_FID_VFID", 0x4140, 0 },
8208		{ "Select", 30, 2 },
8209		{ "IDO", 24, 1 },
8210		{ "VFID", 15, 9 },
8211		{ "TC", 12, 3 },
8212		{ "VFVld", 11, 1 },
8213		{ "PF", 8, 3 },
8214		{ "RVF", 0, 8 },
8215	{ "PCIE_FID_VFID", 0x4144, 0 },
8216		{ "Select", 30, 2 },
8217		{ "IDO", 24, 1 },
8218		{ "VFID", 15, 9 },
8219		{ "TC", 12, 3 },
8220		{ "VFVld", 11, 1 },
8221		{ "PF", 8, 3 },
8222		{ "RVF", 0, 8 },
8223	{ "PCIE_FID_VFID", 0x4148, 0 },
8224		{ "Select", 30, 2 },
8225		{ "IDO", 24, 1 },
8226		{ "VFID", 15, 9 },
8227		{ "TC", 12, 3 },
8228		{ "VFVld", 11, 1 },
8229		{ "PF", 8, 3 },
8230		{ "RVF", 0, 8 },
8231	{ "PCIE_FID_VFID", 0x414c, 0 },
8232		{ "Select", 30, 2 },
8233		{ "IDO", 24, 1 },
8234		{ "VFID", 15, 9 },
8235		{ "TC", 12, 3 },
8236		{ "VFVld", 11, 1 },
8237		{ "PF", 8, 3 },
8238		{ "RVF", 0, 8 },
8239	{ "PCIE_FID_VFID", 0x4150, 0 },
8240		{ "Select", 30, 2 },
8241		{ "IDO", 24, 1 },
8242		{ "VFID", 15, 9 },
8243		{ "TC", 12, 3 },
8244		{ "VFVld", 11, 1 },
8245		{ "PF", 8, 3 },
8246		{ "RVF", 0, 8 },
8247	{ "PCIE_FID_VFID", 0x4154, 0 },
8248		{ "Select", 30, 2 },
8249		{ "IDO", 24, 1 },
8250		{ "VFID", 15, 9 },
8251		{ "TC", 12, 3 },
8252		{ "VFVld", 11, 1 },
8253		{ "PF", 8, 3 },
8254		{ "RVF", 0, 8 },
8255	{ "PCIE_FID_VFID", 0x4158, 0 },
8256		{ "Select", 30, 2 },
8257		{ "IDO", 24, 1 },
8258		{ "VFID", 15, 9 },
8259		{ "TC", 12, 3 },
8260		{ "VFVld", 11, 1 },
8261		{ "PF", 8, 3 },
8262		{ "RVF", 0, 8 },
8263	{ "PCIE_FID_VFID", 0x415c, 0 },
8264		{ "Select", 30, 2 },
8265		{ "IDO", 24, 1 },
8266		{ "VFID", 15, 9 },
8267		{ "TC", 12, 3 },
8268		{ "VFVld", 11, 1 },
8269		{ "PF", 8, 3 },
8270		{ "RVF", 0, 8 },
8271	{ "PCIE_FID_VFID", 0x4160, 0 },
8272		{ "Select", 30, 2 },
8273		{ "IDO", 24, 1 },
8274		{ "VFID", 15, 9 },
8275		{ "TC", 12, 3 },
8276		{ "VFVld", 11, 1 },
8277		{ "PF", 8, 3 },
8278		{ "RVF", 0, 8 },
8279	{ "PCIE_FID_VFID", 0x4164, 0 },
8280		{ "Select", 30, 2 },
8281		{ "IDO", 24, 1 },
8282		{ "VFID", 15, 9 },
8283		{ "TC", 12, 3 },
8284		{ "VFVld", 11, 1 },
8285		{ "PF", 8, 3 },
8286		{ "RVF", 0, 8 },
8287	{ "PCIE_FID_VFID", 0x4168, 0 },
8288		{ "Select", 30, 2 },
8289		{ "IDO", 24, 1 },
8290		{ "VFID", 15, 9 },
8291		{ "TC", 12, 3 },
8292		{ "VFVld", 11, 1 },
8293		{ "PF", 8, 3 },
8294		{ "RVF", 0, 8 },
8295	{ "PCIE_FID_VFID", 0x416c, 0 },
8296		{ "Select", 30, 2 },
8297		{ "IDO", 24, 1 },
8298		{ "VFID", 15, 9 },
8299		{ "TC", 12, 3 },
8300		{ "VFVld", 11, 1 },
8301		{ "PF", 8, 3 },
8302		{ "RVF", 0, 8 },
8303	{ "PCIE_FID_VFID", 0x4170, 0 },
8304		{ "Select", 30, 2 },
8305		{ "IDO", 24, 1 },
8306		{ "VFID", 15, 9 },
8307		{ "TC", 12, 3 },
8308		{ "VFVld", 11, 1 },
8309		{ "PF", 8, 3 },
8310		{ "RVF", 0, 8 },
8311	{ "PCIE_FID_VFID", 0x4174, 0 },
8312		{ "Select", 30, 2 },
8313		{ "IDO", 24, 1 },
8314		{ "VFID", 15, 9 },
8315		{ "TC", 12, 3 },
8316		{ "VFVld", 11, 1 },
8317		{ "PF", 8, 3 },
8318		{ "RVF", 0, 8 },
8319	{ "PCIE_FID_VFID", 0x4178, 0 },
8320		{ "Select", 30, 2 },
8321		{ "IDO", 24, 1 },
8322		{ "VFID", 15, 9 },
8323		{ "TC", 12, 3 },
8324		{ "VFVld", 11, 1 },
8325		{ "PF", 8, 3 },
8326		{ "RVF", 0, 8 },
8327	{ "PCIE_FID_VFID", 0x417c, 0 },
8328		{ "Select", 30, 2 },
8329		{ "IDO", 24, 1 },
8330		{ "VFID", 15, 9 },
8331		{ "TC", 12, 3 },
8332		{ "VFVld", 11, 1 },
8333		{ "PF", 8, 3 },
8334		{ "RVF", 0, 8 },
8335	{ "PCIE_FID_VFID", 0x4180, 0 },
8336		{ "Select", 30, 2 },
8337		{ "IDO", 24, 1 },
8338		{ "VFID", 15, 9 },
8339		{ "TC", 12, 3 },
8340		{ "VFVld", 11, 1 },
8341		{ "PF", 8, 3 },
8342		{ "RVF", 0, 8 },
8343	{ "PCIE_FID_VFID", 0x4184, 0 },
8344		{ "Select", 30, 2 },
8345		{ "IDO", 24, 1 },
8346		{ "VFID", 15, 9 },
8347		{ "TC", 12, 3 },
8348		{ "VFVld", 11, 1 },
8349		{ "PF", 8, 3 },
8350		{ "RVF", 0, 8 },
8351	{ "PCIE_FID_VFID", 0x4188, 0 },
8352		{ "Select", 30, 2 },
8353		{ "IDO", 24, 1 },
8354		{ "VFID", 15, 9 },
8355		{ "TC", 12, 3 },
8356		{ "VFVld", 11, 1 },
8357		{ "PF", 8, 3 },
8358		{ "RVF", 0, 8 },
8359	{ "PCIE_FID_VFID", 0x418c, 0 },
8360		{ "Select", 30, 2 },
8361		{ "IDO", 24, 1 },
8362		{ "VFID", 15, 9 },
8363		{ "TC", 12, 3 },
8364		{ "VFVld", 11, 1 },
8365		{ "PF", 8, 3 },
8366		{ "RVF", 0, 8 },
8367	{ "PCIE_FID_VFID", 0x4190, 0 },
8368		{ "Select", 30, 2 },
8369		{ "IDO", 24, 1 },
8370		{ "VFID", 15, 9 },
8371		{ "TC", 12, 3 },
8372		{ "VFVld", 11, 1 },
8373		{ "PF", 8, 3 },
8374		{ "RVF", 0, 8 },
8375	{ "PCIE_FID_VFID", 0x4194, 0 },
8376		{ "Select", 30, 2 },
8377		{ "IDO", 24, 1 },
8378		{ "VFID", 15, 9 },
8379		{ "TC", 12, 3 },
8380		{ "VFVld", 11, 1 },
8381		{ "PF", 8, 3 },
8382		{ "RVF", 0, 8 },
8383	{ "PCIE_FID_VFID", 0x4198, 0 },
8384		{ "Select", 30, 2 },
8385		{ "IDO", 24, 1 },
8386		{ "VFID", 15, 9 },
8387		{ "TC", 12, 3 },
8388		{ "VFVld", 11, 1 },
8389		{ "PF", 8, 3 },
8390		{ "RVF", 0, 8 },
8391	{ "PCIE_FID_VFID", 0x419c, 0 },
8392		{ "Select", 30, 2 },
8393		{ "IDO", 24, 1 },
8394		{ "VFID", 15, 9 },
8395		{ "TC", 12, 3 },
8396		{ "VFVld", 11, 1 },
8397		{ "PF", 8, 3 },
8398		{ "RVF", 0, 8 },
8399	{ "PCIE_FID_VFID", 0x41a0, 0 },
8400		{ "Select", 30, 2 },
8401		{ "IDO", 24, 1 },
8402		{ "VFID", 15, 9 },
8403		{ "TC", 12, 3 },
8404		{ "VFVld", 11, 1 },
8405		{ "PF", 8, 3 },
8406		{ "RVF", 0, 8 },
8407	{ "PCIE_FID_VFID", 0x41a4, 0 },
8408		{ "Select", 30, 2 },
8409		{ "IDO", 24, 1 },
8410		{ "VFID", 15, 9 },
8411		{ "TC", 12, 3 },
8412		{ "VFVld", 11, 1 },
8413		{ "PF", 8, 3 },
8414		{ "RVF", 0, 8 },
8415	{ "PCIE_FID_VFID", 0x41a8, 0 },
8416		{ "Select", 30, 2 },
8417		{ "IDO", 24, 1 },
8418		{ "VFID", 15, 9 },
8419		{ "TC", 12, 3 },
8420		{ "VFVld", 11, 1 },
8421		{ "PF", 8, 3 },
8422		{ "RVF", 0, 8 },
8423	{ "PCIE_FID_VFID", 0x41ac, 0 },
8424		{ "Select", 30, 2 },
8425		{ "IDO", 24, 1 },
8426		{ "VFID", 15, 9 },
8427		{ "TC", 12, 3 },
8428		{ "VFVld", 11, 1 },
8429		{ "PF", 8, 3 },
8430		{ "RVF", 0, 8 },
8431	{ "PCIE_FID_VFID", 0x41b0, 0 },
8432		{ "Select", 30, 2 },
8433		{ "IDO", 24, 1 },
8434		{ "VFID", 15, 9 },
8435		{ "TC", 12, 3 },
8436		{ "VFVld", 11, 1 },
8437		{ "PF", 8, 3 },
8438		{ "RVF", 0, 8 },
8439	{ "PCIE_FID_VFID", 0x41b4, 0 },
8440		{ "Select", 30, 2 },
8441		{ "IDO", 24, 1 },
8442		{ "VFID", 15, 9 },
8443		{ "TC", 12, 3 },
8444		{ "VFVld", 11, 1 },
8445		{ "PF", 8, 3 },
8446		{ "RVF", 0, 8 },
8447	{ "PCIE_FID_VFID", 0x41b8, 0 },
8448		{ "Select", 30, 2 },
8449		{ "IDO", 24, 1 },
8450		{ "VFID", 15, 9 },
8451		{ "TC", 12, 3 },
8452		{ "VFVld", 11, 1 },
8453		{ "PF", 8, 3 },
8454		{ "RVF", 0, 8 },
8455	{ "PCIE_FID_VFID", 0x41bc, 0 },
8456		{ "Select", 30, 2 },
8457		{ "IDO", 24, 1 },
8458		{ "VFID", 15, 9 },
8459		{ "TC", 12, 3 },
8460		{ "VFVld", 11, 1 },
8461		{ "PF", 8, 3 },
8462		{ "RVF", 0, 8 },
8463	{ "PCIE_FID_VFID", 0x41c0, 0 },
8464		{ "Select", 30, 2 },
8465		{ "IDO", 24, 1 },
8466		{ "VFID", 15, 9 },
8467		{ "TC", 12, 3 },
8468		{ "VFVld", 11, 1 },
8469		{ "PF", 8, 3 },
8470		{ "RVF", 0, 8 },
8471	{ "PCIE_FID_VFID", 0x41c4, 0 },
8472		{ "Select", 30, 2 },
8473		{ "IDO", 24, 1 },
8474		{ "VFID", 15, 9 },
8475		{ "TC", 12, 3 },
8476		{ "VFVld", 11, 1 },
8477		{ "PF", 8, 3 },
8478		{ "RVF", 0, 8 },
8479	{ "PCIE_FID_VFID", 0x41c8, 0 },
8480		{ "Select", 30, 2 },
8481		{ "IDO", 24, 1 },
8482		{ "VFID", 15, 9 },
8483		{ "TC", 12, 3 },
8484		{ "VFVld", 11, 1 },
8485		{ "PF", 8, 3 },
8486		{ "RVF", 0, 8 },
8487	{ "PCIE_FID_VFID", 0x41cc, 0 },
8488		{ "Select", 30, 2 },
8489		{ "IDO", 24, 1 },
8490		{ "VFID", 15, 9 },
8491		{ "TC", 12, 3 },
8492		{ "VFVld", 11, 1 },
8493		{ "PF", 8, 3 },
8494		{ "RVF", 0, 8 },
8495	{ "PCIE_FID_VFID", 0x41d0, 0 },
8496		{ "Select", 30, 2 },
8497		{ "IDO", 24, 1 },
8498		{ "VFID", 15, 9 },
8499		{ "TC", 12, 3 },
8500		{ "VFVld", 11, 1 },
8501		{ "PF", 8, 3 },
8502		{ "RVF", 0, 8 },
8503	{ "PCIE_FID_VFID", 0x41d4, 0 },
8504		{ "Select", 30, 2 },
8505		{ "IDO", 24, 1 },
8506		{ "VFID", 15, 9 },
8507		{ "TC", 12, 3 },
8508		{ "VFVld", 11, 1 },
8509		{ "PF", 8, 3 },
8510		{ "RVF", 0, 8 },
8511	{ "PCIE_FID_VFID", 0x41d8, 0 },
8512		{ "Select", 30, 2 },
8513		{ "IDO", 24, 1 },
8514		{ "VFID", 15, 9 },
8515		{ "TC", 12, 3 },
8516		{ "VFVld", 11, 1 },
8517		{ "PF", 8, 3 },
8518		{ "RVF", 0, 8 },
8519	{ "PCIE_FID_VFID", 0x41dc, 0 },
8520		{ "Select", 30, 2 },
8521		{ "IDO", 24, 1 },
8522		{ "VFID", 15, 9 },
8523		{ "TC", 12, 3 },
8524		{ "VFVld", 11, 1 },
8525		{ "PF", 8, 3 },
8526		{ "RVF", 0, 8 },
8527	{ "PCIE_FID_VFID", 0x41e0, 0 },
8528		{ "Select", 30, 2 },
8529		{ "IDO", 24, 1 },
8530		{ "VFID", 15, 9 },
8531		{ "TC", 12, 3 },
8532		{ "VFVld", 11, 1 },
8533		{ "PF", 8, 3 },
8534		{ "RVF", 0, 8 },
8535	{ "PCIE_FID_VFID", 0x41e4, 0 },
8536		{ "Select", 30, 2 },
8537		{ "IDO", 24, 1 },
8538		{ "VFID", 15, 9 },
8539		{ "TC", 12, 3 },
8540		{ "VFVld", 11, 1 },
8541		{ "PF", 8, 3 },
8542		{ "RVF", 0, 8 },
8543	{ "PCIE_FID_VFID", 0x41e8, 0 },
8544		{ "Select", 30, 2 },
8545		{ "IDO", 24, 1 },
8546		{ "VFID", 15, 9 },
8547		{ "TC", 12, 3 },
8548		{ "VFVld", 11, 1 },
8549		{ "PF", 8, 3 },
8550		{ "RVF", 0, 8 },
8551	{ "PCIE_FID_VFID", 0x41ec, 0 },
8552		{ "Select", 30, 2 },
8553		{ "IDO", 24, 1 },
8554		{ "VFID", 15, 9 },
8555		{ "TC", 12, 3 },
8556		{ "VFVld", 11, 1 },
8557		{ "PF", 8, 3 },
8558		{ "RVF", 0, 8 },
8559	{ "PCIE_FID_VFID", 0x41f0, 0 },
8560		{ "Select", 30, 2 },
8561		{ "IDO", 24, 1 },
8562		{ "VFID", 15, 9 },
8563		{ "TC", 12, 3 },
8564		{ "VFVld", 11, 1 },
8565		{ "PF", 8, 3 },
8566		{ "RVF", 0, 8 },
8567	{ "PCIE_FID_VFID", 0x41f4, 0 },
8568		{ "Select", 30, 2 },
8569		{ "IDO", 24, 1 },
8570		{ "VFID", 15, 9 },
8571		{ "TC", 12, 3 },
8572		{ "VFVld", 11, 1 },
8573		{ "PF", 8, 3 },
8574		{ "RVF", 0, 8 },
8575	{ "PCIE_FID_VFID", 0x41f8, 0 },
8576		{ "Select", 30, 2 },
8577		{ "IDO", 24, 1 },
8578		{ "VFID", 15, 9 },
8579		{ "TC", 12, 3 },
8580		{ "VFVld", 11, 1 },
8581		{ "PF", 8, 3 },
8582		{ "RVF", 0, 8 },
8583	{ "PCIE_FID_VFID", 0x41fc, 0 },
8584		{ "Select", 30, 2 },
8585		{ "IDO", 24, 1 },
8586		{ "VFID", 15, 9 },
8587		{ "TC", 12, 3 },
8588		{ "VFVld", 11, 1 },
8589		{ "PF", 8, 3 },
8590		{ "RVF", 0, 8 },
8591	{ "PCIE_FID_VFID", 0x4200, 0 },
8592		{ "Select", 30, 2 },
8593		{ "IDO", 24, 1 },
8594		{ "VFID", 15, 9 },
8595		{ "TC", 12, 3 },
8596		{ "VFVld", 11, 1 },
8597		{ "PF", 8, 3 },
8598		{ "RVF", 0, 8 },
8599	{ "PCIE_FID_VFID", 0x4204, 0 },
8600		{ "Select", 30, 2 },
8601		{ "IDO", 24, 1 },
8602		{ "VFID", 15, 9 },
8603		{ "TC", 12, 3 },
8604		{ "VFVld", 11, 1 },
8605		{ "PF", 8, 3 },
8606		{ "RVF", 0, 8 },
8607	{ "PCIE_FID_VFID", 0x4208, 0 },
8608		{ "Select", 30, 2 },
8609		{ "IDO", 24, 1 },
8610		{ "VFID", 15, 9 },
8611		{ "TC", 12, 3 },
8612		{ "VFVld", 11, 1 },
8613		{ "PF", 8, 3 },
8614		{ "RVF", 0, 8 },
8615	{ "PCIE_FID_VFID", 0x420c, 0 },
8616		{ "Select", 30, 2 },
8617		{ "IDO", 24, 1 },
8618		{ "VFID", 15, 9 },
8619		{ "TC", 12, 3 },
8620		{ "VFVld", 11, 1 },
8621		{ "PF", 8, 3 },
8622		{ "RVF", 0, 8 },
8623	{ "PCIE_FID_VFID", 0x4210, 0 },
8624		{ "Select", 30, 2 },
8625		{ "IDO", 24, 1 },
8626		{ "VFID", 15, 9 },
8627		{ "TC", 12, 3 },
8628		{ "VFVld", 11, 1 },
8629		{ "PF", 8, 3 },
8630		{ "RVF", 0, 8 },
8631	{ "PCIE_FID_VFID", 0x4214, 0 },
8632		{ "Select", 30, 2 },
8633		{ "IDO", 24, 1 },
8634		{ "VFID", 15, 9 },
8635		{ "TC", 12, 3 },
8636		{ "VFVld", 11, 1 },
8637		{ "PF", 8, 3 },
8638		{ "RVF", 0, 8 },
8639	{ "PCIE_FID_VFID", 0x4218, 0 },
8640		{ "Select", 30, 2 },
8641		{ "IDO", 24, 1 },
8642		{ "VFID", 15, 9 },
8643		{ "TC", 12, 3 },
8644		{ "VFVld", 11, 1 },
8645		{ "PF", 8, 3 },
8646		{ "RVF", 0, 8 },
8647	{ "PCIE_FID_VFID", 0x421c, 0 },
8648		{ "Select", 30, 2 },
8649		{ "IDO", 24, 1 },
8650		{ "VFID", 15, 9 },
8651		{ "TC", 12, 3 },
8652		{ "VFVld", 11, 1 },
8653		{ "PF", 8, 3 },
8654		{ "RVF", 0, 8 },
8655	{ "PCIE_FID_VFID", 0x4220, 0 },
8656		{ "Select", 30, 2 },
8657		{ "IDO", 24, 1 },
8658		{ "VFID", 15, 9 },
8659		{ "TC", 12, 3 },
8660		{ "VFVld", 11, 1 },
8661		{ "PF", 8, 3 },
8662		{ "RVF", 0, 8 },
8663	{ "PCIE_FID_VFID", 0x4224, 0 },
8664		{ "Select", 30, 2 },
8665		{ "IDO", 24, 1 },
8666		{ "VFID", 15, 9 },
8667		{ "TC", 12, 3 },
8668		{ "VFVld", 11, 1 },
8669		{ "PF", 8, 3 },
8670		{ "RVF", 0, 8 },
8671	{ "PCIE_FID_VFID", 0x4228, 0 },
8672		{ "Select", 30, 2 },
8673		{ "IDO", 24, 1 },
8674		{ "VFID", 15, 9 },
8675		{ "TC", 12, 3 },
8676		{ "VFVld", 11, 1 },
8677		{ "PF", 8, 3 },
8678		{ "RVF", 0, 8 },
8679	{ "PCIE_FID_VFID", 0x422c, 0 },
8680		{ "Select", 30, 2 },
8681		{ "IDO", 24, 1 },
8682		{ "VFID", 15, 9 },
8683		{ "TC", 12, 3 },
8684		{ "VFVld", 11, 1 },
8685		{ "PF", 8, 3 },
8686		{ "RVF", 0, 8 },
8687	{ "PCIE_FID_VFID", 0x4230, 0 },
8688		{ "Select", 30, 2 },
8689		{ "IDO", 24, 1 },
8690		{ "VFID", 15, 9 },
8691		{ "TC", 12, 3 },
8692		{ "VFVld", 11, 1 },
8693		{ "PF", 8, 3 },
8694		{ "RVF", 0, 8 },
8695	{ "PCIE_FID_VFID", 0x4234, 0 },
8696		{ "Select", 30, 2 },
8697		{ "IDO", 24, 1 },
8698		{ "VFID", 15, 9 },
8699		{ "TC", 12, 3 },
8700		{ "VFVld", 11, 1 },
8701		{ "PF", 8, 3 },
8702		{ "RVF", 0, 8 },
8703	{ "PCIE_FID_VFID", 0x4238, 0 },
8704		{ "Select", 30, 2 },
8705		{ "IDO", 24, 1 },
8706		{ "VFID", 15, 9 },
8707		{ "TC", 12, 3 },
8708		{ "VFVld", 11, 1 },
8709		{ "PF", 8, 3 },
8710		{ "RVF", 0, 8 },
8711	{ "PCIE_FID_VFID", 0x423c, 0 },
8712		{ "Select", 30, 2 },
8713		{ "IDO", 24, 1 },
8714		{ "VFID", 15, 9 },
8715		{ "TC", 12, 3 },
8716		{ "VFVld", 11, 1 },
8717		{ "PF", 8, 3 },
8718		{ "RVF", 0, 8 },
8719	{ "PCIE_FID_VFID", 0x4240, 0 },
8720		{ "Select", 30, 2 },
8721		{ "IDO", 24, 1 },
8722		{ "VFID", 15, 9 },
8723		{ "TC", 12, 3 },
8724		{ "VFVld", 11, 1 },
8725		{ "PF", 8, 3 },
8726		{ "RVF", 0, 8 },
8727	{ "PCIE_FID_VFID", 0x4244, 0 },
8728		{ "Select", 30, 2 },
8729		{ "IDO", 24, 1 },
8730		{ "VFID", 15, 9 },
8731		{ "TC", 12, 3 },
8732		{ "VFVld", 11, 1 },
8733		{ "PF", 8, 3 },
8734		{ "RVF", 0, 8 },
8735	{ "PCIE_FID_VFID", 0x4248, 0 },
8736		{ "Select", 30, 2 },
8737		{ "IDO", 24, 1 },
8738		{ "VFID", 15, 9 },
8739		{ "TC", 12, 3 },
8740		{ "VFVld", 11, 1 },
8741		{ "PF", 8, 3 },
8742		{ "RVF", 0, 8 },
8743	{ "PCIE_FID_VFID", 0x424c, 0 },
8744		{ "Select", 30, 2 },
8745		{ "IDO", 24, 1 },
8746		{ "VFID", 15, 9 },
8747		{ "TC", 12, 3 },
8748		{ "VFVld", 11, 1 },
8749		{ "PF", 8, 3 },
8750		{ "RVF", 0, 8 },
8751	{ "PCIE_FID_VFID", 0x4250, 0 },
8752		{ "Select", 30, 2 },
8753		{ "IDO", 24, 1 },
8754		{ "VFID", 15, 9 },
8755		{ "TC", 12, 3 },
8756		{ "VFVld", 11, 1 },
8757		{ "PF", 8, 3 },
8758		{ "RVF", 0, 8 },
8759	{ "PCIE_FID_VFID", 0x4254, 0 },
8760		{ "Select", 30, 2 },
8761		{ "IDO", 24, 1 },
8762		{ "VFID", 15, 9 },
8763		{ "TC", 12, 3 },
8764		{ "VFVld", 11, 1 },
8765		{ "PF", 8, 3 },
8766		{ "RVF", 0, 8 },
8767	{ "PCIE_FID_VFID", 0x4258, 0 },
8768		{ "Select", 30, 2 },
8769		{ "IDO", 24, 1 },
8770		{ "VFID", 15, 9 },
8771		{ "TC", 12, 3 },
8772		{ "VFVld", 11, 1 },
8773		{ "PF", 8, 3 },
8774		{ "RVF", 0, 8 },
8775	{ "PCIE_FID_VFID", 0x425c, 0 },
8776		{ "Select", 30, 2 },
8777		{ "IDO", 24, 1 },
8778		{ "VFID", 15, 9 },
8779		{ "TC", 12, 3 },
8780		{ "VFVld", 11, 1 },
8781		{ "PF", 8, 3 },
8782		{ "RVF", 0, 8 },
8783	{ "PCIE_FID_VFID", 0x4260, 0 },
8784		{ "Select", 30, 2 },
8785		{ "IDO", 24, 1 },
8786		{ "VFID", 15, 9 },
8787		{ "TC", 12, 3 },
8788		{ "VFVld", 11, 1 },
8789		{ "PF", 8, 3 },
8790		{ "RVF", 0, 8 },
8791	{ "PCIE_FID_VFID", 0x4264, 0 },
8792		{ "Select", 30, 2 },
8793		{ "IDO", 24, 1 },
8794		{ "VFID", 15, 9 },
8795		{ "TC", 12, 3 },
8796		{ "VFVld", 11, 1 },
8797		{ "PF", 8, 3 },
8798		{ "RVF", 0, 8 },
8799	{ "PCIE_FID_VFID", 0x4268, 0 },
8800		{ "Select", 30, 2 },
8801		{ "IDO", 24, 1 },
8802		{ "VFID", 15, 9 },
8803		{ "TC", 12, 3 },
8804		{ "VFVld", 11, 1 },
8805		{ "PF", 8, 3 },
8806		{ "RVF", 0, 8 },
8807	{ "PCIE_FID_VFID", 0x426c, 0 },
8808		{ "Select", 30, 2 },
8809		{ "IDO", 24, 1 },
8810		{ "VFID", 15, 9 },
8811		{ "TC", 12, 3 },
8812		{ "VFVld", 11, 1 },
8813		{ "PF", 8, 3 },
8814		{ "RVF", 0, 8 },
8815	{ "PCIE_FID_VFID", 0x4270, 0 },
8816		{ "Select", 30, 2 },
8817		{ "IDO", 24, 1 },
8818		{ "VFID", 15, 9 },
8819		{ "TC", 12, 3 },
8820		{ "VFVld", 11, 1 },
8821		{ "PF", 8, 3 },
8822		{ "RVF", 0, 8 },
8823	{ "PCIE_FID_VFID", 0x4274, 0 },
8824		{ "Select", 30, 2 },
8825		{ "IDO", 24, 1 },
8826		{ "VFID", 15, 9 },
8827		{ "TC", 12, 3 },
8828		{ "VFVld", 11, 1 },
8829		{ "PF", 8, 3 },
8830		{ "RVF", 0, 8 },
8831	{ "PCIE_FID_VFID", 0x4278, 0 },
8832		{ "Select", 30, 2 },
8833		{ "IDO", 24, 1 },
8834		{ "VFID", 15, 9 },
8835		{ "TC", 12, 3 },
8836		{ "VFVld", 11, 1 },
8837		{ "PF", 8, 3 },
8838		{ "RVF", 0, 8 },
8839	{ "PCIE_FID_VFID", 0x427c, 0 },
8840		{ "Select", 30, 2 },
8841		{ "IDO", 24, 1 },
8842		{ "VFID", 15, 9 },
8843		{ "TC", 12, 3 },
8844		{ "VFVld", 11, 1 },
8845		{ "PF", 8, 3 },
8846		{ "RVF", 0, 8 },
8847	{ "PCIE_FID_VFID", 0x4280, 0 },
8848		{ "Select", 30, 2 },
8849		{ "IDO", 24, 1 },
8850		{ "VFID", 15, 9 },
8851		{ "TC", 12, 3 },
8852		{ "VFVld", 11, 1 },
8853		{ "PF", 8, 3 },
8854		{ "RVF", 0, 8 },
8855	{ "PCIE_FID_VFID", 0x4284, 0 },
8856		{ "Select", 30, 2 },
8857		{ "IDO", 24, 1 },
8858		{ "VFID", 15, 9 },
8859		{ "TC", 12, 3 },
8860		{ "VFVld", 11, 1 },
8861		{ "PF", 8, 3 },
8862		{ "RVF", 0, 8 },
8863	{ "PCIE_FID_VFID", 0x4288, 0 },
8864		{ "Select", 30, 2 },
8865		{ "IDO", 24, 1 },
8866		{ "VFID", 15, 9 },
8867		{ "TC", 12, 3 },
8868		{ "VFVld", 11, 1 },
8869		{ "PF", 8, 3 },
8870		{ "RVF", 0, 8 },
8871	{ "PCIE_FID_VFID", 0x428c, 0 },
8872		{ "Select", 30, 2 },
8873		{ "IDO", 24, 1 },
8874		{ "VFID", 15, 9 },
8875		{ "TC", 12, 3 },
8876		{ "VFVld", 11, 1 },
8877		{ "PF", 8, 3 },
8878		{ "RVF", 0, 8 },
8879	{ "PCIE_FID_VFID", 0x4290, 0 },
8880		{ "Select", 30, 2 },
8881		{ "IDO", 24, 1 },
8882		{ "VFID", 15, 9 },
8883		{ "TC", 12, 3 },
8884		{ "VFVld", 11, 1 },
8885		{ "PF", 8, 3 },
8886		{ "RVF", 0, 8 },
8887	{ "PCIE_FID_VFID", 0x4294, 0 },
8888		{ "Select", 30, 2 },
8889		{ "IDO", 24, 1 },
8890		{ "VFID", 15, 9 },
8891		{ "TC", 12, 3 },
8892		{ "VFVld", 11, 1 },
8893		{ "PF", 8, 3 },
8894		{ "RVF", 0, 8 },
8895	{ "PCIE_FID_VFID", 0x4298, 0 },
8896		{ "Select", 30, 2 },
8897		{ "IDO", 24, 1 },
8898		{ "VFID", 15, 9 },
8899		{ "TC", 12, 3 },
8900		{ "VFVld", 11, 1 },
8901		{ "PF", 8, 3 },
8902		{ "RVF", 0, 8 },
8903	{ "PCIE_FID_VFID", 0x429c, 0 },
8904		{ "Select", 30, 2 },
8905		{ "IDO", 24, 1 },
8906		{ "VFID", 15, 9 },
8907		{ "TC", 12, 3 },
8908		{ "VFVld", 11, 1 },
8909		{ "PF", 8, 3 },
8910		{ "RVF", 0, 8 },
8911	{ "PCIE_FID_VFID", 0x42a0, 0 },
8912		{ "Select", 30, 2 },
8913		{ "IDO", 24, 1 },
8914		{ "VFID", 15, 9 },
8915		{ "TC", 12, 3 },
8916		{ "VFVld", 11, 1 },
8917		{ "PF", 8, 3 },
8918		{ "RVF", 0, 8 },
8919	{ "PCIE_FID_VFID", 0x42a4, 0 },
8920		{ "Select", 30, 2 },
8921		{ "IDO", 24, 1 },
8922		{ "VFID", 15, 9 },
8923		{ "TC", 12, 3 },
8924		{ "VFVld", 11, 1 },
8925		{ "PF", 8, 3 },
8926		{ "RVF", 0, 8 },
8927	{ "PCIE_FID_VFID", 0x42a8, 0 },
8928		{ "Select", 30, 2 },
8929		{ "IDO", 24, 1 },
8930		{ "VFID", 15, 9 },
8931		{ "TC", 12, 3 },
8932		{ "VFVld", 11, 1 },
8933		{ "PF", 8, 3 },
8934		{ "RVF", 0, 8 },
8935	{ "PCIE_FID_VFID", 0x42ac, 0 },
8936		{ "Select", 30, 2 },
8937		{ "IDO", 24, 1 },
8938		{ "VFID", 15, 9 },
8939		{ "TC", 12, 3 },
8940		{ "VFVld", 11, 1 },
8941		{ "PF", 8, 3 },
8942		{ "RVF", 0, 8 },
8943	{ "PCIE_FID_VFID", 0x42b0, 0 },
8944		{ "Select", 30, 2 },
8945		{ "IDO", 24, 1 },
8946		{ "VFID", 15, 9 },
8947		{ "TC", 12, 3 },
8948		{ "VFVld", 11, 1 },
8949		{ "PF", 8, 3 },
8950		{ "RVF", 0, 8 },
8951	{ "PCIE_FID_VFID", 0x42b4, 0 },
8952		{ "Select", 30, 2 },
8953		{ "IDO", 24, 1 },
8954		{ "VFID", 15, 9 },
8955		{ "TC", 12, 3 },
8956		{ "VFVld", 11, 1 },
8957		{ "PF", 8, 3 },
8958		{ "RVF", 0, 8 },
8959	{ "PCIE_FID_VFID", 0x42b8, 0 },
8960		{ "Select", 30, 2 },
8961		{ "IDO", 24, 1 },
8962		{ "VFID", 15, 9 },
8963		{ "TC", 12, 3 },
8964		{ "VFVld", 11, 1 },
8965		{ "PF", 8, 3 },
8966		{ "RVF", 0, 8 },
8967	{ "PCIE_FID_VFID", 0x42bc, 0 },
8968		{ "Select", 30, 2 },
8969		{ "IDO", 24, 1 },
8970		{ "VFID", 15, 9 },
8971		{ "TC", 12, 3 },
8972		{ "VFVld", 11, 1 },
8973		{ "PF", 8, 3 },
8974		{ "RVF", 0, 8 },
8975	{ "PCIE_FID_VFID", 0x42c0, 0 },
8976		{ "Select", 30, 2 },
8977		{ "IDO", 24, 1 },
8978		{ "VFID", 15, 9 },
8979		{ "TC", 12, 3 },
8980		{ "VFVld", 11, 1 },
8981		{ "PF", 8, 3 },
8982		{ "RVF", 0, 8 },
8983	{ "PCIE_FID_VFID", 0x42c4, 0 },
8984		{ "Select", 30, 2 },
8985		{ "IDO", 24, 1 },
8986		{ "VFID", 15, 9 },
8987		{ "TC", 12, 3 },
8988		{ "VFVld", 11, 1 },
8989		{ "PF", 8, 3 },
8990		{ "RVF", 0, 8 },
8991	{ "PCIE_FID_VFID", 0x42c8, 0 },
8992		{ "Select", 30, 2 },
8993		{ "IDO", 24, 1 },
8994		{ "VFID", 15, 9 },
8995		{ "TC", 12, 3 },
8996		{ "VFVld", 11, 1 },
8997		{ "PF", 8, 3 },
8998		{ "RVF", 0, 8 },
8999	{ "PCIE_FID_VFID", 0x42cc, 0 },
9000		{ "Select", 30, 2 },
9001		{ "IDO", 24, 1 },
9002		{ "VFID", 15, 9 },
9003		{ "TC", 12, 3 },
9004		{ "VFVld", 11, 1 },
9005		{ "PF", 8, 3 },
9006		{ "RVF", 0, 8 },
9007	{ "PCIE_FID_VFID", 0x42d0, 0 },
9008		{ "Select", 30, 2 },
9009		{ "IDO", 24, 1 },
9010		{ "VFID", 15, 9 },
9011		{ "TC", 12, 3 },
9012		{ "VFVld", 11, 1 },
9013		{ "PF", 8, 3 },
9014		{ "RVF", 0, 8 },
9015	{ "PCIE_FID_VFID", 0x42d4, 0 },
9016		{ "Select", 30, 2 },
9017		{ "IDO", 24, 1 },
9018		{ "VFID", 15, 9 },
9019		{ "TC", 12, 3 },
9020		{ "VFVld", 11, 1 },
9021		{ "PF", 8, 3 },
9022		{ "RVF", 0, 8 },
9023	{ "PCIE_FID_VFID", 0x42d8, 0 },
9024		{ "Select", 30, 2 },
9025		{ "IDO", 24, 1 },
9026		{ "VFID", 15, 9 },
9027		{ "TC", 12, 3 },
9028		{ "VFVld", 11, 1 },
9029		{ "PF", 8, 3 },
9030		{ "RVF", 0, 8 },
9031	{ "PCIE_FID_VFID", 0x42dc, 0 },
9032		{ "Select", 30, 2 },
9033		{ "IDO", 24, 1 },
9034		{ "VFID", 15, 9 },
9035		{ "TC", 12, 3 },
9036		{ "VFVld", 11, 1 },
9037		{ "PF", 8, 3 },
9038		{ "RVF", 0, 8 },
9039	{ "PCIE_FID_VFID", 0x42e0, 0 },
9040		{ "Select", 30, 2 },
9041		{ "IDO", 24, 1 },
9042		{ "VFID", 15, 9 },
9043		{ "TC", 12, 3 },
9044		{ "VFVld", 11, 1 },
9045		{ "PF", 8, 3 },
9046		{ "RVF", 0, 8 },
9047	{ "PCIE_FID_VFID", 0x42e4, 0 },
9048		{ "Select", 30, 2 },
9049		{ "IDO", 24, 1 },
9050		{ "VFID", 15, 9 },
9051		{ "TC", 12, 3 },
9052		{ "VFVld", 11, 1 },
9053		{ "PF", 8, 3 },
9054		{ "RVF", 0, 8 },
9055	{ "PCIE_FID_VFID", 0x42e8, 0 },
9056		{ "Select", 30, 2 },
9057		{ "IDO", 24, 1 },
9058		{ "VFID", 15, 9 },
9059		{ "TC", 12, 3 },
9060		{ "VFVld", 11, 1 },
9061		{ "PF", 8, 3 },
9062		{ "RVF", 0, 8 },
9063	{ "PCIE_FID_VFID", 0x42ec, 0 },
9064		{ "Select", 30, 2 },
9065		{ "IDO", 24, 1 },
9066		{ "VFID", 15, 9 },
9067		{ "TC", 12, 3 },
9068		{ "VFVld", 11, 1 },
9069		{ "PF", 8, 3 },
9070		{ "RVF", 0, 8 },
9071	{ "PCIE_FID_VFID", 0x42f0, 0 },
9072		{ "Select", 30, 2 },
9073		{ "IDO", 24, 1 },
9074		{ "VFID", 15, 9 },
9075		{ "TC", 12, 3 },
9076		{ "VFVld", 11, 1 },
9077		{ "PF", 8, 3 },
9078		{ "RVF", 0, 8 },
9079	{ "PCIE_FID_VFID", 0x42f4, 0 },
9080		{ "Select", 30, 2 },
9081		{ "IDO", 24, 1 },
9082		{ "VFID", 15, 9 },
9083		{ "TC", 12, 3 },
9084		{ "VFVld", 11, 1 },
9085		{ "PF", 8, 3 },
9086		{ "RVF", 0, 8 },
9087	{ "PCIE_FID_VFID", 0x42f8, 0 },
9088		{ "Select", 30, 2 },
9089		{ "IDO", 24, 1 },
9090		{ "VFID", 15, 9 },
9091		{ "TC", 12, 3 },
9092		{ "VFVld", 11, 1 },
9093		{ "PF", 8, 3 },
9094		{ "RVF", 0, 8 },
9095	{ "PCIE_FID_VFID", 0x42fc, 0 },
9096		{ "Select", 30, 2 },
9097		{ "IDO", 24, 1 },
9098		{ "VFID", 15, 9 },
9099		{ "TC", 12, 3 },
9100		{ "VFVld", 11, 1 },
9101		{ "PF", 8, 3 },
9102		{ "RVF", 0, 8 },
9103	{ "PCIE_FID_VFID", 0x4300, 0 },
9104		{ "Select", 30, 2 },
9105		{ "IDO", 24, 1 },
9106		{ "VFID", 15, 9 },
9107		{ "TC", 12, 3 },
9108		{ "VFVld", 11, 1 },
9109		{ "PF", 8, 3 },
9110		{ "RVF", 0, 8 },
9111	{ "PCIE_FID_VFID", 0x4304, 0 },
9112		{ "Select", 30, 2 },
9113		{ "IDO", 24, 1 },
9114		{ "VFID", 15, 9 },
9115		{ "TC", 12, 3 },
9116		{ "VFVld", 11, 1 },
9117		{ "PF", 8, 3 },
9118		{ "RVF", 0, 8 },
9119	{ "PCIE_FID_VFID", 0x4308, 0 },
9120		{ "Select", 30, 2 },
9121		{ "IDO", 24, 1 },
9122		{ "VFID", 15, 9 },
9123		{ "TC", 12, 3 },
9124		{ "VFVld", 11, 1 },
9125		{ "PF", 8, 3 },
9126		{ "RVF", 0, 8 },
9127	{ "PCIE_FID_VFID", 0x430c, 0 },
9128		{ "Select", 30, 2 },
9129		{ "IDO", 24, 1 },
9130		{ "VFID", 15, 9 },
9131		{ "TC", 12, 3 },
9132		{ "VFVld", 11, 1 },
9133		{ "PF", 8, 3 },
9134		{ "RVF", 0, 8 },
9135	{ "PCIE_FID_VFID", 0x4310, 0 },
9136		{ "Select", 30, 2 },
9137		{ "IDO", 24, 1 },
9138		{ "VFID", 15, 9 },
9139		{ "TC", 12, 3 },
9140		{ "VFVld", 11, 1 },
9141		{ "PF", 8, 3 },
9142		{ "RVF", 0, 8 },
9143	{ "PCIE_FID_VFID", 0x4314, 0 },
9144		{ "Select", 30, 2 },
9145		{ "IDO", 24, 1 },
9146		{ "VFID", 15, 9 },
9147		{ "TC", 12, 3 },
9148		{ "VFVld", 11, 1 },
9149		{ "PF", 8, 3 },
9150		{ "RVF", 0, 8 },
9151	{ "PCIE_FID_VFID", 0x4318, 0 },
9152		{ "Select", 30, 2 },
9153		{ "IDO", 24, 1 },
9154		{ "VFID", 15, 9 },
9155		{ "TC", 12, 3 },
9156		{ "VFVld", 11, 1 },
9157		{ "PF", 8, 3 },
9158		{ "RVF", 0, 8 },
9159	{ "PCIE_FID_VFID", 0x431c, 0 },
9160		{ "Select", 30, 2 },
9161		{ "IDO", 24, 1 },
9162		{ "VFID", 15, 9 },
9163		{ "TC", 12, 3 },
9164		{ "VFVld", 11, 1 },
9165		{ "PF", 8, 3 },
9166		{ "RVF", 0, 8 },
9167	{ "PCIE_FID_VFID", 0x4320, 0 },
9168		{ "Select", 30, 2 },
9169		{ "IDO", 24, 1 },
9170		{ "VFID", 15, 9 },
9171		{ "TC", 12, 3 },
9172		{ "VFVld", 11, 1 },
9173		{ "PF", 8, 3 },
9174		{ "RVF", 0, 8 },
9175	{ "PCIE_FID_VFID", 0x4324, 0 },
9176		{ "Select", 30, 2 },
9177		{ "IDO", 24, 1 },
9178		{ "VFID", 15, 9 },
9179		{ "TC", 12, 3 },
9180		{ "VFVld", 11, 1 },
9181		{ "PF", 8, 3 },
9182		{ "RVF", 0, 8 },
9183	{ "PCIE_FID_VFID", 0x4328, 0 },
9184		{ "Select", 30, 2 },
9185		{ "IDO", 24, 1 },
9186		{ "VFID", 15, 9 },
9187		{ "TC", 12, 3 },
9188		{ "VFVld", 11, 1 },
9189		{ "PF", 8, 3 },
9190		{ "RVF", 0, 8 },
9191	{ "PCIE_FID_VFID", 0x432c, 0 },
9192		{ "Select", 30, 2 },
9193		{ "IDO", 24, 1 },
9194		{ "VFID", 15, 9 },
9195		{ "TC", 12, 3 },
9196		{ "VFVld", 11, 1 },
9197		{ "PF", 8, 3 },
9198		{ "RVF", 0, 8 },
9199	{ "PCIE_FID_VFID", 0x4330, 0 },
9200		{ "Select", 30, 2 },
9201		{ "IDO", 24, 1 },
9202		{ "VFID", 15, 9 },
9203		{ "TC", 12, 3 },
9204		{ "VFVld", 11, 1 },
9205		{ "PF", 8, 3 },
9206		{ "RVF", 0, 8 },
9207	{ "PCIE_FID_VFID", 0x4334, 0 },
9208		{ "Select", 30, 2 },
9209		{ "IDO", 24, 1 },
9210		{ "VFID", 15, 9 },
9211		{ "TC", 12, 3 },
9212		{ "VFVld", 11, 1 },
9213		{ "PF", 8, 3 },
9214		{ "RVF", 0, 8 },
9215	{ "PCIE_FID_VFID", 0x4338, 0 },
9216		{ "Select", 30, 2 },
9217		{ "IDO", 24, 1 },
9218		{ "VFID", 15, 9 },
9219		{ "TC", 12, 3 },
9220		{ "VFVld", 11, 1 },
9221		{ "PF", 8, 3 },
9222		{ "RVF", 0, 8 },
9223	{ "PCIE_FID_VFID", 0x433c, 0 },
9224		{ "Select", 30, 2 },
9225		{ "IDO", 24, 1 },
9226		{ "VFID", 15, 9 },
9227		{ "TC", 12, 3 },
9228		{ "VFVld", 11, 1 },
9229		{ "PF", 8, 3 },
9230		{ "RVF", 0, 8 },
9231	{ "PCIE_FID_VFID", 0x4340, 0 },
9232		{ "Select", 30, 2 },
9233		{ "IDO", 24, 1 },
9234		{ "VFID", 15, 9 },
9235		{ "TC", 12, 3 },
9236		{ "VFVld", 11, 1 },
9237		{ "PF", 8, 3 },
9238		{ "RVF", 0, 8 },
9239	{ "PCIE_FID_VFID", 0x4344, 0 },
9240		{ "Select", 30, 2 },
9241		{ "IDO", 24, 1 },
9242		{ "VFID", 15, 9 },
9243		{ "TC", 12, 3 },
9244		{ "VFVld", 11, 1 },
9245		{ "PF", 8, 3 },
9246		{ "RVF", 0, 8 },
9247	{ "PCIE_FID_VFID", 0x4348, 0 },
9248		{ "Select", 30, 2 },
9249		{ "IDO", 24, 1 },
9250		{ "VFID", 15, 9 },
9251		{ "TC", 12, 3 },
9252		{ "VFVld", 11, 1 },
9253		{ "PF", 8, 3 },
9254		{ "RVF", 0, 8 },
9255	{ "PCIE_FID_VFID", 0x434c, 0 },
9256		{ "Select", 30, 2 },
9257		{ "IDO", 24, 1 },
9258		{ "VFID", 15, 9 },
9259		{ "TC", 12, 3 },
9260		{ "VFVld", 11, 1 },
9261		{ "PF", 8, 3 },
9262		{ "RVF", 0, 8 },
9263	{ "PCIE_FID_VFID", 0x4350, 0 },
9264		{ "Select", 30, 2 },
9265		{ "IDO", 24, 1 },
9266		{ "VFID", 15, 9 },
9267		{ "TC", 12, 3 },
9268		{ "VFVld", 11, 1 },
9269		{ "PF", 8, 3 },
9270		{ "RVF", 0, 8 },
9271	{ "PCIE_FID_VFID", 0x4354, 0 },
9272		{ "Select", 30, 2 },
9273		{ "IDO", 24, 1 },
9274		{ "VFID", 15, 9 },
9275		{ "TC", 12, 3 },
9276		{ "VFVld", 11, 1 },
9277		{ "PF", 8, 3 },
9278		{ "RVF", 0, 8 },
9279	{ "PCIE_FID_VFID", 0x4358, 0 },
9280		{ "Select", 30, 2 },
9281		{ "IDO", 24, 1 },
9282		{ "VFID", 15, 9 },
9283		{ "TC", 12, 3 },
9284		{ "VFVld", 11, 1 },
9285		{ "PF", 8, 3 },
9286		{ "RVF", 0, 8 },
9287	{ "PCIE_FID_VFID", 0x435c, 0 },
9288		{ "Select", 30, 2 },
9289		{ "IDO", 24, 1 },
9290		{ "VFID", 15, 9 },
9291		{ "TC", 12, 3 },
9292		{ "VFVld", 11, 1 },
9293		{ "PF", 8, 3 },
9294		{ "RVF", 0, 8 },
9295	{ "PCIE_FID_VFID", 0x4360, 0 },
9296		{ "Select", 30, 2 },
9297		{ "IDO", 24, 1 },
9298		{ "VFID", 15, 9 },
9299		{ "TC", 12, 3 },
9300		{ "VFVld", 11, 1 },
9301		{ "PF", 8, 3 },
9302		{ "RVF", 0, 8 },
9303	{ "PCIE_FID_VFID", 0x4364, 0 },
9304		{ "Select", 30, 2 },
9305		{ "IDO", 24, 1 },
9306		{ "VFID", 15, 9 },
9307		{ "TC", 12, 3 },
9308		{ "VFVld", 11, 1 },
9309		{ "PF", 8, 3 },
9310		{ "RVF", 0, 8 },
9311	{ "PCIE_FID_VFID", 0x4368, 0 },
9312		{ "Select", 30, 2 },
9313		{ "IDO", 24, 1 },
9314		{ "VFID", 15, 9 },
9315		{ "TC", 12, 3 },
9316		{ "VFVld", 11, 1 },
9317		{ "PF", 8, 3 },
9318		{ "RVF", 0, 8 },
9319	{ "PCIE_FID_VFID", 0x436c, 0 },
9320		{ "Select", 30, 2 },
9321		{ "IDO", 24, 1 },
9322		{ "VFID", 15, 9 },
9323		{ "TC", 12, 3 },
9324		{ "VFVld", 11, 1 },
9325		{ "PF", 8, 3 },
9326		{ "RVF", 0, 8 },
9327	{ "PCIE_FID_VFID", 0x4370, 0 },
9328		{ "Select", 30, 2 },
9329		{ "IDO", 24, 1 },
9330		{ "VFID", 15, 9 },
9331		{ "TC", 12, 3 },
9332		{ "VFVld", 11, 1 },
9333		{ "PF", 8, 3 },
9334		{ "RVF", 0, 8 },
9335	{ "PCIE_FID_VFID", 0x4374, 0 },
9336		{ "Select", 30, 2 },
9337		{ "IDO", 24, 1 },
9338		{ "VFID", 15, 9 },
9339		{ "TC", 12, 3 },
9340		{ "VFVld", 11, 1 },
9341		{ "PF", 8, 3 },
9342		{ "RVF", 0, 8 },
9343	{ "PCIE_FID_VFID", 0x4378, 0 },
9344		{ "Select", 30, 2 },
9345		{ "IDO", 24, 1 },
9346		{ "VFID", 15, 9 },
9347		{ "TC", 12, 3 },
9348		{ "VFVld", 11, 1 },
9349		{ "PF", 8, 3 },
9350		{ "RVF", 0, 8 },
9351	{ "PCIE_FID_VFID", 0x437c, 0 },
9352		{ "Select", 30, 2 },
9353		{ "IDO", 24, 1 },
9354		{ "VFID", 15, 9 },
9355		{ "TC", 12, 3 },
9356		{ "VFVld", 11, 1 },
9357		{ "PF", 8, 3 },
9358		{ "RVF", 0, 8 },
9359	{ "PCIE_FID_VFID", 0x4380, 0 },
9360		{ "Select", 30, 2 },
9361		{ "IDO", 24, 1 },
9362		{ "VFID", 15, 9 },
9363		{ "TC", 12, 3 },
9364		{ "VFVld", 11, 1 },
9365		{ "PF", 8, 3 },
9366		{ "RVF", 0, 8 },
9367	{ "PCIE_FID_VFID", 0x4384, 0 },
9368		{ "Select", 30, 2 },
9369		{ "IDO", 24, 1 },
9370		{ "VFID", 15, 9 },
9371		{ "TC", 12, 3 },
9372		{ "VFVld", 11, 1 },
9373		{ "PF", 8, 3 },
9374		{ "RVF", 0, 8 },
9375	{ "PCIE_FID_VFID", 0x4388, 0 },
9376		{ "Select", 30, 2 },
9377		{ "IDO", 24, 1 },
9378		{ "VFID", 15, 9 },
9379		{ "TC", 12, 3 },
9380		{ "VFVld", 11, 1 },
9381		{ "PF", 8, 3 },
9382		{ "RVF", 0, 8 },
9383	{ "PCIE_FID_VFID", 0x438c, 0 },
9384		{ "Select", 30, 2 },
9385		{ "IDO", 24, 1 },
9386		{ "VFID", 15, 9 },
9387		{ "TC", 12, 3 },
9388		{ "VFVld", 11, 1 },
9389		{ "PF", 8, 3 },
9390		{ "RVF", 0, 8 },
9391	{ "PCIE_FID_VFID", 0x4390, 0 },
9392		{ "Select", 30, 2 },
9393		{ "IDO", 24, 1 },
9394		{ "VFID", 15, 9 },
9395		{ "TC", 12, 3 },
9396		{ "VFVld", 11, 1 },
9397		{ "PF", 8, 3 },
9398		{ "RVF", 0, 8 },
9399	{ "PCIE_FID_VFID", 0x4394, 0 },
9400		{ "Select", 30, 2 },
9401		{ "IDO", 24, 1 },
9402		{ "VFID", 15, 9 },
9403		{ "TC", 12, 3 },
9404		{ "VFVld", 11, 1 },
9405		{ "PF", 8, 3 },
9406		{ "RVF", 0, 8 },
9407	{ "PCIE_FID_VFID", 0x4398, 0 },
9408		{ "Select", 30, 2 },
9409		{ "IDO", 24, 1 },
9410		{ "VFID", 15, 9 },
9411		{ "TC", 12, 3 },
9412		{ "VFVld", 11, 1 },
9413		{ "PF", 8, 3 },
9414		{ "RVF", 0, 8 },
9415	{ "PCIE_FID_VFID", 0x439c, 0 },
9416		{ "Select", 30, 2 },
9417		{ "IDO", 24, 1 },
9418		{ "VFID", 15, 9 },
9419		{ "TC", 12, 3 },
9420		{ "VFVld", 11, 1 },
9421		{ "PF", 8, 3 },
9422		{ "RVF", 0, 8 },
9423	{ "PCIE_FID_VFID", 0x43a0, 0 },
9424		{ "Select", 30, 2 },
9425		{ "IDO", 24, 1 },
9426		{ "VFID", 15, 9 },
9427		{ "TC", 12, 3 },
9428		{ "VFVld", 11, 1 },
9429		{ "PF", 8, 3 },
9430		{ "RVF", 0, 8 },
9431	{ "PCIE_FID_VFID", 0x43a4, 0 },
9432		{ "Select", 30, 2 },
9433		{ "IDO", 24, 1 },
9434		{ "VFID", 15, 9 },
9435		{ "TC", 12, 3 },
9436		{ "VFVld", 11, 1 },
9437		{ "PF", 8, 3 },
9438		{ "RVF", 0, 8 },
9439	{ "PCIE_FID_VFID", 0x43a8, 0 },
9440		{ "Select", 30, 2 },
9441		{ "IDO", 24, 1 },
9442		{ "VFID", 15, 9 },
9443		{ "TC", 12, 3 },
9444		{ "VFVld", 11, 1 },
9445		{ "PF", 8, 3 },
9446		{ "RVF", 0, 8 },
9447	{ "PCIE_FID_VFID", 0x43ac, 0 },
9448		{ "Select", 30, 2 },
9449		{ "IDO", 24, 1 },
9450		{ "VFID", 15, 9 },
9451		{ "TC", 12, 3 },
9452		{ "VFVld", 11, 1 },
9453		{ "PF", 8, 3 },
9454		{ "RVF", 0, 8 },
9455	{ "PCIE_FID_VFID", 0x43b0, 0 },
9456		{ "Select", 30, 2 },
9457		{ "IDO", 24, 1 },
9458		{ "VFID", 15, 9 },
9459		{ "TC", 12, 3 },
9460		{ "VFVld", 11, 1 },
9461		{ "PF", 8, 3 },
9462		{ "RVF", 0, 8 },
9463	{ "PCIE_FID_VFID", 0x43b4, 0 },
9464		{ "Select", 30, 2 },
9465		{ "IDO", 24, 1 },
9466		{ "VFID", 15, 9 },
9467		{ "TC", 12, 3 },
9468		{ "VFVld", 11, 1 },
9469		{ "PF", 8, 3 },
9470		{ "RVF", 0, 8 },
9471	{ "PCIE_FID_VFID", 0x43b8, 0 },
9472		{ "Select", 30, 2 },
9473		{ "IDO", 24, 1 },
9474		{ "VFID", 15, 9 },
9475		{ "TC", 12, 3 },
9476		{ "VFVld", 11, 1 },
9477		{ "PF", 8, 3 },
9478		{ "RVF", 0, 8 },
9479	{ "PCIE_FID_VFID", 0x43bc, 0 },
9480		{ "Select", 30, 2 },
9481		{ "IDO", 24, 1 },
9482		{ "VFID", 15, 9 },
9483		{ "TC", 12, 3 },
9484		{ "VFVld", 11, 1 },
9485		{ "PF", 8, 3 },
9486		{ "RVF", 0, 8 },
9487	{ "PCIE_FID_VFID", 0x43c0, 0 },
9488		{ "Select", 30, 2 },
9489		{ "IDO", 24, 1 },
9490		{ "VFID", 15, 9 },
9491		{ "TC", 12, 3 },
9492		{ "VFVld", 11, 1 },
9493		{ "PF", 8, 3 },
9494		{ "RVF", 0, 8 },
9495	{ "PCIE_FID_VFID", 0x43c4, 0 },
9496		{ "Select", 30, 2 },
9497		{ "IDO", 24, 1 },
9498		{ "VFID", 15, 9 },
9499		{ "TC", 12, 3 },
9500		{ "VFVld", 11, 1 },
9501		{ "PF", 8, 3 },
9502		{ "RVF", 0, 8 },
9503	{ "PCIE_FID_VFID", 0x43c8, 0 },
9504		{ "Select", 30, 2 },
9505		{ "IDO", 24, 1 },
9506		{ "VFID", 15, 9 },
9507		{ "TC", 12, 3 },
9508		{ "VFVld", 11, 1 },
9509		{ "PF", 8, 3 },
9510		{ "RVF", 0, 8 },
9511	{ "PCIE_FID_VFID", 0x43cc, 0 },
9512		{ "Select", 30, 2 },
9513		{ "IDO", 24, 1 },
9514		{ "VFID", 15, 9 },
9515		{ "TC", 12, 3 },
9516		{ "VFVld", 11, 1 },
9517		{ "PF", 8, 3 },
9518		{ "RVF", 0, 8 },
9519	{ "PCIE_FID_VFID", 0x43d0, 0 },
9520		{ "Select", 30, 2 },
9521		{ "IDO", 24, 1 },
9522		{ "VFID", 15, 9 },
9523		{ "TC", 12, 3 },
9524		{ "VFVld", 11, 1 },
9525		{ "PF", 8, 3 },
9526		{ "RVF", 0, 8 },
9527	{ "PCIE_FID_VFID", 0x43d4, 0 },
9528		{ "Select", 30, 2 },
9529		{ "IDO", 24, 1 },
9530		{ "VFID", 15, 9 },
9531		{ "TC", 12, 3 },
9532		{ "VFVld", 11, 1 },
9533		{ "PF", 8, 3 },
9534		{ "RVF", 0, 8 },
9535	{ "PCIE_FID_VFID", 0x43d8, 0 },
9536		{ "Select", 30, 2 },
9537		{ "IDO", 24, 1 },
9538		{ "VFID", 15, 9 },
9539		{ "TC", 12, 3 },
9540		{ "VFVld", 11, 1 },
9541		{ "PF", 8, 3 },
9542		{ "RVF", 0, 8 },
9543	{ "PCIE_FID_VFID", 0x43dc, 0 },
9544		{ "Select", 30, 2 },
9545		{ "IDO", 24, 1 },
9546		{ "VFID", 15, 9 },
9547		{ "TC", 12, 3 },
9548		{ "VFVld", 11, 1 },
9549		{ "PF", 8, 3 },
9550		{ "RVF", 0, 8 },
9551	{ "PCIE_FID_VFID", 0x43e0, 0 },
9552		{ "Select", 30, 2 },
9553		{ "IDO", 24, 1 },
9554		{ "VFID", 15, 9 },
9555		{ "TC", 12, 3 },
9556		{ "VFVld", 11, 1 },
9557		{ "PF", 8, 3 },
9558		{ "RVF", 0, 8 },
9559	{ "PCIE_FID_VFID", 0x43e4, 0 },
9560		{ "Select", 30, 2 },
9561		{ "IDO", 24, 1 },
9562		{ "VFID", 15, 9 },
9563		{ "TC", 12, 3 },
9564		{ "VFVld", 11, 1 },
9565		{ "PF", 8, 3 },
9566		{ "RVF", 0, 8 },
9567	{ "PCIE_FID_VFID", 0x43e8, 0 },
9568		{ "Select", 30, 2 },
9569		{ "IDO", 24, 1 },
9570		{ "VFID", 15, 9 },
9571		{ "TC", 12, 3 },
9572		{ "VFVld", 11, 1 },
9573		{ "PF", 8, 3 },
9574		{ "RVF", 0, 8 },
9575	{ "PCIE_FID_VFID", 0x43ec, 0 },
9576		{ "Select", 30, 2 },
9577		{ "IDO", 24, 1 },
9578		{ "VFID", 15, 9 },
9579		{ "TC", 12, 3 },
9580		{ "VFVld", 11, 1 },
9581		{ "PF", 8, 3 },
9582		{ "RVF", 0, 8 },
9583	{ "PCIE_FID_VFID", 0x43f0, 0 },
9584		{ "Select", 30, 2 },
9585		{ "IDO", 24, 1 },
9586		{ "VFID", 15, 9 },
9587		{ "TC", 12, 3 },
9588		{ "VFVld", 11, 1 },
9589		{ "PF", 8, 3 },
9590		{ "RVF", 0, 8 },
9591	{ "PCIE_FID_VFID", 0x43f4, 0 },
9592		{ "Select", 30, 2 },
9593		{ "IDO", 24, 1 },
9594		{ "VFID", 15, 9 },
9595		{ "TC", 12, 3 },
9596		{ "VFVld", 11, 1 },
9597		{ "PF", 8, 3 },
9598		{ "RVF", 0, 8 },
9599	{ "PCIE_FID_VFID", 0x43f8, 0 },
9600		{ "Select", 30, 2 },
9601		{ "IDO", 24, 1 },
9602		{ "VFID", 15, 9 },
9603		{ "TC", 12, 3 },
9604		{ "VFVld", 11, 1 },
9605		{ "PF", 8, 3 },
9606		{ "RVF", 0, 8 },
9607	{ "PCIE_FID_VFID", 0x43fc, 0 },
9608		{ "Select", 30, 2 },
9609		{ "IDO", 24, 1 },
9610		{ "VFID", 15, 9 },
9611		{ "TC", 12, 3 },
9612		{ "VFVld", 11, 1 },
9613		{ "PF", 8, 3 },
9614		{ "RVF", 0, 8 },
9615	{ "PCIE_FID_VFID", 0x4400, 0 },
9616		{ "Select", 30, 2 },
9617		{ "IDO", 24, 1 },
9618		{ "VFID", 15, 9 },
9619		{ "TC", 12, 3 },
9620		{ "VFVld", 11, 1 },
9621		{ "PF", 8, 3 },
9622		{ "RVF", 0, 8 },
9623	{ "PCIE_FID_VFID", 0x4404, 0 },
9624		{ "Select", 30, 2 },
9625		{ "IDO", 24, 1 },
9626		{ "VFID", 15, 9 },
9627		{ "TC", 12, 3 },
9628		{ "VFVld", 11, 1 },
9629		{ "PF", 8, 3 },
9630		{ "RVF", 0, 8 },
9631	{ "PCIE_FID_VFID", 0x4408, 0 },
9632		{ "Select", 30, 2 },
9633		{ "IDO", 24, 1 },
9634		{ "VFID", 15, 9 },
9635		{ "TC", 12, 3 },
9636		{ "VFVld", 11, 1 },
9637		{ "PF", 8, 3 },
9638		{ "RVF", 0, 8 },
9639	{ "PCIE_FID_VFID", 0x440c, 0 },
9640		{ "Select", 30, 2 },
9641		{ "IDO", 24, 1 },
9642		{ "VFID", 15, 9 },
9643		{ "TC", 12, 3 },
9644		{ "VFVld", 11, 1 },
9645		{ "PF", 8, 3 },
9646		{ "RVF", 0, 8 },
9647	{ "PCIE_FID_VFID", 0x4410, 0 },
9648		{ "Select", 30, 2 },
9649		{ "IDO", 24, 1 },
9650		{ "VFID", 15, 9 },
9651		{ "TC", 12, 3 },
9652		{ "VFVld", 11, 1 },
9653		{ "PF", 8, 3 },
9654		{ "RVF", 0, 8 },
9655	{ "PCIE_FID_VFID", 0x4414, 0 },
9656		{ "Select", 30, 2 },
9657		{ "IDO", 24, 1 },
9658		{ "VFID", 15, 9 },
9659		{ "TC", 12, 3 },
9660		{ "VFVld", 11, 1 },
9661		{ "PF", 8, 3 },
9662		{ "RVF", 0, 8 },
9663	{ "PCIE_FID_VFID", 0x4418, 0 },
9664		{ "Select", 30, 2 },
9665		{ "IDO", 24, 1 },
9666		{ "VFID", 15, 9 },
9667		{ "TC", 12, 3 },
9668		{ "VFVld", 11, 1 },
9669		{ "PF", 8, 3 },
9670		{ "RVF", 0, 8 },
9671	{ "PCIE_FID_VFID", 0x441c, 0 },
9672		{ "Select", 30, 2 },
9673		{ "IDO", 24, 1 },
9674		{ "VFID", 15, 9 },
9675		{ "TC", 12, 3 },
9676		{ "VFVld", 11, 1 },
9677		{ "PF", 8, 3 },
9678		{ "RVF", 0, 8 },
9679	{ "PCIE_FID_VFID", 0x4420, 0 },
9680		{ "Select", 30, 2 },
9681		{ "IDO", 24, 1 },
9682		{ "VFID", 15, 9 },
9683		{ "TC", 12, 3 },
9684		{ "VFVld", 11, 1 },
9685		{ "PF", 8, 3 },
9686		{ "RVF", 0, 8 },
9687	{ "PCIE_FID_VFID", 0x4424, 0 },
9688		{ "Select", 30, 2 },
9689		{ "IDO", 24, 1 },
9690		{ "VFID", 15, 9 },
9691		{ "TC", 12, 3 },
9692		{ "VFVld", 11, 1 },
9693		{ "PF", 8, 3 },
9694		{ "RVF", 0, 8 },
9695	{ "PCIE_FID_VFID", 0x4428, 0 },
9696		{ "Select", 30, 2 },
9697		{ "IDO", 24, 1 },
9698		{ "VFID", 15, 9 },
9699		{ "TC", 12, 3 },
9700		{ "VFVld", 11, 1 },
9701		{ "PF", 8, 3 },
9702		{ "RVF", 0, 8 },
9703	{ "PCIE_FID_VFID", 0x442c, 0 },
9704		{ "Select", 30, 2 },
9705		{ "IDO", 24, 1 },
9706		{ "VFID", 15, 9 },
9707		{ "TC", 12, 3 },
9708		{ "VFVld", 11, 1 },
9709		{ "PF", 8, 3 },
9710		{ "RVF", 0, 8 },
9711	{ "PCIE_FID_VFID", 0x4430, 0 },
9712		{ "Select", 30, 2 },
9713		{ "IDO", 24, 1 },
9714		{ "VFID", 15, 9 },
9715		{ "TC", 12, 3 },
9716		{ "VFVld", 11, 1 },
9717		{ "PF", 8, 3 },
9718		{ "RVF", 0, 8 },
9719	{ "PCIE_FID_VFID", 0x4434, 0 },
9720		{ "Select", 30, 2 },
9721		{ "IDO", 24, 1 },
9722		{ "VFID", 15, 9 },
9723		{ "TC", 12, 3 },
9724		{ "VFVld", 11, 1 },
9725		{ "PF", 8, 3 },
9726		{ "RVF", 0, 8 },
9727	{ "PCIE_FID_VFID", 0x4438, 0 },
9728		{ "Select", 30, 2 },
9729		{ "IDO", 24, 1 },
9730		{ "VFID", 15, 9 },
9731		{ "TC", 12, 3 },
9732		{ "VFVld", 11, 1 },
9733		{ "PF", 8, 3 },
9734		{ "RVF", 0, 8 },
9735	{ "PCIE_FID_VFID", 0x443c, 0 },
9736		{ "Select", 30, 2 },
9737		{ "IDO", 24, 1 },
9738		{ "VFID", 15, 9 },
9739		{ "TC", 12, 3 },
9740		{ "VFVld", 11, 1 },
9741		{ "PF", 8, 3 },
9742		{ "RVF", 0, 8 },
9743	{ "PCIE_FID_VFID", 0x4440, 0 },
9744		{ "Select", 30, 2 },
9745		{ "IDO", 24, 1 },
9746		{ "VFID", 15, 9 },
9747		{ "TC", 12, 3 },
9748		{ "VFVld", 11, 1 },
9749		{ "PF", 8, 3 },
9750		{ "RVF", 0, 8 },
9751	{ "PCIE_FID_VFID", 0x4444, 0 },
9752		{ "Select", 30, 2 },
9753		{ "IDO", 24, 1 },
9754		{ "VFID", 15, 9 },
9755		{ "TC", 12, 3 },
9756		{ "VFVld", 11, 1 },
9757		{ "PF", 8, 3 },
9758		{ "RVF", 0, 8 },
9759	{ "PCIE_FID_VFID", 0x4448, 0 },
9760		{ "Select", 30, 2 },
9761		{ "IDO", 24, 1 },
9762		{ "VFID", 15, 9 },
9763		{ "TC", 12, 3 },
9764		{ "VFVld", 11, 1 },
9765		{ "PF", 8, 3 },
9766		{ "RVF", 0, 8 },
9767	{ "PCIE_FID_VFID", 0x444c, 0 },
9768		{ "Select", 30, 2 },
9769		{ "IDO", 24, 1 },
9770		{ "VFID", 15, 9 },
9771		{ "TC", 12, 3 },
9772		{ "VFVld", 11, 1 },
9773		{ "PF", 8, 3 },
9774		{ "RVF", 0, 8 },
9775	{ "PCIE_FID_VFID", 0x4450, 0 },
9776		{ "Select", 30, 2 },
9777		{ "IDO", 24, 1 },
9778		{ "VFID", 15, 9 },
9779		{ "TC", 12, 3 },
9780		{ "VFVld", 11, 1 },
9781		{ "PF", 8, 3 },
9782		{ "RVF", 0, 8 },
9783	{ "PCIE_FID_VFID", 0x4454, 0 },
9784		{ "Select", 30, 2 },
9785		{ "IDO", 24, 1 },
9786		{ "VFID", 15, 9 },
9787		{ "TC", 12, 3 },
9788		{ "VFVld", 11, 1 },
9789		{ "PF", 8, 3 },
9790		{ "RVF", 0, 8 },
9791	{ "PCIE_FID_VFID", 0x4458, 0 },
9792		{ "Select", 30, 2 },
9793		{ "IDO", 24, 1 },
9794		{ "VFID", 15, 9 },
9795		{ "TC", 12, 3 },
9796		{ "VFVld", 11, 1 },
9797		{ "PF", 8, 3 },
9798		{ "RVF", 0, 8 },
9799	{ "PCIE_FID_VFID", 0x445c, 0 },
9800		{ "Select", 30, 2 },
9801		{ "IDO", 24, 1 },
9802		{ "VFID", 15, 9 },
9803		{ "TC", 12, 3 },
9804		{ "VFVld", 11, 1 },
9805		{ "PF", 8, 3 },
9806		{ "RVF", 0, 8 },
9807	{ "PCIE_FID_VFID", 0x4460, 0 },
9808		{ "Select", 30, 2 },
9809		{ "IDO", 24, 1 },
9810		{ "VFID", 15, 9 },
9811		{ "TC", 12, 3 },
9812		{ "VFVld", 11, 1 },
9813		{ "PF", 8, 3 },
9814		{ "RVF", 0, 8 },
9815	{ "PCIE_FID_VFID", 0x4464, 0 },
9816		{ "Select", 30, 2 },
9817		{ "IDO", 24, 1 },
9818		{ "VFID", 15, 9 },
9819		{ "TC", 12, 3 },
9820		{ "VFVld", 11, 1 },
9821		{ "PF", 8, 3 },
9822		{ "RVF", 0, 8 },
9823	{ "PCIE_FID_VFID", 0x4468, 0 },
9824		{ "Select", 30, 2 },
9825		{ "IDO", 24, 1 },
9826		{ "VFID", 15, 9 },
9827		{ "TC", 12, 3 },
9828		{ "VFVld", 11, 1 },
9829		{ "PF", 8, 3 },
9830		{ "RVF", 0, 8 },
9831	{ "PCIE_FID_VFID", 0x446c, 0 },
9832		{ "Select", 30, 2 },
9833		{ "IDO", 24, 1 },
9834		{ "VFID", 15, 9 },
9835		{ "TC", 12, 3 },
9836		{ "VFVld", 11, 1 },
9837		{ "PF", 8, 3 },
9838		{ "RVF", 0, 8 },
9839	{ "PCIE_FID_VFID", 0x4470, 0 },
9840		{ "Select", 30, 2 },
9841		{ "IDO", 24, 1 },
9842		{ "VFID", 15, 9 },
9843		{ "TC", 12, 3 },
9844		{ "VFVld", 11, 1 },
9845		{ "PF", 8, 3 },
9846		{ "RVF", 0, 8 },
9847	{ "PCIE_FID_VFID", 0x4474, 0 },
9848		{ "Select", 30, 2 },
9849		{ "IDO", 24, 1 },
9850		{ "VFID", 15, 9 },
9851		{ "TC", 12, 3 },
9852		{ "VFVld", 11, 1 },
9853		{ "PF", 8, 3 },
9854		{ "RVF", 0, 8 },
9855	{ "PCIE_FID_VFID", 0x4478, 0 },
9856		{ "Select", 30, 2 },
9857		{ "IDO", 24, 1 },
9858		{ "VFID", 15, 9 },
9859		{ "TC", 12, 3 },
9860		{ "VFVld", 11, 1 },
9861		{ "PF", 8, 3 },
9862		{ "RVF", 0, 8 },
9863	{ "PCIE_FID_VFID", 0x447c, 0 },
9864		{ "Select", 30, 2 },
9865		{ "IDO", 24, 1 },
9866		{ "VFID", 15, 9 },
9867		{ "TC", 12, 3 },
9868		{ "VFVld", 11, 1 },
9869		{ "PF", 8, 3 },
9870		{ "RVF", 0, 8 },
9871	{ "PCIE_FID_VFID", 0x4480, 0 },
9872		{ "Select", 30, 2 },
9873		{ "IDO", 24, 1 },
9874		{ "VFID", 15, 9 },
9875		{ "TC", 12, 3 },
9876		{ "VFVld", 11, 1 },
9877		{ "PF", 8, 3 },
9878		{ "RVF", 0, 8 },
9879	{ "PCIE_FID_VFID", 0x4484, 0 },
9880		{ "Select", 30, 2 },
9881		{ "IDO", 24, 1 },
9882		{ "VFID", 15, 9 },
9883		{ "TC", 12, 3 },
9884		{ "VFVld", 11, 1 },
9885		{ "PF", 8, 3 },
9886		{ "RVF", 0, 8 },
9887	{ "PCIE_FID_VFID", 0x4488, 0 },
9888		{ "Select", 30, 2 },
9889		{ "IDO", 24, 1 },
9890		{ "VFID", 15, 9 },
9891		{ "TC", 12, 3 },
9892		{ "VFVld", 11, 1 },
9893		{ "PF", 8, 3 },
9894		{ "RVF", 0, 8 },
9895	{ "PCIE_FID_VFID", 0x448c, 0 },
9896		{ "Select", 30, 2 },
9897		{ "IDO", 24, 1 },
9898		{ "VFID", 15, 9 },
9899		{ "TC", 12, 3 },
9900		{ "VFVld", 11, 1 },
9901		{ "PF", 8, 3 },
9902		{ "RVF", 0, 8 },
9903	{ "PCIE_FID_VFID", 0x4490, 0 },
9904		{ "Select", 30, 2 },
9905		{ "IDO", 24, 1 },
9906		{ "VFID", 15, 9 },
9907		{ "TC", 12, 3 },
9908		{ "VFVld", 11, 1 },
9909		{ "PF", 8, 3 },
9910		{ "RVF", 0, 8 },
9911	{ "PCIE_FID_VFID", 0x4494, 0 },
9912		{ "Select", 30, 2 },
9913		{ "IDO", 24, 1 },
9914		{ "VFID", 15, 9 },
9915		{ "TC", 12, 3 },
9916		{ "VFVld", 11, 1 },
9917		{ "PF", 8, 3 },
9918		{ "RVF", 0, 8 },
9919	{ "PCIE_FID_VFID", 0x4498, 0 },
9920		{ "Select", 30, 2 },
9921		{ "IDO", 24, 1 },
9922		{ "VFID", 15, 9 },
9923		{ "TC", 12, 3 },
9924		{ "VFVld", 11, 1 },
9925		{ "PF", 8, 3 },
9926		{ "RVF", 0, 8 },
9927	{ "PCIE_FID_VFID", 0x449c, 0 },
9928		{ "Select", 30, 2 },
9929		{ "IDO", 24, 1 },
9930		{ "VFID", 15, 9 },
9931		{ "TC", 12, 3 },
9932		{ "VFVld", 11, 1 },
9933		{ "PF", 8, 3 },
9934		{ "RVF", 0, 8 },
9935	{ "PCIE_FID_VFID", 0x44a0, 0 },
9936		{ "Select", 30, 2 },
9937		{ "IDO", 24, 1 },
9938		{ "VFID", 15, 9 },
9939		{ "TC", 12, 3 },
9940		{ "VFVld", 11, 1 },
9941		{ "PF", 8, 3 },
9942		{ "RVF", 0, 8 },
9943	{ "PCIE_FID_VFID", 0x44a4, 0 },
9944		{ "Select", 30, 2 },
9945		{ "IDO", 24, 1 },
9946		{ "VFID", 15, 9 },
9947		{ "TC", 12, 3 },
9948		{ "VFVld", 11, 1 },
9949		{ "PF", 8, 3 },
9950		{ "RVF", 0, 8 },
9951	{ "PCIE_FID_VFID", 0x44a8, 0 },
9952		{ "Select", 30, 2 },
9953		{ "IDO", 24, 1 },
9954		{ "VFID", 15, 9 },
9955		{ "TC", 12, 3 },
9956		{ "VFVld", 11, 1 },
9957		{ "PF", 8, 3 },
9958		{ "RVF", 0, 8 },
9959	{ "PCIE_FID_VFID", 0x44ac, 0 },
9960		{ "Select", 30, 2 },
9961		{ "IDO", 24, 1 },
9962		{ "VFID", 15, 9 },
9963		{ "TC", 12, 3 },
9964		{ "VFVld", 11, 1 },
9965		{ "PF", 8, 3 },
9966		{ "RVF", 0, 8 },
9967	{ "PCIE_FID_VFID", 0x44b0, 0 },
9968		{ "Select", 30, 2 },
9969		{ "IDO", 24, 1 },
9970		{ "VFID", 15, 9 },
9971		{ "TC", 12, 3 },
9972		{ "VFVld", 11, 1 },
9973		{ "PF", 8, 3 },
9974		{ "RVF", 0, 8 },
9975	{ "PCIE_FID_VFID", 0x44b4, 0 },
9976		{ "Select", 30, 2 },
9977		{ "IDO", 24, 1 },
9978		{ "VFID", 15, 9 },
9979		{ "TC", 12, 3 },
9980		{ "VFVld", 11, 1 },
9981		{ "PF", 8, 3 },
9982		{ "RVF", 0, 8 },
9983	{ "PCIE_FID_VFID", 0x44b8, 0 },
9984		{ "Select", 30, 2 },
9985		{ "IDO", 24, 1 },
9986		{ "VFID", 15, 9 },
9987		{ "TC", 12, 3 },
9988		{ "VFVld", 11, 1 },
9989		{ "PF", 8, 3 },
9990		{ "RVF", 0, 8 },
9991	{ "PCIE_FID_VFID", 0x44bc, 0 },
9992		{ "Select", 30, 2 },
9993		{ "IDO", 24, 1 },
9994		{ "VFID", 15, 9 },
9995		{ "TC", 12, 3 },
9996		{ "VFVld", 11, 1 },
9997		{ "PF", 8, 3 },
9998		{ "RVF", 0, 8 },
9999	{ "PCIE_FID_VFID", 0x44c0, 0 },
10000		{ "Select", 30, 2 },
10001		{ "IDO", 24, 1 },
10002		{ "VFID", 15, 9 },
10003		{ "TC", 12, 3 },
10004		{ "VFVld", 11, 1 },
10005		{ "PF", 8, 3 },
10006		{ "RVF", 0, 8 },
10007	{ "PCIE_FID_VFID", 0x44c4, 0 },
10008		{ "Select", 30, 2 },
10009		{ "IDO", 24, 1 },
10010		{ "VFID", 15, 9 },
10011		{ "TC", 12, 3 },
10012		{ "VFVld", 11, 1 },
10013		{ "PF", 8, 3 },
10014		{ "RVF", 0, 8 },
10015	{ "PCIE_FID_VFID", 0x44c8, 0 },
10016		{ "Select", 30, 2 },
10017		{ "IDO", 24, 1 },
10018		{ "VFID", 15, 9 },
10019		{ "TC", 12, 3 },
10020		{ "VFVld", 11, 1 },
10021		{ "PF", 8, 3 },
10022		{ "RVF", 0, 8 },
10023	{ "PCIE_FID_VFID", 0x44cc, 0 },
10024		{ "Select", 30, 2 },
10025		{ "IDO", 24, 1 },
10026		{ "VFID", 15, 9 },
10027		{ "TC", 12, 3 },
10028		{ "VFVld", 11, 1 },
10029		{ "PF", 8, 3 },
10030		{ "RVF", 0, 8 },
10031	{ "PCIE_FID_VFID", 0x44d0, 0 },
10032		{ "Select", 30, 2 },
10033		{ "IDO", 24, 1 },
10034		{ "VFID", 15, 9 },
10035		{ "TC", 12, 3 },
10036		{ "VFVld", 11, 1 },
10037		{ "PF", 8, 3 },
10038		{ "RVF", 0, 8 },
10039	{ "PCIE_FID_VFID", 0x44d4, 0 },
10040		{ "Select", 30, 2 },
10041		{ "IDO", 24, 1 },
10042		{ "VFID", 15, 9 },
10043		{ "TC", 12, 3 },
10044		{ "VFVld", 11, 1 },
10045		{ "PF", 8, 3 },
10046		{ "RVF", 0, 8 },
10047	{ "PCIE_FID_VFID", 0x44d8, 0 },
10048		{ "Select", 30, 2 },
10049		{ "IDO", 24, 1 },
10050		{ "VFID", 15, 9 },
10051		{ "TC", 12, 3 },
10052		{ "VFVld", 11, 1 },
10053		{ "PF", 8, 3 },
10054		{ "RVF", 0, 8 },
10055	{ "PCIE_FID_VFID", 0x44dc, 0 },
10056		{ "Select", 30, 2 },
10057		{ "IDO", 24, 1 },
10058		{ "VFID", 15, 9 },
10059		{ "TC", 12, 3 },
10060		{ "VFVld", 11, 1 },
10061		{ "PF", 8, 3 },
10062		{ "RVF", 0, 8 },
10063	{ "PCIE_FID_VFID", 0x44e0, 0 },
10064		{ "Select", 30, 2 },
10065		{ "IDO", 24, 1 },
10066		{ "VFID", 15, 9 },
10067		{ "TC", 12, 3 },
10068		{ "VFVld", 11, 1 },
10069		{ "PF", 8, 3 },
10070		{ "RVF", 0, 8 },
10071	{ "PCIE_FID_VFID", 0x44e4, 0 },
10072		{ "Select", 30, 2 },
10073		{ "IDO", 24, 1 },
10074		{ "VFID", 15, 9 },
10075		{ "TC", 12, 3 },
10076		{ "VFVld", 11, 1 },
10077		{ "PF", 8, 3 },
10078		{ "RVF", 0, 8 },
10079	{ "PCIE_FID_VFID", 0x44e8, 0 },
10080		{ "Select", 30, 2 },
10081		{ "IDO", 24, 1 },
10082		{ "VFID", 15, 9 },
10083		{ "TC", 12, 3 },
10084		{ "VFVld", 11, 1 },
10085		{ "PF", 8, 3 },
10086		{ "RVF", 0, 8 },
10087	{ "PCIE_FID_VFID", 0x44ec, 0 },
10088		{ "Select", 30, 2 },
10089		{ "IDO", 24, 1 },
10090		{ "VFID", 15, 9 },
10091		{ "TC", 12, 3 },
10092		{ "VFVld", 11, 1 },
10093		{ "PF", 8, 3 },
10094		{ "RVF", 0, 8 },
10095	{ "PCIE_FID_VFID", 0x44f0, 0 },
10096		{ "Select", 30, 2 },
10097		{ "IDO", 24, 1 },
10098		{ "VFID", 15, 9 },
10099		{ "TC", 12, 3 },
10100		{ "VFVld", 11, 1 },
10101		{ "PF", 8, 3 },
10102		{ "RVF", 0, 8 },
10103	{ "PCIE_FID_VFID", 0x44f4, 0 },
10104		{ "Select", 30, 2 },
10105		{ "IDO", 24, 1 },
10106		{ "VFID", 15, 9 },
10107		{ "TC", 12, 3 },
10108		{ "VFVld", 11, 1 },
10109		{ "PF", 8, 3 },
10110		{ "RVF", 0, 8 },
10111	{ "PCIE_FID_VFID", 0x44f8, 0 },
10112		{ "Select", 30, 2 },
10113		{ "IDO", 24, 1 },
10114		{ "VFID", 15, 9 },
10115		{ "TC", 12, 3 },
10116		{ "VFVld", 11, 1 },
10117		{ "PF", 8, 3 },
10118		{ "RVF", 0, 8 },
10119	{ "PCIE_FID_VFID", 0x44fc, 0 },
10120		{ "Select", 30, 2 },
10121		{ "IDO", 24, 1 },
10122		{ "VFID", 15, 9 },
10123		{ "TC", 12, 3 },
10124		{ "VFVld", 11, 1 },
10125		{ "PF", 8, 3 },
10126		{ "RVF", 0, 8 },
10127	{ "PCIE_FID_VFID", 0x4500, 0 },
10128		{ "Select", 30, 2 },
10129		{ "IDO", 24, 1 },
10130		{ "VFID", 15, 9 },
10131		{ "TC", 12, 3 },
10132		{ "VFVld", 11, 1 },
10133		{ "PF", 8, 3 },
10134		{ "RVF", 0, 8 },
10135	{ "PCIE_FID_VFID", 0x4504, 0 },
10136		{ "Select", 30, 2 },
10137		{ "IDO", 24, 1 },
10138		{ "VFID", 15, 9 },
10139		{ "TC", 12, 3 },
10140		{ "VFVld", 11, 1 },
10141		{ "PF", 8, 3 },
10142		{ "RVF", 0, 8 },
10143	{ "PCIE_FID_VFID", 0x4508, 0 },
10144		{ "Select", 30, 2 },
10145		{ "IDO", 24, 1 },
10146		{ "VFID", 15, 9 },
10147		{ "TC", 12, 3 },
10148		{ "VFVld", 11, 1 },
10149		{ "PF", 8, 3 },
10150		{ "RVF", 0, 8 },
10151	{ "PCIE_FID_VFID", 0x450c, 0 },
10152		{ "Select", 30, 2 },
10153		{ "IDO", 24, 1 },
10154		{ "VFID", 15, 9 },
10155		{ "TC", 12, 3 },
10156		{ "VFVld", 11, 1 },
10157		{ "PF", 8, 3 },
10158		{ "RVF", 0, 8 },
10159	{ "PCIE_FID_VFID", 0x4510, 0 },
10160		{ "Select", 30, 2 },
10161		{ "IDO", 24, 1 },
10162		{ "VFID", 15, 9 },
10163		{ "TC", 12, 3 },
10164		{ "VFVld", 11, 1 },
10165		{ "PF", 8, 3 },
10166		{ "RVF", 0, 8 },
10167	{ "PCIE_FID_VFID", 0x4514, 0 },
10168		{ "Select", 30, 2 },
10169		{ "IDO", 24, 1 },
10170		{ "VFID", 15, 9 },
10171		{ "TC", 12, 3 },
10172		{ "VFVld", 11, 1 },
10173		{ "PF", 8, 3 },
10174		{ "RVF", 0, 8 },
10175	{ "PCIE_FID_VFID", 0x4518, 0 },
10176		{ "Select", 30, 2 },
10177		{ "IDO", 24, 1 },
10178		{ "VFID", 15, 9 },
10179		{ "TC", 12, 3 },
10180		{ "VFVld", 11, 1 },
10181		{ "PF", 8, 3 },
10182		{ "RVF", 0, 8 },
10183	{ "PCIE_FID_VFID", 0x451c, 0 },
10184		{ "Select", 30, 2 },
10185		{ "IDO", 24, 1 },
10186		{ "VFID", 15, 9 },
10187		{ "TC", 12, 3 },
10188		{ "VFVld", 11, 1 },
10189		{ "PF", 8, 3 },
10190		{ "RVF", 0, 8 },
10191	{ "PCIE_FID_VFID", 0x4520, 0 },
10192		{ "Select", 30, 2 },
10193		{ "IDO", 24, 1 },
10194		{ "VFID", 15, 9 },
10195		{ "TC", 12, 3 },
10196		{ "VFVld", 11, 1 },
10197		{ "PF", 8, 3 },
10198		{ "RVF", 0, 8 },
10199	{ "PCIE_FID_VFID", 0x4524, 0 },
10200		{ "Select", 30, 2 },
10201		{ "IDO", 24, 1 },
10202		{ "VFID", 15, 9 },
10203		{ "TC", 12, 3 },
10204		{ "VFVld", 11, 1 },
10205		{ "PF", 8, 3 },
10206		{ "RVF", 0, 8 },
10207	{ "PCIE_FID_VFID", 0x4528, 0 },
10208		{ "Select", 30, 2 },
10209		{ "IDO", 24, 1 },
10210		{ "VFID", 15, 9 },
10211		{ "TC", 12, 3 },
10212		{ "VFVld", 11, 1 },
10213		{ "PF", 8, 3 },
10214		{ "RVF", 0, 8 },
10215	{ "PCIE_FID_VFID", 0x452c, 0 },
10216		{ "Select", 30, 2 },
10217		{ "IDO", 24, 1 },
10218		{ "VFID", 15, 9 },
10219		{ "TC", 12, 3 },
10220		{ "VFVld", 11, 1 },
10221		{ "PF", 8, 3 },
10222		{ "RVF", 0, 8 },
10223	{ "PCIE_FID_VFID", 0x4530, 0 },
10224		{ "Select", 30, 2 },
10225		{ "IDO", 24, 1 },
10226		{ "VFID", 15, 9 },
10227		{ "TC", 12, 3 },
10228		{ "VFVld", 11, 1 },
10229		{ "PF", 8, 3 },
10230		{ "RVF", 0, 8 },
10231	{ "PCIE_FID_VFID", 0x4534, 0 },
10232		{ "Select", 30, 2 },
10233		{ "IDO", 24, 1 },
10234		{ "VFID", 15, 9 },
10235		{ "TC", 12, 3 },
10236		{ "VFVld", 11, 1 },
10237		{ "PF", 8, 3 },
10238		{ "RVF", 0, 8 },
10239	{ "PCIE_FID_VFID", 0x4538, 0 },
10240		{ "Select", 30, 2 },
10241		{ "IDO", 24, 1 },
10242		{ "VFID", 15, 9 },
10243		{ "TC", 12, 3 },
10244		{ "VFVld", 11, 1 },
10245		{ "PF", 8, 3 },
10246		{ "RVF", 0, 8 },
10247	{ "PCIE_FID_VFID", 0x453c, 0 },
10248		{ "Select", 30, 2 },
10249		{ "IDO", 24, 1 },
10250		{ "VFID", 15, 9 },
10251		{ "TC", 12, 3 },
10252		{ "VFVld", 11, 1 },
10253		{ "PF", 8, 3 },
10254		{ "RVF", 0, 8 },
10255	{ "PCIE_FID_VFID", 0x4540, 0 },
10256		{ "Select", 30, 2 },
10257		{ "IDO", 24, 1 },
10258		{ "VFID", 15, 9 },
10259		{ "TC", 12, 3 },
10260		{ "VFVld", 11, 1 },
10261		{ "PF", 8, 3 },
10262		{ "RVF", 0, 8 },
10263	{ "PCIE_FID_VFID", 0x4544, 0 },
10264		{ "Select", 30, 2 },
10265		{ "IDO", 24, 1 },
10266		{ "VFID", 15, 9 },
10267		{ "TC", 12, 3 },
10268		{ "VFVld", 11, 1 },
10269		{ "PF", 8, 3 },
10270		{ "RVF", 0, 8 },
10271	{ "PCIE_FID_VFID", 0x4548, 0 },
10272		{ "Select", 30, 2 },
10273		{ "IDO", 24, 1 },
10274		{ "VFID", 15, 9 },
10275		{ "TC", 12, 3 },
10276		{ "VFVld", 11, 1 },
10277		{ "PF", 8, 3 },
10278		{ "RVF", 0, 8 },
10279	{ "PCIE_FID_VFID", 0x454c, 0 },
10280		{ "Select", 30, 2 },
10281		{ "IDO", 24, 1 },
10282		{ "VFID", 15, 9 },
10283		{ "TC", 12, 3 },
10284		{ "VFVld", 11, 1 },
10285		{ "PF", 8, 3 },
10286		{ "RVF", 0, 8 },
10287	{ "PCIE_FID_VFID", 0x4550, 0 },
10288		{ "Select", 30, 2 },
10289		{ "IDO", 24, 1 },
10290		{ "VFID", 15, 9 },
10291		{ "TC", 12, 3 },
10292		{ "VFVld", 11, 1 },
10293		{ "PF", 8, 3 },
10294		{ "RVF", 0, 8 },
10295	{ "PCIE_FID_VFID", 0x4554, 0 },
10296		{ "Select", 30, 2 },
10297		{ "IDO", 24, 1 },
10298		{ "VFID", 15, 9 },
10299		{ "TC", 12, 3 },
10300		{ "VFVld", 11, 1 },
10301		{ "PF", 8, 3 },
10302		{ "RVF", 0, 8 },
10303	{ "PCIE_FID_VFID", 0x4558, 0 },
10304		{ "Select", 30, 2 },
10305		{ "IDO", 24, 1 },
10306		{ "VFID", 15, 9 },
10307		{ "TC", 12, 3 },
10308		{ "VFVld", 11, 1 },
10309		{ "PF", 8, 3 },
10310		{ "RVF", 0, 8 },
10311	{ "PCIE_FID_VFID", 0x455c, 0 },
10312		{ "Select", 30, 2 },
10313		{ "IDO", 24, 1 },
10314		{ "VFID", 15, 9 },
10315		{ "TC", 12, 3 },
10316		{ "VFVld", 11, 1 },
10317		{ "PF", 8, 3 },
10318		{ "RVF", 0, 8 },
10319	{ "PCIE_FID_VFID", 0x4560, 0 },
10320		{ "Select", 30, 2 },
10321		{ "IDO", 24, 1 },
10322		{ "VFID", 15, 9 },
10323		{ "TC", 12, 3 },
10324		{ "VFVld", 11, 1 },
10325		{ "PF", 8, 3 },
10326		{ "RVF", 0, 8 },
10327	{ "PCIE_FID_VFID", 0x4564, 0 },
10328		{ "Select", 30, 2 },
10329		{ "IDO", 24, 1 },
10330		{ "VFID", 15, 9 },
10331		{ "TC", 12, 3 },
10332		{ "VFVld", 11, 1 },
10333		{ "PF", 8, 3 },
10334		{ "RVF", 0, 8 },
10335	{ "PCIE_FID_VFID", 0x4568, 0 },
10336		{ "Select", 30, 2 },
10337		{ "IDO", 24, 1 },
10338		{ "VFID", 15, 9 },
10339		{ "TC", 12, 3 },
10340		{ "VFVld", 11, 1 },
10341		{ "PF", 8, 3 },
10342		{ "RVF", 0, 8 },
10343	{ "PCIE_FID_VFID", 0x456c, 0 },
10344		{ "Select", 30, 2 },
10345		{ "IDO", 24, 1 },
10346		{ "VFID", 15, 9 },
10347		{ "TC", 12, 3 },
10348		{ "VFVld", 11, 1 },
10349		{ "PF", 8, 3 },
10350		{ "RVF", 0, 8 },
10351	{ "PCIE_FID_VFID", 0x4570, 0 },
10352		{ "Select", 30, 2 },
10353		{ "IDO", 24, 1 },
10354		{ "VFID", 15, 9 },
10355		{ "TC", 12, 3 },
10356		{ "VFVld", 11, 1 },
10357		{ "PF", 8, 3 },
10358		{ "RVF", 0, 8 },
10359	{ "PCIE_FID_VFID", 0x4574, 0 },
10360		{ "Select", 30, 2 },
10361		{ "IDO", 24, 1 },
10362		{ "VFID", 15, 9 },
10363		{ "TC", 12, 3 },
10364		{ "VFVld", 11, 1 },
10365		{ "PF", 8, 3 },
10366		{ "RVF", 0, 8 },
10367	{ "PCIE_FID_VFID", 0x4578, 0 },
10368		{ "Select", 30, 2 },
10369		{ "IDO", 24, 1 },
10370		{ "VFID", 15, 9 },
10371		{ "TC", 12, 3 },
10372		{ "VFVld", 11, 1 },
10373		{ "PF", 8, 3 },
10374		{ "RVF", 0, 8 },
10375	{ "PCIE_FID_VFID", 0x457c, 0 },
10376		{ "Select", 30, 2 },
10377		{ "IDO", 24, 1 },
10378		{ "VFID", 15, 9 },
10379		{ "TC", 12, 3 },
10380		{ "VFVld", 11, 1 },
10381		{ "PF", 8, 3 },
10382		{ "RVF", 0, 8 },
10383	{ "PCIE_FID_VFID", 0x4580, 0 },
10384		{ "Select", 30, 2 },
10385		{ "IDO", 24, 1 },
10386		{ "VFID", 15, 9 },
10387		{ "TC", 12, 3 },
10388		{ "VFVld", 11, 1 },
10389		{ "PF", 8, 3 },
10390		{ "RVF", 0, 8 },
10391	{ "PCIE_FID_VFID", 0x4584, 0 },
10392		{ "Select", 30, 2 },
10393		{ "IDO", 24, 1 },
10394		{ "VFID", 15, 9 },
10395		{ "TC", 12, 3 },
10396		{ "VFVld", 11, 1 },
10397		{ "PF", 8, 3 },
10398		{ "RVF", 0, 8 },
10399	{ "PCIE_FID_VFID", 0x4588, 0 },
10400		{ "Select", 30, 2 },
10401		{ "IDO", 24, 1 },
10402		{ "VFID", 15, 9 },
10403		{ "TC", 12, 3 },
10404		{ "VFVld", 11, 1 },
10405		{ "PF", 8, 3 },
10406		{ "RVF", 0, 8 },
10407	{ "PCIE_FID_VFID", 0x458c, 0 },
10408		{ "Select", 30, 2 },
10409		{ "IDO", 24, 1 },
10410		{ "VFID", 15, 9 },
10411		{ "TC", 12, 3 },
10412		{ "VFVld", 11, 1 },
10413		{ "PF", 8, 3 },
10414		{ "RVF", 0, 8 },
10415	{ "PCIE_FID_VFID", 0x4590, 0 },
10416		{ "Select", 30, 2 },
10417		{ "IDO", 24, 1 },
10418		{ "VFID", 15, 9 },
10419		{ "TC", 12, 3 },
10420		{ "VFVld", 11, 1 },
10421		{ "PF", 8, 3 },
10422		{ "RVF", 0, 8 },
10423	{ "PCIE_FID_VFID", 0x4594, 0 },
10424		{ "Select", 30, 2 },
10425		{ "IDO", 24, 1 },
10426		{ "VFID", 15, 9 },
10427		{ "TC", 12, 3 },
10428		{ "VFVld", 11, 1 },
10429		{ "PF", 8, 3 },
10430		{ "RVF", 0, 8 },
10431	{ "PCIE_FID_VFID", 0x4598, 0 },
10432		{ "Select", 30, 2 },
10433		{ "IDO", 24, 1 },
10434		{ "VFID", 15, 9 },
10435		{ "TC", 12, 3 },
10436		{ "VFVld", 11, 1 },
10437		{ "PF", 8, 3 },
10438		{ "RVF", 0, 8 },
10439	{ "PCIE_FID_VFID", 0x459c, 0 },
10440		{ "Select", 30, 2 },
10441		{ "IDO", 24, 1 },
10442		{ "VFID", 15, 9 },
10443		{ "TC", 12, 3 },
10444		{ "VFVld", 11, 1 },
10445		{ "PF", 8, 3 },
10446		{ "RVF", 0, 8 },
10447	{ "PCIE_FID_VFID", 0x45a0, 0 },
10448		{ "Select", 30, 2 },
10449		{ "IDO", 24, 1 },
10450		{ "VFID", 15, 9 },
10451		{ "TC", 12, 3 },
10452		{ "VFVld", 11, 1 },
10453		{ "PF", 8, 3 },
10454		{ "RVF", 0, 8 },
10455	{ "PCIE_FID_VFID", 0x45a4, 0 },
10456		{ "Select", 30, 2 },
10457		{ "IDO", 24, 1 },
10458		{ "VFID", 15, 9 },
10459		{ "TC", 12, 3 },
10460		{ "VFVld", 11, 1 },
10461		{ "PF", 8, 3 },
10462		{ "RVF", 0, 8 },
10463	{ "PCIE_FID_VFID", 0x45a8, 0 },
10464		{ "Select", 30, 2 },
10465		{ "IDO", 24, 1 },
10466		{ "VFID", 15, 9 },
10467		{ "TC", 12, 3 },
10468		{ "VFVld", 11, 1 },
10469		{ "PF", 8, 3 },
10470		{ "RVF", 0, 8 },
10471	{ "PCIE_FID_VFID", 0x45ac, 0 },
10472		{ "Select", 30, 2 },
10473		{ "IDO", 24, 1 },
10474		{ "VFID", 15, 9 },
10475		{ "TC", 12, 3 },
10476		{ "VFVld", 11, 1 },
10477		{ "PF", 8, 3 },
10478		{ "RVF", 0, 8 },
10479	{ "PCIE_FID_VFID", 0x45b0, 0 },
10480		{ "Select", 30, 2 },
10481		{ "IDO", 24, 1 },
10482		{ "VFID", 15, 9 },
10483		{ "TC", 12, 3 },
10484		{ "VFVld", 11, 1 },
10485		{ "PF", 8, 3 },
10486		{ "RVF", 0, 8 },
10487	{ "PCIE_FID_VFID", 0x45b4, 0 },
10488		{ "Select", 30, 2 },
10489		{ "IDO", 24, 1 },
10490		{ "VFID", 15, 9 },
10491		{ "TC", 12, 3 },
10492		{ "VFVld", 11, 1 },
10493		{ "PF", 8, 3 },
10494		{ "RVF", 0, 8 },
10495	{ "PCIE_FID_VFID", 0x45b8, 0 },
10496		{ "Select", 30, 2 },
10497		{ "IDO", 24, 1 },
10498		{ "VFID", 15, 9 },
10499		{ "TC", 12, 3 },
10500		{ "VFVld", 11, 1 },
10501		{ "PF", 8, 3 },
10502		{ "RVF", 0, 8 },
10503	{ "PCIE_FID_VFID", 0x45bc, 0 },
10504		{ "Select", 30, 2 },
10505		{ "IDO", 24, 1 },
10506		{ "VFID", 15, 9 },
10507		{ "TC", 12, 3 },
10508		{ "VFVld", 11, 1 },
10509		{ "PF", 8, 3 },
10510		{ "RVF", 0, 8 },
10511	{ "PCIE_FID_VFID", 0x45c0, 0 },
10512		{ "Select", 30, 2 },
10513		{ "IDO", 24, 1 },
10514		{ "VFID", 15, 9 },
10515		{ "TC", 12, 3 },
10516		{ "VFVld", 11, 1 },
10517		{ "PF", 8, 3 },
10518		{ "RVF", 0, 8 },
10519	{ "PCIE_FID_VFID", 0x45c4, 0 },
10520		{ "Select", 30, 2 },
10521		{ "IDO", 24, 1 },
10522		{ "VFID", 15, 9 },
10523		{ "TC", 12, 3 },
10524		{ "VFVld", 11, 1 },
10525		{ "PF", 8, 3 },
10526		{ "RVF", 0, 8 },
10527	{ "PCIE_FID_VFID", 0x45c8, 0 },
10528		{ "Select", 30, 2 },
10529		{ "IDO", 24, 1 },
10530		{ "VFID", 15, 9 },
10531		{ "TC", 12, 3 },
10532		{ "VFVld", 11, 1 },
10533		{ "PF", 8, 3 },
10534		{ "RVF", 0, 8 },
10535	{ "PCIE_FID_VFID", 0x45cc, 0 },
10536		{ "Select", 30, 2 },
10537		{ "IDO", 24, 1 },
10538		{ "VFID", 15, 9 },
10539		{ "TC", 12, 3 },
10540		{ "VFVld", 11, 1 },
10541		{ "PF", 8, 3 },
10542		{ "RVF", 0, 8 },
10543	{ "PCIE_FID_VFID", 0x45d0, 0 },
10544		{ "Select", 30, 2 },
10545		{ "IDO", 24, 1 },
10546		{ "VFID", 15, 9 },
10547		{ "TC", 12, 3 },
10548		{ "VFVld", 11, 1 },
10549		{ "PF", 8, 3 },
10550		{ "RVF", 0, 8 },
10551	{ "PCIE_FID_VFID", 0x45d4, 0 },
10552		{ "Select", 30, 2 },
10553		{ "IDO", 24, 1 },
10554		{ "VFID", 15, 9 },
10555		{ "TC", 12, 3 },
10556		{ "VFVld", 11, 1 },
10557		{ "PF", 8, 3 },
10558		{ "RVF", 0, 8 },
10559	{ "PCIE_FID_VFID", 0x45d8, 0 },
10560		{ "Select", 30, 2 },
10561		{ "IDO", 24, 1 },
10562		{ "VFID", 15, 9 },
10563		{ "TC", 12, 3 },
10564		{ "VFVld", 11, 1 },
10565		{ "PF", 8, 3 },
10566		{ "RVF", 0, 8 },
10567	{ "PCIE_FID_VFID", 0x45dc, 0 },
10568		{ "Select", 30, 2 },
10569		{ "IDO", 24, 1 },
10570		{ "VFID", 15, 9 },
10571		{ "TC", 12, 3 },
10572		{ "VFVld", 11, 1 },
10573		{ "PF", 8, 3 },
10574		{ "RVF", 0, 8 },
10575	{ "PCIE_FID_VFID", 0x45e0, 0 },
10576		{ "Select", 30, 2 },
10577		{ "IDO", 24, 1 },
10578		{ "VFID", 15, 9 },
10579		{ "TC", 12, 3 },
10580		{ "VFVld", 11, 1 },
10581		{ "PF", 8, 3 },
10582		{ "RVF", 0, 8 },
10583	{ "PCIE_FID_VFID", 0x45e4, 0 },
10584		{ "Select", 30, 2 },
10585		{ "IDO", 24, 1 },
10586		{ "VFID", 15, 9 },
10587		{ "TC", 12, 3 },
10588		{ "VFVld", 11, 1 },
10589		{ "PF", 8, 3 },
10590		{ "RVF", 0, 8 },
10591	{ "PCIE_FID_VFID", 0x45e8, 0 },
10592		{ "Select", 30, 2 },
10593		{ "IDO", 24, 1 },
10594		{ "VFID", 15, 9 },
10595		{ "TC", 12, 3 },
10596		{ "VFVld", 11, 1 },
10597		{ "PF", 8, 3 },
10598		{ "RVF", 0, 8 },
10599	{ "PCIE_FID_VFID", 0x45ec, 0 },
10600		{ "Select", 30, 2 },
10601		{ "IDO", 24, 1 },
10602		{ "VFID", 15, 9 },
10603		{ "TC", 12, 3 },
10604		{ "VFVld", 11, 1 },
10605		{ "PF", 8, 3 },
10606		{ "RVF", 0, 8 },
10607	{ "PCIE_FID_VFID", 0x45f0, 0 },
10608		{ "Select", 30, 2 },
10609		{ "IDO", 24, 1 },
10610		{ "VFID", 15, 9 },
10611		{ "TC", 12, 3 },
10612		{ "VFVld", 11, 1 },
10613		{ "PF", 8, 3 },
10614		{ "RVF", 0, 8 },
10615	{ "PCIE_FID_VFID", 0x45f4, 0 },
10616		{ "Select", 30, 2 },
10617		{ "IDO", 24, 1 },
10618		{ "VFID", 15, 9 },
10619		{ "TC", 12, 3 },
10620		{ "VFVld", 11, 1 },
10621		{ "PF", 8, 3 },
10622		{ "RVF", 0, 8 },
10623	{ "PCIE_FID_VFID", 0x45f8, 0 },
10624		{ "Select", 30, 2 },
10625		{ "IDO", 24, 1 },
10626		{ "VFID", 15, 9 },
10627		{ "TC", 12, 3 },
10628		{ "VFVld", 11, 1 },
10629		{ "PF", 8, 3 },
10630		{ "RVF", 0, 8 },
10631	{ "PCIE_FID_VFID", 0x45fc, 0 },
10632		{ "Select", 30, 2 },
10633		{ "IDO", 24, 1 },
10634		{ "VFID", 15, 9 },
10635		{ "TC", 12, 3 },
10636		{ "VFVld", 11, 1 },
10637		{ "PF", 8, 3 },
10638		{ "RVF", 0, 8 },
10639	{ "PCIE_FID_VFID", 0x4600, 0 },
10640		{ "Select", 30, 2 },
10641		{ "IDO", 24, 1 },
10642		{ "VFID", 15, 9 },
10643		{ "TC", 12, 3 },
10644		{ "VFVld", 11, 1 },
10645		{ "PF", 8, 3 },
10646		{ "RVF", 0, 8 },
10647	{ "PCIE_FID_VFID", 0x4604, 0 },
10648		{ "Select", 30, 2 },
10649		{ "IDO", 24, 1 },
10650		{ "VFID", 15, 9 },
10651		{ "TC", 12, 3 },
10652		{ "VFVld", 11, 1 },
10653		{ "PF", 8, 3 },
10654		{ "RVF", 0, 8 },
10655	{ "PCIE_FID_VFID", 0x4608, 0 },
10656		{ "Select", 30, 2 },
10657		{ "IDO", 24, 1 },
10658		{ "VFID", 15, 9 },
10659		{ "TC", 12, 3 },
10660		{ "VFVld", 11, 1 },
10661		{ "PF", 8, 3 },
10662		{ "RVF", 0, 8 },
10663	{ "PCIE_FID_VFID", 0x460c, 0 },
10664		{ "Select", 30, 2 },
10665		{ "IDO", 24, 1 },
10666		{ "VFID", 15, 9 },
10667		{ "TC", 12, 3 },
10668		{ "VFVld", 11, 1 },
10669		{ "PF", 8, 3 },
10670		{ "RVF", 0, 8 },
10671	{ "PCIE_FID_VFID", 0x4610, 0 },
10672		{ "Select", 30, 2 },
10673		{ "IDO", 24, 1 },
10674		{ "VFID", 15, 9 },
10675		{ "TC", 12, 3 },
10676		{ "VFVld", 11, 1 },
10677		{ "PF", 8, 3 },
10678		{ "RVF", 0, 8 },
10679	{ "PCIE_FID_VFID", 0x4614, 0 },
10680		{ "Select", 30, 2 },
10681		{ "IDO", 24, 1 },
10682		{ "VFID", 15, 9 },
10683		{ "TC", 12, 3 },
10684		{ "VFVld", 11, 1 },
10685		{ "PF", 8, 3 },
10686		{ "RVF", 0, 8 },
10687	{ "PCIE_FID_VFID", 0x4618, 0 },
10688		{ "Select", 30, 2 },
10689		{ "IDO", 24, 1 },
10690		{ "VFID", 15, 9 },
10691		{ "TC", 12, 3 },
10692		{ "VFVld", 11, 1 },
10693		{ "PF", 8, 3 },
10694		{ "RVF", 0, 8 },
10695	{ "PCIE_FID_VFID", 0x461c, 0 },
10696		{ "Select", 30, 2 },
10697		{ "IDO", 24, 1 },
10698		{ "VFID", 15, 9 },
10699		{ "TC", 12, 3 },
10700		{ "VFVld", 11, 1 },
10701		{ "PF", 8, 3 },
10702		{ "RVF", 0, 8 },
10703	{ "PCIE_FID_VFID", 0x4620, 0 },
10704		{ "Select", 30, 2 },
10705		{ "IDO", 24, 1 },
10706		{ "VFID", 15, 9 },
10707		{ "TC", 12, 3 },
10708		{ "VFVld", 11, 1 },
10709		{ "PF", 8, 3 },
10710		{ "RVF", 0, 8 },
10711	{ "PCIE_FID_VFID", 0x4624, 0 },
10712		{ "Select", 30, 2 },
10713		{ "IDO", 24, 1 },
10714		{ "VFID", 15, 9 },
10715		{ "TC", 12, 3 },
10716		{ "VFVld", 11, 1 },
10717		{ "PF", 8, 3 },
10718		{ "RVF", 0, 8 },
10719	{ "PCIE_FID_VFID", 0x4628, 0 },
10720		{ "Select", 30, 2 },
10721		{ "IDO", 24, 1 },
10722		{ "VFID", 15, 9 },
10723		{ "TC", 12, 3 },
10724		{ "VFVld", 11, 1 },
10725		{ "PF", 8, 3 },
10726		{ "RVF", 0, 8 },
10727	{ "PCIE_FID_VFID", 0x462c, 0 },
10728		{ "Select", 30, 2 },
10729		{ "IDO", 24, 1 },
10730		{ "VFID", 15, 9 },
10731		{ "TC", 12, 3 },
10732		{ "VFVld", 11, 1 },
10733		{ "PF", 8, 3 },
10734		{ "RVF", 0, 8 },
10735	{ "PCIE_FID_VFID", 0x4630, 0 },
10736		{ "Select", 30, 2 },
10737		{ "IDO", 24, 1 },
10738		{ "VFID", 15, 9 },
10739		{ "TC", 12, 3 },
10740		{ "VFVld", 11, 1 },
10741		{ "PF", 8, 3 },
10742		{ "RVF", 0, 8 },
10743	{ "PCIE_FID_VFID", 0x4634, 0 },
10744		{ "Select", 30, 2 },
10745		{ "IDO", 24, 1 },
10746		{ "VFID", 15, 9 },
10747		{ "TC", 12, 3 },
10748		{ "VFVld", 11, 1 },
10749		{ "PF", 8, 3 },
10750		{ "RVF", 0, 8 },
10751	{ "PCIE_FID_VFID", 0x4638, 0 },
10752		{ "Select", 30, 2 },
10753		{ "IDO", 24, 1 },
10754		{ "VFID", 15, 9 },
10755		{ "TC", 12, 3 },
10756		{ "VFVld", 11, 1 },
10757		{ "PF", 8, 3 },
10758		{ "RVF", 0, 8 },
10759	{ "PCIE_FID_VFID", 0x463c, 0 },
10760		{ "Select", 30, 2 },
10761		{ "IDO", 24, 1 },
10762		{ "VFID", 15, 9 },
10763		{ "TC", 12, 3 },
10764		{ "VFVld", 11, 1 },
10765		{ "PF", 8, 3 },
10766		{ "RVF", 0, 8 },
10767	{ "PCIE_FID_VFID", 0x4640, 0 },
10768		{ "Select", 30, 2 },
10769		{ "IDO", 24, 1 },
10770		{ "VFID", 15, 9 },
10771		{ "TC", 12, 3 },
10772		{ "VFVld", 11, 1 },
10773		{ "PF", 8, 3 },
10774		{ "RVF", 0, 8 },
10775	{ "PCIE_FID_VFID", 0x4644, 0 },
10776		{ "Select", 30, 2 },
10777		{ "IDO", 24, 1 },
10778		{ "VFID", 15, 9 },
10779		{ "TC", 12, 3 },
10780		{ "VFVld", 11, 1 },
10781		{ "PF", 8, 3 },
10782		{ "RVF", 0, 8 },
10783	{ "PCIE_FID_VFID", 0x4648, 0 },
10784		{ "Select", 30, 2 },
10785		{ "IDO", 24, 1 },
10786		{ "VFID", 15, 9 },
10787		{ "TC", 12, 3 },
10788		{ "VFVld", 11, 1 },
10789		{ "PF", 8, 3 },
10790		{ "RVF", 0, 8 },
10791	{ "PCIE_FID_VFID", 0x464c, 0 },
10792		{ "Select", 30, 2 },
10793		{ "IDO", 24, 1 },
10794		{ "VFID", 15, 9 },
10795		{ "TC", 12, 3 },
10796		{ "VFVld", 11, 1 },
10797		{ "PF", 8, 3 },
10798		{ "RVF", 0, 8 },
10799	{ "PCIE_FID_VFID", 0x4650, 0 },
10800		{ "Select", 30, 2 },
10801		{ "IDO", 24, 1 },
10802		{ "VFID", 15, 9 },
10803		{ "TC", 12, 3 },
10804		{ "VFVld", 11, 1 },
10805		{ "PF", 8, 3 },
10806		{ "RVF", 0, 8 },
10807	{ "PCIE_FID_VFID", 0x4654, 0 },
10808		{ "Select", 30, 2 },
10809		{ "IDO", 24, 1 },
10810		{ "VFID", 15, 9 },
10811		{ "TC", 12, 3 },
10812		{ "VFVld", 11, 1 },
10813		{ "PF", 8, 3 },
10814		{ "RVF", 0, 8 },
10815	{ "PCIE_FID_VFID", 0x4658, 0 },
10816		{ "Select", 30, 2 },
10817		{ "IDO", 24, 1 },
10818		{ "VFID", 15, 9 },
10819		{ "TC", 12, 3 },
10820		{ "VFVld", 11, 1 },
10821		{ "PF", 8, 3 },
10822		{ "RVF", 0, 8 },
10823	{ "PCIE_FID_VFID", 0x465c, 0 },
10824		{ "Select", 30, 2 },
10825		{ "IDO", 24, 1 },
10826		{ "VFID", 15, 9 },
10827		{ "TC", 12, 3 },
10828		{ "VFVld", 11, 1 },
10829		{ "PF", 8, 3 },
10830		{ "RVF", 0, 8 },
10831	{ "PCIE_FID_VFID", 0x4660, 0 },
10832		{ "Select", 30, 2 },
10833		{ "IDO", 24, 1 },
10834		{ "VFID", 15, 9 },
10835		{ "TC", 12, 3 },
10836		{ "VFVld", 11, 1 },
10837		{ "PF", 8, 3 },
10838		{ "RVF", 0, 8 },
10839	{ "PCIE_FID_VFID", 0x4664, 0 },
10840		{ "Select", 30, 2 },
10841		{ "IDO", 24, 1 },
10842		{ "VFID", 15, 9 },
10843		{ "TC", 12, 3 },
10844		{ "VFVld", 11, 1 },
10845		{ "PF", 8, 3 },
10846		{ "RVF", 0, 8 },
10847	{ "PCIE_FID_VFID", 0x4668, 0 },
10848		{ "Select", 30, 2 },
10849		{ "IDO", 24, 1 },
10850		{ "VFID", 15, 9 },
10851		{ "TC", 12, 3 },
10852		{ "VFVld", 11, 1 },
10853		{ "PF", 8, 3 },
10854		{ "RVF", 0, 8 },
10855	{ "PCIE_FID_VFID", 0x466c, 0 },
10856		{ "Select", 30, 2 },
10857		{ "IDO", 24, 1 },
10858		{ "VFID", 15, 9 },
10859		{ "TC", 12, 3 },
10860		{ "VFVld", 11, 1 },
10861		{ "PF", 8, 3 },
10862		{ "RVF", 0, 8 },
10863	{ "PCIE_FID_VFID", 0x4670, 0 },
10864		{ "Select", 30, 2 },
10865		{ "IDO", 24, 1 },
10866		{ "VFID", 15, 9 },
10867		{ "TC", 12, 3 },
10868		{ "VFVld", 11, 1 },
10869		{ "PF", 8, 3 },
10870		{ "RVF", 0, 8 },
10871	{ "PCIE_FID_VFID", 0x4674, 0 },
10872		{ "Select", 30, 2 },
10873		{ "IDO", 24, 1 },
10874		{ "VFID", 15, 9 },
10875		{ "TC", 12, 3 },
10876		{ "VFVld", 11, 1 },
10877		{ "PF", 8, 3 },
10878		{ "RVF", 0, 8 },
10879	{ "PCIE_FID_VFID", 0x4678, 0 },
10880		{ "Select", 30, 2 },
10881		{ "IDO", 24, 1 },
10882		{ "VFID", 15, 9 },
10883		{ "TC", 12, 3 },
10884		{ "VFVld", 11, 1 },
10885		{ "PF", 8, 3 },
10886		{ "RVF", 0, 8 },
10887	{ "PCIE_FID_VFID", 0x467c, 0 },
10888		{ "Select", 30, 2 },
10889		{ "IDO", 24, 1 },
10890		{ "VFID", 15, 9 },
10891		{ "TC", 12, 3 },
10892		{ "VFVld", 11, 1 },
10893		{ "PF", 8, 3 },
10894		{ "RVF", 0, 8 },
10895	{ "PCIE_FID_VFID", 0x4680, 0 },
10896		{ "Select", 30, 2 },
10897		{ "IDO", 24, 1 },
10898		{ "VFID", 15, 9 },
10899		{ "TC", 12, 3 },
10900		{ "VFVld", 11, 1 },
10901		{ "PF", 8, 3 },
10902		{ "RVF", 0, 8 },
10903	{ "PCIE_FID_VFID", 0x4684, 0 },
10904		{ "Select", 30, 2 },
10905		{ "IDO", 24, 1 },
10906		{ "VFID", 15, 9 },
10907		{ "TC", 12, 3 },
10908		{ "VFVld", 11, 1 },
10909		{ "PF", 8, 3 },
10910		{ "RVF", 0, 8 },
10911	{ "PCIE_FID_VFID", 0x4688, 0 },
10912		{ "Select", 30, 2 },
10913		{ "IDO", 24, 1 },
10914		{ "VFID", 15, 9 },
10915		{ "TC", 12, 3 },
10916		{ "VFVld", 11, 1 },
10917		{ "PF", 8, 3 },
10918		{ "RVF", 0, 8 },
10919	{ "PCIE_FID_VFID", 0x468c, 0 },
10920		{ "Select", 30, 2 },
10921		{ "IDO", 24, 1 },
10922		{ "VFID", 15, 9 },
10923		{ "TC", 12, 3 },
10924		{ "VFVld", 11, 1 },
10925		{ "PF", 8, 3 },
10926		{ "RVF", 0, 8 },
10927	{ "PCIE_FID_VFID", 0x4690, 0 },
10928		{ "Select", 30, 2 },
10929		{ "IDO", 24, 1 },
10930		{ "VFID", 15, 9 },
10931		{ "TC", 12, 3 },
10932		{ "VFVld", 11, 1 },
10933		{ "PF", 8, 3 },
10934		{ "RVF", 0, 8 },
10935	{ "PCIE_FID_VFID", 0x4694, 0 },
10936		{ "Select", 30, 2 },
10937		{ "IDO", 24, 1 },
10938		{ "VFID", 15, 9 },
10939		{ "TC", 12, 3 },
10940		{ "VFVld", 11, 1 },
10941		{ "PF", 8, 3 },
10942		{ "RVF", 0, 8 },
10943	{ "PCIE_FID_VFID", 0x4698, 0 },
10944		{ "Select", 30, 2 },
10945		{ "IDO", 24, 1 },
10946		{ "VFID", 15, 9 },
10947		{ "TC", 12, 3 },
10948		{ "VFVld", 11, 1 },
10949		{ "PF", 8, 3 },
10950		{ "RVF", 0, 8 },
10951	{ "PCIE_FID_VFID", 0x469c, 0 },
10952		{ "Select", 30, 2 },
10953		{ "IDO", 24, 1 },
10954		{ "VFID", 15, 9 },
10955		{ "TC", 12, 3 },
10956		{ "VFVld", 11, 1 },
10957		{ "PF", 8, 3 },
10958		{ "RVF", 0, 8 },
10959	{ "PCIE_FID_VFID", 0x46a0, 0 },
10960		{ "Select", 30, 2 },
10961		{ "IDO", 24, 1 },
10962		{ "VFID", 15, 9 },
10963		{ "TC", 12, 3 },
10964		{ "VFVld", 11, 1 },
10965		{ "PF", 8, 3 },
10966		{ "RVF", 0, 8 },
10967	{ "PCIE_FID_VFID", 0x46a4, 0 },
10968		{ "Select", 30, 2 },
10969		{ "IDO", 24, 1 },
10970		{ "VFID", 15, 9 },
10971		{ "TC", 12, 3 },
10972		{ "VFVld", 11, 1 },
10973		{ "PF", 8, 3 },
10974		{ "RVF", 0, 8 },
10975	{ "PCIE_FID_VFID", 0x46a8, 0 },
10976		{ "Select", 30, 2 },
10977		{ "IDO", 24, 1 },
10978		{ "VFID", 15, 9 },
10979		{ "TC", 12, 3 },
10980		{ "VFVld", 11, 1 },
10981		{ "PF", 8, 3 },
10982		{ "RVF", 0, 8 },
10983	{ "PCIE_FID_VFID", 0x46ac, 0 },
10984		{ "Select", 30, 2 },
10985		{ "IDO", 24, 1 },
10986		{ "VFID", 15, 9 },
10987		{ "TC", 12, 3 },
10988		{ "VFVld", 11, 1 },
10989		{ "PF", 8, 3 },
10990		{ "RVF", 0, 8 },
10991	{ "PCIE_FID_VFID", 0x46b0, 0 },
10992		{ "Select", 30, 2 },
10993		{ "IDO", 24, 1 },
10994		{ "VFID", 15, 9 },
10995		{ "TC", 12, 3 },
10996		{ "VFVld", 11, 1 },
10997		{ "PF", 8, 3 },
10998		{ "RVF", 0, 8 },
10999	{ "PCIE_FID_VFID", 0x46b4, 0 },
11000		{ "Select", 30, 2 },
11001		{ "IDO", 24, 1 },
11002		{ "VFID", 15, 9 },
11003		{ "TC", 12, 3 },
11004		{ "VFVld", 11, 1 },
11005		{ "PF", 8, 3 },
11006		{ "RVF", 0, 8 },
11007	{ "PCIE_FID_VFID", 0x46b8, 0 },
11008		{ "Select", 30, 2 },
11009		{ "IDO", 24, 1 },
11010		{ "VFID", 15, 9 },
11011		{ "TC", 12, 3 },
11012		{ "VFVld", 11, 1 },
11013		{ "PF", 8, 3 },
11014		{ "RVF", 0, 8 },
11015	{ "PCIE_FID_VFID", 0x46bc, 0 },
11016		{ "Select", 30, 2 },
11017		{ "IDO", 24, 1 },
11018		{ "VFID", 15, 9 },
11019		{ "TC", 12, 3 },
11020		{ "VFVld", 11, 1 },
11021		{ "PF", 8, 3 },
11022		{ "RVF", 0, 8 },
11023	{ "PCIE_FID_VFID", 0x46c0, 0 },
11024		{ "Select", 30, 2 },
11025		{ "IDO", 24, 1 },
11026		{ "VFID", 15, 9 },
11027		{ "TC", 12, 3 },
11028		{ "VFVld", 11, 1 },
11029		{ "PF", 8, 3 },
11030		{ "RVF", 0, 8 },
11031	{ "PCIE_FID_VFID", 0x46c4, 0 },
11032		{ "Select", 30, 2 },
11033		{ "IDO", 24, 1 },
11034		{ "VFID", 15, 9 },
11035		{ "TC", 12, 3 },
11036		{ "VFVld", 11, 1 },
11037		{ "PF", 8, 3 },
11038		{ "RVF", 0, 8 },
11039	{ "PCIE_FID_VFID", 0x46c8, 0 },
11040		{ "Select", 30, 2 },
11041		{ "IDO", 24, 1 },
11042		{ "VFID", 15, 9 },
11043		{ "TC", 12, 3 },
11044		{ "VFVld", 11, 1 },
11045		{ "PF", 8, 3 },
11046		{ "RVF", 0, 8 },
11047	{ "PCIE_FID_VFID", 0x46cc, 0 },
11048		{ "Select", 30, 2 },
11049		{ "IDO", 24, 1 },
11050		{ "VFID", 15, 9 },
11051		{ "TC", 12, 3 },
11052		{ "VFVld", 11, 1 },
11053		{ "PF", 8, 3 },
11054		{ "RVF", 0, 8 },
11055	{ "PCIE_FID_VFID", 0x46d0, 0 },
11056		{ "Select", 30, 2 },
11057		{ "IDO", 24, 1 },
11058		{ "VFID", 15, 9 },
11059		{ "TC", 12, 3 },
11060		{ "VFVld", 11, 1 },
11061		{ "PF", 8, 3 },
11062		{ "RVF", 0, 8 },
11063	{ "PCIE_FID_VFID", 0x46d4, 0 },
11064		{ "Select", 30, 2 },
11065		{ "IDO", 24, 1 },
11066		{ "VFID", 15, 9 },
11067		{ "TC", 12, 3 },
11068		{ "VFVld", 11, 1 },
11069		{ "PF", 8, 3 },
11070		{ "RVF", 0, 8 },
11071	{ "PCIE_FID_VFID", 0x46d8, 0 },
11072		{ "Select", 30, 2 },
11073		{ "IDO", 24, 1 },
11074		{ "VFID", 15, 9 },
11075		{ "TC", 12, 3 },
11076		{ "VFVld", 11, 1 },
11077		{ "PF", 8, 3 },
11078		{ "RVF", 0, 8 },
11079	{ "PCIE_FID_VFID", 0x46dc, 0 },
11080		{ "Select", 30, 2 },
11081		{ "IDO", 24, 1 },
11082		{ "VFID", 15, 9 },
11083		{ "TC", 12, 3 },
11084		{ "VFVld", 11, 1 },
11085		{ "PF", 8, 3 },
11086		{ "RVF", 0, 8 },
11087	{ "PCIE_FID_VFID", 0x46e0, 0 },
11088		{ "Select", 30, 2 },
11089		{ "IDO", 24, 1 },
11090		{ "VFID", 15, 9 },
11091		{ "TC", 12, 3 },
11092		{ "VFVld", 11, 1 },
11093		{ "PF", 8, 3 },
11094		{ "RVF", 0, 8 },
11095	{ "PCIE_FID_VFID", 0x46e4, 0 },
11096		{ "Select", 30, 2 },
11097		{ "IDO", 24, 1 },
11098		{ "VFID", 15, 9 },
11099		{ "TC", 12, 3 },
11100		{ "VFVld", 11, 1 },
11101		{ "PF", 8, 3 },
11102		{ "RVF", 0, 8 },
11103	{ "PCIE_FID_VFID", 0x46e8, 0 },
11104		{ "Select", 30, 2 },
11105		{ "IDO", 24, 1 },
11106		{ "VFID", 15, 9 },
11107		{ "TC", 12, 3 },
11108		{ "VFVld", 11, 1 },
11109		{ "PF", 8, 3 },
11110		{ "RVF", 0, 8 },
11111	{ "PCIE_FID_VFID", 0x46ec, 0 },
11112		{ "Select", 30, 2 },
11113		{ "IDO", 24, 1 },
11114		{ "VFID", 15, 9 },
11115		{ "TC", 12, 3 },
11116		{ "VFVld", 11, 1 },
11117		{ "PF", 8, 3 },
11118		{ "RVF", 0, 8 },
11119	{ "PCIE_FID_VFID", 0x46f0, 0 },
11120		{ "Select", 30, 2 },
11121		{ "IDO", 24, 1 },
11122		{ "VFID", 15, 9 },
11123		{ "TC", 12, 3 },
11124		{ "VFVld", 11, 1 },
11125		{ "PF", 8, 3 },
11126		{ "RVF", 0, 8 },
11127	{ "PCIE_FID_VFID", 0x46f4, 0 },
11128		{ "Select", 30, 2 },
11129		{ "IDO", 24, 1 },
11130		{ "VFID", 15, 9 },
11131		{ "TC", 12, 3 },
11132		{ "VFVld", 11, 1 },
11133		{ "PF", 8, 3 },
11134		{ "RVF", 0, 8 },
11135	{ "PCIE_FID_VFID", 0x46f8, 0 },
11136		{ "Select", 30, 2 },
11137		{ "IDO", 24, 1 },
11138		{ "VFID", 15, 9 },
11139		{ "TC", 12, 3 },
11140		{ "VFVld", 11, 1 },
11141		{ "PF", 8, 3 },
11142		{ "RVF", 0, 8 },
11143	{ "PCIE_FID_VFID", 0x46fc, 0 },
11144		{ "Select", 30, 2 },
11145		{ "IDO", 24, 1 },
11146		{ "VFID", 15, 9 },
11147		{ "TC", 12, 3 },
11148		{ "VFVld", 11, 1 },
11149		{ "PF", 8, 3 },
11150		{ "RVF", 0, 8 },
11151	{ "PCIE_FID_VFID", 0x4700, 0 },
11152		{ "Select", 30, 2 },
11153		{ "IDO", 24, 1 },
11154		{ "VFID", 15, 9 },
11155		{ "TC", 12, 3 },
11156		{ "VFVld", 11, 1 },
11157		{ "PF", 8, 3 },
11158		{ "RVF", 0, 8 },
11159	{ "PCIE_FID_VFID", 0x4704, 0 },
11160		{ "Select", 30, 2 },
11161		{ "IDO", 24, 1 },
11162		{ "VFID", 15, 9 },
11163		{ "TC", 12, 3 },
11164		{ "VFVld", 11, 1 },
11165		{ "PF", 8, 3 },
11166		{ "RVF", 0, 8 },
11167	{ "PCIE_FID_VFID", 0x4708, 0 },
11168		{ "Select", 30, 2 },
11169		{ "IDO", 24, 1 },
11170		{ "VFID", 15, 9 },
11171		{ "TC", 12, 3 },
11172		{ "VFVld", 11, 1 },
11173		{ "PF", 8, 3 },
11174		{ "RVF", 0, 8 },
11175	{ "PCIE_FID_VFID", 0x470c, 0 },
11176		{ "Select", 30, 2 },
11177		{ "IDO", 24, 1 },
11178		{ "VFID", 15, 9 },
11179		{ "TC", 12, 3 },
11180		{ "VFVld", 11, 1 },
11181		{ "PF", 8, 3 },
11182		{ "RVF", 0, 8 },
11183	{ "PCIE_FID_VFID", 0x4710, 0 },
11184		{ "Select", 30, 2 },
11185		{ "IDO", 24, 1 },
11186		{ "VFID", 15, 9 },
11187		{ "TC", 12, 3 },
11188		{ "VFVld", 11, 1 },
11189		{ "PF", 8, 3 },
11190		{ "RVF", 0, 8 },
11191	{ "PCIE_FID_VFID", 0x4714, 0 },
11192		{ "Select", 30, 2 },
11193		{ "IDO", 24, 1 },
11194		{ "VFID", 15, 9 },
11195		{ "TC", 12, 3 },
11196		{ "VFVld", 11, 1 },
11197		{ "PF", 8, 3 },
11198		{ "RVF", 0, 8 },
11199	{ "PCIE_FID_VFID", 0x4718, 0 },
11200		{ "Select", 30, 2 },
11201		{ "IDO", 24, 1 },
11202		{ "VFID", 15, 9 },
11203		{ "TC", 12, 3 },
11204		{ "VFVld", 11, 1 },
11205		{ "PF", 8, 3 },
11206		{ "RVF", 0, 8 },
11207	{ "PCIE_FID_VFID", 0x471c, 0 },
11208		{ "Select", 30, 2 },
11209		{ "IDO", 24, 1 },
11210		{ "VFID", 15, 9 },
11211		{ "TC", 12, 3 },
11212		{ "VFVld", 11, 1 },
11213		{ "PF", 8, 3 },
11214		{ "RVF", 0, 8 },
11215	{ "PCIE_FID_VFID", 0x4720, 0 },
11216		{ "Select", 30, 2 },
11217		{ "IDO", 24, 1 },
11218		{ "VFID", 15, 9 },
11219		{ "TC", 12, 3 },
11220		{ "VFVld", 11, 1 },
11221		{ "PF", 8, 3 },
11222		{ "RVF", 0, 8 },
11223	{ "PCIE_FID_VFID", 0x4724, 0 },
11224		{ "Select", 30, 2 },
11225		{ "IDO", 24, 1 },
11226		{ "VFID", 15, 9 },
11227		{ "TC", 12, 3 },
11228		{ "VFVld", 11, 1 },
11229		{ "PF", 8, 3 },
11230		{ "RVF", 0, 8 },
11231	{ "PCIE_FID_VFID", 0x4728, 0 },
11232		{ "Select", 30, 2 },
11233		{ "IDO", 24, 1 },
11234		{ "VFID", 15, 9 },
11235		{ "TC", 12, 3 },
11236		{ "VFVld", 11, 1 },
11237		{ "PF", 8, 3 },
11238		{ "RVF", 0, 8 },
11239	{ "PCIE_FID_VFID", 0x472c, 0 },
11240		{ "Select", 30, 2 },
11241		{ "IDO", 24, 1 },
11242		{ "VFID", 15, 9 },
11243		{ "TC", 12, 3 },
11244		{ "VFVld", 11, 1 },
11245		{ "PF", 8, 3 },
11246		{ "RVF", 0, 8 },
11247	{ "PCIE_FID_VFID", 0x4730, 0 },
11248		{ "Select", 30, 2 },
11249		{ "IDO", 24, 1 },
11250		{ "VFID", 15, 9 },
11251		{ "TC", 12, 3 },
11252		{ "VFVld", 11, 1 },
11253		{ "PF", 8, 3 },
11254		{ "RVF", 0, 8 },
11255	{ "PCIE_FID_VFID", 0x4734, 0 },
11256		{ "Select", 30, 2 },
11257		{ "IDO", 24, 1 },
11258		{ "VFID", 15, 9 },
11259		{ "TC", 12, 3 },
11260		{ "VFVld", 11, 1 },
11261		{ "PF", 8, 3 },
11262		{ "RVF", 0, 8 },
11263	{ "PCIE_FID_VFID", 0x4738, 0 },
11264		{ "Select", 30, 2 },
11265		{ "IDO", 24, 1 },
11266		{ "VFID", 15, 9 },
11267		{ "TC", 12, 3 },
11268		{ "VFVld", 11, 1 },
11269		{ "PF", 8, 3 },
11270		{ "RVF", 0, 8 },
11271	{ "PCIE_FID_VFID", 0x473c, 0 },
11272		{ "Select", 30, 2 },
11273		{ "IDO", 24, 1 },
11274		{ "VFID", 15, 9 },
11275		{ "TC", 12, 3 },
11276		{ "VFVld", 11, 1 },
11277		{ "PF", 8, 3 },
11278		{ "RVF", 0, 8 },
11279	{ "PCIE_FID_VFID", 0x4740, 0 },
11280		{ "Select", 30, 2 },
11281		{ "IDO", 24, 1 },
11282		{ "VFID", 15, 9 },
11283		{ "TC", 12, 3 },
11284		{ "VFVld", 11, 1 },
11285		{ "PF", 8, 3 },
11286		{ "RVF", 0, 8 },
11287	{ "PCIE_FID_VFID", 0x4744, 0 },
11288		{ "Select", 30, 2 },
11289		{ "IDO", 24, 1 },
11290		{ "VFID", 15, 9 },
11291		{ "TC", 12, 3 },
11292		{ "VFVld", 11, 1 },
11293		{ "PF", 8, 3 },
11294		{ "RVF", 0, 8 },
11295	{ "PCIE_FID_VFID", 0x4748, 0 },
11296		{ "Select", 30, 2 },
11297		{ "IDO", 24, 1 },
11298		{ "VFID", 15, 9 },
11299		{ "TC", 12, 3 },
11300		{ "VFVld", 11, 1 },
11301		{ "PF", 8, 3 },
11302		{ "RVF", 0, 8 },
11303	{ "PCIE_FID_VFID", 0x474c, 0 },
11304		{ "Select", 30, 2 },
11305		{ "IDO", 24, 1 },
11306		{ "VFID", 15, 9 },
11307		{ "TC", 12, 3 },
11308		{ "VFVld", 11, 1 },
11309		{ "PF", 8, 3 },
11310		{ "RVF", 0, 8 },
11311	{ "PCIE_FID_VFID", 0x4750, 0 },
11312		{ "Select", 30, 2 },
11313		{ "IDO", 24, 1 },
11314		{ "VFID", 15, 9 },
11315		{ "TC", 12, 3 },
11316		{ "VFVld", 11, 1 },
11317		{ "PF", 8, 3 },
11318		{ "RVF", 0, 8 },
11319	{ "PCIE_FID_VFID", 0x4754, 0 },
11320		{ "Select", 30, 2 },
11321		{ "IDO", 24, 1 },
11322		{ "VFID", 15, 9 },
11323		{ "TC", 12, 3 },
11324		{ "VFVld", 11, 1 },
11325		{ "PF", 8, 3 },
11326		{ "RVF", 0, 8 },
11327	{ "PCIE_FID_VFID", 0x4758, 0 },
11328		{ "Select", 30, 2 },
11329		{ "IDO", 24, 1 },
11330		{ "VFID", 15, 9 },
11331		{ "TC", 12, 3 },
11332		{ "VFVld", 11, 1 },
11333		{ "PF", 8, 3 },
11334		{ "RVF", 0, 8 },
11335	{ "PCIE_FID_VFID", 0x475c, 0 },
11336		{ "Select", 30, 2 },
11337		{ "IDO", 24, 1 },
11338		{ "VFID", 15, 9 },
11339		{ "TC", 12, 3 },
11340		{ "VFVld", 11, 1 },
11341		{ "PF", 8, 3 },
11342		{ "RVF", 0, 8 },
11343	{ "PCIE_FID_VFID", 0x4760, 0 },
11344		{ "Select", 30, 2 },
11345		{ "IDO", 24, 1 },
11346		{ "VFID", 15, 9 },
11347		{ "TC", 12, 3 },
11348		{ "VFVld", 11, 1 },
11349		{ "PF", 8, 3 },
11350		{ "RVF", 0, 8 },
11351	{ "PCIE_FID_VFID", 0x4764, 0 },
11352		{ "Select", 30, 2 },
11353		{ "IDO", 24, 1 },
11354		{ "VFID", 15, 9 },
11355		{ "TC", 12, 3 },
11356		{ "VFVld", 11, 1 },
11357		{ "PF", 8, 3 },
11358		{ "RVF", 0, 8 },
11359	{ "PCIE_FID_VFID", 0x4768, 0 },
11360		{ "Select", 30, 2 },
11361		{ "IDO", 24, 1 },
11362		{ "VFID", 15, 9 },
11363		{ "TC", 12, 3 },
11364		{ "VFVld", 11, 1 },
11365		{ "PF", 8, 3 },
11366		{ "RVF", 0, 8 },
11367	{ "PCIE_FID_VFID", 0x476c, 0 },
11368		{ "Select", 30, 2 },
11369		{ "IDO", 24, 1 },
11370		{ "VFID", 15, 9 },
11371		{ "TC", 12, 3 },
11372		{ "VFVld", 11, 1 },
11373		{ "PF", 8, 3 },
11374		{ "RVF", 0, 8 },
11375	{ "PCIE_FID_VFID", 0x4770, 0 },
11376		{ "Select", 30, 2 },
11377		{ "IDO", 24, 1 },
11378		{ "VFID", 15, 9 },
11379		{ "TC", 12, 3 },
11380		{ "VFVld", 11, 1 },
11381		{ "PF", 8, 3 },
11382		{ "RVF", 0, 8 },
11383	{ "PCIE_FID_VFID", 0x4774, 0 },
11384		{ "Select", 30, 2 },
11385		{ "IDO", 24, 1 },
11386		{ "VFID", 15, 9 },
11387		{ "TC", 12, 3 },
11388		{ "VFVld", 11, 1 },
11389		{ "PF", 8, 3 },
11390		{ "RVF", 0, 8 },
11391	{ "PCIE_FID_VFID", 0x4778, 0 },
11392		{ "Select", 30, 2 },
11393		{ "IDO", 24, 1 },
11394		{ "VFID", 15, 9 },
11395		{ "TC", 12, 3 },
11396		{ "VFVld", 11, 1 },
11397		{ "PF", 8, 3 },
11398		{ "RVF", 0, 8 },
11399	{ "PCIE_FID_VFID", 0x477c, 0 },
11400		{ "Select", 30, 2 },
11401		{ "IDO", 24, 1 },
11402		{ "VFID", 15, 9 },
11403		{ "TC", 12, 3 },
11404		{ "VFVld", 11, 1 },
11405		{ "PF", 8, 3 },
11406		{ "RVF", 0, 8 },
11407	{ "PCIE_FID_VFID", 0x4780, 0 },
11408		{ "Select", 30, 2 },
11409		{ "IDO", 24, 1 },
11410		{ "VFID", 15, 9 },
11411		{ "TC", 12, 3 },
11412		{ "VFVld", 11, 1 },
11413		{ "PF", 8, 3 },
11414		{ "RVF", 0, 8 },
11415	{ "PCIE_FID_VFID", 0x4784, 0 },
11416		{ "Select", 30, 2 },
11417		{ "IDO", 24, 1 },
11418		{ "VFID", 15, 9 },
11419		{ "TC", 12, 3 },
11420		{ "VFVld", 11, 1 },
11421		{ "PF", 8, 3 },
11422		{ "RVF", 0, 8 },
11423	{ "PCIE_FID_VFID", 0x4788, 0 },
11424		{ "Select", 30, 2 },
11425		{ "IDO", 24, 1 },
11426		{ "VFID", 15, 9 },
11427		{ "TC", 12, 3 },
11428		{ "VFVld", 11, 1 },
11429		{ "PF", 8, 3 },
11430		{ "RVF", 0, 8 },
11431	{ "PCIE_FID_VFID", 0x478c, 0 },
11432		{ "Select", 30, 2 },
11433		{ "IDO", 24, 1 },
11434		{ "VFID", 15, 9 },
11435		{ "TC", 12, 3 },
11436		{ "VFVld", 11, 1 },
11437		{ "PF", 8, 3 },
11438		{ "RVF", 0, 8 },
11439	{ "PCIE_FID_VFID", 0x4790, 0 },
11440		{ "Select", 30, 2 },
11441		{ "IDO", 24, 1 },
11442		{ "VFID", 15, 9 },
11443		{ "TC", 12, 3 },
11444		{ "VFVld", 11, 1 },
11445		{ "PF", 8, 3 },
11446		{ "RVF", 0, 8 },
11447	{ "PCIE_FID_VFID", 0x4794, 0 },
11448		{ "Select", 30, 2 },
11449		{ "IDO", 24, 1 },
11450		{ "VFID", 15, 9 },
11451		{ "TC", 12, 3 },
11452		{ "VFVld", 11, 1 },
11453		{ "PF", 8, 3 },
11454		{ "RVF", 0, 8 },
11455	{ "PCIE_FID_VFID", 0x4798, 0 },
11456		{ "Select", 30, 2 },
11457		{ "IDO", 24, 1 },
11458		{ "VFID", 15, 9 },
11459		{ "TC", 12, 3 },
11460		{ "VFVld", 11, 1 },
11461		{ "PF", 8, 3 },
11462		{ "RVF", 0, 8 },
11463	{ "PCIE_FID_VFID", 0x479c, 0 },
11464		{ "Select", 30, 2 },
11465		{ "IDO", 24, 1 },
11466		{ "VFID", 15, 9 },
11467		{ "TC", 12, 3 },
11468		{ "VFVld", 11, 1 },
11469		{ "PF", 8, 3 },
11470		{ "RVF", 0, 8 },
11471	{ "PCIE_FID_VFID", 0x47a0, 0 },
11472		{ "Select", 30, 2 },
11473		{ "IDO", 24, 1 },
11474		{ "VFID", 15, 9 },
11475		{ "TC", 12, 3 },
11476		{ "VFVld", 11, 1 },
11477		{ "PF", 8, 3 },
11478		{ "RVF", 0, 8 },
11479	{ "PCIE_FID_VFID", 0x47a4, 0 },
11480		{ "Select", 30, 2 },
11481		{ "IDO", 24, 1 },
11482		{ "VFID", 15, 9 },
11483		{ "TC", 12, 3 },
11484		{ "VFVld", 11, 1 },
11485		{ "PF", 8, 3 },
11486		{ "RVF", 0, 8 },
11487	{ "PCIE_FID_VFID", 0x47a8, 0 },
11488		{ "Select", 30, 2 },
11489		{ "IDO", 24, 1 },
11490		{ "VFID", 15, 9 },
11491		{ "TC", 12, 3 },
11492		{ "VFVld", 11, 1 },
11493		{ "PF", 8, 3 },
11494		{ "RVF", 0, 8 },
11495	{ "PCIE_FID_VFID", 0x47ac, 0 },
11496		{ "Select", 30, 2 },
11497		{ "IDO", 24, 1 },
11498		{ "VFID", 15, 9 },
11499		{ "TC", 12, 3 },
11500		{ "VFVld", 11, 1 },
11501		{ "PF", 8, 3 },
11502		{ "RVF", 0, 8 },
11503	{ "PCIE_FID_VFID", 0x47b0, 0 },
11504		{ "Select", 30, 2 },
11505		{ "IDO", 24, 1 },
11506		{ "VFID", 15, 9 },
11507		{ "TC", 12, 3 },
11508		{ "VFVld", 11, 1 },
11509		{ "PF", 8, 3 },
11510		{ "RVF", 0, 8 },
11511	{ "PCIE_FID_VFID", 0x47b4, 0 },
11512		{ "Select", 30, 2 },
11513		{ "IDO", 24, 1 },
11514		{ "VFID", 15, 9 },
11515		{ "TC", 12, 3 },
11516		{ "VFVld", 11, 1 },
11517		{ "PF", 8, 3 },
11518		{ "RVF", 0, 8 },
11519	{ "PCIE_FID_VFID", 0x47b8, 0 },
11520		{ "Select", 30, 2 },
11521		{ "IDO", 24, 1 },
11522		{ "VFID", 15, 9 },
11523		{ "TC", 12, 3 },
11524		{ "VFVld", 11, 1 },
11525		{ "PF", 8, 3 },
11526		{ "RVF", 0, 8 },
11527	{ "PCIE_FID_VFID", 0x47bc, 0 },
11528		{ "Select", 30, 2 },
11529		{ "IDO", 24, 1 },
11530		{ "VFID", 15, 9 },
11531		{ "TC", 12, 3 },
11532		{ "VFVld", 11, 1 },
11533		{ "PF", 8, 3 },
11534		{ "RVF", 0, 8 },
11535	{ "PCIE_FID_VFID", 0x47c0, 0 },
11536		{ "Select", 30, 2 },
11537		{ "IDO", 24, 1 },
11538		{ "VFID", 15, 9 },
11539		{ "TC", 12, 3 },
11540		{ "VFVld", 11, 1 },
11541		{ "PF", 8, 3 },
11542		{ "RVF", 0, 8 },
11543	{ "PCIE_FID_VFID", 0x47c4, 0 },
11544		{ "Select", 30, 2 },
11545		{ "IDO", 24, 1 },
11546		{ "VFID", 15, 9 },
11547		{ "TC", 12, 3 },
11548		{ "VFVld", 11, 1 },
11549		{ "PF", 8, 3 },
11550		{ "RVF", 0, 8 },
11551	{ "PCIE_FID_VFID", 0x47c8, 0 },
11552		{ "Select", 30, 2 },
11553		{ "IDO", 24, 1 },
11554		{ "VFID", 15, 9 },
11555		{ "TC", 12, 3 },
11556		{ "VFVld", 11, 1 },
11557		{ "PF", 8, 3 },
11558		{ "RVF", 0, 8 },
11559	{ "PCIE_FID_VFID", 0x47cc, 0 },
11560		{ "Select", 30, 2 },
11561		{ "IDO", 24, 1 },
11562		{ "VFID", 15, 9 },
11563		{ "TC", 12, 3 },
11564		{ "VFVld", 11, 1 },
11565		{ "PF", 8, 3 },
11566		{ "RVF", 0, 8 },
11567	{ "PCIE_FID_VFID", 0x47d0, 0 },
11568		{ "Select", 30, 2 },
11569		{ "IDO", 24, 1 },
11570		{ "VFID", 15, 9 },
11571		{ "TC", 12, 3 },
11572		{ "VFVld", 11, 1 },
11573		{ "PF", 8, 3 },
11574		{ "RVF", 0, 8 },
11575	{ "PCIE_FID_VFID", 0x47d4, 0 },
11576		{ "Select", 30, 2 },
11577		{ "IDO", 24, 1 },
11578		{ "VFID", 15, 9 },
11579		{ "TC", 12, 3 },
11580		{ "VFVld", 11, 1 },
11581		{ "PF", 8, 3 },
11582		{ "RVF", 0, 8 },
11583	{ "PCIE_FID_VFID", 0x47d8, 0 },
11584		{ "Select", 30, 2 },
11585		{ "IDO", 24, 1 },
11586		{ "VFID", 15, 9 },
11587		{ "TC", 12, 3 },
11588		{ "VFVld", 11, 1 },
11589		{ "PF", 8, 3 },
11590		{ "RVF", 0, 8 },
11591	{ "PCIE_FID_VFID", 0x47dc, 0 },
11592		{ "Select", 30, 2 },
11593		{ "IDO", 24, 1 },
11594		{ "VFID", 15, 9 },
11595		{ "TC", 12, 3 },
11596		{ "VFVld", 11, 1 },
11597		{ "PF", 8, 3 },
11598		{ "RVF", 0, 8 },
11599	{ "PCIE_FID_VFID", 0x47e0, 0 },
11600		{ "Select", 30, 2 },
11601		{ "IDO", 24, 1 },
11602		{ "VFID", 15, 9 },
11603		{ "TC", 12, 3 },
11604		{ "VFVld", 11, 1 },
11605		{ "PF", 8, 3 },
11606		{ "RVF", 0, 8 },
11607	{ "PCIE_FID_VFID", 0x47e4, 0 },
11608		{ "Select", 30, 2 },
11609		{ "IDO", 24, 1 },
11610		{ "VFID", 15, 9 },
11611		{ "TC", 12, 3 },
11612		{ "VFVld", 11, 1 },
11613		{ "PF", 8, 3 },
11614		{ "RVF", 0, 8 },
11615	{ "PCIE_FID_VFID", 0x47e8, 0 },
11616		{ "Select", 30, 2 },
11617		{ "IDO", 24, 1 },
11618		{ "VFID", 15, 9 },
11619		{ "TC", 12, 3 },
11620		{ "VFVld", 11, 1 },
11621		{ "PF", 8, 3 },
11622		{ "RVF", 0, 8 },
11623	{ "PCIE_FID_VFID", 0x47ec, 0 },
11624		{ "Select", 30, 2 },
11625		{ "IDO", 24, 1 },
11626		{ "VFID", 15, 9 },
11627		{ "TC", 12, 3 },
11628		{ "VFVld", 11, 1 },
11629		{ "PF", 8, 3 },
11630		{ "RVF", 0, 8 },
11631	{ "PCIE_FID_VFID", 0x47f0, 0 },
11632		{ "Select", 30, 2 },
11633		{ "IDO", 24, 1 },
11634		{ "VFID", 15, 9 },
11635		{ "TC", 12, 3 },
11636		{ "VFVld", 11, 1 },
11637		{ "PF", 8, 3 },
11638		{ "RVF", 0, 8 },
11639	{ "PCIE_FID_VFID", 0x47f4, 0 },
11640		{ "Select", 30, 2 },
11641		{ "IDO", 24, 1 },
11642		{ "VFID", 15, 9 },
11643		{ "TC", 12, 3 },
11644		{ "VFVld", 11, 1 },
11645		{ "PF", 8, 3 },
11646		{ "RVF", 0, 8 },
11647	{ "PCIE_FID_VFID", 0x47f8, 0 },
11648		{ "Select", 30, 2 },
11649		{ "IDO", 24, 1 },
11650		{ "VFID", 15, 9 },
11651		{ "TC", 12, 3 },
11652		{ "VFVld", 11, 1 },
11653		{ "PF", 8, 3 },
11654		{ "RVF", 0, 8 },
11655	{ "PCIE_FID_VFID", 0x47fc, 0 },
11656		{ "Select", 30, 2 },
11657		{ "IDO", 24, 1 },
11658		{ "VFID", 15, 9 },
11659		{ "TC", 12, 3 },
11660		{ "VFVld", 11, 1 },
11661		{ "PF", 8, 3 },
11662		{ "RVF", 0, 8 },
11663	{ "PCIE_FID_VFID", 0x4800, 0 },
11664		{ "Select", 30, 2 },
11665		{ "IDO", 24, 1 },
11666		{ "VFID", 15, 9 },
11667		{ "TC", 12, 3 },
11668		{ "VFVld", 11, 1 },
11669		{ "PF", 8, 3 },
11670		{ "RVF", 0, 8 },
11671	{ "PCIE_FID_VFID", 0x4804, 0 },
11672		{ "Select", 30, 2 },
11673		{ "IDO", 24, 1 },
11674		{ "VFID", 15, 9 },
11675		{ "TC", 12, 3 },
11676		{ "VFVld", 11, 1 },
11677		{ "PF", 8, 3 },
11678		{ "RVF", 0, 8 },
11679	{ "PCIE_FID_VFID", 0x4808, 0 },
11680		{ "Select", 30, 2 },
11681		{ "IDO", 24, 1 },
11682		{ "VFID", 15, 9 },
11683		{ "TC", 12, 3 },
11684		{ "VFVld", 11, 1 },
11685		{ "PF", 8, 3 },
11686		{ "RVF", 0, 8 },
11687	{ "PCIE_FID_VFID", 0x480c, 0 },
11688		{ "Select", 30, 2 },
11689		{ "IDO", 24, 1 },
11690		{ "VFID", 15, 9 },
11691		{ "TC", 12, 3 },
11692		{ "VFVld", 11, 1 },
11693		{ "PF", 8, 3 },
11694		{ "RVF", 0, 8 },
11695	{ "PCIE_FID_VFID", 0x4810, 0 },
11696		{ "Select", 30, 2 },
11697		{ "IDO", 24, 1 },
11698		{ "VFID", 15, 9 },
11699		{ "TC", 12, 3 },
11700		{ "VFVld", 11, 1 },
11701		{ "PF", 8, 3 },
11702		{ "RVF", 0, 8 },
11703	{ "PCIE_FID_VFID", 0x4814, 0 },
11704		{ "Select", 30, 2 },
11705		{ "IDO", 24, 1 },
11706		{ "VFID", 15, 9 },
11707		{ "TC", 12, 3 },
11708		{ "VFVld", 11, 1 },
11709		{ "PF", 8, 3 },
11710		{ "RVF", 0, 8 },
11711	{ "PCIE_FID_VFID", 0x4818, 0 },
11712		{ "Select", 30, 2 },
11713		{ "IDO", 24, 1 },
11714		{ "VFID", 15, 9 },
11715		{ "TC", 12, 3 },
11716		{ "VFVld", 11, 1 },
11717		{ "PF", 8, 3 },
11718		{ "RVF", 0, 8 },
11719	{ "PCIE_FID_VFID", 0x481c, 0 },
11720		{ "Select", 30, 2 },
11721		{ "IDO", 24, 1 },
11722		{ "VFID", 15, 9 },
11723		{ "TC", 12, 3 },
11724		{ "VFVld", 11, 1 },
11725		{ "PF", 8, 3 },
11726		{ "RVF", 0, 8 },
11727	{ "PCIE_FID_VFID", 0x4820, 0 },
11728		{ "Select", 30, 2 },
11729		{ "IDO", 24, 1 },
11730		{ "VFID", 15, 9 },
11731		{ "TC", 12, 3 },
11732		{ "VFVld", 11, 1 },
11733		{ "PF", 8, 3 },
11734		{ "RVF", 0, 8 },
11735	{ "PCIE_FID_VFID", 0x4824, 0 },
11736		{ "Select", 30, 2 },
11737		{ "IDO", 24, 1 },
11738		{ "VFID", 15, 9 },
11739		{ "TC", 12, 3 },
11740		{ "VFVld", 11, 1 },
11741		{ "PF", 8, 3 },
11742		{ "RVF", 0, 8 },
11743	{ "PCIE_FID_VFID", 0x4828, 0 },
11744		{ "Select", 30, 2 },
11745		{ "IDO", 24, 1 },
11746		{ "VFID", 15, 9 },
11747		{ "TC", 12, 3 },
11748		{ "VFVld", 11, 1 },
11749		{ "PF", 8, 3 },
11750		{ "RVF", 0, 8 },
11751	{ "PCIE_FID_VFID", 0x482c, 0 },
11752		{ "Select", 30, 2 },
11753		{ "IDO", 24, 1 },
11754		{ "VFID", 15, 9 },
11755		{ "TC", 12, 3 },
11756		{ "VFVld", 11, 1 },
11757		{ "PF", 8, 3 },
11758		{ "RVF", 0, 8 },
11759	{ "PCIE_FID_VFID", 0x4830, 0 },
11760		{ "Select", 30, 2 },
11761		{ "IDO", 24, 1 },
11762		{ "VFID", 15, 9 },
11763		{ "TC", 12, 3 },
11764		{ "VFVld", 11, 1 },
11765		{ "PF", 8, 3 },
11766		{ "RVF", 0, 8 },
11767	{ "PCIE_FID_VFID", 0x4834, 0 },
11768		{ "Select", 30, 2 },
11769		{ "IDO", 24, 1 },
11770		{ "VFID", 15, 9 },
11771		{ "TC", 12, 3 },
11772		{ "VFVld", 11, 1 },
11773		{ "PF", 8, 3 },
11774		{ "RVF", 0, 8 },
11775	{ "PCIE_FID_VFID", 0x4838, 0 },
11776		{ "Select", 30, 2 },
11777		{ "IDO", 24, 1 },
11778		{ "VFID", 15, 9 },
11779		{ "TC", 12, 3 },
11780		{ "VFVld", 11, 1 },
11781		{ "PF", 8, 3 },
11782		{ "RVF", 0, 8 },
11783	{ "PCIE_FID_VFID", 0x483c, 0 },
11784		{ "Select", 30, 2 },
11785		{ "IDO", 24, 1 },
11786		{ "VFID", 15, 9 },
11787		{ "TC", 12, 3 },
11788		{ "VFVld", 11, 1 },
11789		{ "PF", 8, 3 },
11790		{ "RVF", 0, 8 },
11791	{ "PCIE_FID_VFID", 0x4840, 0 },
11792		{ "Select", 30, 2 },
11793		{ "IDO", 24, 1 },
11794		{ "VFID", 15, 9 },
11795		{ "TC", 12, 3 },
11796		{ "VFVld", 11, 1 },
11797		{ "PF", 8, 3 },
11798		{ "RVF", 0, 8 },
11799	{ "PCIE_FID_VFID", 0x4844, 0 },
11800		{ "Select", 30, 2 },
11801		{ "IDO", 24, 1 },
11802		{ "VFID", 15, 9 },
11803		{ "TC", 12, 3 },
11804		{ "VFVld", 11, 1 },
11805		{ "PF", 8, 3 },
11806		{ "RVF", 0, 8 },
11807	{ "PCIE_FID_VFID", 0x4848, 0 },
11808		{ "Select", 30, 2 },
11809		{ "IDO", 24, 1 },
11810		{ "VFID", 15, 9 },
11811		{ "TC", 12, 3 },
11812		{ "VFVld", 11, 1 },
11813		{ "PF", 8, 3 },
11814		{ "RVF", 0, 8 },
11815	{ "PCIE_FID_VFID", 0x484c, 0 },
11816		{ "Select", 30, 2 },
11817		{ "IDO", 24, 1 },
11818		{ "VFID", 15, 9 },
11819		{ "TC", 12, 3 },
11820		{ "VFVld", 11, 1 },
11821		{ "PF", 8, 3 },
11822		{ "RVF", 0, 8 },
11823	{ "PCIE_FID_VFID", 0x4850, 0 },
11824		{ "Select", 30, 2 },
11825		{ "IDO", 24, 1 },
11826		{ "VFID", 15, 9 },
11827		{ "TC", 12, 3 },
11828		{ "VFVld", 11, 1 },
11829		{ "PF", 8, 3 },
11830		{ "RVF", 0, 8 },
11831	{ "PCIE_FID_VFID", 0x4854, 0 },
11832		{ "Select", 30, 2 },
11833		{ "IDO", 24, 1 },
11834		{ "VFID", 15, 9 },
11835		{ "TC", 12, 3 },
11836		{ "VFVld", 11, 1 },
11837		{ "PF", 8, 3 },
11838		{ "RVF", 0, 8 },
11839	{ "PCIE_FID_VFID", 0x4858, 0 },
11840		{ "Select", 30, 2 },
11841		{ "IDO", 24, 1 },
11842		{ "VFID", 15, 9 },
11843		{ "TC", 12, 3 },
11844		{ "VFVld", 11, 1 },
11845		{ "PF", 8, 3 },
11846		{ "RVF", 0, 8 },
11847	{ "PCIE_FID_VFID", 0x485c, 0 },
11848		{ "Select", 30, 2 },
11849		{ "IDO", 24, 1 },
11850		{ "VFID", 15, 9 },
11851		{ "TC", 12, 3 },
11852		{ "VFVld", 11, 1 },
11853		{ "PF", 8, 3 },
11854		{ "RVF", 0, 8 },
11855	{ "PCIE_FID_VFID", 0x4860, 0 },
11856		{ "Select", 30, 2 },
11857		{ "IDO", 24, 1 },
11858		{ "VFID", 15, 9 },
11859		{ "TC", 12, 3 },
11860		{ "VFVld", 11, 1 },
11861		{ "PF", 8, 3 },
11862		{ "RVF", 0, 8 },
11863	{ "PCIE_FID_VFID", 0x4864, 0 },
11864		{ "Select", 30, 2 },
11865		{ "IDO", 24, 1 },
11866		{ "VFID", 15, 9 },
11867		{ "TC", 12, 3 },
11868		{ "VFVld", 11, 1 },
11869		{ "PF", 8, 3 },
11870		{ "RVF", 0, 8 },
11871	{ "PCIE_FID_VFID", 0x4868, 0 },
11872		{ "Select", 30, 2 },
11873		{ "IDO", 24, 1 },
11874		{ "VFID", 15, 9 },
11875		{ "TC", 12, 3 },
11876		{ "VFVld", 11, 1 },
11877		{ "PF", 8, 3 },
11878		{ "RVF", 0, 8 },
11879	{ "PCIE_FID_VFID", 0x486c, 0 },
11880		{ "Select", 30, 2 },
11881		{ "IDO", 24, 1 },
11882		{ "VFID", 15, 9 },
11883		{ "TC", 12, 3 },
11884		{ "VFVld", 11, 1 },
11885		{ "PF", 8, 3 },
11886		{ "RVF", 0, 8 },
11887	{ "PCIE_FID_VFID", 0x4870, 0 },
11888		{ "Select", 30, 2 },
11889		{ "IDO", 24, 1 },
11890		{ "VFID", 15, 9 },
11891		{ "TC", 12, 3 },
11892		{ "VFVld", 11, 1 },
11893		{ "PF", 8, 3 },
11894		{ "RVF", 0, 8 },
11895	{ "PCIE_FID_VFID", 0x4874, 0 },
11896		{ "Select", 30, 2 },
11897		{ "IDO", 24, 1 },
11898		{ "VFID", 15, 9 },
11899		{ "TC", 12, 3 },
11900		{ "VFVld", 11, 1 },
11901		{ "PF", 8, 3 },
11902		{ "RVF", 0, 8 },
11903	{ "PCIE_FID_VFID", 0x4878, 0 },
11904		{ "Select", 30, 2 },
11905		{ "IDO", 24, 1 },
11906		{ "VFID", 15, 9 },
11907		{ "TC", 12, 3 },
11908		{ "VFVld", 11, 1 },
11909		{ "PF", 8, 3 },
11910		{ "RVF", 0, 8 },
11911	{ "PCIE_FID_VFID", 0x487c, 0 },
11912		{ "Select", 30, 2 },
11913		{ "IDO", 24, 1 },
11914		{ "VFID", 15, 9 },
11915		{ "TC", 12, 3 },
11916		{ "VFVld", 11, 1 },
11917		{ "PF", 8, 3 },
11918		{ "RVF", 0, 8 },
11919	{ "PCIE_FID_VFID", 0x4880, 0 },
11920		{ "Select", 30, 2 },
11921		{ "IDO", 24, 1 },
11922		{ "VFID", 15, 9 },
11923		{ "TC", 12, 3 },
11924		{ "VFVld", 11, 1 },
11925		{ "PF", 8, 3 },
11926		{ "RVF", 0, 8 },
11927	{ "PCIE_FID_VFID", 0x4884, 0 },
11928		{ "Select", 30, 2 },
11929		{ "IDO", 24, 1 },
11930		{ "VFID", 15, 9 },
11931		{ "TC", 12, 3 },
11932		{ "VFVld", 11, 1 },
11933		{ "PF", 8, 3 },
11934		{ "RVF", 0, 8 },
11935	{ "PCIE_FID_VFID", 0x4888, 0 },
11936		{ "Select", 30, 2 },
11937		{ "IDO", 24, 1 },
11938		{ "VFID", 15, 9 },
11939		{ "TC", 12, 3 },
11940		{ "VFVld", 11, 1 },
11941		{ "PF", 8, 3 },
11942		{ "RVF", 0, 8 },
11943	{ "PCIE_FID_VFID", 0x488c, 0 },
11944		{ "Select", 30, 2 },
11945		{ "IDO", 24, 1 },
11946		{ "VFID", 15, 9 },
11947		{ "TC", 12, 3 },
11948		{ "VFVld", 11, 1 },
11949		{ "PF", 8, 3 },
11950		{ "RVF", 0, 8 },
11951	{ "PCIE_FID_VFID", 0x4890, 0 },
11952		{ "Select", 30, 2 },
11953		{ "IDO", 24, 1 },
11954		{ "VFID", 15, 9 },
11955		{ "TC", 12, 3 },
11956		{ "VFVld", 11, 1 },
11957		{ "PF", 8, 3 },
11958		{ "RVF", 0, 8 },
11959	{ "PCIE_FID_VFID", 0x4894, 0 },
11960		{ "Select", 30, 2 },
11961		{ "IDO", 24, 1 },
11962		{ "VFID", 15, 9 },
11963		{ "TC", 12, 3 },
11964		{ "VFVld", 11, 1 },
11965		{ "PF", 8, 3 },
11966		{ "RVF", 0, 8 },
11967	{ "PCIE_FID_VFID", 0x4898, 0 },
11968		{ "Select", 30, 2 },
11969		{ "IDO", 24, 1 },
11970		{ "VFID", 15, 9 },
11971		{ "TC", 12, 3 },
11972		{ "VFVld", 11, 1 },
11973		{ "PF", 8, 3 },
11974		{ "RVF", 0, 8 },
11975	{ "PCIE_FID_VFID", 0x489c, 0 },
11976		{ "Select", 30, 2 },
11977		{ "IDO", 24, 1 },
11978		{ "VFID", 15, 9 },
11979		{ "TC", 12, 3 },
11980		{ "VFVld", 11, 1 },
11981		{ "PF", 8, 3 },
11982		{ "RVF", 0, 8 },
11983	{ "PCIE_FID_VFID", 0x48a0, 0 },
11984		{ "Select", 30, 2 },
11985		{ "IDO", 24, 1 },
11986		{ "VFID", 15, 9 },
11987		{ "TC", 12, 3 },
11988		{ "VFVld", 11, 1 },
11989		{ "PF", 8, 3 },
11990		{ "RVF", 0, 8 },
11991	{ "PCIE_FID_VFID", 0x48a4, 0 },
11992		{ "Select", 30, 2 },
11993		{ "IDO", 24, 1 },
11994		{ "VFID", 15, 9 },
11995		{ "TC", 12, 3 },
11996		{ "VFVld", 11, 1 },
11997		{ "PF", 8, 3 },
11998		{ "RVF", 0, 8 },
11999	{ "PCIE_FID_VFID", 0x48a8, 0 },
12000		{ "Select", 30, 2 },
12001		{ "IDO", 24, 1 },
12002		{ "VFID", 15, 9 },
12003		{ "TC", 12, 3 },
12004		{ "VFVld", 11, 1 },
12005		{ "PF", 8, 3 },
12006		{ "RVF", 0, 8 },
12007	{ "PCIE_FID_VFID", 0x48ac, 0 },
12008		{ "Select", 30, 2 },
12009		{ "IDO", 24, 1 },
12010		{ "VFID", 15, 9 },
12011		{ "TC", 12, 3 },
12012		{ "VFVld", 11, 1 },
12013		{ "PF", 8, 3 },
12014		{ "RVF", 0, 8 },
12015	{ "PCIE_FID_VFID", 0x48b0, 0 },
12016		{ "Select", 30, 2 },
12017		{ "IDO", 24, 1 },
12018		{ "VFID", 15, 9 },
12019		{ "TC", 12, 3 },
12020		{ "VFVld", 11, 1 },
12021		{ "PF", 8, 3 },
12022		{ "RVF", 0, 8 },
12023	{ "PCIE_FID_VFID", 0x48b4, 0 },
12024		{ "Select", 30, 2 },
12025		{ "IDO", 24, 1 },
12026		{ "VFID", 15, 9 },
12027		{ "TC", 12, 3 },
12028		{ "VFVld", 11, 1 },
12029		{ "PF", 8, 3 },
12030		{ "RVF", 0, 8 },
12031	{ "PCIE_FID_VFID", 0x48b8, 0 },
12032		{ "Select", 30, 2 },
12033		{ "IDO", 24, 1 },
12034		{ "VFID", 15, 9 },
12035		{ "TC", 12, 3 },
12036		{ "VFVld", 11, 1 },
12037		{ "PF", 8, 3 },
12038		{ "RVF", 0, 8 },
12039	{ "PCIE_FID_VFID", 0x48bc, 0 },
12040		{ "Select", 30, 2 },
12041		{ "IDO", 24, 1 },
12042		{ "VFID", 15, 9 },
12043		{ "TC", 12, 3 },
12044		{ "VFVld", 11, 1 },
12045		{ "PF", 8, 3 },
12046		{ "RVF", 0, 8 },
12047	{ "PCIE_FID_VFID", 0x48c0, 0 },
12048		{ "Select", 30, 2 },
12049		{ "IDO", 24, 1 },
12050		{ "VFID", 15, 9 },
12051		{ "TC", 12, 3 },
12052		{ "VFVld", 11, 1 },
12053		{ "PF", 8, 3 },
12054		{ "RVF", 0, 8 },
12055	{ "PCIE_FID_VFID", 0x48c4, 0 },
12056		{ "Select", 30, 2 },
12057		{ "IDO", 24, 1 },
12058		{ "VFID", 15, 9 },
12059		{ "TC", 12, 3 },
12060		{ "VFVld", 11, 1 },
12061		{ "PF", 8, 3 },
12062		{ "RVF", 0, 8 },
12063	{ "PCIE_FID_VFID", 0x48c8, 0 },
12064		{ "Select", 30, 2 },
12065		{ "IDO", 24, 1 },
12066		{ "VFID", 15, 9 },
12067		{ "TC", 12, 3 },
12068		{ "VFVld", 11, 1 },
12069		{ "PF", 8, 3 },
12070		{ "RVF", 0, 8 },
12071	{ "PCIE_FID_VFID", 0x48cc, 0 },
12072		{ "Select", 30, 2 },
12073		{ "IDO", 24, 1 },
12074		{ "VFID", 15, 9 },
12075		{ "TC", 12, 3 },
12076		{ "VFVld", 11, 1 },
12077		{ "PF", 8, 3 },
12078		{ "RVF", 0, 8 },
12079	{ "PCIE_FID_VFID", 0x48d0, 0 },
12080		{ "Select", 30, 2 },
12081		{ "IDO", 24, 1 },
12082		{ "VFID", 15, 9 },
12083		{ "TC", 12, 3 },
12084		{ "VFVld", 11, 1 },
12085		{ "PF", 8, 3 },
12086		{ "RVF", 0, 8 },
12087	{ "PCIE_FID_VFID", 0x48d4, 0 },
12088		{ "Select", 30, 2 },
12089		{ "IDO", 24, 1 },
12090		{ "VFID", 15, 9 },
12091		{ "TC", 12, 3 },
12092		{ "VFVld", 11, 1 },
12093		{ "PF", 8, 3 },
12094		{ "RVF", 0, 8 },
12095	{ "PCIE_FID_VFID", 0x48d8, 0 },
12096		{ "Select", 30, 2 },
12097		{ "IDO", 24, 1 },
12098		{ "VFID", 15, 9 },
12099		{ "TC", 12, 3 },
12100		{ "VFVld", 11, 1 },
12101		{ "PF", 8, 3 },
12102		{ "RVF", 0, 8 },
12103	{ "PCIE_FID_VFID", 0x48dc, 0 },
12104		{ "Select", 30, 2 },
12105		{ "IDO", 24, 1 },
12106		{ "VFID", 15, 9 },
12107		{ "TC", 12, 3 },
12108		{ "VFVld", 11, 1 },
12109		{ "PF", 8, 3 },
12110		{ "RVF", 0, 8 },
12111	{ "PCIE_FID_VFID", 0x48e0, 0 },
12112		{ "Select", 30, 2 },
12113		{ "IDO", 24, 1 },
12114		{ "VFID", 15, 9 },
12115		{ "TC", 12, 3 },
12116		{ "VFVld", 11, 1 },
12117		{ "PF", 8, 3 },
12118		{ "RVF", 0, 8 },
12119	{ "PCIE_FID_VFID", 0x48e4, 0 },
12120		{ "Select", 30, 2 },
12121		{ "IDO", 24, 1 },
12122		{ "VFID", 15, 9 },
12123		{ "TC", 12, 3 },
12124		{ "VFVld", 11, 1 },
12125		{ "PF", 8, 3 },
12126		{ "RVF", 0, 8 },
12127	{ "PCIE_FID_VFID", 0x48e8, 0 },
12128		{ "Select", 30, 2 },
12129		{ "IDO", 24, 1 },
12130		{ "VFID", 15, 9 },
12131		{ "TC", 12, 3 },
12132		{ "VFVld", 11, 1 },
12133		{ "PF", 8, 3 },
12134		{ "RVF", 0, 8 },
12135	{ "PCIE_FID_VFID", 0x48ec, 0 },
12136		{ "Select", 30, 2 },
12137		{ "IDO", 24, 1 },
12138		{ "VFID", 15, 9 },
12139		{ "TC", 12, 3 },
12140		{ "VFVld", 11, 1 },
12141		{ "PF", 8, 3 },
12142		{ "RVF", 0, 8 },
12143	{ "PCIE_FID_VFID", 0x48f0, 0 },
12144		{ "Select", 30, 2 },
12145		{ "IDO", 24, 1 },
12146		{ "VFID", 15, 9 },
12147		{ "TC", 12, 3 },
12148		{ "VFVld", 11, 1 },
12149		{ "PF", 8, 3 },
12150		{ "RVF", 0, 8 },
12151	{ "PCIE_FID_VFID", 0x48f4, 0 },
12152		{ "Select", 30, 2 },
12153		{ "IDO", 24, 1 },
12154		{ "VFID", 15, 9 },
12155		{ "TC", 12, 3 },
12156		{ "VFVld", 11, 1 },
12157		{ "PF", 8, 3 },
12158		{ "RVF", 0, 8 },
12159	{ "PCIE_FID_VFID", 0x48f8, 0 },
12160		{ "Select", 30, 2 },
12161		{ "IDO", 24, 1 },
12162		{ "VFID", 15, 9 },
12163		{ "TC", 12, 3 },
12164		{ "VFVld", 11, 1 },
12165		{ "PF", 8, 3 },
12166		{ "RVF", 0, 8 },
12167	{ "PCIE_FID_VFID", 0x48fc, 0 },
12168		{ "Select", 30, 2 },
12169		{ "IDO", 24, 1 },
12170		{ "VFID", 15, 9 },
12171		{ "TC", 12, 3 },
12172		{ "VFVld", 11, 1 },
12173		{ "PF", 8, 3 },
12174		{ "RVF", 0, 8 },
12175	{ "PCIE_FID_VFID", 0x4900, 0 },
12176		{ "Select", 30, 2 },
12177		{ "IDO", 24, 1 },
12178		{ "VFID", 15, 9 },
12179		{ "TC", 12, 3 },
12180		{ "VFVld", 11, 1 },
12181		{ "PF", 8, 3 },
12182		{ "RVF", 0, 8 },
12183	{ "PCIE_FID_VFID", 0x4904, 0 },
12184		{ "Select", 30, 2 },
12185		{ "IDO", 24, 1 },
12186		{ "VFID", 15, 9 },
12187		{ "TC", 12, 3 },
12188		{ "VFVld", 11, 1 },
12189		{ "PF", 8, 3 },
12190		{ "RVF", 0, 8 },
12191	{ "PCIE_FID_VFID", 0x4908, 0 },
12192		{ "Select", 30, 2 },
12193		{ "IDO", 24, 1 },
12194		{ "VFID", 15, 9 },
12195		{ "TC", 12, 3 },
12196		{ "VFVld", 11, 1 },
12197		{ "PF", 8, 3 },
12198		{ "RVF", 0, 8 },
12199	{ "PCIE_FID_VFID", 0x490c, 0 },
12200		{ "Select", 30, 2 },
12201		{ "IDO", 24, 1 },
12202		{ "VFID", 15, 9 },
12203		{ "TC", 12, 3 },
12204		{ "VFVld", 11, 1 },
12205		{ "PF", 8, 3 },
12206		{ "RVF", 0, 8 },
12207	{ "PCIE_FID_VFID", 0x4910, 0 },
12208		{ "Select", 30, 2 },
12209		{ "IDO", 24, 1 },
12210		{ "VFID", 15, 9 },
12211		{ "TC", 12, 3 },
12212		{ "VFVld", 11, 1 },
12213		{ "PF", 8, 3 },
12214		{ "RVF", 0, 8 },
12215	{ "PCIE_FID_VFID", 0x4914, 0 },
12216		{ "Select", 30, 2 },
12217		{ "IDO", 24, 1 },
12218		{ "VFID", 15, 9 },
12219		{ "TC", 12, 3 },
12220		{ "VFVld", 11, 1 },
12221		{ "PF", 8, 3 },
12222		{ "RVF", 0, 8 },
12223	{ "PCIE_FID_VFID", 0x4918, 0 },
12224		{ "Select", 30, 2 },
12225		{ "IDO", 24, 1 },
12226		{ "VFID", 15, 9 },
12227		{ "TC", 12, 3 },
12228		{ "VFVld", 11, 1 },
12229		{ "PF", 8, 3 },
12230		{ "RVF", 0, 8 },
12231	{ "PCIE_FID_VFID", 0x491c, 0 },
12232		{ "Select", 30, 2 },
12233		{ "IDO", 24, 1 },
12234		{ "VFID", 15, 9 },
12235		{ "TC", 12, 3 },
12236		{ "VFVld", 11, 1 },
12237		{ "PF", 8, 3 },
12238		{ "RVF", 0, 8 },
12239	{ "PCIE_FID_VFID", 0x4920, 0 },
12240		{ "Select", 30, 2 },
12241		{ "IDO", 24, 1 },
12242		{ "VFID", 15, 9 },
12243		{ "TC", 12, 3 },
12244		{ "VFVld", 11, 1 },
12245		{ "PF", 8, 3 },
12246		{ "RVF", 0, 8 },
12247	{ "PCIE_FID_VFID", 0x4924, 0 },
12248		{ "Select", 30, 2 },
12249		{ "IDO", 24, 1 },
12250		{ "VFID", 15, 9 },
12251		{ "TC", 12, 3 },
12252		{ "VFVld", 11, 1 },
12253		{ "PF", 8, 3 },
12254		{ "RVF", 0, 8 },
12255	{ "PCIE_FID_VFID", 0x4928, 0 },
12256		{ "Select", 30, 2 },
12257		{ "IDO", 24, 1 },
12258		{ "VFID", 15, 9 },
12259		{ "TC", 12, 3 },
12260		{ "VFVld", 11, 1 },
12261		{ "PF", 8, 3 },
12262		{ "RVF", 0, 8 },
12263	{ "PCIE_FID_VFID", 0x492c, 0 },
12264		{ "Select", 30, 2 },
12265		{ "IDO", 24, 1 },
12266		{ "VFID", 15, 9 },
12267		{ "TC", 12, 3 },
12268		{ "VFVld", 11, 1 },
12269		{ "PF", 8, 3 },
12270		{ "RVF", 0, 8 },
12271	{ "PCIE_FID_VFID", 0x4930, 0 },
12272		{ "Select", 30, 2 },
12273		{ "IDO", 24, 1 },
12274		{ "VFID", 15, 9 },
12275		{ "TC", 12, 3 },
12276		{ "VFVld", 11, 1 },
12277		{ "PF", 8, 3 },
12278		{ "RVF", 0, 8 },
12279	{ "PCIE_FID_VFID", 0x4934, 0 },
12280		{ "Select", 30, 2 },
12281		{ "IDO", 24, 1 },
12282		{ "VFID", 15, 9 },
12283		{ "TC", 12, 3 },
12284		{ "VFVld", 11, 1 },
12285		{ "PF", 8, 3 },
12286		{ "RVF", 0, 8 },
12287	{ "PCIE_FID_VFID", 0x4938, 0 },
12288		{ "Select", 30, 2 },
12289		{ "IDO", 24, 1 },
12290		{ "VFID", 15, 9 },
12291		{ "TC", 12, 3 },
12292		{ "VFVld", 11, 1 },
12293		{ "PF", 8, 3 },
12294		{ "RVF", 0, 8 },
12295	{ "PCIE_FID_VFID", 0x493c, 0 },
12296		{ "Select", 30, 2 },
12297		{ "IDO", 24, 1 },
12298		{ "VFID", 15, 9 },
12299		{ "TC", 12, 3 },
12300		{ "VFVld", 11, 1 },
12301		{ "PF", 8, 3 },
12302		{ "RVF", 0, 8 },
12303	{ "PCIE_FID_VFID", 0x4940, 0 },
12304		{ "Select", 30, 2 },
12305		{ "IDO", 24, 1 },
12306		{ "VFID", 15, 9 },
12307		{ "TC", 12, 3 },
12308		{ "VFVld", 11, 1 },
12309		{ "PF", 8, 3 },
12310		{ "RVF", 0, 8 },
12311	{ "PCIE_FID_VFID", 0x4944, 0 },
12312		{ "Select", 30, 2 },
12313		{ "IDO", 24, 1 },
12314		{ "VFID", 15, 9 },
12315		{ "TC", 12, 3 },
12316		{ "VFVld", 11, 1 },
12317		{ "PF", 8, 3 },
12318		{ "RVF", 0, 8 },
12319	{ "PCIE_FID_VFID", 0x4948, 0 },
12320		{ "Select", 30, 2 },
12321		{ "IDO", 24, 1 },
12322		{ "VFID", 15, 9 },
12323		{ "TC", 12, 3 },
12324		{ "VFVld", 11, 1 },
12325		{ "PF", 8, 3 },
12326		{ "RVF", 0, 8 },
12327	{ "PCIE_FID_VFID", 0x494c, 0 },
12328		{ "Select", 30, 2 },
12329		{ "IDO", 24, 1 },
12330		{ "VFID", 15, 9 },
12331		{ "TC", 12, 3 },
12332		{ "VFVld", 11, 1 },
12333		{ "PF", 8, 3 },
12334		{ "RVF", 0, 8 },
12335	{ "PCIE_FID_VFID", 0x4950, 0 },
12336		{ "Select", 30, 2 },
12337		{ "IDO", 24, 1 },
12338		{ "VFID", 15, 9 },
12339		{ "TC", 12, 3 },
12340		{ "VFVld", 11, 1 },
12341		{ "PF", 8, 3 },
12342		{ "RVF", 0, 8 },
12343	{ "PCIE_FID_VFID", 0x4954, 0 },
12344		{ "Select", 30, 2 },
12345		{ "IDO", 24, 1 },
12346		{ "VFID", 15, 9 },
12347		{ "TC", 12, 3 },
12348		{ "VFVld", 11, 1 },
12349		{ "PF", 8, 3 },
12350		{ "RVF", 0, 8 },
12351	{ "PCIE_FID_VFID", 0x4958, 0 },
12352		{ "Select", 30, 2 },
12353		{ "IDO", 24, 1 },
12354		{ "VFID", 15, 9 },
12355		{ "TC", 12, 3 },
12356		{ "VFVld", 11, 1 },
12357		{ "PF", 8, 3 },
12358		{ "RVF", 0, 8 },
12359	{ "PCIE_FID_VFID", 0x495c, 0 },
12360		{ "Select", 30, 2 },
12361		{ "IDO", 24, 1 },
12362		{ "VFID", 15, 9 },
12363		{ "TC", 12, 3 },
12364		{ "VFVld", 11, 1 },
12365		{ "PF", 8, 3 },
12366		{ "RVF", 0, 8 },
12367	{ "PCIE_FID_VFID", 0x4960, 0 },
12368		{ "Select", 30, 2 },
12369		{ "IDO", 24, 1 },
12370		{ "VFID", 15, 9 },
12371		{ "TC", 12, 3 },
12372		{ "VFVld", 11, 1 },
12373		{ "PF", 8, 3 },
12374		{ "RVF", 0, 8 },
12375	{ "PCIE_FID_VFID", 0x4964, 0 },
12376		{ "Select", 30, 2 },
12377		{ "IDO", 24, 1 },
12378		{ "VFID", 15, 9 },
12379		{ "TC", 12, 3 },
12380		{ "VFVld", 11, 1 },
12381		{ "PF", 8, 3 },
12382		{ "RVF", 0, 8 },
12383	{ "PCIE_FID_VFID", 0x4968, 0 },
12384		{ "Select", 30, 2 },
12385		{ "IDO", 24, 1 },
12386		{ "VFID", 15, 9 },
12387		{ "TC", 12, 3 },
12388		{ "VFVld", 11, 1 },
12389		{ "PF", 8, 3 },
12390		{ "RVF", 0, 8 },
12391	{ "PCIE_FID_VFID", 0x496c, 0 },
12392		{ "Select", 30, 2 },
12393		{ "IDO", 24, 1 },
12394		{ "VFID", 15, 9 },
12395		{ "TC", 12, 3 },
12396		{ "VFVld", 11, 1 },
12397		{ "PF", 8, 3 },
12398		{ "RVF", 0, 8 },
12399	{ "PCIE_FID_VFID", 0x4970, 0 },
12400		{ "Select", 30, 2 },
12401		{ "IDO", 24, 1 },
12402		{ "VFID", 15, 9 },
12403		{ "TC", 12, 3 },
12404		{ "VFVld", 11, 1 },
12405		{ "PF", 8, 3 },
12406		{ "RVF", 0, 8 },
12407	{ "PCIE_FID_VFID", 0x4974, 0 },
12408		{ "Select", 30, 2 },
12409		{ "IDO", 24, 1 },
12410		{ "VFID", 15, 9 },
12411		{ "TC", 12, 3 },
12412		{ "VFVld", 11, 1 },
12413		{ "PF", 8, 3 },
12414		{ "RVF", 0, 8 },
12415	{ "PCIE_FID_VFID", 0x4978, 0 },
12416		{ "Select", 30, 2 },
12417		{ "IDO", 24, 1 },
12418		{ "VFID", 15, 9 },
12419		{ "TC", 12, 3 },
12420		{ "VFVld", 11, 1 },
12421		{ "PF", 8, 3 },
12422		{ "RVF", 0, 8 },
12423	{ "PCIE_FID_VFID", 0x497c, 0 },
12424		{ "Select", 30, 2 },
12425		{ "IDO", 24, 1 },
12426		{ "VFID", 15, 9 },
12427		{ "TC", 12, 3 },
12428		{ "VFVld", 11, 1 },
12429		{ "PF", 8, 3 },
12430		{ "RVF", 0, 8 },
12431	{ "PCIE_FID_VFID", 0x4980, 0 },
12432		{ "Select", 30, 2 },
12433		{ "IDO", 24, 1 },
12434		{ "VFID", 15, 9 },
12435		{ "TC", 12, 3 },
12436		{ "VFVld", 11, 1 },
12437		{ "PF", 8, 3 },
12438		{ "RVF", 0, 8 },
12439	{ "PCIE_FID_VFID", 0x4984, 0 },
12440		{ "Select", 30, 2 },
12441		{ "IDO", 24, 1 },
12442		{ "VFID", 15, 9 },
12443		{ "TC", 12, 3 },
12444		{ "VFVld", 11, 1 },
12445		{ "PF", 8, 3 },
12446		{ "RVF", 0, 8 },
12447	{ "PCIE_FID_VFID", 0x4988, 0 },
12448		{ "Select", 30, 2 },
12449		{ "IDO", 24, 1 },
12450		{ "VFID", 15, 9 },
12451		{ "TC", 12, 3 },
12452		{ "VFVld", 11, 1 },
12453		{ "PF", 8, 3 },
12454		{ "RVF", 0, 8 },
12455	{ "PCIE_FID_VFID", 0x498c, 0 },
12456		{ "Select", 30, 2 },
12457		{ "IDO", 24, 1 },
12458		{ "VFID", 15, 9 },
12459		{ "TC", 12, 3 },
12460		{ "VFVld", 11, 1 },
12461		{ "PF", 8, 3 },
12462		{ "RVF", 0, 8 },
12463	{ "PCIE_FID_VFID", 0x4990, 0 },
12464		{ "Select", 30, 2 },
12465		{ "IDO", 24, 1 },
12466		{ "VFID", 15, 9 },
12467		{ "TC", 12, 3 },
12468		{ "VFVld", 11, 1 },
12469		{ "PF", 8, 3 },
12470		{ "RVF", 0, 8 },
12471	{ "PCIE_FID_VFID", 0x4994, 0 },
12472		{ "Select", 30, 2 },
12473		{ "IDO", 24, 1 },
12474		{ "VFID", 15, 9 },
12475		{ "TC", 12, 3 },
12476		{ "VFVld", 11, 1 },
12477		{ "PF", 8, 3 },
12478		{ "RVF", 0, 8 },
12479	{ "PCIE_FID_VFID", 0x4998, 0 },
12480		{ "Select", 30, 2 },
12481		{ "IDO", 24, 1 },
12482		{ "VFID", 15, 9 },
12483		{ "TC", 12, 3 },
12484		{ "VFVld", 11, 1 },
12485		{ "PF", 8, 3 },
12486		{ "RVF", 0, 8 },
12487	{ "PCIE_FID_VFID", 0x499c, 0 },
12488		{ "Select", 30, 2 },
12489		{ "IDO", 24, 1 },
12490		{ "VFID", 15, 9 },
12491		{ "TC", 12, 3 },
12492		{ "VFVld", 11, 1 },
12493		{ "PF", 8, 3 },
12494		{ "RVF", 0, 8 },
12495	{ "PCIE_FID_VFID", 0x49a0, 0 },
12496		{ "Select", 30, 2 },
12497		{ "IDO", 24, 1 },
12498		{ "VFID", 15, 9 },
12499		{ "TC", 12, 3 },
12500		{ "VFVld", 11, 1 },
12501		{ "PF", 8, 3 },
12502		{ "RVF", 0, 8 },
12503	{ "PCIE_FID_VFID", 0x49a4, 0 },
12504		{ "Select", 30, 2 },
12505		{ "IDO", 24, 1 },
12506		{ "VFID", 15, 9 },
12507		{ "TC", 12, 3 },
12508		{ "VFVld", 11, 1 },
12509		{ "PF", 8, 3 },
12510		{ "RVF", 0, 8 },
12511	{ "PCIE_FID_VFID", 0x49a8, 0 },
12512		{ "Select", 30, 2 },
12513		{ "IDO", 24, 1 },
12514		{ "VFID", 15, 9 },
12515		{ "TC", 12, 3 },
12516		{ "VFVld", 11, 1 },
12517		{ "PF", 8, 3 },
12518		{ "RVF", 0, 8 },
12519	{ "PCIE_FID_VFID", 0x49ac, 0 },
12520		{ "Select", 30, 2 },
12521		{ "IDO", 24, 1 },
12522		{ "VFID", 15, 9 },
12523		{ "TC", 12, 3 },
12524		{ "VFVld", 11, 1 },
12525		{ "PF", 8, 3 },
12526		{ "RVF", 0, 8 },
12527	{ "PCIE_FID_VFID", 0x49b0, 0 },
12528		{ "Select", 30, 2 },
12529		{ "IDO", 24, 1 },
12530		{ "VFID", 15, 9 },
12531		{ "TC", 12, 3 },
12532		{ "VFVld", 11, 1 },
12533		{ "PF", 8, 3 },
12534		{ "RVF", 0, 8 },
12535	{ "PCIE_FID_VFID", 0x49b4, 0 },
12536		{ "Select", 30, 2 },
12537		{ "IDO", 24, 1 },
12538		{ "VFID", 15, 9 },
12539		{ "TC", 12, 3 },
12540		{ "VFVld", 11, 1 },
12541		{ "PF", 8, 3 },
12542		{ "RVF", 0, 8 },
12543	{ "PCIE_FID_VFID", 0x49b8, 0 },
12544		{ "Select", 30, 2 },
12545		{ "IDO", 24, 1 },
12546		{ "VFID", 15, 9 },
12547		{ "TC", 12, 3 },
12548		{ "VFVld", 11, 1 },
12549		{ "PF", 8, 3 },
12550		{ "RVF", 0, 8 },
12551	{ "PCIE_FID_VFID", 0x49bc, 0 },
12552		{ "Select", 30, 2 },
12553		{ "IDO", 24, 1 },
12554		{ "VFID", 15, 9 },
12555		{ "TC", 12, 3 },
12556		{ "VFVld", 11, 1 },
12557		{ "PF", 8, 3 },
12558		{ "RVF", 0, 8 },
12559	{ "PCIE_FID_VFID", 0x49c0, 0 },
12560		{ "Select", 30, 2 },
12561		{ "IDO", 24, 1 },
12562		{ "VFID", 15, 9 },
12563		{ "TC", 12, 3 },
12564		{ "VFVld", 11, 1 },
12565		{ "PF", 8, 3 },
12566		{ "RVF", 0, 8 },
12567	{ "PCIE_FID_VFID", 0x49c4, 0 },
12568		{ "Select", 30, 2 },
12569		{ "IDO", 24, 1 },
12570		{ "VFID", 15, 9 },
12571		{ "TC", 12, 3 },
12572		{ "VFVld", 11, 1 },
12573		{ "PF", 8, 3 },
12574		{ "RVF", 0, 8 },
12575	{ "PCIE_FID_VFID", 0x49c8, 0 },
12576		{ "Select", 30, 2 },
12577		{ "IDO", 24, 1 },
12578		{ "VFID", 15, 9 },
12579		{ "TC", 12, 3 },
12580		{ "VFVld", 11, 1 },
12581		{ "PF", 8, 3 },
12582		{ "RVF", 0, 8 },
12583	{ "PCIE_FID_VFID", 0x49cc, 0 },
12584		{ "Select", 30, 2 },
12585		{ "IDO", 24, 1 },
12586		{ "VFID", 15, 9 },
12587		{ "TC", 12, 3 },
12588		{ "VFVld", 11, 1 },
12589		{ "PF", 8, 3 },
12590		{ "RVF", 0, 8 },
12591	{ "PCIE_FID_VFID", 0x49d0, 0 },
12592		{ "Select", 30, 2 },
12593		{ "IDO", 24, 1 },
12594		{ "VFID", 15, 9 },
12595		{ "TC", 12, 3 },
12596		{ "VFVld", 11, 1 },
12597		{ "PF", 8, 3 },
12598		{ "RVF", 0, 8 },
12599	{ "PCIE_FID_VFID", 0x49d4, 0 },
12600		{ "Select", 30, 2 },
12601		{ "IDO", 24, 1 },
12602		{ "VFID", 15, 9 },
12603		{ "TC", 12, 3 },
12604		{ "VFVld", 11, 1 },
12605		{ "PF", 8, 3 },
12606		{ "RVF", 0, 8 },
12607	{ "PCIE_FID_VFID", 0x49d8, 0 },
12608		{ "Select", 30, 2 },
12609		{ "IDO", 24, 1 },
12610		{ "VFID", 15, 9 },
12611		{ "TC", 12, 3 },
12612		{ "VFVld", 11, 1 },
12613		{ "PF", 8, 3 },
12614		{ "RVF", 0, 8 },
12615	{ "PCIE_FID_VFID", 0x49dc, 0 },
12616		{ "Select", 30, 2 },
12617		{ "IDO", 24, 1 },
12618		{ "VFID", 15, 9 },
12619		{ "TC", 12, 3 },
12620		{ "VFVld", 11, 1 },
12621		{ "PF", 8, 3 },
12622		{ "RVF", 0, 8 },
12623	{ "PCIE_FID_VFID", 0x49e0, 0 },
12624		{ "Select", 30, 2 },
12625		{ "IDO", 24, 1 },
12626		{ "VFID", 15, 9 },
12627		{ "TC", 12, 3 },
12628		{ "VFVld", 11, 1 },
12629		{ "PF", 8, 3 },
12630		{ "RVF", 0, 8 },
12631	{ "PCIE_FID_VFID", 0x49e4, 0 },
12632		{ "Select", 30, 2 },
12633		{ "IDO", 24, 1 },
12634		{ "VFID", 15, 9 },
12635		{ "TC", 12, 3 },
12636		{ "VFVld", 11, 1 },
12637		{ "PF", 8, 3 },
12638		{ "RVF", 0, 8 },
12639	{ "PCIE_FID_VFID", 0x49e8, 0 },
12640		{ "Select", 30, 2 },
12641		{ "IDO", 24, 1 },
12642		{ "VFID", 15, 9 },
12643		{ "TC", 12, 3 },
12644		{ "VFVld", 11, 1 },
12645		{ "PF", 8, 3 },
12646		{ "RVF", 0, 8 },
12647	{ "PCIE_FID_VFID", 0x49ec, 0 },
12648		{ "Select", 30, 2 },
12649		{ "IDO", 24, 1 },
12650		{ "VFID", 15, 9 },
12651		{ "TC", 12, 3 },
12652		{ "VFVld", 11, 1 },
12653		{ "PF", 8, 3 },
12654		{ "RVF", 0, 8 },
12655	{ "PCIE_FID_VFID", 0x49f0, 0 },
12656		{ "Select", 30, 2 },
12657		{ "IDO", 24, 1 },
12658		{ "VFID", 15, 9 },
12659		{ "TC", 12, 3 },
12660		{ "VFVld", 11, 1 },
12661		{ "PF", 8, 3 },
12662		{ "RVF", 0, 8 },
12663	{ "PCIE_FID_VFID", 0x49f4, 0 },
12664		{ "Select", 30, 2 },
12665		{ "IDO", 24, 1 },
12666		{ "VFID", 15, 9 },
12667		{ "TC", 12, 3 },
12668		{ "VFVld", 11, 1 },
12669		{ "PF", 8, 3 },
12670		{ "RVF", 0, 8 },
12671	{ "PCIE_FID_VFID", 0x49f8, 0 },
12672		{ "Select", 30, 2 },
12673		{ "IDO", 24, 1 },
12674		{ "VFID", 15, 9 },
12675		{ "TC", 12, 3 },
12676		{ "VFVld", 11, 1 },
12677		{ "PF", 8, 3 },
12678		{ "RVF", 0, 8 },
12679	{ "PCIE_FID_VFID", 0x49fc, 0 },
12680		{ "Select", 30, 2 },
12681		{ "IDO", 24, 1 },
12682		{ "VFID", 15, 9 },
12683		{ "TC", 12, 3 },
12684		{ "VFVld", 11, 1 },
12685		{ "PF", 8, 3 },
12686		{ "RVF", 0, 8 },
12687	{ "PCIE_FID_VFID", 0x4a00, 0 },
12688		{ "Select", 30, 2 },
12689		{ "IDO", 24, 1 },
12690		{ "VFID", 15, 9 },
12691		{ "TC", 12, 3 },
12692		{ "VFVld", 11, 1 },
12693		{ "PF", 8, 3 },
12694		{ "RVF", 0, 8 },
12695	{ "PCIE_FID_VFID", 0x4a04, 0 },
12696		{ "Select", 30, 2 },
12697		{ "IDO", 24, 1 },
12698		{ "VFID", 15, 9 },
12699		{ "TC", 12, 3 },
12700		{ "VFVld", 11, 1 },
12701		{ "PF", 8, 3 },
12702		{ "RVF", 0, 8 },
12703	{ "PCIE_FID_VFID", 0x4a08, 0 },
12704		{ "Select", 30, 2 },
12705		{ "IDO", 24, 1 },
12706		{ "VFID", 15, 9 },
12707		{ "TC", 12, 3 },
12708		{ "VFVld", 11, 1 },
12709		{ "PF", 8, 3 },
12710		{ "RVF", 0, 8 },
12711	{ "PCIE_FID_VFID", 0x4a0c, 0 },
12712		{ "Select", 30, 2 },
12713		{ "IDO", 24, 1 },
12714		{ "VFID", 15, 9 },
12715		{ "TC", 12, 3 },
12716		{ "VFVld", 11, 1 },
12717		{ "PF", 8, 3 },
12718		{ "RVF", 0, 8 },
12719	{ "PCIE_FID_VFID", 0x4a10, 0 },
12720		{ "Select", 30, 2 },
12721		{ "IDO", 24, 1 },
12722		{ "VFID", 15, 9 },
12723		{ "TC", 12, 3 },
12724		{ "VFVld", 11, 1 },
12725		{ "PF", 8, 3 },
12726		{ "RVF", 0, 8 },
12727	{ "PCIE_FID_VFID", 0x4a14, 0 },
12728		{ "Select", 30, 2 },
12729		{ "IDO", 24, 1 },
12730		{ "VFID", 15, 9 },
12731		{ "TC", 12, 3 },
12732		{ "VFVld", 11, 1 },
12733		{ "PF", 8, 3 },
12734		{ "RVF", 0, 8 },
12735	{ "PCIE_FID_VFID", 0x4a18, 0 },
12736		{ "Select", 30, 2 },
12737		{ "IDO", 24, 1 },
12738		{ "VFID", 15, 9 },
12739		{ "TC", 12, 3 },
12740		{ "VFVld", 11, 1 },
12741		{ "PF", 8, 3 },
12742		{ "RVF", 0, 8 },
12743	{ "PCIE_FID_VFID", 0x4a1c, 0 },
12744		{ "Select", 30, 2 },
12745		{ "IDO", 24, 1 },
12746		{ "VFID", 15, 9 },
12747		{ "TC", 12, 3 },
12748		{ "VFVld", 11, 1 },
12749		{ "PF", 8, 3 },
12750		{ "RVF", 0, 8 },
12751	{ "PCIE_FID_VFID", 0x4a20, 0 },
12752		{ "Select", 30, 2 },
12753		{ "IDO", 24, 1 },
12754		{ "VFID", 15, 9 },
12755		{ "TC", 12, 3 },
12756		{ "VFVld", 11, 1 },
12757		{ "PF", 8, 3 },
12758		{ "RVF", 0, 8 },
12759	{ "PCIE_FID_VFID", 0x4a24, 0 },
12760		{ "Select", 30, 2 },
12761		{ "IDO", 24, 1 },
12762		{ "VFID", 15, 9 },
12763		{ "TC", 12, 3 },
12764		{ "VFVld", 11, 1 },
12765		{ "PF", 8, 3 },
12766		{ "RVF", 0, 8 },
12767	{ "PCIE_FID_VFID", 0x4a28, 0 },
12768		{ "Select", 30, 2 },
12769		{ "IDO", 24, 1 },
12770		{ "VFID", 15, 9 },
12771		{ "TC", 12, 3 },
12772		{ "VFVld", 11, 1 },
12773		{ "PF", 8, 3 },
12774		{ "RVF", 0, 8 },
12775	{ "PCIE_FID_VFID", 0x4a2c, 0 },
12776		{ "Select", 30, 2 },
12777		{ "IDO", 24, 1 },
12778		{ "VFID", 15, 9 },
12779		{ "TC", 12, 3 },
12780		{ "VFVld", 11, 1 },
12781		{ "PF", 8, 3 },
12782		{ "RVF", 0, 8 },
12783	{ "PCIE_FID_VFID", 0x4a30, 0 },
12784		{ "Select", 30, 2 },
12785		{ "IDO", 24, 1 },
12786		{ "VFID", 15, 9 },
12787		{ "TC", 12, 3 },
12788		{ "VFVld", 11, 1 },
12789		{ "PF", 8, 3 },
12790		{ "RVF", 0, 8 },
12791	{ "PCIE_FID_VFID", 0x4a34, 0 },
12792		{ "Select", 30, 2 },
12793		{ "IDO", 24, 1 },
12794		{ "VFID", 15, 9 },
12795		{ "TC", 12, 3 },
12796		{ "VFVld", 11, 1 },
12797		{ "PF", 8, 3 },
12798		{ "RVF", 0, 8 },
12799	{ "PCIE_FID_VFID", 0x4a38, 0 },
12800		{ "Select", 30, 2 },
12801		{ "IDO", 24, 1 },
12802		{ "VFID", 15, 9 },
12803		{ "TC", 12, 3 },
12804		{ "VFVld", 11, 1 },
12805		{ "PF", 8, 3 },
12806		{ "RVF", 0, 8 },
12807	{ "PCIE_FID_VFID", 0x4a3c, 0 },
12808		{ "Select", 30, 2 },
12809		{ "IDO", 24, 1 },
12810		{ "VFID", 15, 9 },
12811		{ "TC", 12, 3 },
12812		{ "VFVld", 11, 1 },
12813		{ "PF", 8, 3 },
12814		{ "RVF", 0, 8 },
12815	{ "PCIE_FID_VFID", 0x4a40, 0 },
12816		{ "Select", 30, 2 },
12817		{ "IDO", 24, 1 },
12818		{ "VFID", 15, 9 },
12819		{ "TC", 12, 3 },
12820		{ "VFVld", 11, 1 },
12821		{ "PF", 8, 3 },
12822		{ "RVF", 0, 8 },
12823	{ "PCIE_FID_VFID", 0x4a44, 0 },
12824		{ "Select", 30, 2 },
12825		{ "IDO", 24, 1 },
12826		{ "VFID", 15, 9 },
12827		{ "TC", 12, 3 },
12828		{ "VFVld", 11, 1 },
12829		{ "PF", 8, 3 },
12830		{ "RVF", 0, 8 },
12831	{ "PCIE_FID_VFID", 0x4a48, 0 },
12832		{ "Select", 30, 2 },
12833		{ "IDO", 24, 1 },
12834		{ "VFID", 15, 9 },
12835		{ "TC", 12, 3 },
12836		{ "VFVld", 11, 1 },
12837		{ "PF", 8, 3 },
12838		{ "RVF", 0, 8 },
12839	{ "PCIE_FID_VFID", 0x4a4c, 0 },
12840		{ "Select", 30, 2 },
12841		{ "IDO", 24, 1 },
12842		{ "VFID", 15, 9 },
12843		{ "TC", 12, 3 },
12844		{ "VFVld", 11, 1 },
12845		{ "PF", 8, 3 },
12846		{ "RVF", 0, 8 },
12847	{ "PCIE_FID_VFID", 0x4a50, 0 },
12848		{ "Select", 30, 2 },
12849		{ "IDO", 24, 1 },
12850		{ "VFID", 15, 9 },
12851		{ "TC", 12, 3 },
12852		{ "VFVld", 11, 1 },
12853		{ "PF", 8, 3 },
12854		{ "RVF", 0, 8 },
12855	{ "PCIE_FID_VFID", 0x4a54, 0 },
12856		{ "Select", 30, 2 },
12857		{ "IDO", 24, 1 },
12858		{ "VFID", 15, 9 },
12859		{ "TC", 12, 3 },
12860		{ "VFVld", 11, 1 },
12861		{ "PF", 8, 3 },
12862		{ "RVF", 0, 8 },
12863	{ "PCIE_FID_VFID", 0x4a58, 0 },
12864		{ "Select", 30, 2 },
12865		{ "IDO", 24, 1 },
12866		{ "VFID", 15, 9 },
12867		{ "TC", 12, 3 },
12868		{ "VFVld", 11, 1 },
12869		{ "PF", 8, 3 },
12870		{ "RVF", 0, 8 },
12871	{ "PCIE_FID_VFID", 0x4a5c, 0 },
12872		{ "Select", 30, 2 },
12873		{ "IDO", 24, 1 },
12874		{ "VFID", 15, 9 },
12875		{ "TC", 12, 3 },
12876		{ "VFVld", 11, 1 },
12877		{ "PF", 8, 3 },
12878		{ "RVF", 0, 8 },
12879	{ "PCIE_FID_VFID", 0x4a60, 0 },
12880		{ "Select", 30, 2 },
12881		{ "IDO", 24, 1 },
12882		{ "VFID", 15, 9 },
12883		{ "TC", 12, 3 },
12884		{ "VFVld", 11, 1 },
12885		{ "PF", 8, 3 },
12886		{ "RVF", 0, 8 },
12887	{ "PCIE_FID_VFID", 0x4a64, 0 },
12888		{ "Select", 30, 2 },
12889		{ "IDO", 24, 1 },
12890		{ "VFID", 15, 9 },
12891		{ "TC", 12, 3 },
12892		{ "VFVld", 11, 1 },
12893		{ "PF", 8, 3 },
12894		{ "RVF", 0, 8 },
12895	{ "PCIE_FID_VFID", 0x4a68, 0 },
12896		{ "Select", 30, 2 },
12897		{ "IDO", 24, 1 },
12898		{ "VFID", 15, 9 },
12899		{ "TC", 12, 3 },
12900		{ "VFVld", 11, 1 },
12901		{ "PF", 8, 3 },
12902		{ "RVF", 0, 8 },
12903	{ "PCIE_FID_VFID", 0x4a6c, 0 },
12904		{ "Select", 30, 2 },
12905		{ "IDO", 24, 1 },
12906		{ "VFID", 15, 9 },
12907		{ "TC", 12, 3 },
12908		{ "VFVld", 11, 1 },
12909		{ "PF", 8, 3 },
12910		{ "RVF", 0, 8 },
12911	{ "PCIE_FID_VFID", 0x4a70, 0 },
12912		{ "Select", 30, 2 },
12913		{ "IDO", 24, 1 },
12914		{ "VFID", 15, 9 },
12915		{ "TC", 12, 3 },
12916		{ "VFVld", 11, 1 },
12917		{ "PF", 8, 3 },
12918		{ "RVF", 0, 8 },
12919	{ "PCIE_FID_VFID", 0x4a74, 0 },
12920		{ "Select", 30, 2 },
12921		{ "IDO", 24, 1 },
12922		{ "VFID", 15, 9 },
12923		{ "TC", 12, 3 },
12924		{ "VFVld", 11, 1 },
12925		{ "PF", 8, 3 },
12926		{ "RVF", 0, 8 },
12927	{ "PCIE_FID_VFID", 0x4a78, 0 },
12928		{ "Select", 30, 2 },
12929		{ "IDO", 24, 1 },
12930		{ "VFID", 15, 9 },
12931		{ "TC", 12, 3 },
12932		{ "VFVld", 11, 1 },
12933		{ "PF", 8, 3 },
12934		{ "RVF", 0, 8 },
12935	{ "PCIE_FID_VFID", 0x4a7c, 0 },
12936		{ "Select", 30, 2 },
12937		{ "IDO", 24, 1 },
12938		{ "VFID", 15, 9 },
12939		{ "TC", 12, 3 },
12940		{ "VFVld", 11, 1 },
12941		{ "PF", 8, 3 },
12942		{ "RVF", 0, 8 },
12943	{ "PCIE_FID_VFID", 0x4a80, 0 },
12944		{ "Select", 30, 2 },
12945		{ "IDO", 24, 1 },
12946		{ "VFID", 15, 9 },
12947		{ "TC", 12, 3 },
12948		{ "VFVld", 11, 1 },
12949		{ "PF", 8, 3 },
12950		{ "RVF", 0, 8 },
12951	{ "PCIE_FID_VFID", 0x4a84, 0 },
12952		{ "Select", 30, 2 },
12953		{ "IDO", 24, 1 },
12954		{ "VFID", 15, 9 },
12955		{ "TC", 12, 3 },
12956		{ "VFVld", 11, 1 },
12957		{ "PF", 8, 3 },
12958		{ "RVF", 0, 8 },
12959	{ "PCIE_FID_VFID", 0x4a88, 0 },
12960		{ "Select", 30, 2 },
12961		{ "IDO", 24, 1 },
12962		{ "VFID", 15, 9 },
12963		{ "TC", 12, 3 },
12964		{ "VFVld", 11, 1 },
12965		{ "PF", 8, 3 },
12966		{ "RVF", 0, 8 },
12967	{ "PCIE_FID_VFID", 0x4a8c, 0 },
12968		{ "Select", 30, 2 },
12969		{ "IDO", 24, 1 },
12970		{ "VFID", 15, 9 },
12971		{ "TC", 12, 3 },
12972		{ "VFVld", 11, 1 },
12973		{ "PF", 8, 3 },
12974		{ "RVF", 0, 8 },
12975	{ "PCIE_FID_VFID", 0x4a90, 0 },
12976		{ "Select", 30, 2 },
12977		{ "IDO", 24, 1 },
12978		{ "VFID", 15, 9 },
12979		{ "TC", 12, 3 },
12980		{ "VFVld", 11, 1 },
12981		{ "PF", 8, 3 },
12982		{ "RVF", 0, 8 },
12983	{ "PCIE_FID_VFID", 0x4a94, 0 },
12984		{ "Select", 30, 2 },
12985		{ "IDO", 24, 1 },
12986		{ "VFID", 15, 9 },
12987		{ "TC", 12, 3 },
12988		{ "VFVld", 11, 1 },
12989		{ "PF", 8, 3 },
12990		{ "RVF", 0, 8 },
12991	{ "PCIE_FID_VFID", 0x4a98, 0 },
12992		{ "Select", 30, 2 },
12993		{ "IDO", 24, 1 },
12994		{ "VFID", 15, 9 },
12995		{ "TC", 12, 3 },
12996		{ "VFVld", 11, 1 },
12997		{ "PF", 8, 3 },
12998		{ "RVF", 0, 8 },
12999	{ "PCIE_FID_VFID", 0x4a9c, 0 },
13000		{ "Select", 30, 2 },
13001		{ "IDO", 24, 1 },
13002		{ "VFID", 15, 9 },
13003		{ "TC", 12, 3 },
13004		{ "VFVld", 11, 1 },
13005		{ "PF", 8, 3 },
13006		{ "RVF", 0, 8 },
13007	{ "PCIE_FID_VFID", 0x4aa0, 0 },
13008		{ "Select", 30, 2 },
13009		{ "IDO", 24, 1 },
13010		{ "VFID", 15, 9 },
13011		{ "TC", 12, 3 },
13012		{ "VFVld", 11, 1 },
13013		{ "PF", 8, 3 },
13014		{ "RVF", 0, 8 },
13015	{ "PCIE_FID_VFID", 0x4aa4, 0 },
13016		{ "Select", 30, 2 },
13017		{ "IDO", 24, 1 },
13018		{ "VFID", 15, 9 },
13019		{ "TC", 12, 3 },
13020		{ "VFVld", 11, 1 },
13021		{ "PF", 8, 3 },
13022		{ "RVF", 0, 8 },
13023	{ "PCIE_FID_VFID", 0x4aa8, 0 },
13024		{ "Select", 30, 2 },
13025		{ "IDO", 24, 1 },
13026		{ "VFID", 15, 9 },
13027		{ "TC", 12, 3 },
13028		{ "VFVld", 11, 1 },
13029		{ "PF", 8, 3 },
13030		{ "RVF", 0, 8 },
13031	{ "PCIE_FID_VFID", 0x4aac, 0 },
13032		{ "Select", 30, 2 },
13033		{ "IDO", 24, 1 },
13034		{ "VFID", 15, 9 },
13035		{ "TC", 12, 3 },
13036		{ "VFVld", 11, 1 },
13037		{ "PF", 8, 3 },
13038		{ "RVF", 0, 8 },
13039	{ "PCIE_FID_VFID", 0x4ab0, 0 },
13040		{ "Select", 30, 2 },
13041		{ "IDO", 24, 1 },
13042		{ "VFID", 15, 9 },
13043		{ "TC", 12, 3 },
13044		{ "VFVld", 11, 1 },
13045		{ "PF", 8, 3 },
13046		{ "RVF", 0, 8 },
13047	{ "PCIE_FID_VFID", 0x4ab4, 0 },
13048		{ "Select", 30, 2 },
13049		{ "IDO", 24, 1 },
13050		{ "VFID", 15, 9 },
13051		{ "TC", 12, 3 },
13052		{ "VFVld", 11, 1 },
13053		{ "PF", 8, 3 },
13054		{ "RVF", 0, 8 },
13055	{ "PCIE_FID_VFID", 0x4ab8, 0 },
13056		{ "Select", 30, 2 },
13057		{ "IDO", 24, 1 },
13058		{ "VFID", 15, 9 },
13059		{ "TC", 12, 3 },
13060		{ "VFVld", 11, 1 },
13061		{ "PF", 8, 3 },
13062		{ "RVF", 0, 8 },
13063	{ "PCIE_FID_VFID", 0x4abc, 0 },
13064		{ "Select", 30, 2 },
13065		{ "IDO", 24, 1 },
13066		{ "VFID", 15, 9 },
13067		{ "TC", 12, 3 },
13068		{ "VFVld", 11, 1 },
13069		{ "PF", 8, 3 },
13070		{ "RVF", 0, 8 },
13071	{ "PCIE_FID_VFID", 0x4ac0, 0 },
13072		{ "Select", 30, 2 },
13073		{ "IDO", 24, 1 },
13074		{ "VFID", 15, 9 },
13075		{ "TC", 12, 3 },
13076		{ "VFVld", 11, 1 },
13077		{ "PF", 8, 3 },
13078		{ "RVF", 0, 8 },
13079	{ "PCIE_FID_VFID", 0x4ac4, 0 },
13080		{ "Select", 30, 2 },
13081		{ "IDO", 24, 1 },
13082		{ "VFID", 15, 9 },
13083		{ "TC", 12, 3 },
13084		{ "VFVld", 11, 1 },
13085		{ "PF", 8, 3 },
13086		{ "RVF", 0, 8 },
13087	{ "PCIE_FID_VFID", 0x4ac8, 0 },
13088		{ "Select", 30, 2 },
13089		{ "IDO", 24, 1 },
13090		{ "VFID", 15, 9 },
13091		{ "TC", 12, 3 },
13092		{ "VFVld", 11, 1 },
13093		{ "PF", 8, 3 },
13094		{ "RVF", 0, 8 },
13095	{ "PCIE_FID_VFID", 0x4acc, 0 },
13096		{ "Select", 30, 2 },
13097		{ "IDO", 24, 1 },
13098		{ "VFID", 15, 9 },
13099		{ "TC", 12, 3 },
13100		{ "VFVld", 11, 1 },
13101		{ "PF", 8, 3 },
13102		{ "RVF", 0, 8 },
13103	{ "PCIE_FID_VFID", 0x4ad0, 0 },
13104		{ "Select", 30, 2 },
13105		{ "IDO", 24, 1 },
13106		{ "VFID", 15, 9 },
13107		{ "TC", 12, 3 },
13108		{ "VFVld", 11, 1 },
13109		{ "PF", 8, 3 },
13110		{ "RVF", 0, 8 },
13111	{ "PCIE_FID_VFID", 0x4ad4, 0 },
13112		{ "Select", 30, 2 },
13113		{ "IDO", 24, 1 },
13114		{ "VFID", 15, 9 },
13115		{ "TC", 12, 3 },
13116		{ "VFVld", 11, 1 },
13117		{ "PF", 8, 3 },
13118		{ "RVF", 0, 8 },
13119	{ "PCIE_FID_VFID", 0x4ad8, 0 },
13120		{ "Select", 30, 2 },
13121		{ "IDO", 24, 1 },
13122		{ "VFID", 15, 9 },
13123		{ "TC", 12, 3 },
13124		{ "VFVld", 11, 1 },
13125		{ "PF", 8, 3 },
13126		{ "RVF", 0, 8 },
13127	{ "PCIE_FID_VFID", 0x4adc, 0 },
13128		{ "Select", 30, 2 },
13129		{ "IDO", 24, 1 },
13130		{ "VFID", 15, 9 },
13131		{ "TC", 12, 3 },
13132		{ "VFVld", 11, 1 },
13133		{ "PF", 8, 3 },
13134		{ "RVF", 0, 8 },
13135	{ "PCIE_FID_VFID", 0x4ae0, 0 },
13136		{ "Select", 30, 2 },
13137		{ "IDO", 24, 1 },
13138		{ "VFID", 15, 9 },
13139		{ "TC", 12, 3 },
13140		{ "VFVld", 11, 1 },
13141		{ "PF", 8, 3 },
13142		{ "RVF", 0, 8 },
13143	{ "PCIE_FID_VFID", 0x4ae4, 0 },
13144		{ "Select", 30, 2 },
13145		{ "IDO", 24, 1 },
13146		{ "VFID", 15, 9 },
13147		{ "TC", 12, 3 },
13148		{ "VFVld", 11, 1 },
13149		{ "PF", 8, 3 },
13150		{ "RVF", 0, 8 },
13151	{ "PCIE_FID_VFID", 0x4ae8, 0 },
13152		{ "Select", 30, 2 },
13153		{ "IDO", 24, 1 },
13154		{ "VFID", 15, 9 },
13155		{ "TC", 12, 3 },
13156		{ "VFVld", 11, 1 },
13157		{ "PF", 8, 3 },
13158		{ "RVF", 0, 8 },
13159	{ "PCIE_FID_VFID", 0x4aec, 0 },
13160		{ "Select", 30, 2 },
13161		{ "IDO", 24, 1 },
13162		{ "VFID", 15, 9 },
13163		{ "TC", 12, 3 },
13164		{ "VFVld", 11, 1 },
13165		{ "PF", 8, 3 },
13166		{ "RVF", 0, 8 },
13167	{ "PCIE_FID_VFID", 0x4af0, 0 },
13168		{ "Select", 30, 2 },
13169		{ "IDO", 24, 1 },
13170		{ "VFID", 15, 9 },
13171		{ "TC", 12, 3 },
13172		{ "VFVld", 11, 1 },
13173		{ "PF", 8, 3 },
13174		{ "RVF", 0, 8 },
13175	{ "PCIE_FID_VFID", 0x4af4, 0 },
13176		{ "Select", 30, 2 },
13177		{ "IDO", 24, 1 },
13178		{ "VFID", 15, 9 },
13179		{ "TC", 12, 3 },
13180		{ "VFVld", 11, 1 },
13181		{ "PF", 8, 3 },
13182		{ "RVF", 0, 8 },
13183	{ "PCIE_FID_VFID", 0x4af8, 0 },
13184		{ "Select", 30, 2 },
13185		{ "IDO", 24, 1 },
13186		{ "VFID", 15, 9 },
13187		{ "TC", 12, 3 },
13188		{ "VFVld", 11, 1 },
13189		{ "PF", 8, 3 },
13190		{ "RVF", 0, 8 },
13191	{ "PCIE_FID_VFID", 0x4afc, 0 },
13192		{ "Select", 30, 2 },
13193		{ "IDO", 24, 1 },
13194		{ "VFID", 15, 9 },
13195		{ "TC", 12, 3 },
13196		{ "VFVld", 11, 1 },
13197		{ "PF", 8, 3 },
13198		{ "RVF", 0, 8 },
13199	{ "PCIE_FID_VFID", 0x4b00, 0 },
13200		{ "Select", 30, 2 },
13201		{ "IDO", 24, 1 },
13202		{ "VFID", 15, 9 },
13203		{ "TC", 12, 3 },
13204		{ "VFVld", 11, 1 },
13205		{ "PF", 8, 3 },
13206		{ "RVF", 0, 8 },
13207	{ "PCIE_FID_VFID", 0x4b04, 0 },
13208		{ "Select", 30, 2 },
13209		{ "IDO", 24, 1 },
13210		{ "VFID", 15, 9 },
13211		{ "TC", 12, 3 },
13212		{ "VFVld", 11, 1 },
13213		{ "PF", 8, 3 },
13214		{ "RVF", 0, 8 },
13215	{ "PCIE_FID_VFID", 0x4b08, 0 },
13216		{ "Select", 30, 2 },
13217		{ "IDO", 24, 1 },
13218		{ "VFID", 15, 9 },
13219		{ "TC", 12, 3 },
13220		{ "VFVld", 11, 1 },
13221		{ "PF", 8, 3 },
13222		{ "RVF", 0, 8 },
13223	{ "PCIE_FID_VFID", 0x4b0c, 0 },
13224		{ "Select", 30, 2 },
13225		{ "IDO", 24, 1 },
13226		{ "VFID", 15, 9 },
13227		{ "TC", 12, 3 },
13228		{ "VFVld", 11, 1 },
13229		{ "PF", 8, 3 },
13230		{ "RVF", 0, 8 },
13231	{ "PCIE_FID_VFID", 0x4b10, 0 },
13232		{ "Select", 30, 2 },
13233		{ "IDO", 24, 1 },
13234		{ "VFID", 15, 9 },
13235		{ "TC", 12, 3 },
13236		{ "VFVld", 11, 1 },
13237		{ "PF", 8, 3 },
13238		{ "RVF", 0, 8 },
13239	{ "PCIE_FID_VFID", 0x4b14, 0 },
13240		{ "Select", 30, 2 },
13241		{ "IDO", 24, 1 },
13242		{ "VFID", 15, 9 },
13243		{ "TC", 12, 3 },
13244		{ "VFVld", 11, 1 },
13245		{ "PF", 8, 3 },
13246		{ "RVF", 0, 8 },
13247	{ "PCIE_FID_VFID", 0x4b18, 0 },
13248		{ "Select", 30, 2 },
13249		{ "IDO", 24, 1 },
13250		{ "VFID", 15, 9 },
13251		{ "TC", 12, 3 },
13252		{ "VFVld", 11, 1 },
13253		{ "PF", 8, 3 },
13254		{ "RVF", 0, 8 },
13255	{ "PCIE_FID_VFID", 0x4b1c, 0 },
13256		{ "Select", 30, 2 },
13257		{ "IDO", 24, 1 },
13258		{ "VFID", 15, 9 },
13259		{ "TC", 12, 3 },
13260		{ "VFVld", 11, 1 },
13261		{ "PF", 8, 3 },
13262		{ "RVF", 0, 8 },
13263	{ "PCIE_FID_VFID", 0x4b20, 0 },
13264		{ "Select", 30, 2 },
13265		{ "IDO", 24, 1 },
13266		{ "VFID", 15, 9 },
13267		{ "TC", 12, 3 },
13268		{ "VFVld", 11, 1 },
13269		{ "PF", 8, 3 },
13270		{ "RVF", 0, 8 },
13271	{ "PCIE_FID_VFID", 0x4b24, 0 },
13272		{ "Select", 30, 2 },
13273		{ "IDO", 24, 1 },
13274		{ "VFID", 15, 9 },
13275		{ "TC", 12, 3 },
13276		{ "VFVld", 11, 1 },
13277		{ "PF", 8, 3 },
13278		{ "RVF", 0, 8 },
13279	{ "PCIE_FID_VFID", 0x4b28, 0 },
13280		{ "Select", 30, 2 },
13281		{ "IDO", 24, 1 },
13282		{ "VFID", 15, 9 },
13283		{ "TC", 12, 3 },
13284		{ "VFVld", 11, 1 },
13285		{ "PF", 8, 3 },
13286		{ "RVF", 0, 8 },
13287	{ "PCIE_FID_VFID", 0x4b2c, 0 },
13288		{ "Select", 30, 2 },
13289		{ "IDO", 24, 1 },
13290		{ "VFID", 15, 9 },
13291		{ "TC", 12, 3 },
13292		{ "VFVld", 11, 1 },
13293		{ "PF", 8, 3 },
13294		{ "RVF", 0, 8 },
13295	{ "PCIE_FID_VFID", 0x4b30, 0 },
13296		{ "Select", 30, 2 },
13297		{ "IDO", 24, 1 },
13298		{ "VFID", 15, 9 },
13299		{ "TC", 12, 3 },
13300		{ "VFVld", 11, 1 },
13301		{ "PF", 8, 3 },
13302		{ "RVF", 0, 8 },
13303	{ "PCIE_FID_VFID", 0x4b34, 0 },
13304		{ "Select", 30, 2 },
13305		{ "IDO", 24, 1 },
13306		{ "VFID", 15, 9 },
13307		{ "TC", 12, 3 },
13308		{ "VFVld", 11, 1 },
13309		{ "PF", 8, 3 },
13310		{ "RVF", 0, 8 },
13311	{ "PCIE_FID_VFID", 0x4b38, 0 },
13312		{ "Select", 30, 2 },
13313		{ "IDO", 24, 1 },
13314		{ "VFID", 15, 9 },
13315		{ "TC", 12, 3 },
13316		{ "VFVld", 11, 1 },
13317		{ "PF", 8, 3 },
13318		{ "RVF", 0, 8 },
13319	{ "PCIE_FID_VFID", 0x4b3c, 0 },
13320		{ "Select", 30, 2 },
13321		{ "IDO", 24, 1 },
13322		{ "VFID", 15, 9 },
13323		{ "TC", 12, 3 },
13324		{ "VFVld", 11, 1 },
13325		{ "PF", 8, 3 },
13326		{ "RVF", 0, 8 },
13327	{ "PCIE_FID_VFID", 0x4b40, 0 },
13328		{ "Select", 30, 2 },
13329		{ "IDO", 24, 1 },
13330		{ "VFID", 15, 9 },
13331		{ "TC", 12, 3 },
13332		{ "VFVld", 11, 1 },
13333		{ "PF", 8, 3 },
13334		{ "RVF", 0, 8 },
13335	{ "PCIE_FID_VFID", 0x4b44, 0 },
13336		{ "Select", 30, 2 },
13337		{ "IDO", 24, 1 },
13338		{ "VFID", 15, 9 },
13339		{ "TC", 12, 3 },
13340		{ "VFVld", 11, 1 },
13341		{ "PF", 8, 3 },
13342		{ "RVF", 0, 8 },
13343	{ "PCIE_FID_VFID", 0x4b48, 0 },
13344		{ "Select", 30, 2 },
13345		{ "IDO", 24, 1 },
13346		{ "VFID", 15, 9 },
13347		{ "TC", 12, 3 },
13348		{ "VFVld", 11, 1 },
13349		{ "PF", 8, 3 },
13350		{ "RVF", 0, 8 },
13351	{ "PCIE_FID_VFID", 0x4b4c, 0 },
13352		{ "Select", 30, 2 },
13353		{ "IDO", 24, 1 },
13354		{ "VFID", 15, 9 },
13355		{ "TC", 12, 3 },
13356		{ "VFVld", 11, 1 },
13357		{ "PF", 8, 3 },
13358		{ "RVF", 0, 8 },
13359	{ "PCIE_FID_VFID", 0x4b50, 0 },
13360		{ "Select", 30, 2 },
13361		{ "IDO", 24, 1 },
13362		{ "VFID", 15, 9 },
13363		{ "TC", 12, 3 },
13364		{ "VFVld", 11, 1 },
13365		{ "PF", 8, 3 },
13366		{ "RVF", 0, 8 },
13367	{ "PCIE_FID_VFID", 0x4b54, 0 },
13368		{ "Select", 30, 2 },
13369		{ "IDO", 24, 1 },
13370		{ "VFID", 15, 9 },
13371		{ "TC", 12, 3 },
13372		{ "VFVld", 11, 1 },
13373		{ "PF", 8, 3 },
13374		{ "RVF", 0, 8 },
13375	{ "PCIE_FID_VFID", 0x4b58, 0 },
13376		{ "Select", 30, 2 },
13377		{ "IDO", 24, 1 },
13378		{ "VFID", 15, 9 },
13379		{ "TC", 12, 3 },
13380		{ "VFVld", 11, 1 },
13381		{ "PF", 8, 3 },
13382		{ "RVF", 0, 8 },
13383	{ "PCIE_FID_VFID", 0x4b5c, 0 },
13384		{ "Select", 30, 2 },
13385		{ "IDO", 24, 1 },
13386		{ "VFID", 15, 9 },
13387		{ "TC", 12, 3 },
13388		{ "VFVld", 11, 1 },
13389		{ "PF", 8, 3 },
13390		{ "RVF", 0, 8 },
13391	{ "PCIE_FID_VFID", 0x4b60, 0 },
13392		{ "Select", 30, 2 },
13393		{ "IDO", 24, 1 },
13394		{ "VFID", 15, 9 },
13395		{ "TC", 12, 3 },
13396		{ "VFVld", 11, 1 },
13397		{ "PF", 8, 3 },
13398		{ "RVF", 0, 8 },
13399	{ "PCIE_FID_VFID", 0x4b64, 0 },
13400		{ "Select", 30, 2 },
13401		{ "IDO", 24, 1 },
13402		{ "VFID", 15, 9 },
13403		{ "TC", 12, 3 },
13404		{ "VFVld", 11, 1 },
13405		{ "PF", 8, 3 },
13406		{ "RVF", 0, 8 },
13407	{ "PCIE_FID_VFID", 0x4b68, 0 },
13408		{ "Select", 30, 2 },
13409		{ "IDO", 24, 1 },
13410		{ "VFID", 15, 9 },
13411		{ "TC", 12, 3 },
13412		{ "VFVld", 11, 1 },
13413		{ "PF", 8, 3 },
13414		{ "RVF", 0, 8 },
13415	{ "PCIE_FID_VFID", 0x4b6c, 0 },
13416		{ "Select", 30, 2 },
13417		{ "IDO", 24, 1 },
13418		{ "VFID", 15, 9 },
13419		{ "TC", 12, 3 },
13420		{ "VFVld", 11, 1 },
13421		{ "PF", 8, 3 },
13422		{ "RVF", 0, 8 },
13423	{ "PCIE_FID_VFID", 0x4b70, 0 },
13424		{ "Select", 30, 2 },
13425		{ "IDO", 24, 1 },
13426		{ "VFID", 15, 9 },
13427		{ "TC", 12, 3 },
13428		{ "VFVld", 11, 1 },
13429		{ "PF", 8, 3 },
13430		{ "RVF", 0, 8 },
13431	{ "PCIE_FID_VFID", 0x4b74, 0 },
13432		{ "Select", 30, 2 },
13433		{ "IDO", 24, 1 },
13434		{ "VFID", 15, 9 },
13435		{ "TC", 12, 3 },
13436		{ "VFVld", 11, 1 },
13437		{ "PF", 8, 3 },
13438		{ "RVF", 0, 8 },
13439	{ "PCIE_FID_VFID", 0x4b78, 0 },
13440		{ "Select", 30, 2 },
13441		{ "IDO", 24, 1 },
13442		{ "VFID", 15, 9 },
13443		{ "TC", 12, 3 },
13444		{ "VFVld", 11, 1 },
13445		{ "PF", 8, 3 },
13446		{ "RVF", 0, 8 },
13447	{ "PCIE_FID_VFID", 0x4b7c, 0 },
13448		{ "Select", 30, 2 },
13449		{ "IDO", 24, 1 },
13450		{ "VFID", 15, 9 },
13451		{ "TC", 12, 3 },
13452		{ "VFVld", 11, 1 },
13453		{ "PF", 8, 3 },
13454		{ "RVF", 0, 8 },
13455	{ "PCIE_FID_VFID", 0x4b80, 0 },
13456		{ "Select", 30, 2 },
13457		{ "IDO", 24, 1 },
13458		{ "VFID", 15, 9 },
13459		{ "TC", 12, 3 },
13460		{ "VFVld", 11, 1 },
13461		{ "PF", 8, 3 },
13462		{ "RVF", 0, 8 },
13463	{ "PCIE_FID_VFID", 0x4b84, 0 },
13464		{ "Select", 30, 2 },
13465		{ "IDO", 24, 1 },
13466		{ "VFID", 15, 9 },
13467		{ "TC", 12, 3 },
13468		{ "VFVld", 11, 1 },
13469		{ "PF", 8, 3 },
13470		{ "RVF", 0, 8 },
13471	{ "PCIE_FID_VFID", 0x4b88, 0 },
13472		{ "Select", 30, 2 },
13473		{ "IDO", 24, 1 },
13474		{ "VFID", 15, 9 },
13475		{ "TC", 12, 3 },
13476		{ "VFVld", 11, 1 },
13477		{ "PF", 8, 3 },
13478		{ "RVF", 0, 8 },
13479	{ "PCIE_FID_VFID", 0x4b8c, 0 },
13480		{ "Select", 30, 2 },
13481		{ "IDO", 24, 1 },
13482		{ "VFID", 15, 9 },
13483		{ "TC", 12, 3 },
13484		{ "VFVld", 11, 1 },
13485		{ "PF", 8, 3 },
13486		{ "RVF", 0, 8 },
13487	{ "PCIE_FID_VFID", 0x4b90, 0 },
13488		{ "Select", 30, 2 },
13489		{ "IDO", 24, 1 },
13490		{ "VFID", 15, 9 },
13491		{ "TC", 12, 3 },
13492		{ "VFVld", 11, 1 },
13493		{ "PF", 8, 3 },
13494		{ "RVF", 0, 8 },
13495	{ "PCIE_FID_VFID", 0x4b94, 0 },
13496		{ "Select", 30, 2 },
13497		{ "IDO", 24, 1 },
13498		{ "VFID", 15, 9 },
13499		{ "TC", 12, 3 },
13500		{ "VFVld", 11, 1 },
13501		{ "PF", 8, 3 },
13502		{ "RVF", 0, 8 },
13503	{ "PCIE_FID_VFID", 0x4b98, 0 },
13504		{ "Select", 30, 2 },
13505		{ "IDO", 24, 1 },
13506		{ "VFID", 15, 9 },
13507		{ "TC", 12, 3 },
13508		{ "VFVld", 11, 1 },
13509		{ "PF", 8, 3 },
13510		{ "RVF", 0, 8 },
13511	{ "PCIE_FID_VFID", 0x4b9c, 0 },
13512		{ "Select", 30, 2 },
13513		{ "IDO", 24, 1 },
13514		{ "VFID", 15, 9 },
13515		{ "TC", 12, 3 },
13516		{ "VFVld", 11, 1 },
13517		{ "PF", 8, 3 },
13518		{ "RVF", 0, 8 },
13519	{ "PCIE_FID_VFID", 0x4ba0, 0 },
13520		{ "Select", 30, 2 },
13521		{ "IDO", 24, 1 },
13522		{ "VFID", 15, 9 },
13523		{ "TC", 12, 3 },
13524		{ "VFVld", 11, 1 },
13525		{ "PF", 8, 3 },
13526		{ "RVF", 0, 8 },
13527	{ "PCIE_FID_VFID", 0x4ba4, 0 },
13528		{ "Select", 30, 2 },
13529		{ "IDO", 24, 1 },
13530		{ "VFID", 15, 9 },
13531		{ "TC", 12, 3 },
13532		{ "VFVld", 11, 1 },
13533		{ "PF", 8, 3 },
13534		{ "RVF", 0, 8 },
13535	{ "PCIE_FID_VFID", 0x4ba8, 0 },
13536		{ "Select", 30, 2 },
13537		{ "IDO", 24, 1 },
13538		{ "VFID", 15, 9 },
13539		{ "TC", 12, 3 },
13540		{ "VFVld", 11, 1 },
13541		{ "PF", 8, 3 },
13542		{ "RVF", 0, 8 },
13543	{ "PCIE_FID_VFID", 0x4bac, 0 },
13544		{ "Select", 30, 2 },
13545		{ "IDO", 24, 1 },
13546		{ "VFID", 15, 9 },
13547		{ "TC", 12, 3 },
13548		{ "VFVld", 11, 1 },
13549		{ "PF", 8, 3 },
13550		{ "RVF", 0, 8 },
13551	{ "PCIE_FID_VFID", 0x4bb0, 0 },
13552		{ "Select", 30, 2 },
13553		{ "IDO", 24, 1 },
13554		{ "VFID", 15, 9 },
13555		{ "TC", 12, 3 },
13556		{ "VFVld", 11, 1 },
13557		{ "PF", 8, 3 },
13558		{ "RVF", 0, 8 },
13559	{ "PCIE_FID_VFID", 0x4bb4, 0 },
13560		{ "Select", 30, 2 },
13561		{ "IDO", 24, 1 },
13562		{ "VFID", 15, 9 },
13563		{ "TC", 12, 3 },
13564		{ "VFVld", 11, 1 },
13565		{ "PF", 8, 3 },
13566		{ "RVF", 0, 8 },
13567	{ "PCIE_FID_VFID", 0x4bb8, 0 },
13568		{ "Select", 30, 2 },
13569		{ "IDO", 24, 1 },
13570		{ "VFID", 15, 9 },
13571		{ "TC", 12, 3 },
13572		{ "VFVld", 11, 1 },
13573		{ "PF", 8, 3 },
13574		{ "RVF", 0, 8 },
13575	{ "PCIE_FID_VFID", 0x4bbc, 0 },
13576		{ "Select", 30, 2 },
13577		{ "IDO", 24, 1 },
13578		{ "VFID", 15, 9 },
13579		{ "TC", 12, 3 },
13580		{ "VFVld", 11, 1 },
13581		{ "PF", 8, 3 },
13582		{ "RVF", 0, 8 },
13583	{ "PCIE_FID_VFID", 0x4bc0, 0 },
13584		{ "Select", 30, 2 },
13585		{ "IDO", 24, 1 },
13586		{ "VFID", 15, 9 },
13587		{ "TC", 12, 3 },
13588		{ "VFVld", 11, 1 },
13589		{ "PF", 8, 3 },
13590		{ "RVF", 0, 8 },
13591	{ "PCIE_FID_VFID", 0x4bc4, 0 },
13592		{ "Select", 30, 2 },
13593		{ "IDO", 24, 1 },
13594		{ "VFID", 15, 9 },
13595		{ "TC", 12, 3 },
13596		{ "VFVld", 11, 1 },
13597		{ "PF", 8, 3 },
13598		{ "RVF", 0, 8 },
13599	{ "PCIE_FID_VFID", 0x4bc8, 0 },
13600		{ "Select", 30, 2 },
13601		{ "IDO", 24, 1 },
13602		{ "VFID", 15, 9 },
13603		{ "TC", 12, 3 },
13604		{ "VFVld", 11, 1 },
13605		{ "PF", 8, 3 },
13606		{ "RVF", 0, 8 },
13607	{ "PCIE_FID_VFID", 0x4bcc, 0 },
13608		{ "Select", 30, 2 },
13609		{ "IDO", 24, 1 },
13610		{ "VFID", 15, 9 },
13611		{ "TC", 12, 3 },
13612		{ "VFVld", 11, 1 },
13613		{ "PF", 8, 3 },
13614		{ "RVF", 0, 8 },
13615	{ "PCIE_FID_VFID", 0x4bd0, 0 },
13616		{ "Select", 30, 2 },
13617		{ "IDO", 24, 1 },
13618		{ "VFID", 15, 9 },
13619		{ "TC", 12, 3 },
13620		{ "VFVld", 11, 1 },
13621		{ "PF", 8, 3 },
13622		{ "RVF", 0, 8 },
13623	{ "PCIE_FID_VFID", 0x4bd4, 0 },
13624		{ "Select", 30, 2 },
13625		{ "IDO", 24, 1 },
13626		{ "VFID", 15, 9 },
13627		{ "TC", 12, 3 },
13628		{ "VFVld", 11, 1 },
13629		{ "PF", 8, 3 },
13630		{ "RVF", 0, 8 },
13631	{ "PCIE_FID_VFID", 0x4bd8, 0 },
13632		{ "Select", 30, 2 },
13633		{ "IDO", 24, 1 },
13634		{ "VFID", 15, 9 },
13635		{ "TC", 12, 3 },
13636		{ "VFVld", 11, 1 },
13637		{ "PF", 8, 3 },
13638		{ "RVF", 0, 8 },
13639	{ "PCIE_FID_VFID", 0x4bdc, 0 },
13640		{ "Select", 30, 2 },
13641		{ "IDO", 24, 1 },
13642		{ "VFID", 15, 9 },
13643		{ "TC", 12, 3 },
13644		{ "VFVld", 11, 1 },
13645		{ "PF", 8, 3 },
13646		{ "RVF", 0, 8 },
13647	{ "PCIE_FID_VFID", 0x4be0, 0 },
13648		{ "Select", 30, 2 },
13649		{ "IDO", 24, 1 },
13650		{ "VFID", 15, 9 },
13651		{ "TC", 12, 3 },
13652		{ "VFVld", 11, 1 },
13653		{ "PF", 8, 3 },
13654		{ "RVF", 0, 8 },
13655	{ "PCIE_FID_VFID", 0x4be4, 0 },
13656		{ "Select", 30, 2 },
13657		{ "IDO", 24, 1 },
13658		{ "VFID", 15, 9 },
13659		{ "TC", 12, 3 },
13660		{ "VFVld", 11, 1 },
13661		{ "PF", 8, 3 },
13662		{ "RVF", 0, 8 },
13663	{ "PCIE_FID_VFID", 0x4be8, 0 },
13664		{ "Select", 30, 2 },
13665		{ "IDO", 24, 1 },
13666		{ "VFID", 15, 9 },
13667		{ "TC", 12, 3 },
13668		{ "VFVld", 11, 1 },
13669		{ "PF", 8, 3 },
13670		{ "RVF", 0, 8 },
13671	{ "PCIE_FID_VFID", 0x4bec, 0 },
13672		{ "Select", 30, 2 },
13673		{ "IDO", 24, 1 },
13674		{ "VFID", 15, 9 },
13675		{ "TC", 12, 3 },
13676		{ "VFVld", 11, 1 },
13677		{ "PF", 8, 3 },
13678		{ "RVF", 0, 8 },
13679	{ "PCIE_FID_VFID", 0x4bf0, 0 },
13680		{ "Select", 30, 2 },
13681		{ "IDO", 24, 1 },
13682		{ "VFID", 15, 9 },
13683		{ "TC", 12, 3 },
13684		{ "VFVld", 11, 1 },
13685		{ "PF", 8, 3 },
13686		{ "RVF", 0, 8 },
13687	{ "PCIE_FID_VFID", 0x4bf4, 0 },
13688		{ "Select", 30, 2 },
13689		{ "IDO", 24, 1 },
13690		{ "VFID", 15, 9 },
13691		{ "TC", 12, 3 },
13692		{ "VFVld", 11, 1 },
13693		{ "PF", 8, 3 },
13694		{ "RVF", 0, 8 },
13695	{ "PCIE_FID_VFID", 0x4bf8, 0 },
13696		{ "Select", 30, 2 },
13697		{ "IDO", 24, 1 },
13698		{ "VFID", 15, 9 },
13699		{ "TC", 12, 3 },
13700		{ "VFVld", 11, 1 },
13701		{ "PF", 8, 3 },
13702		{ "RVF", 0, 8 },
13703	{ "PCIE_FID_VFID", 0x4bfc, 0 },
13704		{ "Select", 30, 2 },
13705		{ "IDO", 24, 1 },
13706		{ "VFID", 15, 9 },
13707		{ "TC", 12, 3 },
13708		{ "VFVld", 11, 1 },
13709		{ "PF", 8, 3 },
13710		{ "RVF", 0, 8 },
13711	{ "PCIE_FID_VFID", 0x4c00, 0 },
13712		{ "Select", 30, 2 },
13713		{ "IDO", 24, 1 },
13714		{ "VFID", 15, 9 },
13715		{ "TC", 12, 3 },
13716		{ "VFVld", 11, 1 },
13717		{ "PF", 8, 3 },
13718		{ "RVF", 0, 8 },
13719	{ "PCIE_FID_VFID", 0x4c04, 0 },
13720		{ "Select", 30, 2 },
13721		{ "IDO", 24, 1 },
13722		{ "VFID", 15, 9 },
13723		{ "TC", 12, 3 },
13724		{ "VFVld", 11, 1 },
13725		{ "PF", 8, 3 },
13726		{ "RVF", 0, 8 },
13727	{ "PCIE_FID_VFID", 0x4c08, 0 },
13728		{ "Select", 30, 2 },
13729		{ "IDO", 24, 1 },
13730		{ "VFID", 15, 9 },
13731		{ "TC", 12, 3 },
13732		{ "VFVld", 11, 1 },
13733		{ "PF", 8, 3 },
13734		{ "RVF", 0, 8 },
13735	{ "PCIE_FID_VFID", 0x4c0c, 0 },
13736		{ "Select", 30, 2 },
13737		{ "IDO", 24, 1 },
13738		{ "VFID", 15, 9 },
13739		{ "TC", 12, 3 },
13740		{ "VFVld", 11, 1 },
13741		{ "PF", 8, 3 },
13742		{ "RVF", 0, 8 },
13743	{ "PCIE_FID_VFID", 0x4c10, 0 },
13744		{ "Select", 30, 2 },
13745		{ "IDO", 24, 1 },
13746		{ "VFID", 15, 9 },
13747		{ "TC", 12, 3 },
13748		{ "VFVld", 11, 1 },
13749		{ "PF", 8, 3 },
13750		{ "RVF", 0, 8 },
13751	{ "PCIE_FID_VFID", 0x4c14, 0 },
13752		{ "Select", 30, 2 },
13753		{ "IDO", 24, 1 },
13754		{ "VFID", 15, 9 },
13755		{ "TC", 12, 3 },
13756		{ "VFVld", 11, 1 },
13757		{ "PF", 8, 3 },
13758		{ "RVF", 0, 8 },
13759	{ "PCIE_FID_VFID", 0x4c18, 0 },
13760		{ "Select", 30, 2 },
13761		{ "IDO", 24, 1 },
13762		{ "VFID", 15, 9 },
13763		{ "TC", 12, 3 },
13764		{ "VFVld", 11, 1 },
13765		{ "PF", 8, 3 },
13766		{ "RVF", 0, 8 },
13767	{ "PCIE_FID_VFID", 0x4c1c, 0 },
13768		{ "Select", 30, 2 },
13769		{ "IDO", 24, 1 },
13770		{ "VFID", 15, 9 },
13771		{ "TC", 12, 3 },
13772		{ "VFVld", 11, 1 },
13773		{ "PF", 8, 3 },
13774		{ "RVF", 0, 8 },
13775	{ "PCIE_FID_VFID", 0x4c20, 0 },
13776		{ "Select", 30, 2 },
13777		{ "IDO", 24, 1 },
13778		{ "VFID", 15, 9 },
13779		{ "TC", 12, 3 },
13780		{ "VFVld", 11, 1 },
13781		{ "PF", 8, 3 },
13782		{ "RVF", 0, 8 },
13783	{ "PCIE_FID_VFID", 0x4c24, 0 },
13784		{ "Select", 30, 2 },
13785		{ "IDO", 24, 1 },
13786		{ "VFID", 15, 9 },
13787		{ "TC", 12, 3 },
13788		{ "VFVld", 11, 1 },
13789		{ "PF", 8, 3 },
13790		{ "RVF", 0, 8 },
13791	{ "PCIE_FID_VFID", 0x4c28, 0 },
13792		{ "Select", 30, 2 },
13793		{ "IDO", 24, 1 },
13794		{ "VFID", 15, 9 },
13795		{ "TC", 12, 3 },
13796		{ "VFVld", 11, 1 },
13797		{ "PF", 8, 3 },
13798		{ "RVF", 0, 8 },
13799	{ "PCIE_FID_VFID", 0x4c2c, 0 },
13800		{ "Select", 30, 2 },
13801		{ "IDO", 24, 1 },
13802		{ "VFID", 15, 9 },
13803		{ "TC", 12, 3 },
13804		{ "VFVld", 11, 1 },
13805		{ "PF", 8, 3 },
13806		{ "RVF", 0, 8 },
13807	{ "PCIE_FID_VFID", 0x4c30, 0 },
13808		{ "Select", 30, 2 },
13809		{ "IDO", 24, 1 },
13810		{ "VFID", 15, 9 },
13811		{ "TC", 12, 3 },
13812		{ "VFVld", 11, 1 },
13813		{ "PF", 8, 3 },
13814		{ "RVF", 0, 8 },
13815	{ "PCIE_FID_VFID", 0x4c34, 0 },
13816		{ "Select", 30, 2 },
13817		{ "IDO", 24, 1 },
13818		{ "VFID", 15, 9 },
13819		{ "TC", 12, 3 },
13820		{ "VFVld", 11, 1 },
13821		{ "PF", 8, 3 },
13822		{ "RVF", 0, 8 },
13823	{ "PCIE_FID_VFID", 0x4c38, 0 },
13824		{ "Select", 30, 2 },
13825		{ "IDO", 24, 1 },
13826		{ "VFID", 15, 9 },
13827		{ "TC", 12, 3 },
13828		{ "VFVld", 11, 1 },
13829		{ "PF", 8, 3 },
13830		{ "RVF", 0, 8 },
13831	{ "PCIE_FID_VFID", 0x4c3c, 0 },
13832		{ "Select", 30, 2 },
13833		{ "IDO", 24, 1 },
13834		{ "VFID", 15, 9 },
13835		{ "TC", 12, 3 },
13836		{ "VFVld", 11, 1 },
13837		{ "PF", 8, 3 },
13838		{ "RVF", 0, 8 },
13839	{ "PCIE_FID_VFID", 0x4c40, 0 },
13840		{ "Select", 30, 2 },
13841		{ "IDO", 24, 1 },
13842		{ "VFID", 15, 9 },
13843		{ "TC", 12, 3 },
13844		{ "VFVld", 11, 1 },
13845		{ "PF", 8, 3 },
13846		{ "RVF", 0, 8 },
13847	{ "PCIE_FID_VFID", 0x4c44, 0 },
13848		{ "Select", 30, 2 },
13849		{ "IDO", 24, 1 },
13850		{ "VFID", 15, 9 },
13851		{ "TC", 12, 3 },
13852		{ "VFVld", 11, 1 },
13853		{ "PF", 8, 3 },
13854		{ "RVF", 0, 8 },
13855	{ "PCIE_FID_VFID", 0x4c48, 0 },
13856		{ "Select", 30, 2 },
13857		{ "IDO", 24, 1 },
13858		{ "VFID", 15, 9 },
13859		{ "TC", 12, 3 },
13860		{ "VFVld", 11, 1 },
13861		{ "PF", 8, 3 },
13862		{ "RVF", 0, 8 },
13863	{ "PCIE_FID_VFID", 0x4c4c, 0 },
13864		{ "Select", 30, 2 },
13865		{ "IDO", 24, 1 },
13866		{ "VFID", 15, 9 },
13867		{ "TC", 12, 3 },
13868		{ "VFVld", 11, 1 },
13869		{ "PF", 8, 3 },
13870		{ "RVF", 0, 8 },
13871	{ "PCIE_FID_VFID", 0x4c50, 0 },
13872		{ "Select", 30, 2 },
13873		{ "IDO", 24, 1 },
13874		{ "VFID", 15, 9 },
13875		{ "TC", 12, 3 },
13876		{ "VFVld", 11, 1 },
13877		{ "PF", 8, 3 },
13878		{ "RVF", 0, 8 },
13879	{ "PCIE_FID_VFID", 0x4c54, 0 },
13880		{ "Select", 30, 2 },
13881		{ "IDO", 24, 1 },
13882		{ "VFID", 15, 9 },
13883		{ "TC", 12, 3 },
13884		{ "VFVld", 11, 1 },
13885		{ "PF", 8, 3 },
13886		{ "RVF", 0, 8 },
13887	{ "PCIE_FID_VFID", 0x4c58, 0 },
13888		{ "Select", 30, 2 },
13889		{ "IDO", 24, 1 },
13890		{ "VFID", 15, 9 },
13891		{ "TC", 12, 3 },
13892		{ "VFVld", 11, 1 },
13893		{ "PF", 8, 3 },
13894		{ "RVF", 0, 8 },
13895	{ "PCIE_FID_VFID", 0x4c5c, 0 },
13896		{ "Select", 30, 2 },
13897		{ "IDO", 24, 1 },
13898		{ "VFID", 15, 9 },
13899		{ "TC", 12, 3 },
13900		{ "VFVld", 11, 1 },
13901		{ "PF", 8, 3 },
13902		{ "RVF", 0, 8 },
13903	{ "PCIE_FID_VFID", 0x4c60, 0 },
13904		{ "Select", 30, 2 },
13905		{ "IDO", 24, 1 },
13906		{ "VFID", 15, 9 },
13907		{ "TC", 12, 3 },
13908		{ "VFVld", 11, 1 },
13909		{ "PF", 8, 3 },
13910		{ "RVF", 0, 8 },
13911	{ "PCIE_FID_VFID", 0x4c64, 0 },
13912		{ "Select", 30, 2 },
13913		{ "IDO", 24, 1 },
13914		{ "VFID", 15, 9 },
13915		{ "TC", 12, 3 },
13916		{ "VFVld", 11, 1 },
13917		{ "PF", 8, 3 },
13918		{ "RVF", 0, 8 },
13919	{ "PCIE_FID_VFID", 0x4c68, 0 },
13920		{ "Select", 30, 2 },
13921		{ "IDO", 24, 1 },
13922		{ "VFID", 15, 9 },
13923		{ "TC", 12, 3 },
13924		{ "VFVld", 11, 1 },
13925		{ "PF", 8, 3 },
13926		{ "RVF", 0, 8 },
13927	{ "PCIE_FID_VFID", 0x4c6c, 0 },
13928		{ "Select", 30, 2 },
13929		{ "IDO", 24, 1 },
13930		{ "VFID", 15, 9 },
13931		{ "TC", 12, 3 },
13932		{ "VFVld", 11, 1 },
13933		{ "PF", 8, 3 },
13934		{ "RVF", 0, 8 },
13935	{ "PCIE_FID_VFID", 0x4c70, 0 },
13936		{ "Select", 30, 2 },
13937		{ "IDO", 24, 1 },
13938		{ "VFID", 15, 9 },
13939		{ "TC", 12, 3 },
13940		{ "VFVld", 11, 1 },
13941		{ "PF", 8, 3 },
13942		{ "RVF", 0, 8 },
13943	{ "PCIE_FID_VFID", 0x4c74, 0 },
13944		{ "Select", 30, 2 },
13945		{ "IDO", 24, 1 },
13946		{ "VFID", 15, 9 },
13947		{ "TC", 12, 3 },
13948		{ "VFVld", 11, 1 },
13949		{ "PF", 8, 3 },
13950		{ "RVF", 0, 8 },
13951	{ "PCIE_FID_VFID", 0x4c78, 0 },
13952		{ "Select", 30, 2 },
13953		{ "IDO", 24, 1 },
13954		{ "VFID", 15, 9 },
13955		{ "TC", 12, 3 },
13956		{ "VFVld", 11, 1 },
13957		{ "PF", 8, 3 },
13958		{ "RVF", 0, 8 },
13959	{ "PCIE_FID_VFID", 0x4c7c, 0 },
13960		{ "Select", 30, 2 },
13961		{ "IDO", 24, 1 },
13962		{ "VFID", 15, 9 },
13963		{ "TC", 12, 3 },
13964		{ "VFVld", 11, 1 },
13965		{ "PF", 8, 3 },
13966		{ "RVF", 0, 8 },
13967	{ "PCIE_FID_VFID", 0x4c80, 0 },
13968		{ "Select", 30, 2 },
13969		{ "IDO", 24, 1 },
13970		{ "VFID", 15, 9 },
13971		{ "TC", 12, 3 },
13972		{ "VFVld", 11, 1 },
13973		{ "PF", 8, 3 },
13974		{ "RVF", 0, 8 },
13975	{ "PCIE_FID_VFID", 0x4c84, 0 },
13976		{ "Select", 30, 2 },
13977		{ "IDO", 24, 1 },
13978		{ "VFID", 15, 9 },
13979		{ "TC", 12, 3 },
13980		{ "VFVld", 11, 1 },
13981		{ "PF", 8, 3 },
13982		{ "RVF", 0, 8 },
13983	{ "PCIE_FID_VFID", 0x4c88, 0 },
13984		{ "Select", 30, 2 },
13985		{ "IDO", 24, 1 },
13986		{ "VFID", 15, 9 },
13987		{ "TC", 12, 3 },
13988		{ "VFVld", 11, 1 },
13989		{ "PF", 8, 3 },
13990		{ "RVF", 0, 8 },
13991	{ "PCIE_FID_VFID", 0x4c8c, 0 },
13992		{ "Select", 30, 2 },
13993		{ "IDO", 24, 1 },
13994		{ "VFID", 15, 9 },
13995		{ "TC", 12, 3 },
13996		{ "VFVld", 11, 1 },
13997		{ "PF", 8, 3 },
13998		{ "RVF", 0, 8 },
13999	{ "PCIE_FID_VFID", 0x4c90, 0 },
14000		{ "Select", 30, 2 },
14001		{ "IDO", 24, 1 },
14002		{ "VFID", 15, 9 },
14003		{ "TC", 12, 3 },
14004		{ "VFVld", 11, 1 },
14005		{ "PF", 8, 3 },
14006		{ "RVF", 0, 8 },
14007	{ "PCIE_FID_VFID", 0x4c94, 0 },
14008		{ "Select", 30, 2 },
14009		{ "IDO", 24, 1 },
14010		{ "VFID", 15, 9 },
14011		{ "TC", 12, 3 },
14012		{ "VFVld", 11, 1 },
14013		{ "PF", 8, 3 },
14014		{ "RVF", 0, 8 },
14015	{ "PCIE_FID_VFID", 0x4c98, 0 },
14016		{ "Select", 30, 2 },
14017		{ "IDO", 24, 1 },
14018		{ "VFID", 15, 9 },
14019		{ "TC", 12, 3 },
14020		{ "VFVld", 11, 1 },
14021		{ "PF", 8, 3 },
14022		{ "RVF", 0, 8 },
14023	{ "PCIE_FID_VFID", 0x4c9c, 0 },
14024		{ "Select", 30, 2 },
14025		{ "IDO", 24, 1 },
14026		{ "VFID", 15, 9 },
14027		{ "TC", 12, 3 },
14028		{ "VFVld", 11, 1 },
14029		{ "PF", 8, 3 },
14030		{ "RVF", 0, 8 },
14031	{ "PCIE_FID_VFID", 0x4ca0, 0 },
14032		{ "Select", 30, 2 },
14033		{ "IDO", 24, 1 },
14034		{ "VFID", 15, 9 },
14035		{ "TC", 12, 3 },
14036		{ "VFVld", 11, 1 },
14037		{ "PF", 8, 3 },
14038		{ "RVF", 0, 8 },
14039	{ "PCIE_FID_VFID", 0x4ca4, 0 },
14040		{ "Select", 30, 2 },
14041		{ "IDO", 24, 1 },
14042		{ "VFID", 15, 9 },
14043		{ "TC", 12, 3 },
14044		{ "VFVld", 11, 1 },
14045		{ "PF", 8, 3 },
14046		{ "RVF", 0, 8 },
14047	{ "PCIE_FID_VFID", 0x4ca8, 0 },
14048		{ "Select", 30, 2 },
14049		{ "IDO", 24, 1 },
14050		{ "VFID", 15, 9 },
14051		{ "TC", 12, 3 },
14052		{ "VFVld", 11, 1 },
14053		{ "PF", 8, 3 },
14054		{ "RVF", 0, 8 },
14055	{ "PCIE_FID_VFID", 0x4cac, 0 },
14056		{ "Select", 30, 2 },
14057		{ "IDO", 24, 1 },
14058		{ "VFID", 15, 9 },
14059		{ "TC", 12, 3 },
14060		{ "VFVld", 11, 1 },
14061		{ "PF", 8, 3 },
14062		{ "RVF", 0, 8 },
14063	{ "PCIE_FID_VFID", 0x4cb0, 0 },
14064		{ "Select", 30, 2 },
14065		{ "IDO", 24, 1 },
14066		{ "VFID", 15, 9 },
14067		{ "TC", 12, 3 },
14068		{ "VFVld", 11, 1 },
14069		{ "PF", 8, 3 },
14070		{ "RVF", 0, 8 },
14071	{ "PCIE_FID_VFID", 0x4cb4, 0 },
14072		{ "Select", 30, 2 },
14073		{ "IDO", 24, 1 },
14074		{ "VFID", 15, 9 },
14075		{ "TC", 12, 3 },
14076		{ "VFVld", 11, 1 },
14077		{ "PF", 8, 3 },
14078		{ "RVF", 0, 8 },
14079	{ "PCIE_FID_VFID", 0x4cb8, 0 },
14080		{ "Select", 30, 2 },
14081		{ "IDO", 24, 1 },
14082		{ "VFID", 15, 9 },
14083		{ "TC", 12, 3 },
14084		{ "VFVld", 11, 1 },
14085		{ "PF", 8, 3 },
14086		{ "RVF", 0, 8 },
14087	{ "PCIE_FID_VFID", 0x4cbc, 0 },
14088		{ "Select", 30, 2 },
14089		{ "IDO", 24, 1 },
14090		{ "VFID", 15, 9 },
14091		{ "TC", 12, 3 },
14092		{ "VFVld", 11, 1 },
14093		{ "PF", 8, 3 },
14094		{ "RVF", 0, 8 },
14095	{ "PCIE_FID_VFID", 0x4cc0, 0 },
14096		{ "Select", 30, 2 },
14097		{ "IDO", 24, 1 },
14098		{ "VFID", 15, 9 },
14099		{ "TC", 12, 3 },
14100		{ "VFVld", 11, 1 },
14101		{ "PF", 8, 3 },
14102		{ "RVF", 0, 8 },
14103	{ "PCIE_FID_VFID", 0x4cc4, 0 },
14104		{ "Select", 30, 2 },
14105		{ "IDO", 24, 1 },
14106		{ "VFID", 15, 9 },
14107		{ "TC", 12, 3 },
14108		{ "VFVld", 11, 1 },
14109		{ "PF", 8, 3 },
14110		{ "RVF", 0, 8 },
14111	{ "PCIE_FID_VFID", 0x4cc8, 0 },
14112		{ "Select", 30, 2 },
14113		{ "IDO", 24, 1 },
14114		{ "VFID", 15, 9 },
14115		{ "TC", 12, 3 },
14116		{ "VFVld", 11, 1 },
14117		{ "PF", 8, 3 },
14118		{ "RVF", 0, 8 },
14119	{ "PCIE_FID_VFID", 0x4ccc, 0 },
14120		{ "Select", 30, 2 },
14121		{ "IDO", 24, 1 },
14122		{ "VFID", 15, 9 },
14123		{ "TC", 12, 3 },
14124		{ "VFVld", 11, 1 },
14125		{ "PF", 8, 3 },
14126		{ "RVF", 0, 8 },
14127	{ "PCIE_FID_VFID", 0x4cd0, 0 },
14128		{ "Select", 30, 2 },
14129		{ "IDO", 24, 1 },
14130		{ "VFID", 15, 9 },
14131		{ "TC", 12, 3 },
14132		{ "VFVld", 11, 1 },
14133		{ "PF", 8, 3 },
14134		{ "RVF", 0, 8 },
14135	{ "PCIE_FID_VFID", 0x4cd4, 0 },
14136		{ "Select", 30, 2 },
14137		{ "IDO", 24, 1 },
14138		{ "VFID", 15, 9 },
14139		{ "TC", 12, 3 },
14140		{ "VFVld", 11, 1 },
14141		{ "PF", 8, 3 },
14142		{ "RVF", 0, 8 },
14143	{ "PCIE_FID_VFID", 0x4cd8, 0 },
14144		{ "Select", 30, 2 },
14145		{ "IDO", 24, 1 },
14146		{ "VFID", 15, 9 },
14147		{ "TC", 12, 3 },
14148		{ "VFVld", 11, 1 },
14149		{ "PF", 8, 3 },
14150		{ "RVF", 0, 8 },
14151	{ "PCIE_FID_VFID", 0x4cdc, 0 },
14152		{ "Select", 30, 2 },
14153		{ "IDO", 24, 1 },
14154		{ "VFID", 15, 9 },
14155		{ "TC", 12, 3 },
14156		{ "VFVld", 11, 1 },
14157		{ "PF", 8, 3 },
14158		{ "RVF", 0, 8 },
14159	{ "PCIE_FID_VFID", 0x4ce0, 0 },
14160		{ "Select", 30, 2 },
14161		{ "IDO", 24, 1 },
14162		{ "VFID", 15, 9 },
14163		{ "TC", 12, 3 },
14164		{ "VFVld", 11, 1 },
14165		{ "PF", 8, 3 },
14166		{ "RVF", 0, 8 },
14167	{ "PCIE_FID_VFID", 0x4ce4, 0 },
14168		{ "Select", 30, 2 },
14169		{ "IDO", 24, 1 },
14170		{ "VFID", 15, 9 },
14171		{ "TC", 12, 3 },
14172		{ "VFVld", 11, 1 },
14173		{ "PF", 8, 3 },
14174		{ "RVF", 0, 8 },
14175	{ "PCIE_FID_VFID", 0x4ce8, 0 },
14176		{ "Select", 30, 2 },
14177		{ "IDO", 24, 1 },
14178		{ "VFID", 15, 9 },
14179		{ "TC", 12, 3 },
14180		{ "VFVld", 11, 1 },
14181		{ "PF", 8, 3 },
14182		{ "RVF", 0, 8 },
14183	{ "PCIE_FID_VFID", 0x4cec, 0 },
14184		{ "Select", 30, 2 },
14185		{ "IDO", 24, 1 },
14186		{ "VFID", 15, 9 },
14187		{ "TC", 12, 3 },
14188		{ "VFVld", 11, 1 },
14189		{ "PF", 8, 3 },
14190		{ "RVF", 0, 8 },
14191	{ "PCIE_FID_VFID", 0x4cf0, 0 },
14192		{ "Select", 30, 2 },
14193		{ "IDO", 24, 1 },
14194		{ "VFID", 15, 9 },
14195		{ "TC", 12, 3 },
14196		{ "VFVld", 11, 1 },
14197		{ "PF", 8, 3 },
14198		{ "RVF", 0, 8 },
14199	{ "PCIE_FID_VFID", 0x4cf4, 0 },
14200		{ "Select", 30, 2 },
14201		{ "IDO", 24, 1 },
14202		{ "VFID", 15, 9 },
14203		{ "TC", 12, 3 },
14204		{ "VFVld", 11, 1 },
14205		{ "PF", 8, 3 },
14206		{ "RVF", 0, 8 },
14207	{ "PCIE_FID_VFID", 0x4cf8, 0 },
14208		{ "Select", 30, 2 },
14209		{ "IDO", 24, 1 },
14210		{ "VFID", 15, 9 },
14211		{ "TC", 12, 3 },
14212		{ "VFVld", 11, 1 },
14213		{ "PF", 8, 3 },
14214		{ "RVF", 0, 8 },
14215	{ "PCIE_FID_VFID", 0x4cfc, 0 },
14216		{ "Select", 30, 2 },
14217		{ "IDO", 24, 1 },
14218		{ "VFID", 15, 9 },
14219		{ "TC", 12, 3 },
14220		{ "VFVld", 11, 1 },
14221		{ "PF", 8, 3 },
14222		{ "RVF", 0, 8 },
14223	{ "PCIE_FID_VFID", 0x4d00, 0 },
14224		{ "Select", 30, 2 },
14225		{ "IDO", 24, 1 },
14226		{ "VFID", 15, 9 },
14227		{ "TC", 12, 3 },
14228		{ "VFVld", 11, 1 },
14229		{ "PF", 8, 3 },
14230		{ "RVF", 0, 8 },
14231	{ "PCIE_FID_VFID", 0x4d04, 0 },
14232		{ "Select", 30, 2 },
14233		{ "IDO", 24, 1 },
14234		{ "VFID", 15, 9 },
14235		{ "TC", 12, 3 },
14236		{ "VFVld", 11, 1 },
14237		{ "PF", 8, 3 },
14238		{ "RVF", 0, 8 },
14239	{ "PCIE_FID_VFID", 0x4d08, 0 },
14240		{ "Select", 30, 2 },
14241		{ "IDO", 24, 1 },
14242		{ "VFID", 15, 9 },
14243		{ "TC", 12, 3 },
14244		{ "VFVld", 11, 1 },
14245		{ "PF", 8, 3 },
14246		{ "RVF", 0, 8 },
14247	{ "PCIE_FID_VFID", 0x4d0c, 0 },
14248		{ "Select", 30, 2 },
14249		{ "IDO", 24, 1 },
14250		{ "VFID", 15, 9 },
14251		{ "TC", 12, 3 },
14252		{ "VFVld", 11, 1 },
14253		{ "PF", 8, 3 },
14254		{ "RVF", 0, 8 },
14255	{ "PCIE_FID_VFID", 0x4d10, 0 },
14256		{ "Select", 30, 2 },
14257		{ "IDO", 24, 1 },
14258		{ "VFID", 15, 9 },
14259		{ "TC", 12, 3 },
14260		{ "VFVld", 11, 1 },
14261		{ "PF", 8, 3 },
14262		{ "RVF", 0, 8 },
14263	{ "PCIE_FID_VFID", 0x4d14, 0 },
14264		{ "Select", 30, 2 },
14265		{ "IDO", 24, 1 },
14266		{ "VFID", 15, 9 },
14267		{ "TC", 12, 3 },
14268		{ "VFVld", 11, 1 },
14269		{ "PF", 8, 3 },
14270		{ "RVF", 0, 8 },
14271	{ "PCIE_FID_VFID", 0x4d18, 0 },
14272		{ "Select", 30, 2 },
14273		{ "IDO", 24, 1 },
14274		{ "VFID", 15, 9 },
14275		{ "TC", 12, 3 },
14276		{ "VFVld", 11, 1 },
14277		{ "PF", 8, 3 },
14278		{ "RVF", 0, 8 },
14279	{ "PCIE_FID_VFID", 0x4d1c, 0 },
14280		{ "Select", 30, 2 },
14281		{ "IDO", 24, 1 },
14282		{ "VFID", 15, 9 },
14283		{ "TC", 12, 3 },
14284		{ "VFVld", 11, 1 },
14285		{ "PF", 8, 3 },
14286		{ "RVF", 0, 8 },
14287	{ "PCIE_FID_VFID", 0x4d20, 0 },
14288		{ "Select", 30, 2 },
14289		{ "IDO", 24, 1 },
14290		{ "VFID", 15, 9 },
14291		{ "TC", 12, 3 },
14292		{ "VFVld", 11, 1 },
14293		{ "PF", 8, 3 },
14294		{ "RVF", 0, 8 },
14295	{ "PCIE_FID_VFID", 0x4d24, 0 },
14296		{ "Select", 30, 2 },
14297		{ "IDO", 24, 1 },
14298		{ "VFID", 15, 9 },
14299		{ "TC", 12, 3 },
14300		{ "VFVld", 11, 1 },
14301		{ "PF", 8, 3 },
14302		{ "RVF", 0, 8 },
14303	{ "PCIE_FID_VFID", 0x4d28, 0 },
14304		{ "Select", 30, 2 },
14305		{ "IDO", 24, 1 },
14306		{ "VFID", 15, 9 },
14307		{ "TC", 12, 3 },
14308		{ "VFVld", 11, 1 },
14309		{ "PF", 8, 3 },
14310		{ "RVF", 0, 8 },
14311	{ "PCIE_FID_VFID", 0x4d2c, 0 },
14312		{ "Select", 30, 2 },
14313		{ "IDO", 24, 1 },
14314		{ "VFID", 15, 9 },
14315		{ "TC", 12, 3 },
14316		{ "VFVld", 11, 1 },
14317		{ "PF", 8, 3 },
14318		{ "RVF", 0, 8 },
14319	{ "PCIE_FID_VFID", 0x4d30, 0 },
14320		{ "Select", 30, 2 },
14321		{ "IDO", 24, 1 },
14322		{ "VFID", 15, 9 },
14323		{ "TC", 12, 3 },
14324		{ "VFVld", 11, 1 },
14325		{ "PF", 8, 3 },
14326		{ "RVF", 0, 8 },
14327	{ "PCIE_FID_VFID", 0x4d34, 0 },
14328		{ "Select", 30, 2 },
14329		{ "IDO", 24, 1 },
14330		{ "VFID", 15, 9 },
14331		{ "TC", 12, 3 },
14332		{ "VFVld", 11, 1 },
14333		{ "PF", 8, 3 },
14334		{ "RVF", 0, 8 },
14335	{ "PCIE_FID_VFID", 0x4d38, 0 },
14336		{ "Select", 30, 2 },
14337		{ "IDO", 24, 1 },
14338		{ "VFID", 15, 9 },
14339		{ "TC", 12, 3 },
14340		{ "VFVld", 11, 1 },
14341		{ "PF", 8, 3 },
14342		{ "RVF", 0, 8 },
14343	{ "PCIE_FID_VFID", 0x4d3c, 0 },
14344		{ "Select", 30, 2 },
14345		{ "IDO", 24, 1 },
14346		{ "VFID", 15, 9 },
14347		{ "TC", 12, 3 },
14348		{ "VFVld", 11, 1 },
14349		{ "PF", 8, 3 },
14350		{ "RVF", 0, 8 },
14351	{ "PCIE_FID_VFID", 0x4d40, 0 },
14352		{ "Select", 30, 2 },
14353		{ "IDO", 24, 1 },
14354		{ "VFID", 15, 9 },
14355		{ "TC", 12, 3 },
14356		{ "VFVld", 11, 1 },
14357		{ "PF", 8, 3 },
14358		{ "RVF", 0, 8 },
14359	{ "PCIE_FID_VFID", 0x4d44, 0 },
14360		{ "Select", 30, 2 },
14361		{ "IDO", 24, 1 },
14362		{ "VFID", 15, 9 },
14363		{ "TC", 12, 3 },
14364		{ "VFVld", 11, 1 },
14365		{ "PF", 8, 3 },
14366		{ "RVF", 0, 8 },
14367	{ "PCIE_FID_VFID", 0x4d48, 0 },
14368		{ "Select", 30, 2 },
14369		{ "IDO", 24, 1 },
14370		{ "VFID", 15, 9 },
14371		{ "TC", 12, 3 },
14372		{ "VFVld", 11, 1 },
14373		{ "PF", 8, 3 },
14374		{ "RVF", 0, 8 },
14375	{ "PCIE_FID_VFID", 0x4d4c, 0 },
14376		{ "Select", 30, 2 },
14377		{ "IDO", 24, 1 },
14378		{ "VFID", 15, 9 },
14379		{ "TC", 12, 3 },
14380		{ "VFVld", 11, 1 },
14381		{ "PF", 8, 3 },
14382		{ "RVF", 0, 8 },
14383	{ "PCIE_FID_VFID", 0x4d50, 0 },
14384		{ "Select", 30, 2 },
14385		{ "IDO", 24, 1 },
14386		{ "VFID", 15, 9 },
14387		{ "TC", 12, 3 },
14388		{ "VFVld", 11, 1 },
14389		{ "PF", 8, 3 },
14390		{ "RVF", 0, 8 },
14391	{ "PCIE_FID_VFID", 0x4d54, 0 },
14392		{ "Select", 30, 2 },
14393		{ "IDO", 24, 1 },
14394		{ "VFID", 15, 9 },
14395		{ "TC", 12, 3 },
14396		{ "VFVld", 11, 1 },
14397		{ "PF", 8, 3 },
14398		{ "RVF", 0, 8 },
14399	{ "PCIE_FID_VFID", 0x4d58, 0 },
14400		{ "Select", 30, 2 },
14401		{ "IDO", 24, 1 },
14402		{ "VFID", 15, 9 },
14403		{ "TC", 12, 3 },
14404		{ "VFVld", 11, 1 },
14405		{ "PF", 8, 3 },
14406		{ "RVF", 0, 8 },
14407	{ "PCIE_FID_VFID", 0x4d5c, 0 },
14408		{ "Select", 30, 2 },
14409		{ "IDO", 24, 1 },
14410		{ "VFID", 15, 9 },
14411		{ "TC", 12, 3 },
14412		{ "VFVld", 11, 1 },
14413		{ "PF", 8, 3 },
14414		{ "RVF", 0, 8 },
14415	{ "PCIE_FID_VFID", 0x4d60, 0 },
14416		{ "Select", 30, 2 },
14417		{ "IDO", 24, 1 },
14418		{ "VFID", 15, 9 },
14419		{ "TC", 12, 3 },
14420		{ "VFVld", 11, 1 },
14421		{ "PF", 8, 3 },
14422		{ "RVF", 0, 8 },
14423	{ "PCIE_FID_VFID", 0x4d64, 0 },
14424		{ "Select", 30, 2 },
14425		{ "IDO", 24, 1 },
14426		{ "VFID", 15, 9 },
14427		{ "TC", 12, 3 },
14428		{ "VFVld", 11, 1 },
14429		{ "PF", 8, 3 },
14430		{ "RVF", 0, 8 },
14431	{ "PCIE_FID_VFID", 0x4d68, 0 },
14432		{ "Select", 30, 2 },
14433		{ "IDO", 24, 1 },
14434		{ "VFID", 15, 9 },
14435		{ "TC", 12, 3 },
14436		{ "VFVld", 11, 1 },
14437		{ "PF", 8, 3 },
14438		{ "RVF", 0, 8 },
14439	{ "PCIE_FID_VFID", 0x4d6c, 0 },
14440		{ "Select", 30, 2 },
14441		{ "IDO", 24, 1 },
14442		{ "VFID", 15, 9 },
14443		{ "TC", 12, 3 },
14444		{ "VFVld", 11, 1 },
14445		{ "PF", 8, 3 },
14446		{ "RVF", 0, 8 },
14447	{ "PCIE_FID_VFID", 0x4d70, 0 },
14448		{ "Select", 30, 2 },
14449		{ "IDO", 24, 1 },
14450		{ "VFID", 15, 9 },
14451		{ "TC", 12, 3 },
14452		{ "VFVld", 11, 1 },
14453		{ "PF", 8, 3 },
14454		{ "RVF", 0, 8 },
14455	{ "PCIE_FID_VFID", 0x4d74, 0 },
14456		{ "Select", 30, 2 },
14457		{ "IDO", 24, 1 },
14458		{ "VFID", 15, 9 },
14459		{ "TC", 12, 3 },
14460		{ "VFVld", 11, 1 },
14461		{ "PF", 8, 3 },
14462		{ "RVF", 0, 8 },
14463	{ "PCIE_FID_VFID", 0x4d78, 0 },
14464		{ "Select", 30, 2 },
14465		{ "IDO", 24, 1 },
14466		{ "VFID", 15, 9 },
14467		{ "TC", 12, 3 },
14468		{ "VFVld", 11, 1 },
14469		{ "PF", 8, 3 },
14470		{ "RVF", 0, 8 },
14471	{ "PCIE_FID_VFID", 0x4d7c, 0 },
14472		{ "Select", 30, 2 },
14473		{ "IDO", 24, 1 },
14474		{ "VFID", 15, 9 },
14475		{ "TC", 12, 3 },
14476		{ "VFVld", 11, 1 },
14477		{ "PF", 8, 3 },
14478		{ "RVF", 0, 8 },
14479	{ "PCIE_FID_VFID", 0x4d80, 0 },
14480		{ "Select", 30, 2 },
14481		{ "IDO", 24, 1 },
14482		{ "VFID", 15, 9 },
14483		{ "TC", 12, 3 },
14484		{ "VFVld", 11, 1 },
14485		{ "PF", 8, 3 },
14486		{ "RVF", 0, 8 },
14487	{ "PCIE_FID_VFID", 0x4d84, 0 },
14488		{ "Select", 30, 2 },
14489		{ "IDO", 24, 1 },
14490		{ "VFID", 15, 9 },
14491		{ "TC", 12, 3 },
14492		{ "VFVld", 11, 1 },
14493		{ "PF", 8, 3 },
14494		{ "RVF", 0, 8 },
14495	{ "PCIE_FID_VFID", 0x4d88, 0 },
14496		{ "Select", 30, 2 },
14497		{ "IDO", 24, 1 },
14498		{ "VFID", 15, 9 },
14499		{ "TC", 12, 3 },
14500		{ "VFVld", 11, 1 },
14501		{ "PF", 8, 3 },
14502		{ "RVF", 0, 8 },
14503	{ "PCIE_FID_VFID", 0x4d8c, 0 },
14504		{ "Select", 30, 2 },
14505		{ "IDO", 24, 1 },
14506		{ "VFID", 15, 9 },
14507		{ "TC", 12, 3 },
14508		{ "VFVld", 11, 1 },
14509		{ "PF", 8, 3 },
14510		{ "RVF", 0, 8 },
14511	{ "PCIE_FID_VFID", 0x4d90, 0 },
14512		{ "Select", 30, 2 },
14513		{ "IDO", 24, 1 },
14514		{ "VFID", 15, 9 },
14515		{ "TC", 12, 3 },
14516		{ "VFVld", 11, 1 },
14517		{ "PF", 8, 3 },
14518		{ "RVF", 0, 8 },
14519	{ "PCIE_FID_VFID", 0x4d94, 0 },
14520		{ "Select", 30, 2 },
14521		{ "IDO", 24, 1 },
14522		{ "VFID", 15, 9 },
14523		{ "TC", 12, 3 },
14524		{ "VFVld", 11, 1 },
14525		{ "PF", 8, 3 },
14526		{ "RVF", 0, 8 },
14527	{ "PCIE_FID_VFID", 0x4d98, 0 },
14528		{ "Select", 30, 2 },
14529		{ "IDO", 24, 1 },
14530		{ "VFID", 15, 9 },
14531		{ "TC", 12, 3 },
14532		{ "VFVld", 11, 1 },
14533		{ "PF", 8, 3 },
14534		{ "RVF", 0, 8 },
14535	{ "PCIE_FID_VFID", 0x4d9c, 0 },
14536		{ "Select", 30, 2 },
14537		{ "IDO", 24, 1 },
14538		{ "VFID", 15, 9 },
14539		{ "TC", 12, 3 },
14540		{ "VFVld", 11, 1 },
14541		{ "PF", 8, 3 },
14542		{ "RVF", 0, 8 },
14543	{ "PCIE_FID_VFID", 0x4da0, 0 },
14544		{ "Select", 30, 2 },
14545		{ "IDO", 24, 1 },
14546		{ "VFID", 15, 9 },
14547		{ "TC", 12, 3 },
14548		{ "VFVld", 11, 1 },
14549		{ "PF", 8, 3 },
14550		{ "RVF", 0, 8 },
14551	{ "PCIE_FID_VFID", 0x4da4, 0 },
14552		{ "Select", 30, 2 },
14553		{ "IDO", 24, 1 },
14554		{ "VFID", 15, 9 },
14555		{ "TC", 12, 3 },
14556		{ "VFVld", 11, 1 },
14557		{ "PF", 8, 3 },
14558		{ "RVF", 0, 8 },
14559	{ "PCIE_FID_VFID", 0x4da8, 0 },
14560		{ "Select", 30, 2 },
14561		{ "IDO", 24, 1 },
14562		{ "VFID", 15, 9 },
14563		{ "TC", 12, 3 },
14564		{ "VFVld", 11, 1 },
14565		{ "PF", 8, 3 },
14566		{ "RVF", 0, 8 },
14567	{ "PCIE_FID_VFID", 0x4dac, 0 },
14568		{ "Select", 30, 2 },
14569		{ "IDO", 24, 1 },
14570		{ "VFID", 15, 9 },
14571		{ "TC", 12, 3 },
14572		{ "VFVld", 11, 1 },
14573		{ "PF", 8, 3 },
14574		{ "RVF", 0, 8 },
14575	{ "PCIE_FID_VFID", 0x4db0, 0 },
14576		{ "Select", 30, 2 },
14577		{ "IDO", 24, 1 },
14578		{ "VFID", 15, 9 },
14579		{ "TC", 12, 3 },
14580		{ "VFVld", 11, 1 },
14581		{ "PF", 8, 3 },
14582		{ "RVF", 0, 8 },
14583	{ "PCIE_FID_VFID", 0x4db4, 0 },
14584		{ "Select", 30, 2 },
14585		{ "IDO", 24, 1 },
14586		{ "VFID", 15, 9 },
14587		{ "TC", 12, 3 },
14588		{ "VFVld", 11, 1 },
14589		{ "PF", 8, 3 },
14590		{ "RVF", 0, 8 },
14591	{ "PCIE_FID_VFID", 0x4db8, 0 },
14592		{ "Select", 30, 2 },
14593		{ "IDO", 24, 1 },
14594		{ "VFID", 15, 9 },
14595		{ "TC", 12, 3 },
14596		{ "VFVld", 11, 1 },
14597		{ "PF", 8, 3 },
14598		{ "RVF", 0, 8 },
14599	{ "PCIE_FID_VFID", 0x4dbc, 0 },
14600		{ "Select", 30, 2 },
14601		{ "IDO", 24, 1 },
14602		{ "VFID", 15, 9 },
14603		{ "TC", 12, 3 },
14604		{ "VFVld", 11, 1 },
14605		{ "PF", 8, 3 },
14606		{ "RVF", 0, 8 },
14607	{ "PCIE_FID_VFID", 0x4dc0, 0 },
14608		{ "Select", 30, 2 },
14609		{ "IDO", 24, 1 },
14610		{ "VFID", 15, 9 },
14611		{ "TC", 12, 3 },
14612		{ "VFVld", 11, 1 },
14613		{ "PF", 8, 3 },
14614		{ "RVF", 0, 8 },
14615	{ "PCIE_FID_VFID", 0x4dc4, 0 },
14616		{ "Select", 30, 2 },
14617		{ "IDO", 24, 1 },
14618		{ "VFID", 15, 9 },
14619		{ "TC", 12, 3 },
14620		{ "VFVld", 11, 1 },
14621		{ "PF", 8, 3 },
14622		{ "RVF", 0, 8 },
14623	{ "PCIE_FID_VFID", 0x4dc8, 0 },
14624		{ "Select", 30, 2 },
14625		{ "IDO", 24, 1 },
14626		{ "VFID", 15, 9 },
14627		{ "TC", 12, 3 },
14628		{ "VFVld", 11, 1 },
14629		{ "PF", 8, 3 },
14630		{ "RVF", 0, 8 },
14631	{ "PCIE_FID_VFID", 0x4dcc, 0 },
14632		{ "Select", 30, 2 },
14633		{ "IDO", 24, 1 },
14634		{ "VFID", 15, 9 },
14635		{ "TC", 12, 3 },
14636		{ "VFVld", 11, 1 },
14637		{ "PF", 8, 3 },
14638		{ "RVF", 0, 8 },
14639	{ "PCIE_FID_VFID", 0x4dd0, 0 },
14640		{ "Select", 30, 2 },
14641		{ "IDO", 24, 1 },
14642		{ "VFID", 15, 9 },
14643		{ "TC", 12, 3 },
14644		{ "VFVld", 11, 1 },
14645		{ "PF", 8, 3 },
14646		{ "RVF", 0, 8 },
14647	{ "PCIE_FID_VFID", 0x4dd4, 0 },
14648		{ "Select", 30, 2 },
14649		{ "IDO", 24, 1 },
14650		{ "VFID", 15, 9 },
14651		{ "TC", 12, 3 },
14652		{ "VFVld", 11, 1 },
14653		{ "PF", 8, 3 },
14654		{ "RVF", 0, 8 },
14655	{ "PCIE_FID_VFID", 0x4dd8, 0 },
14656		{ "Select", 30, 2 },
14657		{ "IDO", 24, 1 },
14658		{ "VFID", 15, 9 },
14659		{ "TC", 12, 3 },
14660		{ "VFVld", 11, 1 },
14661		{ "PF", 8, 3 },
14662		{ "RVF", 0, 8 },
14663	{ "PCIE_FID_VFID", 0x4ddc, 0 },
14664		{ "Select", 30, 2 },
14665		{ "IDO", 24, 1 },
14666		{ "VFID", 15, 9 },
14667		{ "TC", 12, 3 },
14668		{ "VFVld", 11, 1 },
14669		{ "PF", 8, 3 },
14670		{ "RVF", 0, 8 },
14671	{ "PCIE_FID_VFID", 0x4de0, 0 },
14672		{ "Select", 30, 2 },
14673		{ "IDO", 24, 1 },
14674		{ "VFID", 15, 9 },
14675		{ "TC", 12, 3 },
14676		{ "VFVld", 11, 1 },
14677		{ "PF", 8, 3 },
14678		{ "RVF", 0, 8 },
14679	{ "PCIE_FID_VFID", 0x4de4, 0 },
14680		{ "Select", 30, 2 },
14681		{ "IDO", 24, 1 },
14682		{ "VFID", 15, 9 },
14683		{ "TC", 12, 3 },
14684		{ "VFVld", 11, 1 },
14685		{ "PF", 8, 3 },
14686		{ "RVF", 0, 8 },
14687	{ "PCIE_FID_VFID", 0x4de8, 0 },
14688		{ "Select", 30, 2 },
14689		{ "IDO", 24, 1 },
14690		{ "VFID", 15, 9 },
14691		{ "TC", 12, 3 },
14692		{ "VFVld", 11, 1 },
14693		{ "PF", 8, 3 },
14694		{ "RVF", 0, 8 },
14695	{ "PCIE_FID_VFID", 0x4dec, 0 },
14696		{ "Select", 30, 2 },
14697		{ "IDO", 24, 1 },
14698		{ "VFID", 15, 9 },
14699		{ "TC", 12, 3 },
14700		{ "VFVld", 11, 1 },
14701		{ "PF", 8, 3 },
14702		{ "RVF", 0, 8 },
14703	{ "PCIE_FID_VFID", 0x4df0, 0 },
14704		{ "Select", 30, 2 },
14705		{ "IDO", 24, 1 },
14706		{ "VFID", 15, 9 },
14707		{ "TC", 12, 3 },
14708		{ "VFVld", 11, 1 },
14709		{ "PF", 8, 3 },
14710		{ "RVF", 0, 8 },
14711	{ "PCIE_FID_VFID", 0x4df4, 0 },
14712		{ "Select", 30, 2 },
14713		{ "IDO", 24, 1 },
14714		{ "VFID", 15, 9 },
14715		{ "TC", 12, 3 },
14716		{ "VFVld", 11, 1 },
14717		{ "PF", 8, 3 },
14718		{ "RVF", 0, 8 },
14719	{ "PCIE_FID_VFID", 0x4df8, 0 },
14720		{ "Select", 30, 2 },
14721		{ "IDO", 24, 1 },
14722		{ "VFID", 15, 9 },
14723		{ "TC", 12, 3 },
14724		{ "VFVld", 11, 1 },
14725		{ "PF", 8, 3 },
14726		{ "RVF", 0, 8 },
14727	{ "PCIE_FID_VFID", 0x4dfc, 0 },
14728		{ "Select", 30, 2 },
14729		{ "IDO", 24, 1 },
14730		{ "VFID", 15, 9 },
14731		{ "TC", 12, 3 },
14732		{ "VFVld", 11, 1 },
14733		{ "PF", 8, 3 },
14734		{ "RVF", 0, 8 },
14735	{ "PCIE_FID_VFID", 0x4e00, 0 },
14736		{ "Select", 30, 2 },
14737		{ "IDO", 24, 1 },
14738		{ "VFID", 15, 9 },
14739		{ "TC", 12, 3 },
14740		{ "VFVld", 11, 1 },
14741		{ "PF", 8, 3 },
14742		{ "RVF", 0, 8 },
14743	{ "PCIE_FID_VFID", 0x4e04, 0 },
14744		{ "Select", 30, 2 },
14745		{ "IDO", 24, 1 },
14746		{ "VFID", 15, 9 },
14747		{ "TC", 12, 3 },
14748		{ "VFVld", 11, 1 },
14749		{ "PF", 8, 3 },
14750		{ "RVF", 0, 8 },
14751	{ "PCIE_FID_VFID", 0x4e08, 0 },
14752		{ "Select", 30, 2 },
14753		{ "IDO", 24, 1 },
14754		{ "VFID", 15, 9 },
14755		{ "TC", 12, 3 },
14756		{ "VFVld", 11, 1 },
14757		{ "PF", 8, 3 },
14758		{ "RVF", 0, 8 },
14759	{ "PCIE_FID_VFID", 0x4e0c, 0 },
14760		{ "Select", 30, 2 },
14761		{ "IDO", 24, 1 },
14762		{ "VFID", 15, 9 },
14763		{ "TC", 12, 3 },
14764		{ "VFVld", 11, 1 },
14765		{ "PF", 8, 3 },
14766		{ "RVF", 0, 8 },
14767	{ "PCIE_FID_VFID", 0x4e10, 0 },
14768		{ "Select", 30, 2 },
14769		{ "IDO", 24, 1 },
14770		{ "VFID", 15, 9 },
14771		{ "TC", 12, 3 },
14772		{ "VFVld", 11, 1 },
14773		{ "PF", 8, 3 },
14774		{ "RVF", 0, 8 },
14775	{ "PCIE_FID_VFID", 0x4e14, 0 },
14776		{ "Select", 30, 2 },
14777		{ "IDO", 24, 1 },
14778		{ "VFID", 15, 9 },
14779		{ "TC", 12, 3 },
14780		{ "VFVld", 11, 1 },
14781		{ "PF", 8, 3 },
14782		{ "RVF", 0, 8 },
14783	{ "PCIE_FID_VFID", 0x4e18, 0 },
14784		{ "Select", 30, 2 },
14785		{ "IDO", 24, 1 },
14786		{ "VFID", 15, 9 },
14787		{ "TC", 12, 3 },
14788		{ "VFVld", 11, 1 },
14789		{ "PF", 8, 3 },
14790		{ "RVF", 0, 8 },
14791	{ "PCIE_FID_VFID", 0x4e1c, 0 },
14792		{ "Select", 30, 2 },
14793		{ "IDO", 24, 1 },
14794		{ "VFID", 15, 9 },
14795		{ "TC", 12, 3 },
14796		{ "VFVld", 11, 1 },
14797		{ "PF", 8, 3 },
14798		{ "RVF", 0, 8 },
14799	{ "PCIE_FID_VFID", 0x4e20, 0 },
14800		{ "Select", 30, 2 },
14801		{ "IDO", 24, 1 },
14802		{ "VFID", 15, 9 },
14803		{ "TC", 12, 3 },
14804		{ "VFVld", 11, 1 },
14805		{ "PF", 8, 3 },
14806		{ "RVF", 0, 8 },
14807	{ "PCIE_FID_VFID", 0x4e24, 0 },
14808		{ "Select", 30, 2 },
14809		{ "IDO", 24, 1 },
14810		{ "VFID", 15, 9 },
14811		{ "TC", 12, 3 },
14812		{ "VFVld", 11, 1 },
14813		{ "PF", 8, 3 },
14814		{ "RVF", 0, 8 },
14815	{ "PCIE_FID_VFID", 0x4e28, 0 },
14816		{ "Select", 30, 2 },
14817		{ "IDO", 24, 1 },
14818		{ "VFID", 15, 9 },
14819		{ "TC", 12, 3 },
14820		{ "VFVld", 11, 1 },
14821		{ "PF", 8, 3 },
14822		{ "RVF", 0, 8 },
14823	{ "PCIE_FID_VFID", 0x4e2c, 0 },
14824		{ "Select", 30, 2 },
14825		{ "IDO", 24, 1 },
14826		{ "VFID", 15, 9 },
14827		{ "TC", 12, 3 },
14828		{ "VFVld", 11, 1 },
14829		{ "PF", 8, 3 },
14830		{ "RVF", 0, 8 },
14831	{ "PCIE_FID_VFID", 0x4e30, 0 },
14832		{ "Select", 30, 2 },
14833		{ "IDO", 24, 1 },
14834		{ "VFID", 15, 9 },
14835		{ "TC", 12, 3 },
14836		{ "VFVld", 11, 1 },
14837		{ "PF", 8, 3 },
14838		{ "RVF", 0, 8 },
14839	{ "PCIE_FID_VFID", 0x4e34, 0 },
14840		{ "Select", 30, 2 },
14841		{ "IDO", 24, 1 },
14842		{ "VFID", 15, 9 },
14843		{ "TC", 12, 3 },
14844		{ "VFVld", 11, 1 },
14845		{ "PF", 8, 3 },
14846		{ "RVF", 0, 8 },
14847	{ "PCIE_FID_VFID", 0x4e38, 0 },
14848		{ "Select", 30, 2 },
14849		{ "IDO", 24, 1 },
14850		{ "VFID", 15, 9 },
14851		{ "TC", 12, 3 },
14852		{ "VFVld", 11, 1 },
14853		{ "PF", 8, 3 },
14854		{ "RVF", 0, 8 },
14855	{ "PCIE_FID_VFID", 0x4e3c, 0 },
14856		{ "Select", 30, 2 },
14857		{ "IDO", 24, 1 },
14858		{ "VFID", 15, 9 },
14859		{ "TC", 12, 3 },
14860		{ "VFVld", 11, 1 },
14861		{ "PF", 8, 3 },
14862		{ "RVF", 0, 8 },
14863	{ "PCIE_FID_VFID", 0x4e40, 0 },
14864		{ "Select", 30, 2 },
14865		{ "IDO", 24, 1 },
14866		{ "VFID", 15, 9 },
14867		{ "TC", 12, 3 },
14868		{ "VFVld", 11, 1 },
14869		{ "PF", 8, 3 },
14870		{ "RVF", 0, 8 },
14871	{ "PCIE_FID_VFID", 0x4e44, 0 },
14872		{ "Select", 30, 2 },
14873		{ "IDO", 24, 1 },
14874		{ "VFID", 15, 9 },
14875		{ "TC", 12, 3 },
14876		{ "VFVld", 11, 1 },
14877		{ "PF", 8, 3 },
14878		{ "RVF", 0, 8 },
14879	{ "PCIE_FID_VFID", 0x4e48, 0 },
14880		{ "Select", 30, 2 },
14881		{ "IDO", 24, 1 },
14882		{ "VFID", 15, 9 },
14883		{ "TC", 12, 3 },
14884		{ "VFVld", 11, 1 },
14885		{ "PF", 8, 3 },
14886		{ "RVF", 0, 8 },
14887	{ "PCIE_FID_VFID", 0x4e4c, 0 },
14888		{ "Select", 30, 2 },
14889		{ "IDO", 24, 1 },
14890		{ "VFID", 15, 9 },
14891		{ "TC", 12, 3 },
14892		{ "VFVld", 11, 1 },
14893		{ "PF", 8, 3 },
14894		{ "RVF", 0, 8 },
14895	{ "PCIE_FID_VFID", 0x4e50, 0 },
14896		{ "Select", 30, 2 },
14897		{ "IDO", 24, 1 },
14898		{ "VFID", 15, 9 },
14899		{ "TC", 12, 3 },
14900		{ "VFVld", 11, 1 },
14901		{ "PF", 8, 3 },
14902		{ "RVF", 0, 8 },
14903	{ "PCIE_FID_VFID", 0x4e54, 0 },
14904		{ "Select", 30, 2 },
14905		{ "IDO", 24, 1 },
14906		{ "VFID", 15, 9 },
14907		{ "TC", 12, 3 },
14908		{ "VFVld", 11, 1 },
14909		{ "PF", 8, 3 },
14910		{ "RVF", 0, 8 },
14911	{ "PCIE_FID_VFID", 0x4e58, 0 },
14912		{ "Select", 30, 2 },
14913		{ "IDO", 24, 1 },
14914		{ "VFID", 15, 9 },
14915		{ "TC", 12, 3 },
14916		{ "VFVld", 11, 1 },
14917		{ "PF", 8, 3 },
14918		{ "RVF", 0, 8 },
14919	{ "PCIE_FID_VFID", 0x4e5c, 0 },
14920		{ "Select", 30, 2 },
14921		{ "IDO", 24, 1 },
14922		{ "VFID", 15, 9 },
14923		{ "TC", 12, 3 },
14924		{ "VFVld", 11, 1 },
14925		{ "PF", 8, 3 },
14926		{ "RVF", 0, 8 },
14927	{ "PCIE_FID_VFID", 0x4e60, 0 },
14928		{ "Select", 30, 2 },
14929		{ "IDO", 24, 1 },
14930		{ "VFID", 15, 9 },
14931		{ "TC", 12, 3 },
14932		{ "VFVld", 11, 1 },
14933		{ "PF", 8, 3 },
14934		{ "RVF", 0, 8 },
14935	{ "PCIE_FID_VFID", 0x4e64, 0 },
14936		{ "Select", 30, 2 },
14937		{ "IDO", 24, 1 },
14938		{ "VFID", 15, 9 },
14939		{ "TC", 12, 3 },
14940		{ "VFVld", 11, 1 },
14941		{ "PF", 8, 3 },
14942		{ "RVF", 0, 8 },
14943	{ "PCIE_FID_VFID", 0x4e68, 0 },
14944		{ "Select", 30, 2 },
14945		{ "IDO", 24, 1 },
14946		{ "VFID", 15, 9 },
14947		{ "TC", 12, 3 },
14948		{ "VFVld", 11, 1 },
14949		{ "PF", 8, 3 },
14950		{ "RVF", 0, 8 },
14951	{ "PCIE_FID_VFID", 0x4e6c, 0 },
14952		{ "Select", 30, 2 },
14953		{ "IDO", 24, 1 },
14954		{ "VFID", 15, 9 },
14955		{ "TC", 12, 3 },
14956		{ "VFVld", 11, 1 },
14957		{ "PF", 8, 3 },
14958		{ "RVF", 0, 8 },
14959	{ "PCIE_FID_VFID", 0x4e70, 0 },
14960		{ "Select", 30, 2 },
14961		{ "IDO", 24, 1 },
14962		{ "VFID", 15, 9 },
14963		{ "TC", 12, 3 },
14964		{ "VFVld", 11, 1 },
14965		{ "PF", 8, 3 },
14966		{ "RVF", 0, 8 },
14967	{ "PCIE_FID_VFID", 0x4e74, 0 },
14968		{ "Select", 30, 2 },
14969		{ "IDO", 24, 1 },
14970		{ "VFID", 15, 9 },
14971		{ "TC", 12, 3 },
14972		{ "VFVld", 11, 1 },
14973		{ "PF", 8, 3 },
14974		{ "RVF", 0, 8 },
14975	{ "PCIE_FID_VFID", 0x4e78, 0 },
14976		{ "Select", 30, 2 },
14977		{ "IDO", 24, 1 },
14978		{ "VFID", 15, 9 },
14979		{ "TC", 12, 3 },
14980		{ "VFVld", 11, 1 },
14981		{ "PF", 8, 3 },
14982		{ "RVF", 0, 8 },
14983	{ "PCIE_FID_VFID", 0x4e7c, 0 },
14984		{ "Select", 30, 2 },
14985		{ "IDO", 24, 1 },
14986		{ "VFID", 15, 9 },
14987		{ "TC", 12, 3 },
14988		{ "VFVld", 11, 1 },
14989		{ "PF", 8, 3 },
14990		{ "RVF", 0, 8 },
14991	{ "PCIE_FID_VFID", 0x4e80, 0 },
14992		{ "Select", 30, 2 },
14993		{ "IDO", 24, 1 },
14994		{ "VFID", 15, 9 },
14995		{ "TC", 12, 3 },
14996		{ "VFVld", 11, 1 },
14997		{ "PF", 8, 3 },
14998		{ "RVF", 0, 8 },
14999	{ "PCIE_FID_VFID", 0x4e84, 0 },
15000		{ "Select", 30, 2 },
15001		{ "IDO", 24, 1 },
15002		{ "VFID", 15, 9 },
15003		{ "TC", 12, 3 },
15004		{ "VFVld", 11, 1 },
15005		{ "PF", 8, 3 },
15006		{ "RVF", 0, 8 },
15007	{ "PCIE_FID_VFID", 0x4e88, 0 },
15008		{ "Select", 30, 2 },
15009		{ "IDO", 24, 1 },
15010		{ "VFID", 15, 9 },
15011		{ "TC", 12, 3 },
15012		{ "VFVld", 11, 1 },
15013		{ "PF", 8, 3 },
15014		{ "RVF", 0, 8 },
15015	{ "PCIE_FID_VFID", 0x4e8c, 0 },
15016		{ "Select", 30, 2 },
15017		{ "IDO", 24, 1 },
15018		{ "VFID", 15, 9 },
15019		{ "TC", 12, 3 },
15020		{ "VFVld", 11, 1 },
15021		{ "PF", 8, 3 },
15022		{ "RVF", 0, 8 },
15023	{ "PCIE_FID_VFID", 0x4e90, 0 },
15024		{ "Select", 30, 2 },
15025		{ "IDO", 24, 1 },
15026		{ "VFID", 15, 9 },
15027		{ "TC", 12, 3 },
15028		{ "VFVld", 11, 1 },
15029		{ "PF", 8, 3 },
15030		{ "RVF", 0, 8 },
15031	{ "PCIE_FID_VFID", 0x4e94, 0 },
15032		{ "Select", 30, 2 },
15033		{ "IDO", 24, 1 },
15034		{ "VFID", 15, 9 },
15035		{ "TC", 12, 3 },
15036		{ "VFVld", 11, 1 },
15037		{ "PF", 8, 3 },
15038		{ "RVF", 0, 8 },
15039	{ "PCIE_FID_VFID", 0x4e98, 0 },
15040		{ "Select", 30, 2 },
15041		{ "IDO", 24, 1 },
15042		{ "VFID", 15, 9 },
15043		{ "TC", 12, 3 },
15044		{ "VFVld", 11, 1 },
15045		{ "PF", 8, 3 },
15046		{ "RVF", 0, 8 },
15047	{ "PCIE_FID_VFID", 0x4e9c, 0 },
15048		{ "Select", 30, 2 },
15049		{ "IDO", 24, 1 },
15050		{ "VFID", 15, 9 },
15051		{ "TC", 12, 3 },
15052		{ "VFVld", 11, 1 },
15053		{ "PF", 8, 3 },
15054		{ "RVF", 0, 8 },
15055	{ "PCIE_FID_VFID", 0x4ea0, 0 },
15056		{ "Select", 30, 2 },
15057		{ "IDO", 24, 1 },
15058		{ "VFID", 15, 9 },
15059		{ "TC", 12, 3 },
15060		{ "VFVld", 11, 1 },
15061		{ "PF", 8, 3 },
15062		{ "RVF", 0, 8 },
15063	{ "PCIE_FID_VFID", 0x4ea4, 0 },
15064		{ "Select", 30, 2 },
15065		{ "IDO", 24, 1 },
15066		{ "VFID", 15, 9 },
15067		{ "TC", 12, 3 },
15068		{ "VFVld", 11, 1 },
15069		{ "PF", 8, 3 },
15070		{ "RVF", 0, 8 },
15071	{ "PCIE_FID_VFID", 0x4ea8, 0 },
15072		{ "Select", 30, 2 },
15073		{ "IDO", 24, 1 },
15074		{ "VFID", 15, 9 },
15075		{ "TC", 12, 3 },
15076		{ "VFVld", 11, 1 },
15077		{ "PF", 8, 3 },
15078		{ "RVF", 0, 8 },
15079	{ "PCIE_FID_VFID", 0x4eac, 0 },
15080		{ "Select", 30, 2 },
15081		{ "IDO", 24, 1 },
15082		{ "VFID", 15, 9 },
15083		{ "TC", 12, 3 },
15084		{ "VFVld", 11, 1 },
15085		{ "PF", 8, 3 },
15086		{ "RVF", 0, 8 },
15087	{ "PCIE_FID_VFID", 0x4eb0, 0 },
15088		{ "Select", 30, 2 },
15089		{ "IDO", 24, 1 },
15090		{ "VFID", 15, 9 },
15091		{ "TC", 12, 3 },
15092		{ "VFVld", 11, 1 },
15093		{ "PF", 8, 3 },
15094		{ "RVF", 0, 8 },
15095	{ "PCIE_FID_VFID", 0x4eb4, 0 },
15096		{ "Select", 30, 2 },
15097		{ "IDO", 24, 1 },
15098		{ "VFID", 15, 9 },
15099		{ "TC", 12, 3 },
15100		{ "VFVld", 11, 1 },
15101		{ "PF", 8, 3 },
15102		{ "RVF", 0, 8 },
15103	{ "PCIE_FID_VFID", 0x4eb8, 0 },
15104		{ "Select", 30, 2 },
15105		{ "IDO", 24, 1 },
15106		{ "VFID", 15, 9 },
15107		{ "TC", 12, 3 },
15108		{ "VFVld", 11, 1 },
15109		{ "PF", 8, 3 },
15110		{ "RVF", 0, 8 },
15111	{ "PCIE_FID_VFID", 0x4ebc, 0 },
15112		{ "Select", 30, 2 },
15113		{ "IDO", 24, 1 },
15114		{ "VFID", 15, 9 },
15115		{ "TC", 12, 3 },
15116		{ "VFVld", 11, 1 },
15117		{ "PF", 8, 3 },
15118		{ "RVF", 0, 8 },
15119	{ "PCIE_FID_VFID", 0x4ec0, 0 },
15120		{ "Select", 30, 2 },
15121		{ "IDO", 24, 1 },
15122		{ "VFID", 15, 9 },
15123		{ "TC", 12, 3 },
15124		{ "VFVld", 11, 1 },
15125		{ "PF", 8, 3 },
15126		{ "RVF", 0, 8 },
15127	{ "PCIE_FID_VFID", 0x4ec4, 0 },
15128		{ "Select", 30, 2 },
15129		{ "IDO", 24, 1 },
15130		{ "VFID", 15, 9 },
15131		{ "TC", 12, 3 },
15132		{ "VFVld", 11, 1 },
15133		{ "PF", 8, 3 },
15134		{ "RVF", 0, 8 },
15135	{ "PCIE_FID_VFID", 0x4ec8, 0 },
15136		{ "Select", 30, 2 },
15137		{ "IDO", 24, 1 },
15138		{ "VFID", 15, 9 },
15139		{ "TC", 12, 3 },
15140		{ "VFVld", 11, 1 },
15141		{ "PF", 8, 3 },
15142		{ "RVF", 0, 8 },
15143	{ "PCIE_FID_VFID", 0x4ecc, 0 },
15144		{ "Select", 30, 2 },
15145		{ "IDO", 24, 1 },
15146		{ "VFID", 15, 9 },
15147		{ "TC", 12, 3 },
15148		{ "VFVld", 11, 1 },
15149		{ "PF", 8, 3 },
15150		{ "RVF", 0, 8 },
15151	{ "PCIE_FID_VFID", 0x4ed0, 0 },
15152		{ "Select", 30, 2 },
15153		{ "IDO", 24, 1 },
15154		{ "VFID", 15, 9 },
15155		{ "TC", 12, 3 },
15156		{ "VFVld", 11, 1 },
15157		{ "PF", 8, 3 },
15158		{ "RVF", 0, 8 },
15159	{ "PCIE_FID_VFID", 0x4ed4, 0 },
15160		{ "Select", 30, 2 },
15161		{ "IDO", 24, 1 },
15162		{ "VFID", 15, 9 },
15163		{ "TC", 12, 3 },
15164		{ "VFVld", 11, 1 },
15165		{ "PF", 8, 3 },
15166		{ "RVF", 0, 8 },
15167	{ "PCIE_FID_VFID", 0x4ed8, 0 },
15168		{ "Select", 30, 2 },
15169		{ "IDO", 24, 1 },
15170		{ "VFID", 15, 9 },
15171		{ "TC", 12, 3 },
15172		{ "VFVld", 11, 1 },
15173		{ "PF", 8, 3 },
15174		{ "RVF", 0, 8 },
15175	{ "PCIE_FID_VFID", 0x4edc, 0 },
15176		{ "Select", 30, 2 },
15177		{ "IDO", 24, 1 },
15178		{ "VFID", 15, 9 },
15179		{ "TC", 12, 3 },
15180		{ "VFVld", 11, 1 },
15181		{ "PF", 8, 3 },
15182		{ "RVF", 0, 8 },
15183	{ "PCIE_FID_VFID", 0x4ee0, 0 },
15184		{ "Select", 30, 2 },
15185		{ "IDO", 24, 1 },
15186		{ "VFID", 15, 9 },
15187		{ "TC", 12, 3 },
15188		{ "VFVld", 11, 1 },
15189		{ "PF", 8, 3 },
15190		{ "RVF", 0, 8 },
15191	{ "PCIE_FID_VFID", 0x4ee4, 0 },
15192		{ "Select", 30, 2 },
15193		{ "IDO", 24, 1 },
15194		{ "VFID", 15, 9 },
15195		{ "TC", 12, 3 },
15196		{ "VFVld", 11, 1 },
15197		{ "PF", 8, 3 },
15198		{ "RVF", 0, 8 },
15199	{ "PCIE_FID_VFID", 0x4ee8, 0 },
15200		{ "Select", 30, 2 },
15201		{ "IDO", 24, 1 },
15202		{ "VFID", 15, 9 },
15203		{ "TC", 12, 3 },
15204		{ "VFVld", 11, 1 },
15205		{ "PF", 8, 3 },
15206		{ "RVF", 0, 8 },
15207	{ "PCIE_FID_VFID", 0x4eec, 0 },
15208		{ "Select", 30, 2 },
15209		{ "IDO", 24, 1 },
15210		{ "VFID", 15, 9 },
15211		{ "TC", 12, 3 },
15212		{ "VFVld", 11, 1 },
15213		{ "PF", 8, 3 },
15214		{ "RVF", 0, 8 },
15215	{ "PCIE_FID_VFID", 0x4ef0, 0 },
15216		{ "Select", 30, 2 },
15217		{ "IDO", 24, 1 },
15218		{ "VFID", 15, 9 },
15219		{ "TC", 12, 3 },
15220		{ "VFVld", 11, 1 },
15221		{ "PF", 8, 3 },
15222		{ "RVF", 0, 8 },
15223	{ "PCIE_FID_VFID", 0x4ef4, 0 },
15224		{ "Select", 30, 2 },
15225		{ "IDO", 24, 1 },
15226		{ "VFID", 15, 9 },
15227		{ "TC", 12, 3 },
15228		{ "VFVld", 11, 1 },
15229		{ "PF", 8, 3 },
15230		{ "RVF", 0, 8 },
15231	{ "PCIE_FID_VFID", 0x4ef8, 0 },
15232		{ "Select", 30, 2 },
15233		{ "IDO", 24, 1 },
15234		{ "VFID", 15, 9 },
15235		{ "TC", 12, 3 },
15236		{ "VFVld", 11, 1 },
15237		{ "PF", 8, 3 },
15238		{ "RVF", 0, 8 },
15239	{ "PCIE_FID_VFID", 0x4efc, 0 },
15240		{ "Select", 30, 2 },
15241		{ "IDO", 24, 1 },
15242		{ "VFID", 15, 9 },
15243		{ "TC", 12, 3 },
15244		{ "VFVld", 11, 1 },
15245		{ "PF", 8, 3 },
15246		{ "RVF", 0, 8 },
15247	{ "PCIE_FID_VFID", 0x4f00, 0 },
15248		{ "Select", 30, 2 },
15249		{ "IDO", 24, 1 },
15250		{ "VFID", 15, 9 },
15251		{ "TC", 12, 3 },
15252		{ "VFVld", 11, 1 },
15253		{ "PF", 8, 3 },
15254		{ "RVF", 0, 8 },
15255	{ "PCIE_FID_VFID", 0x4f04, 0 },
15256		{ "Select", 30, 2 },
15257		{ "IDO", 24, 1 },
15258		{ "VFID", 15, 9 },
15259		{ "TC", 12, 3 },
15260		{ "VFVld", 11, 1 },
15261		{ "PF", 8, 3 },
15262		{ "RVF", 0, 8 },
15263	{ "PCIE_FID_VFID", 0x4f08, 0 },
15264		{ "Select", 30, 2 },
15265		{ "IDO", 24, 1 },
15266		{ "VFID", 15, 9 },
15267		{ "TC", 12, 3 },
15268		{ "VFVld", 11, 1 },
15269		{ "PF", 8, 3 },
15270		{ "RVF", 0, 8 },
15271	{ "PCIE_FID_VFID", 0x4f0c, 0 },
15272		{ "Select", 30, 2 },
15273		{ "IDO", 24, 1 },
15274		{ "VFID", 15, 9 },
15275		{ "TC", 12, 3 },
15276		{ "VFVld", 11, 1 },
15277		{ "PF", 8, 3 },
15278		{ "RVF", 0, 8 },
15279	{ "PCIE_FID_VFID", 0x4f10, 0 },
15280		{ "Select", 30, 2 },
15281		{ "IDO", 24, 1 },
15282		{ "VFID", 15, 9 },
15283		{ "TC", 12, 3 },
15284		{ "VFVld", 11, 1 },
15285		{ "PF", 8, 3 },
15286		{ "RVF", 0, 8 },
15287	{ "PCIE_FID_VFID", 0x4f14, 0 },
15288		{ "Select", 30, 2 },
15289		{ "IDO", 24, 1 },
15290		{ "VFID", 15, 9 },
15291		{ "TC", 12, 3 },
15292		{ "VFVld", 11, 1 },
15293		{ "PF", 8, 3 },
15294		{ "RVF", 0, 8 },
15295	{ "PCIE_FID_VFID", 0x4f18, 0 },
15296		{ "Select", 30, 2 },
15297		{ "IDO", 24, 1 },
15298		{ "VFID", 15, 9 },
15299		{ "TC", 12, 3 },
15300		{ "VFVld", 11, 1 },
15301		{ "PF", 8, 3 },
15302		{ "RVF", 0, 8 },
15303	{ "PCIE_FID_VFID", 0x4f1c, 0 },
15304		{ "Select", 30, 2 },
15305		{ "IDO", 24, 1 },
15306		{ "VFID", 15, 9 },
15307		{ "TC", 12, 3 },
15308		{ "VFVld", 11, 1 },
15309		{ "PF", 8, 3 },
15310		{ "RVF", 0, 8 },
15311	{ "PCIE_FID_VFID", 0x4f20, 0 },
15312		{ "Select", 30, 2 },
15313		{ "IDO", 24, 1 },
15314		{ "VFID", 15, 9 },
15315		{ "TC", 12, 3 },
15316		{ "VFVld", 11, 1 },
15317		{ "PF", 8, 3 },
15318		{ "RVF", 0, 8 },
15319	{ "PCIE_FID_VFID", 0x4f24, 0 },
15320		{ "Select", 30, 2 },
15321		{ "IDO", 24, 1 },
15322		{ "VFID", 15, 9 },
15323		{ "TC", 12, 3 },
15324		{ "VFVld", 11, 1 },
15325		{ "PF", 8, 3 },
15326		{ "RVF", 0, 8 },
15327	{ "PCIE_FID_VFID", 0x4f28, 0 },
15328		{ "Select", 30, 2 },
15329		{ "IDO", 24, 1 },
15330		{ "VFID", 15, 9 },
15331		{ "TC", 12, 3 },
15332		{ "VFVld", 11, 1 },
15333		{ "PF", 8, 3 },
15334		{ "RVF", 0, 8 },
15335	{ "PCIE_FID_VFID", 0x4f2c, 0 },
15336		{ "Select", 30, 2 },
15337		{ "IDO", 24, 1 },
15338		{ "VFID", 15, 9 },
15339		{ "TC", 12, 3 },
15340		{ "VFVld", 11, 1 },
15341		{ "PF", 8, 3 },
15342		{ "RVF", 0, 8 },
15343	{ "PCIE_FID_VFID", 0x4f30, 0 },
15344		{ "Select", 30, 2 },
15345		{ "IDO", 24, 1 },
15346		{ "VFID", 15, 9 },
15347		{ "TC", 12, 3 },
15348		{ "VFVld", 11, 1 },
15349		{ "PF", 8, 3 },
15350		{ "RVF", 0, 8 },
15351	{ "PCIE_FID_VFID", 0x4f34, 0 },
15352		{ "Select", 30, 2 },
15353		{ "IDO", 24, 1 },
15354		{ "VFID", 15, 9 },
15355		{ "TC", 12, 3 },
15356		{ "VFVld", 11, 1 },
15357		{ "PF", 8, 3 },
15358		{ "RVF", 0, 8 },
15359	{ "PCIE_FID_VFID", 0x4f38, 0 },
15360		{ "Select", 30, 2 },
15361		{ "IDO", 24, 1 },
15362		{ "VFID", 15, 9 },
15363		{ "TC", 12, 3 },
15364		{ "VFVld", 11, 1 },
15365		{ "PF", 8, 3 },
15366		{ "RVF", 0, 8 },
15367	{ "PCIE_FID_VFID", 0x4f3c, 0 },
15368		{ "Select", 30, 2 },
15369		{ "IDO", 24, 1 },
15370		{ "VFID", 15, 9 },
15371		{ "TC", 12, 3 },
15372		{ "VFVld", 11, 1 },
15373		{ "PF", 8, 3 },
15374		{ "RVF", 0, 8 },
15375	{ "PCIE_FID_VFID", 0x4f40, 0 },
15376		{ "Select", 30, 2 },
15377		{ "IDO", 24, 1 },
15378		{ "VFID", 15, 9 },
15379		{ "TC", 12, 3 },
15380		{ "VFVld", 11, 1 },
15381		{ "PF", 8, 3 },
15382		{ "RVF", 0, 8 },
15383	{ "PCIE_FID_VFID", 0x4f44, 0 },
15384		{ "Select", 30, 2 },
15385		{ "IDO", 24, 1 },
15386		{ "VFID", 15, 9 },
15387		{ "TC", 12, 3 },
15388		{ "VFVld", 11, 1 },
15389		{ "PF", 8, 3 },
15390		{ "RVF", 0, 8 },
15391	{ "PCIE_FID_VFID", 0x4f48, 0 },
15392		{ "Select", 30, 2 },
15393		{ "IDO", 24, 1 },
15394		{ "VFID", 15, 9 },
15395		{ "TC", 12, 3 },
15396		{ "VFVld", 11, 1 },
15397		{ "PF", 8, 3 },
15398		{ "RVF", 0, 8 },
15399	{ "PCIE_FID_VFID", 0x4f4c, 0 },
15400		{ "Select", 30, 2 },
15401		{ "IDO", 24, 1 },
15402		{ "VFID", 15, 9 },
15403		{ "TC", 12, 3 },
15404		{ "VFVld", 11, 1 },
15405		{ "PF", 8, 3 },
15406		{ "RVF", 0, 8 },
15407	{ "PCIE_FID_VFID", 0x4f50, 0 },
15408		{ "Select", 30, 2 },
15409		{ "IDO", 24, 1 },
15410		{ "VFID", 15, 9 },
15411		{ "TC", 12, 3 },
15412		{ "VFVld", 11, 1 },
15413		{ "PF", 8, 3 },
15414		{ "RVF", 0, 8 },
15415	{ "PCIE_FID_VFID", 0x4f54, 0 },
15416		{ "Select", 30, 2 },
15417		{ "IDO", 24, 1 },
15418		{ "VFID", 15, 9 },
15419		{ "TC", 12, 3 },
15420		{ "VFVld", 11, 1 },
15421		{ "PF", 8, 3 },
15422		{ "RVF", 0, 8 },
15423	{ "PCIE_FID_VFID", 0x4f58, 0 },
15424		{ "Select", 30, 2 },
15425		{ "IDO", 24, 1 },
15426		{ "VFID", 15, 9 },
15427		{ "TC", 12, 3 },
15428		{ "VFVld", 11, 1 },
15429		{ "PF", 8, 3 },
15430		{ "RVF", 0, 8 },
15431	{ "PCIE_FID_VFID", 0x4f5c, 0 },
15432		{ "Select", 30, 2 },
15433		{ "IDO", 24, 1 },
15434		{ "VFID", 15, 9 },
15435		{ "TC", 12, 3 },
15436		{ "VFVld", 11, 1 },
15437		{ "PF", 8, 3 },
15438		{ "RVF", 0, 8 },
15439	{ "PCIE_FID_VFID", 0x4f60, 0 },
15440		{ "Select", 30, 2 },
15441		{ "IDO", 24, 1 },
15442		{ "VFID", 15, 9 },
15443		{ "TC", 12, 3 },
15444		{ "VFVld", 11, 1 },
15445		{ "PF", 8, 3 },
15446		{ "RVF", 0, 8 },
15447	{ "PCIE_FID_VFID", 0x4f64, 0 },
15448		{ "Select", 30, 2 },
15449		{ "IDO", 24, 1 },
15450		{ "VFID", 15, 9 },
15451		{ "TC", 12, 3 },
15452		{ "VFVld", 11, 1 },
15453		{ "PF", 8, 3 },
15454		{ "RVF", 0, 8 },
15455	{ "PCIE_FID_VFID", 0x4f68, 0 },
15456		{ "Select", 30, 2 },
15457		{ "IDO", 24, 1 },
15458		{ "VFID", 15, 9 },
15459		{ "TC", 12, 3 },
15460		{ "VFVld", 11, 1 },
15461		{ "PF", 8, 3 },
15462		{ "RVF", 0, 8 },
15463	{ "PCIE_FID_VFID", 0x4f6c, 0 },
15464		{ "Select", 30, 2 },
15465		{ "IDO", 24, 1 },
15466		{ "VFID", 15, 9 },
15467		{ "TC", 12, 3 },
15468		{ "VFVld", 11, 1 },
15469		{ "PF", 8, 3 },
15470		{ "RVF", 0, 8 },
15471	{ "PCIE_FID_VFID", 0x4f70, 0 },
15472		{ "Select", 30, 2 },
15473		{ "IDO", 24, 1 },
15474		{ "VFID", 15, 9 },
15475		{ "TC", 12, 3 },
15476		{ "VFVld", 11, 1 },
15477		{ "PF", 8, 3 },
15478		{ "RVF", 0, 8 },
15479	{ "PCIE_FID_VFID", 0x4f74, 0 },
15480		{ "Select", 30, 2 },
15481		{ "IDO", 24, 1 },
15482		{ "VFID", 15, 9 },
15483		{ "TC", 12, 3 },
15484		{ "VFVld", 11, 1 },
15485		{ "PF", 8, 3 },
15486		{ "RVF", 0, 8 },
15487	{ "PCIE_FID_VFID", 0x4f78, 0 },
15488		{ "Select", 30, 2 },
15489		{ "IDO", 24, 1 },
15490		{ "VFID", 15, 9 },
15491		{ "TC", 12, 3 },
15492		{ "VFVld", 11, 1 },
15493		{ "PF", 8, 3 },
15494		{ "RVF", 0, 8 },
15495	{ "PCIE_FID_VFID", 0x4f7c, 0 },
15496		{ "Select", 30, 2 },
15497		{ "IDO", 24, 1 },
15498		{ "VFID", 15, 9 },
15499		{ "TC", 12, 3 },
15500		{ "VFVld", 11, 1 },
15501		{ "PF", 8, 3 },
15502		{ "RVF", 0, 8 },
15503	{ "PCIE_FID_VFID", 0x4f80, 0 },
15504		{ "Select", 30, 2 },
15505		{ "IDO", 24, 1 },
15506		{ "VFID", 15, 9 },
15507		{ "TC", 12, 3 },
15508		{ "VFVld", 11, 1 },
15509		{ "PF", 8, 3 },
15510		{ "RVF", 0, 8 },
15511	{ "PCIE_FID_VFID", 0x4f84, 0 },
15512		{ "Select", 30, 2 },
15513		{ "IDO", 24, 1 },
15514		{ "VFID", 15, 9 },
15515		{ "TC", 12, 3 },
15516		{ "VFVld", 11, 1 },
15517		{ "PF", 8, 3 },
15518		{ "RVF", 0, 8 },
15519	{ "PCIE_FID_VFID", 0x4f88, 0 },
15520		{ "Select", 30, 2 },
15521		{ "IDO", 24, 1 },
15522		{ "VFID", 15, 9 },
15523		{ "TC", 12, 3 },
15524		{ "VFVld", 11, 1 },
15525		{ "PF", 8, 3 },
15526		{ "RVF", 0, 8 },
15527	{ "PCIE_FID_VFID", 0x4f8c, 0 },
15528		{ "Select", 30, 2 },
15529		{ "IDO", 24, 1 },
15530		{ "VFID", 15, 9 },
15531		{ "TC", 12, 3 },
15532		{ "VFVld", 11, 1 },
15533		{ "PF", 8, 3 },
15534		{ "RVF", 0, 8 },
15535	{ "PCIE_FID_VFID", 0x4f90, 0 },
15536		{ "Select", 30, 2 },
15537		{ "IDO", 24, 1 },
15538		{ "VFID", 15, 9 },
15539		{ "TC", 12, 3 },
15540		{ "VFVld", 11, 1 },
15541		{ "PF", 8, 3 },
15542		{ "RVF", 0, 8 },
15543	{ "PCIE_FID_VFID", 0x4f94, 0 },
15544		{ "Select", 30, 2 },
15545		{ "IDO", 24, 1 },
15546		{ "VFID", 15, 9 },
15547		{ "TC", 12, 3 },
15548		{ "VFVld", 11, 1 },
15549		{ "PF", 8, 3 },
15550		{ "RVF", 0, 8 },
15551	{ "PCIE_FID_VFID", 0x4f98, 0 },
15552		{ "Select", 30, 2 },
15553		{ "IDO", 24, 1 },
15554		{ "VFID", 15, 9 },
15555		{ "TC", 12, 3 },
15556		{ "VFVld", 11, 1 },
15557		{ "PF", 8, 3 },
15558		{ "RVF", 0, 8 },
15559	{ "PCIE_FID_VFID", 0x4f9c, 0 },
15560		{ "Select", 30, 2 },
15561		{ "IDO", 24, 1 },
15562		{ "VFID", 15, 9 },
15563		{ "TC", 12, 3 },
15564		{ "VFVld", 11, 1 },
15565		{ "PF", 8, 3 },
15566		{ "RVF", 0, 8 },
15567	{ "PCIE_FID_VFID", 0x4fa0, 0 },
15568		{ "Select", 30, 2 },
15569		{ "IDO", 24, 1 },
15570		{ "VFID", 15, 9 },
15571		{ "TC", 12, 3 },
15572		{ "VFVld", 11, 1 },
15573		{ "PF", 8, 3 },
15574		{ "RVF", 0, 8 },
15575	{ "PCIE_FID_VFID", 0x4fa4, 0 },
15576		{ "Select", 30, 2 },
15577		{ "IDO", 24, 1 },
15578		{ "VFID", 15, 9 },
15579		{ "TC", 12, 3 },
15580		{ "VFVld", 11, 1 },
15581		{ "PF", 8, 3 },
15582		{ "RVF", 0, 8 },
15583	{ "PCIE_FID_VFID", 0x4fa8, 0 },
15584		{ "Select", 30, 2 },
15585		{ "IDO", 24, 1 },
15586		{ "VFID", 15, 9 },
15587		{ "TC", 12, 3 },
15588		{ "VFVld", 11, 1 },
15589		{ "PF", 8, 3 },
15590		{ "RVF", 0, 8 },
15591	{ "PCIE_FID_VFID", 0x4fac, 0 },
15592		{ "Select", 30, 2 },
15593		{ "IDO", 24, 1 },
15594		{ "VFID", 15, 9 },
15595		{ "TC", 12, 3 },
15596		{ "VFVld", 11, 1 },
15597		{ "PF", 8, 3 },
15598		{ "RVF", 0, 8 },
15599	{ "PCIE_FID_VFID", 0x4fb0, 0 },
15600		{ "Select", 30, 2 },
15601		{ "IDO", 24, 1 },
15602		{ "VFID", 15, 9 },
15603		{ "TC", 12, 3 },
15604		{ "VFVld", 11, 1 },
15605		{ "PF", 8, 3 },
15606		{ "RVF", 0, 8 },
15607	{ "PCIE_FID_VFID", 0x4fb4, 0 },
15608		{ "Select", 30, 2 },
15609		{ "IDO", 24, 1 },
15610		{ "VFID", 15, 9 },
15611		{ "TC", 12, 3 },
15612		{ "VFVld", 11, 1 },
15613		{ "PF", 8, 3 },
15614		{ "RVF", 0, 8 },
15615	{ "PCIE_FID_VFID", 0x4fb8, 0 },
15616		{ "Select", 30, 2 },
15617		{ "IDO", 24, 1 },
15618		{ "VFID", 15, 9 },
15619		{ "TC", 12, 3 },
15620		{ "VFVld", 11, 1 },
15621		{ "PF", 8, 3 },
15622		{ "RVF", 0, 8 },
15623	{ "PCIE_FID_VFID", 0x4fbc, 0 },
15624		{ "Select", 30, 2 },
15625		{ "IDO", 24, 1 },
15626		{ "VFID", 15, 9 },
15627		{ "TC", 12, 3 },
15628		{ "VFVld", 11, 1 },
15629		{ "PF", 8, 3 },
15630		{ "RVF", 0, 8 },
15631	{ "PCIE_FID_VFID", 0x4fc0, 0 },
15632		{ "Select", 30, 2 },
15633		{ "IDO", 24, 1 },
15634		{ "VFID", 15, 9 },
15635		{ "TC", 12, 3 },
15636		{ "VFVld", 11, 1 },
15637		{ "PF", 8, 3 },
15638		{ "RVF", 0, 8 },
15639	{ "PCIE_FID_VFID", 0x4fc4, 0 },
15640		{ "Select", 30, 2 },
15641		{ "IDO", 24, 1 },
15642		{ "VFID", 15, 9 },
15643		{ "TC", 12, 3 },
15644		{ "VFVld", 11, 1 },
15645		{ "PF", 8, 3 },
15646		{ "RVF", 0, 8 },
15647	{ "PCIE_FID_VFID", 0x4fc8, 0 },
15648		{ "Select", 30, 2 },
15649		{ "IDO", 24, 1 },
15650		{ "VFID", 15, 9 },
15651		{ "TC", 12, 3 },
15652		{ "VFVld", 11, 1 },
15653		{ "PF", 8, 3 },
15654		{ "RVF", 0, 8 },
15655	{ "PCIE_FID_VFID", 0x4fcc, 0 },
15656		{ "Select", 30, 2 },
15657		{ "IDO", 24, 1 },
15658		{ "VFID", 15, 9 },
15659		{ "TC", 12, 3 },
15660		{ "VFVld", 11, 1 },
15661		{ "PF", 8, 3 },
15662		{ "RVF", 0, 8 },
15663	{ "PCIE_FID_VFID", 0x4fd0, 0 },
15664		{ "Select", 30, 2 },
15665		{ "IDO", 24, 1 },
15666		{ "VFID", 15, 9 },
15667		{ "TC", 12, 3 },
15668		{ "VFVld", 11, 1 },
15669		{ "PF", 8, 3 },
15670		{ "RVF", 0, 8 },
15671	{ "PCIE_FID_VFID", 0x4fd4, 0 },
15672		{ "Select", 30, 2 },
15673		{ "IDO", 24, 1 },
15674		{ "VFID", 15, 9 },
15675		{ "TC", 12, 3 },
15676		{ "VFVld", 11, 1 },
15677		{ "PF", 8, 3 },
15678		{ "RVF", 0, 8 },
15679	{ "PCIE_FID_VFID", 0x4fd8, 0 },
15680		{ "Select", 30, 2 },
15681		{ "IDO", 24, 1 },
15682		{ "VFID", 15, 9 },
15683		{ "TC", 12, 3 },
15684		{ "VFVld", 11, 1 },
15685		{ "PF", 8, 3 },
15686		{ "RVF", 0, 8 },
15687	{ "PCIE_FID_VFID", 0x4fdc, 0 },
15688		{ "Select", 30, 2 },
15689		{ "IDO", 24, 1 },
15690		{ "VFID", 15, 9 },
15691		{ "TC", 12, 3 },
15692		{ "VFVld", 11, 1 },
15693		{ "PF", 8, 3 },
15694		{ "RVF", 0, 8 },
15695	{ "PCIE_FID_VFID", 0x4fe0, 0 },
15696		{ "Select", 30, 2 },
15697		{ "IDO", 24, 1 },
15698		{ "VFID", 15, 9 },
15699		{ "TC", 12, 3 },
15700		{ "VFVld", 11, 1 },
15701		{ "PF", 8, 3 },
15702		{ "RVF", 0, 8 },
15703	{ "PCIE_FID_VFID", 0x4fe4, 0 },
15704		{ "Select", 30, 2 },
15705		{ "IDO", 24, 1 },
15706		{ "VFID", 15, 9 },
15707		{ "TC", 12, 3 },
15708		{ "VFVld", 11, 1 },
15709		{ "PF", 8, 3 },
15710		{ "RVF", 0, 8 },
15711	{ "PCIE_FID_VFID", 0x4fe8, 0 },
15712		{ "Select", 30, 2 },
15713		{ "IDO", 24, 1 },
15714		{ "VFID", 15, 9 },
15715		{ "TC", 12, 3 },
15716		{ "VFVld", 11, 1 },
15717		{ "PF", 8, 3 },
15718		{ "RVF", 0, 8 },
15719	{ "PCIE_FID_VFID", 0x4fec, 0 },
15720		{ "Select", 30, 2 },
15721		{ "IDO", 24, 1 },
15722		{ "VFID", 15, 9 },
15723		{ "TC", 12, 3 },
15724		{ "VFVld", 11, 1 },
15725		{ "PF", 8, 3 },
15726		{ "RVF", 0, 8 },
15727	{ "PCIE_FID_VFID", 0x4ff0, 0 },
15728		{ "Select", 30, 2 },
15729		{ "IDO", 24, 1 },
15730		{ "VFID", 15, 9 },
15731		{ "TC", 12, 3 },
15732		{ "VFVld", 11, 1 },
15733		{ "PF", 8, 3 },
15734		{ "RVF", 0, 8 },
15735	{ "PCIE_FID_VFID", 0x4ff4, 0 },
15736		{ "Select", 30, 2 },
15737		{ "IDO", 24, 1 },
15738		{ "VFID", 15, 9 },
15739		{ "TC", 12, 3 },
15740		{ "VFVld", 11, 1 },
15741		{ "PF", 8, 3 },
15742		{ "RVF", 0, 8 },
15743	{ "PCIE_FID_VFID", 0x4ff8, 0 },
15744		{ "Select", 30, 2 },
15745		{ "IDO", 24, 1 },
15746		{ "VFID", 15, 9 },
15747		{ "TC", 12, 3 },
15748		{ "VFVld", 11, 1 },
15749		{ "PF", 8, 3 },
15750		{ "RVF", 0, 8 },
15751	{ "PCIE_FID_VFID", 0x4ffc, 0 },
15752		{ "Select", 30, 2 },
15753		{ "IDO", 24, 1 },
15754		{ "VFID", 15, 9 },
15755		{ "TC", 12, 3 },
15756		{ "VFVld", 11, 1 },
15757		{ "PF", 8, 3 },
15758		{ "RVF", 0, 8 },
15759	{ "PCIE_FID_VFID", 0x5000, 0 },
15760		{ "Select", 30, 2 },
15761		{ "IDO", 24, 1 },
15762		{ "VFID", 15, 9 },
15763		{ "TC", 12, 3 },
15764		{ "VFVld", 11, 1 },
15765		{ "PF", 8, 3 },
15766		{ "RVF", 0, 8 },
15767	{ "PCIE_FID_VFID", 0x5004, 0 },
15768		{ "Select", 30, 2 },
15769		{ "IDO", 24, 1 },
15770		{ "VFID", 15, 9 },
15771		{ "TC", 12, 3 },
15772		{ "VFVld", 11, 1 },
15773		{ "PF", 8, 3 },
15774		{ "RVF", 0, 8 },
15775	{ "PCIE_FID_VFID", 0x5008, 0 },
15776		{ "Select", 30, 2 },
15777		{ "IDO", 24, 1 },
15778		{ "VFID", 15, 9 },
15779		{ "TC", 12, 3 },
15780		{ "VFVld", 11, 1 },
15781		{ "PF", 8, 3 },
15782		{ "RVF", 0, 8 },
15783	{ "PCIE_FID_VFID", 0x500c, 0 },
15784		{ "Select", 30, 2 },
15785		{ "IDO", 24, 1 },
15786		{ "VFID", 15, 9 },
15787		{ "TC", 12, 3 },
15788		{ "VFVld", 11, 1 },
15789		{ "PF", 8, 3 },
15790		{ "RVF", 0, 8 },
15791	{ "PCIE_FID_VFID", 0x5010, 0 },
15792		{ "Select", 30, 2 },
15793		{ "IDO", 24, 1 },
15794		{ "VFID", 15, 9 },
15795		{ "TC", 12, 3 },
15796		{ "VFVld", 11, 1 },
15797		{ "PF", 8, 3 },
15798		{ "RVF", 0, 8 },
15799	{ "PCIE_FID_VFID", 0x5014, 0 },
15800		{ "Select", 30, 2 },
15801		{ "IDO", 24, 1 },
15802		{ "VFID", 15, 9 },
15803		{ "TC", 12, 3 },
15804		{ "VFVld", 11, 1 },
15805		{ "PF", 8, 3 },
15806		{ "RVF", 0, 8 },
15807	{ "PCIE_FID_VFID", 0x5018, 0 },
15808		{ "Select", 30, 2 },
15809		{ "IDO", 24, 1 },
15810		{ "VFID", 15, 9 },
15811		{ "TC", 12, 3 },
15812		{ "VFVld", 11, 1 },
15813		{ "PF", 8, 3 },
15814		{ "RVF", 0, 8 },
15815	{ "PCIE_FID_VFID", 0x501c, 0 },
15816		{ "Select", 30, 2 },
15817		{ "IDO", 24, 1 },
15818		{ "VFID", 15, 9 },
15819		{ "TC", 12, 3 },
15820		{ "VFVld", 11, 1 },
15821		{ "PF", 8, 3 },
15822		{ "RVF", 0, 8 },
15823	{ "PCIE_FID_VFID", 0x5020, 0 },
15824		{ "Select", 30, 2 },
15825		{ "IDO", 24, 1 },
15826		{ "VFID", 15, 9 },
15827		{ "TC", 12, 3 },
15828		{ "VFVld", 11, 1 },
15829		{ "PF", 8, 3 },
15830		{ "RVF", 0, 8 },
15831	{ "PCIE_FID_VFID", 0x5024, 0 },
15832		{ "Select", 30, 2 },
15833		{ "IDO", 24, 1 },
15834		{ "VFID", 15, 9 },
15835		{ "TC", 12, 3 },
15836		{ "VFVld", 11, 1 },
15837		{ "PF", 8, 3 },
15838		{ "RVF", 0, 8 },
15839	{ "PCIE_FID_VFID", 0x5028, 0 },
15840		{ "Select", 30, 2 },
15841		{ "IDO", 24, 1 },
15842		{ "VFID", 15, 9 },
15843		{ "TC", 12, 3 },
15844		{ "VFVld", 11, 1 },
15845		{ "PF", 8, 3 },
15846		{ "RVF", 0, 8 },
15847	{ "PCIE_FID_VFID", 0x502c, 0 },
15848		{ "Select", 30, 2 },
15849		{ "IDO", 24, 1 },
15850		{ "VFID", 15, 9 },
15851		{ "TC", 12, 3 },
15852		{ "VFVld", 11, 1 },
15853		{ "PF", 8, 3 },
15854		{ "RVF", 0, 8 },
15855	{ "PCIE_FID_VFID", 0x5030, 0 },
15856		{ "Select", 30, 2 },
15857		{ "IDO", 24, 1 },
15858		{ "VFID", 15, 9 },
15859		{ "TC", 12, 3 },
15860		{ "VFVld", 11, 1 },
15861		{ "PF", 8, 3 },
15862		{ "RVF", 0, 8 },
15863	{ "PCIE_FID_VFID", 0x5034, 0 },
15864		{ "Select", 30, 2 },
15865		{ "IDO", 24, 1 },
15866		{ "VFID", 15, 9 },
15867		{ "TC", 12, 3 },
15868		{ "VFVld", 11, 1 },
15869		{ "PF", 8, 3 },
15870		{ "RVF", 0, 8 },
15871	{ "PCIE_FID_VFID", 0x5038, 0 },
15872		{ "Select", 30, 2 },
15873		{ "IDO", 24, 1 },
15874		{ "VFID", 15, 9 },
15875		{ "TC", 12, 3 },
15876		{ "VFVld", 11, 1 },
15877		{ "PF", 8, 3 },
15878		{ "RVF", 0, 8 },
15879	{ "PCIE_FID_VFID", 0x503c, 0 },
15880		{ "Select", 30, 2 },
15881		{ "IDO", 24, 1 },
15882		{ "VFID", 15, 9 },
15883		{ "TC", 12, 3 },
15884		{ "VFVld", 11, 1 },
15885		{ "PF", 8, 3 },
15886		{ "RVF", 0, 8 },
15887	{ "PCIE_FID_VFID", 0x5040, 0 },
15888		{ "Select", 30, 2 },
15889		{ "IDO", 24, 1 },
15890		{ "VFID", 15, 9 },
15891		{ "TC", 12, 3 },
15892		{ "VFVld", 11, 1 },
15893		{ "PF", 8, 3 },
15894		{ "RVF", 0, 8 },
15895	{ "PCIE_FID_VFID", 0x5044, 0 },
15896		{ "Select", 30, 2 },
15897		{ "IDO", 24, 1 },
15898		{ "VFID", 15, 9 },
15899		{ "TC", 12, 3 },
15900		{ "VFVld", 11, 1 },
15901		{ "PF", 8, 3 },
15902		{ "RVF", 0, 8 },
15903	{ "PCIE_FID_VFID", 0x5048, 0 },
15904		{ "Select", 30, 2 },
15905		{ "IDO", 24, 1 },
15906		{ "VFID", 15, 9 },
15907		{ "TC", 12, 3 },
15908		{ "VFVld", 11, 1 },
15909		{ "PF", 8, 3 },
15910		{ "RVF", 0, 8 },
15911	{ "PCIE_FID_VFID", 0x504c, 0 },
15912		{ "Select", 30, 2 },
15913		{ "IDO", 24, 1 },
15914		{ "VFID", 15, 9 },
15915		{ "TC", 12, 3 },
15916		{ "VFVld", 11, 1 },
15917		{ "PF", 8, 3 },
15918		{ "RVF", 0, 8 },
15919	{ "PCIE_FID_VFID", 0x5050, 0 },
15920		{ "Select", 30, 2 },
15921		{ "IDO", 24, 1 },
15922		{ "VFID", 15, 9 },
15923		{ "TC", 12, 3 },
15924		{ "VFVld", 11, 1 },
15925		{ "PF", 8, 3 },
15926		{ "RVF", 0, 8 },
15927	{ "PCIE_FID_VFID", 0x5054, 0 },
15928		{ "Select", 30, 2 },
15929		{ "IDO", 24, 1 },
15930		{ "VFID", 15, 9 },
15931		{ "TC", 12, 3 },
15932		{ "VFVld", 11, 1 },
15933		{ "PF", 8, 3 },
15934		{ "RVF", 0, 8 },
15935	{ "PCIE_FID_VFID", 0x5058, 0 },
15936		{ "Select", 30, 2 },
15937		{ "IDO", 24, 1 },
15938		{ "VFID", 15, 9 },
15939		{ "TC", 12, 3 },
15940		{ "VFVld", 11, 1 },
15941		{ "PF", 8, 3 },
15942		{ "RVF", 0, 8 },
15943	{ "PCIE_FID_VFID", 0x505c, 0 },
15944		{ "Select", 30, 2 },
15945		{ "IDO", 24, 1 },
15946		{ "VFID", 15, 9 },
15947		{ "TC", 12, 3 },
15948		{ "VFVld", 11, 1 },
15949		{ "PF", 8, 3 },
15950		{ "RVF", 0, 8 },
15951	{ "PCIE_FID_VFID", 0x5060, 0 },
15952		{ "Select", 30, 2 },
15953		{ "IDO", 24, 1 },
15954		{ "VFID", 15, 9 },
15955		{ "TC", 12, 3 },
15956		{ "VFVld", 11, 1 },
15957		{ "PF", 8, 3 },
15958		{ "RVF", 0, 8 },
15959	{ "PCIE_FID_VFID", 0x5064, 0 },
15960		{ "Select", 30, 2 },
15961		{ "IDO", 24, 1 },
15962		{ "VFID", 15, 9 },
15963		{ "TC", 12, 3 },
15964		{ "VFVld", 11, 1 },
15965		{ "PF", 8, 3 },
15966		{ "RVF", 0, 8 },
15967	{ "PCIE_FID_VFID", 0x5068, 0 },
15968		{ "Select", 30, 2 },
15969		{ "IDO", 24, 1 },
15970		{ "VFID", 15, 9 },
15971		{ "TC", 12, 3 },
15972		{ "VFVld", 11, 1 },
15973		{ "PF", 8, 3 },
15974		{ "RVF", 0, 8 },
15975	{ "PCIE_FID_VFID", 0x506c, 0 },
15976		{ "Select", 30, 2 },
15977		{ "IDO", 24, 1 },
15978		{ "VFID", 15, 9 },
15979		{ "TC", 12, 3 },
15980		{ "VFVld", 11, 1 },
15981		{ "PF", 8, 3 },
15982		{ "RVF", 0, 8 },
15983	{ "PCIE_FID_VFID", 0x5070, 0 },
15984		{ "Select", 30, 2 },
15985		{ "IDO", 24, 1 },
15986		{ "VFID", 15, 9 },
15987		{ "TC", 12, 3 },
15988		{ "VFVld", 11, 1 },
15989		{ "PF", 8, 3 },
15990		{ "RVF", 0, 8 },
15991	{ "PCIE_FID_VFID", 0x5074, 0 },
15992		{ "Select", 30, 2 },
15993		{ "IDO", 24, 1 },
15994		{ "VFID", 15, 9 },
15995		{ "TC", 12, 3 },
15996		{ "VFVld", 11, 1 },
15997		{ "PF", 8, 3 },
15998		{ "RVF", 0, 8 },
15999	{ "PCIE_FID_VFID", 0x5078, 0 },
16000		{ "Select", 30, 2 },
16001		{ "IDO", 24, 1 },
16002		{ "VFID", 15, 9 },
16003		{ "TC", 12, 3 },
16004		{ "VFVld", 11, 1 },
16005		{ "PF", 8, 3 },
16006		{ "RVF", 0, 8 },
16007	{ "PCIE_FID_VFID", 0x507c, 0 },
16008		{ "Select", 30, 2 },
16009		{ "IDO", 24, 1 },
16010		{ "VFID", 15, 9 },
16011		{ "TC", 12, 3 },
16012		{ "VFVld", 11, 1 },
16013		{ "PF", 8, 3 },
16014		{ "RVF", 0, 8 },
16015	{ "PCIE_FID_VFID", 0x5080, 0 },
16016		{ "Select", 30, 2 },
16017		{ "IDO", 24, 1 },
16018		{ "VFID", 15, 9 },
16019		{ "TC", 12, 3 },
16020		{ "VFVld", 11, 1 },
16021		{ "PF", 8, 3 },
16022		{ "RVF", 0, 8 },
16023	{ "PCIE_FID_VFID", 0x5084, 0 },
16024		{ "Select", 30, 2 },
16025		{ "IDO", 24, 1 },
16026		{ "VFID", 15, 9 },
16027		{ "TC", 12, 3 },
16028		{ "VFVld", 11, 1 },
16029		{ "PF", 8, 3 },
16030		{ "RVF", 0, 8 },
16031	{ "PCIE_FID_VFID", 0x5088, 0 },
16032		{ "Select", 30, 2 },
16033		{ "IDO", 24, 1 },
16034		{ "VFID", 15, 9 },
16035		{ "TC", 12, 3 },
16036		{ "VFVld", 11, 1 },
16037		{ "PF", 8, 3 },
16038		{ "RVF", 0, 8 },
16039	{ "PCIE_FID_VFID", 0x508c, 0 },
16040		{ "Select", 30, 2 },
16041		{ "IDO", 24, 1 },
16042		{ "VFID", 15, 9 },
16043		{ "TC", 12, 3 },
16044		{ "VFVld", 11, 1 },
16045		{ "PF", 8, 3 },
16046		{ "RVF", 0, 8 },
16047	{ "PCIE_FID_VFID", 0x5090, 0 },
16048		{ "Select", 30, 2 },
16049		{ "IDO", 24, 1 },
16050		{ "VFID", 15, 9 },
16051		{ "TC", 12, 3 },
16052		{ "VFVld", 11, 1 },
16053		{ "PF", 8, 3 },
16054		{ "RVF", 0, 8 },
16055	{ "PCIE_FID_VFID", 0x5094, 0 },
16056		{ "Select", 30, 2 },
16057		{ "IDO", 24, 1 },
16058		{ "VFID", 15, 9 },
16059		{ "TC", 12, 3 },
16060		{ "VFVld", 11, 1 },
16061		{ "PF", 8, 3 },
16062		{ "RVF", 0, 8 },
16063	{ "PCIE_FID_VFID", 0x5098, 0 },
16064		{ "Select", 30, 2 },
16065		{ "IDO", 24, 1 },
16066		{ "VFID", 15, 9 },
16067		{ "TC", 12, 3 },
16068		{ "VFVld", 11, 1 },
16069		{ "PF", 8, 3 },
16070		{ "RVF", 0, 8 },
16071	{ "PCIE_FID_VFID", 0x509c, 0 },
16072		{ "Select", 30, 2 },
16073		{ "IDO", 24, 1 },
16074		{ "VFID", 15, 9 },
16075		{ "TC", 12, 3 },
16076		{ "VFVld", 11, 1 },
16077		{ "PF", 8, 3 },
16078		{ "RVF", 0, 8 },
16079	{ "PCIE_FID_VFID", 0x50a0, 0 },
16080		{ "Select", 30, 2 },
16081		{ "IDO", 24, 1 },
16082		{ "VFID", 15, 9 },
16083		{ "TC", 12, 3 },
16084		{ "VFVld", 11, 1 },
16085		{ "PF", 8, 3 },
16086		{ "RVF", 0, 8 },
16087	{ "PCIE_FID_VFID", 0x50a4, 0 },
16088		{ "Select", 30, 2 },
16089		{ "IDO", 24, 1 },
16090		{ "VFID", 15, 9 },
16091		{ "TC", 12, 3 },
16092		{ "VFVld", 11, 1 },
16093		{ "PF", 8, 3 },
16094		{ "RVF", 0, 8 },
16095	{ "PCIE_FID_VFID", 0x50a8, 0 },
16096		{ "Select", 30, 2 },
16097		{ "IDO", 24, 1 },
16098		{ "VFID", 15, 9 },
16099		{ "TC", 12, 3 },
16100		{ "VFVld", 11, 1 },
16101		{ "PF", 8, 3 },
16102		{ "RVF", 0, 8 },
16103	{ "PCIE_FID_VFID", 0x50ac, 0 },
16104		{ "Select", 30, 2 },
16105		{ "IDO", 24, 1 },
16106		{ "VFID", 15, 9 },
16107		{ "TC", 12, 3 },
16108		{ "VFVld", 11, 1 },
16109		{ "PF", 8, 3 },
16110		{ "RVF", 0, 8 },
16111	{ "PCIE_FID_VFID", 0x50b0, 0 },
16112		{ "Select", 30, 2 },
16113		{ "IDO", 24, 1 },
16114		{ "VFID", 15, 9 },
16115		{ "TC", 12, 3 },
16116		{ "VFVld", 11, 1 },
16117		{ "PF", 8, 3 },
16118		{ "RVF", 0, 8 },
16119	{ "PCIE_FID_VFID", 0x50b4, 0 },
16120		{ "Select", 30, 2 },
16121		{ "IDO", 24, 1 },
16122		{ "VFID", 15, 9 },
16123		{ "TC", 12, 3 },
16124		{ "VFVld", 11, 1 },
16125		{ "PF", 8, 3 },
16126		{ "RVF", 0, 8 },
16127	{ "PCIE_FID_VFID", 0x50b8, 0 },
16128		{ "Select", 30, 2 },
16129		{ "IDO", 24, 1 },
16130		{ "VFID", 15, 9 },
16131		{ "TC", 12, 3 },
16132		{ "VFVld", 11, 1 },
16133		{ "PF", 8, 3 },
16134		{ "RVF", 0, 8 },
16135	{ "PCIE_FID_VFID", 0x50bc, 0 },
16136		{ "Select", 30, 2 },
16137		{ "IDO", 24, 1 },
16138		{ "VFID", 15, 9 },
16139		{ "TC", 12, 3 },
16140		{ "VFVld", 11, 1 },
16141		{ "PF", 8, 3 },
16142		{ "RVF", 0, 8 },
16143	{ "PCIE_FID_VFID", 0x50c0, 0 },
16144		{ "Select", 30, 2 },
16145		{ "IDO", 24, 1 },
16146		{ "VFID", 15, 9 },
16147		{ "TC", 12, 3 },
16148		{ "VFVld", 11, 1 },
16149		{ "PF", 8, 3 },
16150		{ "RVF", 0, 8 },
16151	{ "PCIE_FID_VFID", 0x50c4, 0 },
16152		{ "Select", 30, 2 },
16153		{ "IDO", 24, 1 },
16154		{ "VFID", 15, 9 },
16155		{ "TC", 12, 3 },
16156		{ "VFVld", 11, 1 },
16157		{ "PF", 8, 3 },
16158		{ "RVF", 0, 8 },
16159	{ "PCIE_FID_VFID", 0x50c8, 0 },
16160		{ "Select", 30, 2 },
16161		{ "IDO", 24, 1 },
16162		{ "VFID", 15, 9 },
16163		{ "TC", 12, 3 },
16164		{ "VFVld", 11, 1 },
16165		{ "PF", 8, 3 },
16166		{ "RVF", 0, 8 },
16167	{ "PCIE_FID_VFID", 0x50cc, 0 },
16168		{ "Select", 30, 2 },
16169		{ "IDO", 24, 1 },
16170		{ "VFID", 15, 9 },
16171		{ "TC", 12, 3 },
16172		{ "VFVld", 11, 1 },
16173		{ "PF", 8, 3 },
16174		{ "RVF", 0, 8 },
16175	{ "PCIE_FID_VFID", 0x50d0, 0 },
16176		{ "Select", 30, 2 },
16177		{ "IDO", 24, 1 },
16178		{ "VFID", 15, 9 },
16179		{ "TC", 12, 3 },
16180		{ "VFVld", 11, 1 },
16181		{ "PF", 8, 3 },
16182		{ "RVF", 0, 8 },
16183	{ "PCIE_FID_VFID", 0x50d4, 0 },
16184		{ "Select", 30, 2 },
16185		{ "IDO", 24, 1 },
16186		{ "VFID", 15, 9 },
16187		{ "TC", 12, 3 },
16188		{ "VFVld", 11, 1 },
16189		{ "PF", 8, 3 },
16190		{ "RVF", 0, 8 },
16191	{ "PCIE_FID_VFID", 0x50d8, 0 },
16192		{ "Select", 30, 2 },
16193		{ "IDO", 24, 1 },
16194		{ "VFID", 15, 9 },
16195		{ "TC", 12, 3 },
16196		{ "VFVld", 11, 1 },
16197		{ "PF", 8, 3 },
16198		{ "RVF", 0, 8 },
16199	{ "PCIE_FID_VFID", 0x50dc, 0 },
16200		{ "Select", 30, 2 },
16201		{ "IDO", 24, 1 },
16202		{ "VFID", 15, 9 },
16203		{ "TC", 12, 3 },
16204		{ "VFVld", 11, 1 },
16205		{ "PF", 8, 3 },
16206		{ "RVF", 0, 8 },
16207	{ "PCIE_FID_VFID", 0x50e0, 0 },
16208		{ "Select", 30, 2 },
16209		{ "IDO", 24, 1 },
16210		{ "VFID", 15, 9 },
16211		{ "TC", 12, 3 },
16212		{ "VFVld", 11, 1 },
16213		{ "PF", 8, 3 },
16214		{ "RVF", 0, 8 },
16215	{ "PCIE_FID_VFID", 0x50e4, 0 },
16216		{ "Select", 30, 2 },
16217		{ "IDO", 24, 1 },
16218		{ "VFID", 15, 9 },
16219		{ "TC", 12, 3 },
16220		{ "VFVld", 11, 1 },
16221		{ "PF", 8, 3 },
16222		{ "RVF", 0, 8 },
16223	{ "PCIE_FID_VFID", 0x50e8, 0 },
16224		{ "Select", 30, 2 },
16225		{ "IDO", 24, 1 },
16226		{ "VFID", 15, 9 },
16227		{ "TC", 12, 3 },
16228		{ "VFVld", 11, 1 },
16229		{ "PF", 8, 3 },
16230		{ "RVF", 0, 8 },
16231	{ "PCIE_FID_VFID", 0x50ec, 0 },
16232		{ "Select", 30, 2 },
16233		{ "IDO", 24, 1 },
16234		{ "VFID", 15, 9 },
16235		{ "TC", 12, 3 },
16236		{ "VFVld", 11, 1 },
16237		{ "PF", 8, 3 },
16238		{ "RVF", 0, 8 },
16239	{ "PCIE_FID_VFID", 0x50f0, 0 },
16240		{ "Select", 30, 2 },
16241		{ "IDO", 24, 1 },
16242		{ "VFID", 15, 9 },
16243		{ "TC", 12, 3 },
16244		{ "VFVld", 11, 1 },
16245		{ "PF", 8, 3 },
16246		{ "RVF", 0, 8 },
16247	{ "PCIE_FID_VFID", 0x50f4, 0 },
16248		{ "Select", 30, 2 },
16249		{ "IDO", 24, 1 },
16250		{ "VFID", 15, 9 },
16251		{ "TC", 12, 3 },
16252		{ "VFVld", 11, 1 },
16253		{ "PF", 8, 3 },
16254		{ "RVF", 0, 8 },
16255	{ "PCIE_FID_VFID", 0x50f8, 0 },
16256		{ "Select", 30, 2 },
16257		{ "IDO", 24, 1 },
16258		{ "VFID", 15, 9 },
16259		{ "TC", 12, 3 },
16260		{ "VFVld", 11, 1 },
16261		{ "PF", 8, 3 },
16262		{ "RVF", 0, 8 },
16263	{ "PCIE_FID_VFID", 0x50fc, 0 },
16264		{ "Select", 30, 2 },
16265		{ "IDO", 24, 1 },
16266		{ "VFID", 15, 9 },
16267		{ "TC", 12, 3 },
16268		{ "VFVld", 11, 1 },
16269		{ "PF", 8, 3 },
16270		{ "RVF", 0, 8 },
16271	{ "PCIE_FID_VFID", 0x5100, 0 },
16272		{ "Select", 30, 2 },
16273		{ "IDO", 24, 1 },
16274		{ "VFID", 15, 9 },
16275		{ "TC", 12, 3 },
16276		{ "VFVld", 11, 1 },
16277		{ "PF", 8, 3 },
16278		{ "RVF", 0, 8 },
16279	{ "PCIE_FID_VFID", 0x5104, 0 },
16280		{ "Select", 30, 2 },
16281		{ "IDO", 24, 1 },
16282		{ "VFID", 15, 9 },
16283		{ "TC", 12, 3 },
16284		{ "VFVld", 11, 1 },
16285		{ "PF", 8, 3 },
16286		{ "RVF", 0, 8 },
16287	{ "PCIE_FID_VFID", 0x5108, 0 },
16288		{ "Select", 30, 2 },
16289		{ "IDO", 24, 1 },
16290		{ "VFID", 15, 9 },
16291		{ "TC", 12, 3 },
16292		{ "VFVld", 11, 1 },
16293		{ "PF", 8, 3 },
16294		{ "RVF", 0, 8 },
16295	{ "PCIE_FID_VFID", 0x510c, 0 },
16296		{ "Select", 30, 2 },
16297		{ "IDO", 24, 1 },
16298		{ "VFID", 15, 9 },
16299		{ "TC", 12, 3 },
16300		{ "VFVld", 11, 1 },
16301		{ "PF", 8, 3 },
16302		{ "RVF", 0, 8 },
16303	{ "PCIE_FID_VFID", 0x5110, 0 },
16304		{ "Select", 30, 2 },
16305		{ "IDO", 24, 1 },
16306		{ "VFID", 15, 9 },
16307		{ "TC", 12, 3 },
16308		{ "VFVld", 11, 1 },
16309		{ "PF", 8, 3 },
16310		{ "RVF", 0, 8 },
16311	{ "PCIE_FID_VFID", 0x5114, 0 },
16312		{ "Select", 30, 2 },
16313		{ "IDO", 24, 1 },
16314		{ "VFID", 15, 9 },
16315		{ "TC", 12, 3 },
16316		{ "VFVld", 11, 1 },
16317		{ "PF", 8, 3 },
16318		{ "RVF", 0, 8 },
16319	{ "PCIE_FID_VFID", 0x5118, 0 },
16320		{ "Select", 30, 2 },
16321		{ "IDO", 24, 1 },
16322		{ "VFID", 15, 9 },
16323		{ "TC", 12, 3 },
16324		{ "VFVld", 11, 1 },
16325		{ "PF", 8, 3 },
16326		{ "RVF", 0, 8 },
16327	{ "PCIE_FID_VFID", 0x511c, 0 },
16328		{ "Select", 30, 2 },
16329		{ "IDO", 24, 1 },
16330		{ "VFID", 15, 9 },
16331		{ "TC", 12, 3 },
16332		{ "VFVld", 11, 1 },
16333		{ "PF", 8, 3 },
16334		{ "RVF", 0, 8 },
16335	{ "PCIE_FID_VFID", 0x5120, 0 },
16336		{ "Select", 30, 2 },
16337		{ "IDO", 24, 1 },
16338		{ "VFID", 15, 9 },
16339		{ "TC", 12, 3 },
16340		{ "VFVld", 11, 1 },
16341		{ "PF", 8, 3 },
16342		{ "RVF", 0, 8 },
16343	{ "PCIE_FID_VFID", 0x5124, 0 },
16344		{ "Select", 30, 2 },
16345		{ "IDO", 24, 1 },
16346		{ "VFID", 15, 9 },
16347		{ "TC", 12, 3 },
16348		{ "VFVld", 11, 1 },
16349		{ "PF", 8, 3 },
16350		{ "RVF", 0, 8 },
16351	{ "PCIE_FID_VFID", 0x5128, 0 },
16352		{ "Select", 30, 2 },
16353		{ "IDO", 24, 1 },
16354		{ "VFID", 15, 9 },
16355		{ "TC", 12, 3 },
16356		{ "VFVld", 11, 1 },
16357		{ "PF", 8, 3 },
16358		{ "RVF", 0, 8 },
16359	{ "PCIE_FID_VFID", 0x512c, 0 },
16360		{ "Select", 30, 2 },
16361		{ "IDO", 24, 1 },
16362		{ "VFID", 15, 9 },
16363		{ "TC", 12, 3 },
16364		{ "VFVld", 11, 1 },
16365		{ "PF", 8, 3 },
16366		{ "RVF", 0, 8 },
16367	{ "PCIE_FID_VFID", 0x5130, 0 },
16368		{ "Select", 30, 2 },
16369		{ "IDO", 24, 1 },
16370		{ "VFID", 15, 9 },
16371		{ "TC", 12, 3 },
16372		{ "VFVld", 11, 1 },
16373		{ "PF", 8, 3 },
16374		{ "RVF", 0, 8 },
16375	{ "PCIE_FID_VFID", 0x5134, 0 },
16376		{ "Select", 30, 2 },
16377		{ "IDO", 24, 1 },
16378		{ "VFID", 15, 9 },
16379		{ "TC", 12, 3 },
16380		{ "VFVld", 11, 1 },
16381		{ "PF", 8, 3 },
16382		{ "RVF", 0, 8 },
16383	{ "PCIE_FID_VFID", 0x5138, 0 },
16384		{ "Select", 30, 2 },
16385		{ "IDO", 24, 1 },
16386		{ "VFID", 15, 9 },
16387		{ "TC", 12, 3 },
16388		{ "VFVld", 11, 1 },
16389		{ "PF", 8, 3 },
16390		{ "RVF", 0, 8 },
16391	{ "PCIE_FID_VFID", 0x513c, 0 },
16392		{ "Select", 30, 2 },
16393		{ "IDO", 24, 1 },
16394		{ "VFID", 15, 9 },
16395		{ "TC", 12, 3 },
16396		{ "VFVld", 11, 1 },
16397		{ "PF", 8, 3 },
16398		{ "RVF", 0, 8 },
16399	{ "PCIE_FID_VFID", 0x5140, 0 },
16400		{ "Select", 30, 2 },
16401		{ "IDO", 24, 1 },
16402		{ "VFID", 15, 9 },
16403		{ "TC", 12, 3 },
16404		{ "VFVld", 11, 1 },
16405		{ "PF", 8, 3 },
16406		{ "RVF", 0, 8 },
16407	{ "PCIE_FID_VFID", 0x5144, 0 },
16408		{ "Select", 30, 2 },
16409		{ "IDO", 24, 1 },
16410		{ "VFID", 15, 9 },
16411		{ "TC", 12, 3 },
16412		{ "VFVld", 11, 1 },
16413		{ "PF", 8, 3 },
16414		{ "RVF", 0, 8 },
16415	{ "PCIE_FID_VFID", 0x5148, 0 },
16416		{ "Select", 30, 2 },
16417		{ "IDO", 24, 1 },
16418		{ "VFID", 15, 9 },
16419		{ "TC", 12, 3 },
16420		{ "VFVld", 11, 1 },
16421		{ "PF", 8, 3 },
16422		{ "RVF", 0, 8 },
16423	{ "PCIE_FID_VFID", 0x514c, 0 },
16424		{ "Select", 30, 2 },
16425		{ "IDO", 24, 1 },
16426		{ "VFID", 15, 9 },
16427		{ "TC", 12, 3 },
16428		{ "VFVld", 11, 1 },
16429		{ "PF", 8, 3 },
16430		{ "RVF", 0, 8 },
16431	{ "PCIE_FID_VFID", 0x5150, 0 },
16432		{ "Select", 30, 2 },
16433		{ "IDO", 24, 1 },
16434		{ "VFID", 15, 9 },
16435		{ "TC", 12, 3 },
16436		{ "VFVld", 11, 1 },
16437		{ "PF", 8, 3 },
16438		{ "RVF", 0, 8 },
16439	{ "PCIE_FID_VFID", 0x5154, 0 },
16440		{ "Select", 30, 2 },
16441		{ "IDO", 24, 1 },
16442		{ "VFID", 15, 9 },
16443		{ "TC", 12, 3 },
16444		{ "VFVld", 11, 1 },
16445		{ "PF", 8, 3 },
16446		{ "RVF", 0, 8 },
16447	{ "PCIE_FID_VFID", 0x5158, 0 },
16448		{ "Select", 30, 2 },
16449		{ "IDO", 24, 1 },
16450		{ "VFID", 15, 9 },
16451		{ "TC", 12, 3 },
16452		{ "VFVld", 11, 1 },
16453		{ "PF", 8, 3 },
16454		{ "RVF", 0, 8 },
16455	{ "PCIE_FID_VFID", 0x515c, 0 },
16456		{ "Select", 30, 2 },
16457		{ "IDO", 24, 1 },
16458		{ "VFID", 15, 9 },
16459		{ "TC", 12, 3 },
16460		{ "VFVld", 11, 1 },
16461		{ "PF", 8, 3 },
16462		{ "RVF", 0, 8 },
16463	{ "PCIE_FID_VFID", 0x5160, 0 },
16464		{ "Select", 30, 2 },
16465		{ "IDO", 24, 1 },
16466		{ "VFID", 15, 9 },
16467		{ "TC", 12, 3 },
16468		{ "VFVld", 11, 1 },
16469		{ "PF", 8, 3 },
16470		{ "RVF", 0, 8 },
16471	{ "PCIE_FID_VFID", 0x5164, 0 },
16472		{ "Select", 30, 2 },
16473		{ "IDO", 24, 1 },
16474		{ "VFID", 15, 9 },
16475		{ "TC", 12, 3 },
16476		{ "VFVld", 11, 1 },
16477		{ "PF", 8, 3 },
16478		{ "RVF", 0, 8 },
16479	{ "PCIE_FID_VFID", 0x5168, 0 },
16480		{ "Select", 30, 2 },
16481		{ "IDO", 24, 1 },
16482		{ "VFID", 15, 9 },
16483		{ "TC", 12, 3 },
16484		{ "VFVld", 11, 1 },
16485		{ "PF", 8, 3 },
16486		{ "RVF", 0, 8 },
16487	{ "PCIE_FID_VFID", 0x516c, 0 },
16488		{ "Select", 30, 2 },
16489		{ "IDO", 24, 1 },
16490		{ "VFID", 15, 9 },
16491		{ "TC", 12, 3 },
16492		{ "VFVld", 11, 1 },
16493		{ "PF", 8, 3 },
16494		{ "RVF", 0, 8 },
16495	{ "PCIE_FID_VFID", 0x5170, 0 },
16496		{ "Select", 30, 2 },
16497		{ "IDO", 24, 1 },
16498		{ "VFID", 15, 9 },
16499		{ "TC", 12, 3 },
16500		{ "VFVld", 11, 1 },
16501		{ "PF", 8, 3 },
16502		{ "RVF", 0, 8 },
16503	{ "PCIE_FID_VFID", 0x5174, 0 },
16504		{ "Select", 30, 2 },
16505		{ "IDO", 24, 1 },
16506		{ "VFID", 15, 9 },
16507		{ "TC", 12, 3 },
16508		{ "VFVld", 11, 1 },
16509		{ "PF", 8, 3 },
16510		{ "RVF", 0, 8 },
16511	{ "PCIE_FID_VFID", 0x5178, 0 },
16512		{ "Select", 30, 2 },
16513		{ "IDO", 24, 1 },
16514		{ "VFID", 15, 9 },
16515		{ "TC", 12, 3 },
16516		{ "VFVld", 11, 1 },
16517		{ "PF", 8, 3 },
16518		{ "RVF", 0, 8 },
16519	{ "PCIE_FID_VFID", 0x517c, 0 },
16520		{ "Select", 30, 2 },
16521		{ "IDO", 24, 1 },
16522		{ "VFID", 15, 9 },
16523		{ "TC", 12, 3 },
16524		{ "VFVld", 11, 1 },
16525		{ "PF", 8, 3 },
16526		{ "RVF", 0, 8 },
16527	{ "PCIE_FID_VFID", 0x5180, 0 },
16528		{ "Select", 30, 2 },
16529		{ "IDO", 24, 1 },
16530		{ "VFID", 15, 9 },
16531		{ "TC", 12, 3 },
16532		{ "VFVld", 11, 1 },
16533		{ "PF", 8, 3 },
16534		{ "RVF", 0, 8 },
16535	{ "PCIE_FID_VFID", 0x5184, 0 },
16536		{ "Select", 30, 2 },
16537		{ "IDO", 24, 1 },
16538		{ "VFID", 15, 9 },
16539		{ "TC", 12, 3 },
16540		{ "VFVld", 11, 1 },
16541		{ "PF", 8, 3 },
16542		{ "RVF", 0, 8 },
16543	{ "PCIE_FID_VFID", 0x5188, 0 },
16544		{ "Select", 30, 2 },
16545		{ "IDO", 24, 1 },
16546		{ "VFID", 15, 9 },
16547		{ "TC", 12, 3 },
16548		{ "VFVld", 11, 1 },
16549		{ "PF", 8, 3 },
16550		{ "RVF", 0, 8 },
16551	{ "PCIE_FID_VFID", 0x518c, 0 },
16552		{ "Select", 30, 2 },
16553		{ "IDO", 24, 1 },
16554		{ "VFID", 15, 9 },
16555		{ "TC", 12, 3 },
16556		{ "VFVld", 11, 1 },
16557		{ "PF", 8, 3 },
16558		{ "RVF", 0, 8 },
16559	{ "PCIE_FID_VFID", 0x5190, 0 },
16560		{ "Select", 30, 2 },
16561		{ "IDO", 24, 1 },
16562		{ "VFID", 15, 9 },
16563		{ "TC", 12, 3 },
16564		{ "VFVld", 11, 1 },
16565		{ "PF", 8, 3 },
16566		{ "RVF", 0, 8 },
16567	{ "PCIE_FID_VFID", 0x5194, 0 },
16568		{ "Select", 30, 2 },
16569		{ "IDO", 24, 1 },
16570		{ "VFID", 15, 9 },
16571		{ "TC", 12, 3 },
16572		{ "VFVld", 11, 1 },
16573		{ "PF", 8, 3 },
16574		{ "RVF", 0, 8 },
16575	{ "PCIE_FID_VFID", 0x5198, 0 },
16576		{ "Select", 30, 2 },
16577		{ "IDO", 24, 1 },
16578		{ "VFID", 15, 9 },
16579		{ "TC", 12, 3 },
16580		{ "VFVld", 11, 1 },
16581		{ "PF", 8, 3 },
16582		{ "RVF", 0, 8 },
16583	{ "PCIE_FID_VFID", 0x519c, 0 },
16584		{ "Select", 30, 2 },
16585		{ "IDO", 24, 1 },
16586		{ "VFID", 15, 9 },
16587		{ "TC", 12, 3 },
16588		{ "VFVld", 11, 1 },
16589		{ "PF", 8, 3 },
16590		{ "RVF", 0, 8 },
16591	{ "PCIE_FID_VFID", 0x51a0, 0 },
16592		{ "Select", 30, 2 },
16593		{ "IDO", 24, 1 },
16594		{ "VFID", 15, 9 },
16595		{ "TC", 12, 3 },
16596		{ "VFVld", 11, 1 },
16597		{ "PF", 8, 3 },
16598		{ "RVF", 0, 8 },
16599	{ "PCIE_FID_VFID", 0x51a4, 0 },
16600		{ "Select", 30, 2 },
16601		{ "IDO", 24, 1 },
16602		{ "VFID", 15, 9 },
16603		{ "TC", 12, 3 },
16604		{ "VFVld", 11, 1 },
16605		{ "PF", 8, 3 },
16606		{ "RVF", 0, 8 },
16607	{ "PCIE_FID_VFID", 0x51a8, 0 },
16608		{ "Select", 30, 2 },
16609		{ "IDO", 24, 1 },
16610		{ "VFID", 15, 9 },
16611		{ "TC", 12, 3 },
16612		{ "VFVld", 11, 1 },
16613		{ "PF", 8, 3 },
16614		{ "RVF", 0, 8 },
16615	{ "PCIE_FID_VFID", 0x51ac, 0 },
16616		{ "Select", 30, 2 },
16617		{ "IDO", 24, 1 },
16618		{ "VFID", 15, 9 },
16619		{ "TC", 12, 3 },
16620		{ "VFVld", 11, 1 },
16621		{ "PF", 8, 3 },
16622		{ "RVF", 0, 8 },
16623	{ "PCIE_FID_VFID", 0x51b0, 0 },
16624		{ "Select", 30, 2 },
16625		{ "IDO", 24, 1 },
16626		{ "VFID", 15, 9 },
16627		{ "TC", 12, 3 },
16628		{ "VFVld", 11, 1 },
16629		{ "PF", 8, 3 },
16630		{ "RVF", 0, 8 },
16631	{ "PCIE_FID_VFID", 0x51b4, 0 },
16632		{ "Select", 30, 2 },
16633		{ "IDO", 24, 1 },
16634		{ "VFID", 15, 9 },
16635		{ "TC", 12, 3 },
16636		{ "VFVld", 11, 1 },
16637		{ "PF", 8, 3 },
16638		{ "RVF", 0, 8 },
16639	{ "PCIE_FID_VFID", 0x51b8, 0 },
16640		{ "Select", 30, 2 },
16641		{ "IDO", 24, 1 },
16642		{ "VFID", 15, 9 },
16643		{ "TC", 12, 3 },
16644		{ "VFVld", 11, 1 },
16645		{ "PF", 8, 3 },
16646		{ "RVF", 0, 8 },
16647	{ "PCIE_FID_VFID", 0x51bc, 0 },
16648		{ "Select", 30, 2 },
16649		{ "IDO", 24, 1 },
16650		{ "VFID", 15, 9 },
16651		{ "TC", 12, 3 },
16652		{ "VFVld", 11, 1 },
16653		{ "PF", 8, 3 },
16654		{ "RVF", 0, 8 },
16655	{ "PCIE_FID_VFID", 0x51c0, 0 },
16656		{ "Select", 30, 2 },
16657		{ "IDO", 24, 1 },
16658		{ "VFID", 15, 9 },
16659		{ "TC", 12, 3 },
16660		{ "VFVld", 11, 1 },
16661		{ "PF", 8, 3 },
16662		{ "RVF", 0, 8 },
16663	{ "PCIE_FID_VFID", 0x51c4, 0 },
16664		{ "Select", 30, 2 },
16665		{ "IDO", 24, 1 },
16666		{ "VFID", 15, 9 },
16667		{ "TC", 12, 3 },
16668		{ "VFVld", 11, 1 },
16669		{ "PF", 8, 3 },
16670		{ "RVF", 0, 8 },
16671	{ "PCIE_FID_VFID", 0x51c8, 0 },
16672		{ "Select", 30, 2 },
16673		{ "IDO", 24, 1 },
16674		{ "VFID", 15, 9 },
16675		{ "TC", 12, 3 },
16676		{ "VFVld", 11, 1 },
16677		{ "PF", 8, 3 },
16678		{ "RVF", 0, 8 },
16679	{ "PCIE_FID_VFID", 0x51cc, 0 },
16680		{ "Select", 30, 2 },
16681		{ "IDO", 24, 1 },
16682		{ "VFID", 15, 9 },
16683		{ "TC", 12, 3 },
16684		{ "VFVld", 11, 1 },
16685		{ "PF", 8, 3 },
16686		{ "RVF", 0, 8 },
16687	{ "PCIE_FID_VFID", 0x51d0, 0 },
16688		{ "Select", 30, 2 },
16689		{ "IDO", 24, 1 },
16690		{ "VFID", 15, 9 },
16691		{ "TC", 12, 3 },
16692		{ "VFVld", 11, 1 },
16693		{ "PF", 8, 3 },
16694		{ "RVF", 0, 8 },
16695	{ "PCIE_FID_VFID", 0x51d4, 0 },
16696		{ "Select", 30, 2 },
16697		{ "IDO", 24, 1 },
16698		{ "VFID", 15, 9 },
16699		{ "TC", 12, 3 },
16700		{ "VFVld", 11, 1 },
16701		{ "PF", 8, 3 },
16702		{ "RVF", 0, 8 },
16703	{ "PCIE_FID_VFID", 0x51d8, 0 },
16704		{ "Select", 30, 2 },
16705		{ "IDO", 24, 1 },
16706		{ "VFID", 15, 9 },
16707		{ "TC", 12, 3 },
16708		{ "VFVld", 11, 1 },
16709		{ "PF", 8, 3 },
16710		{ "RVF", 0, 8 },
16711	{ "PCIE_FID_VFID", 0x51dc, 0 },
16712		{ "Select", 30, 2 },
16713		{ "IDO", 24, 1 },
16714		{ "VFID", 15, 9 },
16715		{ "TC", 12, 3 },
16716		{ "VFVld", 11, 1 },
16717		{ "PF", 8, 3 },
16718		{ "RVF", 0, 8 },
16719	{ "PCIE_FID_VFID", 0x51e0, 0 },
16720		{ "Select", 30, 2 },
16721		{ "IDO", 24, 1 },
16722		{ "VFID", 15, 9 },
16723		{ "TC", 12, 3 },
16724		{ "VFVld", 11, 1 },
16725		{ "PF", 8, 3 },
16726		{ "RVF", 0, 8 },
16727	{ "PCIE_FID_VFID", 0x51e4, 0 },
16728		{ "Select", 30, 2 },
16729		{ "IDO", 24, 1 },
16730		{ "VFID", 15, 9 },
16731		{ "TC", 12, 3 },
16732		{ "VFVld", 11, 1 },
16733		{ "PF", 8, 3 },
16734		{ "RVF", 0, 8 },
16735	{ "PCIE_FID_VFID", 0x51e8, 0 },
16736		{ "Select", 30, 2 },
16737		{ "IDO", 24, 1 },
16738		{ "VFID", 15, 9 },
16739		{ "TC", 12, 3 },
16740		{ "VFVld", 11, 1 },
16741		{ "PF", 8, 3 },
16742		{ "RVF", 0, 8 },
16743	{ "PCIE_FID_VFID", 0x51ec, 0 },
16744		{ "Select", 30, 2 },
16745		{ "IDO", 24, 1 },
16746		{ "VFID", 15, 9 },
16747		{ "TC", 12, 3 },
16748		{ "VFVld", 11, 1 },
16749		{ "PF", 8, 3 },
16750		{ "RVF", 0, 8 },
16751	{ "PCIE_FID_VFID", 0x51f0, 0 },
16752		{ "Select", 30, 2 },
16753		{ "IDO", 24, 1 },
16754		{ "VFID", 15, 9 },
16755		{ "TC", 12, 3 },
16756		{ "VFVld", 11, 1 },
16757		{ "PF", 8, 3 },
16758		{ "RVF", 0, 8 },
16759	{ "PCIE_FID_VFID", 0x51f4, 0 },
16760		{ "Select", 30, 2 },
16761		{ "IDO", 24, 1 },
16762		{ "VFID", 15, 9 },
16763		{ "TC", 12, 3 },
16764		{ "VFVld", 11, 1 },
16765		{ "PF", 8, 3 },
16766		{ "RVF", 0, 8 },
16767	{ "PCIE_FID_VFID", 0x51f8, 0 },
16768		{ "Select", 30, 2 },
16769		{ "IDO", 24, 1 },
16770		{ "VFID", 15, 9 },
16771		{ "TC", 12, 3 },
16772		{ "VFVld", 11, 1 },
16773		{ "PF", 8, 3 },
16774		{ "RVF", 0, 8 },
16775	{ "PCIE_FID_VFID", 0x51fc, 0 },
16776		{ "Select", 30, 2 },
16777		{ "IDO", 24, 1 },
16778		{ "VFID", 15, 9 },
16779		{ "TC", 12, 3 },
16780		{ "VFVld", 11, 1 },
16781		{ "PF", 8, 3 },
16782		{ "RVF", 0, 8 },
16783	{ "PCIE_FID_VFID", 0x5200, 0 },
16784		{ "Select", 30, 2 },
16785		{ "IDO", 24, 1 },
16786		{ "VFID", 15, 9 },
16787		{ "TC", 12, 3 },
16788		{ "VFVld", 11, 1 },
16789		{ "PF", 8, 3 },
16790		{ "RVF", 0, 8 },
16791	{ "PCIE_FID_VFID", 0x5204, 0 },
16792		{ "Select", 30, 2 },
16793		{ "IDO", 24, 1 },
16794		{ "VFID", 15, 9 },
16795		{ "TC", 12, 3 },
16796		{ "VFVld", 11, 1 },
16797		{ "PF", 8, 3 },
16798		{ "RVF", 0, 8 },
16799	{ "PCIE_FID_VFID", 0x5208, 0 },
16800		{ "Select", 30, 2 },
16801		{ "IDO", 24, 1 },
16802		{ "VFID", 15, 9 },
16803		{ "TC", 12, 3 },
16804		{ "VFVld", 11, 1 },
16805		{ "PF", 8, 3 },
16806		{ "RVF", 0, 8 },
16807	{ "PCIE_FID_VFID", 0x520c, 0 },
16808		{ "Select", 30, 2 },
16809		{ "IDO", 24, 1 },
16810		{ "VFID", 15, 9 },
16811		{ "TC", 12, 3 },
16812		{ "VFVld", 11, 1 },
16813		{ "PF", 8, 3 },
16814		{ "RVF", 0, 8 },
16815	{ "PCIE_FID_VFID", 0x5210, 0 },
16816		{ "Select", 30, 2 },
16817		{ "IDO", 24, 1 },
16818		{ "VFID", 15, 9 },
16819		{ "TC", 12, 3 },
16820		{ "VFVld", 11, 1 },
16821		{ "PF", 8, 3 },
16822		{ "RVF", 0, 8 },
16823	{ "PCIE_FID_VFID", 0x5214, 0 },
16824		{ "Select", 30, 2 },
16825		{ "IDO", 24, 1 },
16826		{ "VFID", 15, 9 },
16827		{ "TC", 12, 3 },
16828		{ "VFVld", 11, 1 },
16829		{ "PF", 8, 3 },
16830		{ "RVF", 0, 8 },
16831	{ "PCIE_FID_VFID", 0x5218, 0 },
16832		{ "Select", 30, 2 },
16833		{ "IDO", 24, 1 },
16834		{ "VFID", 15, 9 },
16835		{ "TC", 12, 3 },
16836		{ "VFVld", 11, 1 },
16837		{ "PF", 8, 3 },
16838		{ "RVF", 0, 8 },
16839	{ "PCIE_FID_VFID", 0x521c, 0 },
16840		{ "Select", 30, 2 },
16841		{ "IDO", 24, 1 },
16842		{ "VFID", 15, 9 },
16843		{ "TC", 12, 3 },
16844		{ "VFVld", 11, 1 },
16845		{ "PF", 8, 3 },
16846		{ "RVF", 0, 8 },
16847	{ "PCIE_FID_VFID", 0x5220, 0 },
16848		{ "Select", 30, 2 },
16849		{ "IDO", 24, 1 },
16850		{ "VFID", 15, 9 },
16851		{ "TC", 12, 3 },
16852		{ "VFVld", 11, 1 },
16853		{ "PF", 8, 3 },
16854		{ "RVF", 0, 8 },
16855	{ "PCIE_FID_VFID", 0x5224, 0 },
16856		{ "Select", 30, 2 },
16857		{ "IDO", 24, 1 },
16858		{ "VFID", 15, 9 },
16859		{ "TC", 12, 3 },
16860		{ "VFVld", 11, 1 },
16861		{ "PF", 8, 3 },
16862		{ "RVF", 0, 8 },
16863	{ "PCIE_FID_VFID", 0x5228, 0 },
16864		{ "Select", 30, 2 },
16865		{ "IDO", 24, 1 },
16866		{ "VFID", 15, 9 },
16867		{ "TC", 12, 3 },
16868		{ "VFVld", 11, 1 },
16869		{ "PF", 8, 3 },
16870		{ "RVF", 0, 8 },
16871	{ "PCIE_FID_VFID", 0x522c, 0 },
16872		{ "Select", 30, 2 },
16873		{ "IDO", 24, 1 },
16874		{ "VFID", 15, 9 },
16875		{ "TC", 12, 3 },
16876		{ "VFVld", 11, 1 },
16877		{ "PF", 8, 3 },
16878		{ "RVF", 0, 8 },
16879	{ "PCIE_FID_VFID", 0x5230, 0 },
16880		{ "Select", 30, 2 },
16881		{ "IDO", 24, 1 },
16882		{ "VFID", 15, 9 },
16883		{ "TC", 12, 3 },
16884		{ "VFVld", 11, 1 },
16885		{ "PF", 8, 3 },
16886		{ "RVF", 0, 8 },
16887	{ "PCIE_FID_VFID", 0x5234, 0 },
16888		{ "Select", 30, 2 },
16889		{ "IDO", 24, 1 },
16890		{ "VFID", 15, 9 },
16891		{ "TC", 12, 3 },
16892		{ "VFVld", 11, 1 },
16893		{ "PF", 8, 3 },
16894		{ "RVF", 0, 8 },
16895	{ "PCIE_FID_VFID", 0x5238, 0 },
16896		{ "Select", 30, 2 },
16897		{ "IDO", 24, 1 },
16898		{ "VFID", 15, 9 },
16899		{ "TC", 12, 3 },
16900		{ "VFVld", 11, 1 },
16901		{ "PF", 8, 3 },
16902		{ "RVF", 0, 8 },
16903	{ "PCIE_FID_VFID", 0x523c, 0 },
16904		{ "Select", 30, 2 },
16905		{ "IDO", 24, 1 },
16906		{ "VFID", 15, 9 },
16907		{ "TC", 12, 3 },
16908		{ "VFVld", 11, 1 },
16909		{ "PF", 8, 3 },
16910		{ "RVF", 0, 8 },
16911	{ "PCIE_FID_VFID", 0x5240, 0 },
16912		{ "Select", 30, 2 },
16913		{ "IDO", 24, 1 },
16914		{ "VFID", 15, 9 },
16915		{ "TC", 12, 3 },
16916		{ "VFVld", 11, 1 },
16917		{ "PF", 8, 3 },
16918		{ "RVF", 0, 8 },
16919	{ "PCIE_FID_VFID", 0x5244, 0 },
16920		{ "Select", 30, 2 },
16921		{ "IDO", 24, 1 },
16922		{ "VFID", 15, 9 },
16923		{ "TC", 12, 3 },
16924		{ "VFVld", 11, 1 },
16925		{ "PF", 8, 3 },
16926		{ "RVF", 0, 8 },
16927	{ "PCIE_FID_VFID", 0x5248, 0 },
16928		{ "Select", 30, 2 },
16929		{ "IDO", 24, 1 },
16930		{ "VFID", 15, 9 },
16931		{ "TC", 12, 3 },
16932		{ "VFVld", 11, 1 },
16933		{ "PF", 8, 3 },
16934		{ "RVF", 0, 8 },
16935	{ "PCIE_FID_VFID", 0x524c, 0 },
16936		{ "Select", 30, 2 },
16937		{ "IDO", 24, 1 },
16938		{ "VFID", 15, 9 },
16939		{ "TC", 12, 3 },
16940		{ "VFVld", 11, 1 },
16941		{ "PF", 8, 3 },
16942		{ "RVF", 0, 8 },
16943	{ "PCIE_FID_VFID", 0x5250, 0 },
16944		{ "Select", 30, 2 },
16945		{ "IDO", 24, 1 },
16946		{ "VFID", 15, 9 },
16947		{ "TC", 12, 3 },
16948		{ "VFVld", 11, 1 },
16949		{ "PF", 8, 3 },
16950		{ "RVF", 0, 8 },
16951	{ "PCIE_FID_VFID", 0x5254, 0 },
16952		{ "Select", 30, 2 },
16953		{ "IDO", 24, 1 },
16954		{ "VFID", 15, 9 },
16955		{ "TC", 12, 3 },
16956		{ "VFVld", 11, 1 },
16957		{ "PF", 8, 3 },
16958		{ "RVF", 0, 8 },
16959	{ "PCIE_FID_VFID", 0x5258, 0 },
16960		{ "Select", 30, 2 },
16961		{ "IDO", 24, 1 },
16962		{ "VFID", 15, 9 },
16963		{ "TC", 12, 3 },
16964		{ "VFVld", 11, 1 },
16965		{ "PF", 8, 3 },
16966		{ "RVF", 0, 8 },
16967	{ "PCIE_FID_VFID", 0x525c, 0 },
16968		{ "Select", 30, 2 },
16969		{ "IDO", 24, 1 },
16970		{ "VFID", 15, 9 },
16971		{ "TC", 12, 3 },
16972		{ "VFVld", 11, 1 },
16973		{ "PF", 8, 3 },
16974		{ "RVF", 0, 8 },
16975	{ "PCIE_FID_VFID", 0x5260, 0 },
16976		{ "Select", 30, 2 },
16977		{ "IDO", 24, 1 },
16978		{ "VFID", 15, 9 },
16979		{ "TC", 12, 3 },
16980		{ "VFVld", 11, 1 },
16981		{ "PF", 8, 3 },
16982		{ "RVF", 0, 8 },
16983	{ "PCIE_FID_VFID", 0x5264, 0 },
16984		{ "Select", 30, 2 },
16985		{ "IDO", 24, 1 },
16986		{ "VFID", 15, 9 },
16987		{ "TC", 12, 3 },
16988		{ "VFVld", 11, 1 },
16989		{ "PF", 8, 3 },
16990		{ "RVF", 0, 8 },
16991	{ "PCIE_FID_VFID", 0x5268, 0 },
16992		{ "Select", 30, 2 },
16993		{ "IDO", 24, 1 },
16994		{ "VFID", 15, 9 },
16995		{ "TC", 12, 3 },
16996		{ "VFVld", 11, 1 },
16997		{ "PF", 8, 3 },
16998		{ "RVF", 0, 8 },
16999	{ "PCIE_FID_VFID", 0x526c, 0 },
17000		{ "Select", 30, 2 },
17001		{ "IDO", 24, 1 },
17002		{ "VFID", 15, 9 },
17003		{ "TC", 12, 3 },
17004		{ "VFVld", 11, 1 },
17005		{ "PF", 8, 3 },
17006		{ "RVF", 0, 8 },
17007	{ "PCIE_FID_VFID", 0x5270, 0 },
17008		{ "Select", 30, 2 },
17009		{ "IDO", 24, 1 },
17010		{ "VFID", 15, 9 },
17011		{ "TC", 12, 3 },
17012		{ "VFVld", 11, 1 },
17013		{ "PF", 8, 3 },
17014		{ "RVF", 0, 8 },
17015	{ "PCIE_FID_VFID", 0x5274, 0 },
17016		{ "Select", 30, 2 },
17017		{ "IDO", 24, 1 },
17018		{ "VFID", 15, 9 },
17019		{ "TC", 12, 3 },
17020		{ "VFVld", 11, 1 },
17021		{ "PF", 8, 3 },
17022		{ "RVF", 0, 8 },
17023	{ "PCIE_FID_VFID", 0x5278, 0 },
17024		{ "Select", 30, 2 },
17025		{ "IDO", 24, 1 },
17026		{ "VFID", 15, 9 },
17027		{ "TC", 12, 3 },
17028		{ "VFVld", 11, 1 },
17029		{ "PF", 8, 3 },
17030		{ "RVF", 0, 8 },
17031	{ "PCIE_FID_VFID", 0x527c, 0 },
17032		{ "Select", 30, 2 },
17033		{ "IDO", 24, 1 },
17034		{ "VFID", 15, 9 },
17035		{ "TC", 12, 3 },
17036		{ "VFVld", 11, 1 },
17037		{ "PF", 8, 3 },
17038		{ "RVF", 0, 8 },
17039	{ "PCIE_FID_VFID", 0x5280, 0 },
17040		{ "Select", 30, 2 },
17041		{ "IDO", 24, 1 },
17042		{ "VFID", 15, 9 },
17043		{ "TC", 12, 3 },
17044		{ "VFVld", 11, 1 },
17045		{ "PF", 8, 3 },
17046		{ "RVF", 0, 8 },
17047	{ "PCIE_FID_VFID", 0x5284, 0 },
17048		{ "Select", 30, 2 },
17049		{ "IDO", 24, 1 },
17050		{ "VFID", 15, 9 },
17051		{ "TC", 12, 3 },
17052		{ "VFVld", 11, 1 },
17053		{ "PF", 8, 3 },
17054		{ "RVF", 0, 8 },
17055	{ "PCIE_FID_VFID", 0x5288, 0 },
17056		{ "Select", 30, 2 },
17057		{ "IDO", 24, 1 },
17058		{ "VFID", 15, 9 },
17059		{ "TC", 12, 3 },
17060		{ "VFVld", 11, 1 },
17061		{ "PF", 8, 3 },
17062		{ "RVF", 0, 8 },
17063	{ "PCIE_FID_VFID", 0x528c, 0 },
17064		{ "Select", 30, 2 },
17065		{ "IDO", 24, 1 },
17066		{ "VFID", 15, 9 },
17067		{ "TC", 12, 3 },
17068		{ "VFVld", 11, 1 },
17069		{ "PF", 8, 3 },
17070		{ "RVF", 0, 8 },
17071	{ "PCIE_FID_VFID", 0x5290, 0 },
17072		{ "Select", 30, 2 },
17073		{ "IDO", 24, 1 },
17074		{ "VFID", 15, 9 },
17075		{ "TC", 12, 3 },
17076		{ "VFVld", 11, 1 },
17077		{ "PF", 8, 3 },
17078		{ "RVF", 0, 8 },
17079	{ "PCIE_FID_VFID", 0x5294, 0 },
17080		{ "Select", 30, 2 },
17081		{ "IDO", 24, 1 },
17082		{ "VFID", 15, 9 },
17083		{ "TC", 12, 3 },
17084		{ "VFVld", 11, 1 },
17085		{ "PF", 8, 3 },
17086		{ "RVF", 0, 8 },
17087	{ "PCIE_FID_VFID", 0x5298, 0 },
17088		{ "Select", 30, 2 },
17089		{ "IDO", 24, 1 },
17090		{ "VFID", 15, 9 },
17091		{ "TC", 12, 3 },
17092		{ "VFVld", 11, 1 },
17093		{ "PF", 8, 3 },
17094		{ "RVF", 0, 8 },
17095	{ "PCIE_FID_VFID", 0x529c, 0 },
17096		{ "Select", 30, 2 },
17097		{ "IDO", 24, 1 },
17098		{ "VFID", 15, 9 },
17099		{ "TC", 12, 3 },
17100		{ "VFVld", 11, 1 },
17101		{ "PF", 8, 3 },
17102		{ "RVF", 0, 8 },
17103	{ "PCIE_FID_VFID", 0x52a0, 0 },
17104		{ "Select", 30, 2 },
17105		{ "IDO", 24, 1 },
17106		{ "VFID", 15, 9 },
17107		{ "TC", 12, 3 },
17108		{ "VFVld", 11, 1 },
17109		{ "PF", 8, 3 },
17110		{ "RVF", 0, 8 },
17111	{ "PCIE_FID_VFID", 0x52a4, 0 },
17112		{ "Select", 30, 2 },
17113		{ "IDO", 24, 1 },
17114		{ "VFID", 15, 9 },
17115		{ "TC", 12, 3 },
17116		{ "VFVld", 11, 1 },
17117		{ "PF", 8, 3 },
17118		{ "RVF", 0, 8 },
17119	{ "PCIE_FID_VFID", 0x52a8, 0 },
17120		{ "Select", 30, 2 },
17121		{ "IDO", 24, 1 },
17122		{ "VFID", 15, 9 },
17123		{ "TC", 12, 3 },
17124		{ "VFVld", 11, 1 },
17125		{ "PF", 8, 3 },
17126		{ "RVF", 0, 8 },
17127	{ "PCIE_FID_VFID", 0x52ac, 0 },
17128		{ "Select", 30, 2 },
17129		{ "IDO", 24, 1 },
17130		{ "VFID", 15, 9 },
17131		{ "TC", 12, 3 },
17132		{ "VFVld", 11, 1 },
17133		{ "PF", 8, 3 },
17134		{ "RVF", 0, 8 },
17135	{ "PCIE_FID_VFID", 0x52b0, 0 },
17136		{ "Select", 30, 2 },
17137		{ "IDO", 24, 1 },
17138		{ "VFID", 15, 9 },
17139		{ "TC", 12, 3 },
17140		{ "VFVld", 11, 1 },
17141		{ "PF", 8, 3 },
17142		{ "RVF", 0, 8 },
17143	{ "PCIE_FID_VFID", 0x52b4, 0 },
17144		{ "Select", 30, 2 },
17145		{ "IDO", 24, 1 },
17146		{ "VFID", 15, 9 },
17147		{ "TC", 12, 3 },
17148		{ "VFVld", 11, 1 },
17149		{ "PF", 8, 3 },
17150		{ "RVF", 0, 8 },
17151	{ "PCIE_FID_VFID", 0x52b8, 0 },
17152		{ "Select", 30, 2 },
17153		{ "IDO", 24, 1 },
17154		{ "VFID", 15, 9 },
17155		{ "TC", 12, 3 },
17156		{ "VFVld", 11, 1 },
17157		{ "PF", 8, 3 },
17158		{ "RVF", 0, 8 },
17159	{ "PCIE_FID_VFID", 0x52bc, 0 },
17160		{ "Select", 30, 2 },
17161		{ "IDO", 24, 1 },
17162		{ "VFID", 15, 9 },
17163		{ "TC", 12, 3 },
17164		{ "VFVld", 11, 1 },
17165		{ "PF", 8, 3 },
17166		{ "RVF", 0, 8 },
17167	{ "PCIE_FID_VFID", 0x52c0, 0 },
17168		{ "Select", 30, 2 },
17169		{ "IDO", 24, 1 },
17170		{ "VFID", 15, 9 },
17171		{ "TC", 12, 3 },
17172		{ "VFVld", 11, 1 },
17173		{ "PF", 8, 3 },
17174		{ "RVF", 0, 8 },
17175	{ "PCIE_FID_VFID", 0x52c4, 0 },
17176		{ "Select", 30, 2 },
17177		{ "IDO", 24, 1 },
17178		{ "VFID", 15, 9 },
17179		{ "TC", 12, 3 },
17180		{ "VFVld", 11, 1 },
17181		{ "PF", 8, 3 },
17182		{ "RVF", 0, 8 },
17183	{ "PCIE_FID_VFID", 0x52c8, 0 },
17184		{ "Select", 30, 2 },
17185		{ "IDO", 24, 1 },
17186		{ "VFID", 15, 9 },
17187		{ "TC", 12, 3 },
17188		{ "VFVld", 11, 1 },
17189		{ "PF", 8, 3 },
17190		{ "RVF", 0, 8 },
17191	{ "PCIE_FID_VFID", 0x52cc, 0 },
17192		{ "Select", 30, 2 },
17193		{ "IDO", 24, 1 },
17194		{ "VFID", 15, 9 },
17195		{ "TC", 12, 3 },
17196		{ "VFVld", 11, 1 },
17197		{ "PF", 8, 3 },
17198		{ "RVF", 0, 8 },
17199	{ "PCIE_FID_VFID", 0x52d0, 0 },
17200		{ "Select", 30, 2 },
17201		{ "IDO", 24, 1 },
17202		{ "VFID", 15, 9 },
17203		{ "TC", 12, 3 },
17204		{ "VFVld", 11, 1 },
17205		{ "PF", 8, 3 },
17206		{ "RVF", 0, 8 },
17207	{ "PCIE_FID_VFID", 0x52d4, 0 },
17208		{ "Select", 30, 2 },
17209		{ "IDO", 24, 1 },
17210		{ "VFID", 15, 9 },
17211		{ "TC", 12, 3 },
17212		{ "VFVld", 11, 1 },
17213		{ "PF", 8, 3 },
17214		{ "RVF", 0, 8 },
17215	{ "PCIE_FID_VFID", 0x52d8, 0 },
17216		{ "Select", 30, 2 },
17217		{ "IDO", 24, 1 },
17218		{ "VFID", 15, 9 },
17219		{ "TC", 12, 3 },
17220		{ "VFVld", 11, 1 },
17221		{ "PF", 8, 3 },
17222		{ "RVF", 0, 8 },
17223	{ "PCIE_FID_VFID", 0x52dc, 0 },
17224		{ "Select", 30, 2 },
17225		{ "IDO", 24, 1 },
17226		{ "VFID", 15, 9 },
17227		{ "TC", 12, 3 },
17228		{ "VFVld", 11, 1 },
17229		{ "PF", 8, 3 },
17230		{ "RVF", 0, 8 },
17231	{ "PCIE_FID_VFID", 0x52e0, 0 },
17232		{ "Select", 30, 2 },
17233		{ "IDO", 24, 1 },
17234		{ "VFID", 15, 9 },
17235		{ "TC", 12, 3 },
17236		{ "VFVld", 11, 1 },
17237		{ "PF", 8, 3 },
17238		{ "RVF", 0, 8 },
17239	{ "PCIE_FID_VFID", 0x52e4, 0 },
17240		{ "Select", 30, 2 },
17241		{ "IDO", 24, 1 },
17242		{ "VFID", 15, 9 },
17243		{ "TC", 12, 3 },
17244		{ "VFVld", 11, 1 },
17245		{ "PF", 8, 3 },
17246		{ "RVF", 0, 8 },
17247	{ "PCIE_FID_VFID", 0x52e8, 0 },
17248		{ "Select", 30, 2 },
17249		{ "IDO", 24, 1 },
17250		{ "VFID", 15, 9 },
17251		{ "TC", 12, 3 },
17252		{ "VFVld", 11, 1 },
17253		{ "PF", 8, 3 },
17254		{ "RVF", 0, 8 },
17255	{ "PCIE_FID_VFID", 0x52ec, 0 },
17256		{ "Select", 30, 2 },
17257		{ "IDO", 24, 1 },
17258		{ "VFID", 15, 9 },
17259		{ "TC", 12, 3 },
17260		{ "VFVld", 11, 1 },
17261		{ "PF", 8, 3 },
17262		{ "RVF", 0, 8 },
17263	{ "PCIE_FID_VFID", 0x52f0, 0 },
17264		{ "Select", 30, 2 },
17265		{ "IDO", 24, 1 },
17266		{ "VFID", 15, 9 },
17267		{ "TC", 12, 3 },
17268		{ "VFVld", 11, 1 },
17269		{ "PF", 8, 3 },
17270		{ "RVF", 0, 8 },
17271	{ "PCIE_FID_VFID", 0x52f4, 0 },
17272		{ "Select", 30, 2 },
17273		{ "IDO", 24, 1 },
17274		{ "VFID", 15, 9 },
17275		{ "TC", 12, 3 },
17276		{ "VFVld", 11, 1 },
17277		{ "PF", 8, 3 },
17278		{ "RVF", 0, 8 },
17279	{ "PCIE_FID_VFID", 0x52f8, 0 },
17280		{ "Select", 30, 2 },
17281		{ "IDO", 24, 1 },
17282		{ "VFID", 15, 9 },
17283		{ "TC", 12, 3 },
17284		{ "VFVld", 11, 1 },
17285		{ "PF", 8, 3 },
17286		{ "RVF", 0, 8 },
17287	{ "PCIE_FID_VFID", 0x52fc, 0 },
17288		{ "Select", 30, 2 },
17289		{ "IDO", 24, 1 },
17290		{ "VFID", 15, 9 },
17291		{ "TC", 12, 3 },
17292		{ "VFVld", 11, 1 },
17293		{ "PF", 8, 3 },
17294		{ "RVF", 0, 8 },
17295	{ "PCIE_FID_VFID", 0x5300, 0 },
17296		{ "Select", 30, 2 },
17297		{ "IDO", 24, 1 },
17298		{ "VFID", 15, 9 },
17299		{ "TC", 12, 3 },
17300		{ "VFVld", 11, 1 },
17301		{ "PF", 8, 3 },
17302		{ "RVF", 0, 8 },
17303	{ "PCIE_FID_VFID", 0x5304, 0 },
17304		{ "Select", 30, 2 },
17305		{ "IDO", 24, 1 },
17306		{ "VFID", 15, 9 },
17307		{ "TC", 12, 3 },
17308		{ "VFVld", 11, 1 },
17309		{ "PF", 8, 3 },
17310		{ "RVF", 0, 8 },
17311	{ "PCIE_FID_VFID", 0x5308, 0 },
17312		{ "Select", 30, 2 },
17313		{ "IDO", 24, 1 },
17314		{ "VFID", 15, 9 },
17315		{ "TC", 12, 3 },
17316		{ "VFVld", 11, 1 },
17317		{ "PF", 8, 3 },
17318		{ "RVF", 0, 8 },
17319	{ "PCIE_FID_VFID", 0x530c, 0 },
17320		{ "Select", 30, 2 },
17321		{ "IDO", 24, 1 },
17322		{ "VFID", 15, 9 },
17323		{ "TC", 12, 3 },
17324		{ "VFVld", 11, 1 },
17325		{ "PF", 8, 3 },
17326		{ "RVF", 0, 8 },
17327	{ "PCIE_FID_VFID", 0x5310, 0 },
17328		{ "Select", 30, 2 },
17329		{ "IDO", 24, 1 },
17330		{ "VFID", 15, 9 },
17331		{ "TC", 12, 3 },
17332		{ "VFVld", 11, 1 },
17333		{ "PF", 8, 3 },
17334		{ "RVF", 0, 8 },
17335	{ "PCIE_FID_VFID", 0x5314, 0 },
17336		{ "Select", 30, 2 },
17337		{ "IDO", 24, 1 },
17338		{ "VFID", 15, 9 },
17339		{ "TC", 12, 3 },
17340		{ "VFVld", 11, 1 },
17341		{ "PF", 8, 3 },
17342		{ "RVF", 0, 8 },
17343	{ "PCIE_FID_VFID", 0x5318, 0 },
17344		{ "Select", 30, 2 },
17345		{ "IDO", 24, 1 },
17346		{ "VFID", 15, 9 },
17347		{ "TC", 12, 3 },
17348		{ "VFVld", 11, 1 },
17349		{ "PF", 8, 3 },
17350		{ "RVF", 0, 8 },
17351	{ "PCIE_FID_VFID", 0x531c, 0 },
17352		{ "Select", 30, 2 },
17353		{ "IDO", 24, 1 },
17354		{ "VFID", 15, 9 },
17355		{ "TC", 12, 3 },
17356		{ "VFVld", 11, 1 },
17357		{ "PF", 8, 3 },
17358		{ "RVF", 0, 8 },
17359	{ "PCIE_FID_VFID", 0x5320, 0 },
17360		{ "Select", 30, 2 },
17361		{ "IDO", 24, 1 },
17362		{ "VFID", 15, 9 },
17363		{ "TC", 12, 3 },
17364		{ "VFVld", 11, 1 },
17365		{ "PF", 8, 3 },
17366		{ "RVF", 0, 8 },
17367	{ "PCIE_FID_VFID", 0x5324, 0 },
17368		{ "Select", 30, 2 },
17369		{ "IDO", 24, 1 },
17370		{ "VFID", 15, 9 },
17371		{ "TC", 12, 3 },
17372		{ "VFVld", 11, 1 },
17373		{ "PF", 8, 3 },
17374		{ "RVF", 0, 8 },
17375	{ "PCIE_FID_VFID", 0x5328, 0 },
17376		{ "Select", 30, 2 },
17377		{ "IDO", 24, 1 },
17378		{ "VFID", 15, 9 },
17379		{ "TC", 12, 3 },
17380		{ "VFVld", 11, 1 },
17381		{ "PF", 8, 3 },
17382		{ "RVF", 0, 8 },
17383	{ "PCIE_FID_VFID", 0x532c, 0 },
17384		{ "Select", 30, 2 },
17385		{ "IDO", 24, 1 },
17386		{ "VFID", 15, 9 },
17387		{ "TC", 12, 3 },
17388		{ "VFVld", 11, 1 },
17389		{ "PF", 8, 3 },
17390		{ "RVF", 0, 8 },
17391	{ "PCIE_FID_VFID", 0x5330, 0 },
17392		{ "Select", 30, 2 },
17393		{ "IDO", 24, 1 },
17394		{ "VFID", 15, 9 },
17395		{ "TC", 12, 3 },
17396		{ "VFVld", 11, 1 },
17397		{ "PF", 8, 3 },
17398		{ "RVF", 0, 8 },
17399	{ "PCIE_FID_VFID", 0x5334, 0 },
17400		{ "Select", 30, 2 },
17401		{ "IDO", 24, 1 },
17402		{ "VFID", 15, 9 },
17403		{ "TC", 12, 3 },
17404		{ "VFVld", 11, 1 },
17405		{ "PF", 8, 3 },
17406		{ "RVF", 0, 8 },
17407	{ "PCIE_FID_VFID", 0x5338, 0 },
17408		{ "Select", 30, 2 },
17409		{ "IDO", 24, 1 },
17410		{ "VFID", 15, 9 },
17411		{ "TC", 12, 3 },
17412		{ "VFVld", 11, 1 },
17413		{ "PF", 8, 3 },
17414		{ "RVF", 0, 8 },
17415	{ "PCIE_FID_VFID", 0x533c, 0 },
17416		{ "Select", 30, 2 },
17417		{ "IDO", 24, 1 },
17418		{ "VFID", 15, 9 },
17419		{ "TC", 12, 3 },
17420		{ "VFVld", 11, 1 },
17421		{ "PF", 8, 3 },
17422		{ "RVF", 0, 8 },
17423	{ "PCIE_FID_VFID", 0x5340, 0 },
17424		{ "Select", 30, 2 },
17425		{ "IDO", 24, 1 },
17426		{ "VFID", 15, 9 },
17427		{ "TC", 12, 3 },
17428		{ "VFVld", 11, 1 },
17429		{ "PF", 8, 3 },
17430		{ "RVF", 0, 8 },
17431	{ "PCIE_FID_VFID", 0x5344, 0 },
17432		{ "Select", 30, 2 },
17433		{ "IDO", 24, 1 },
17434		{ "VFID", 15, 9 },
17435		{ "TC", 12, 3 },
17436		{ "VFVld", 11, 1 },
17437		{ "PF", 8, 3 },
17438		{ "RVF", 0, 8 },
17439	{ "PCIE_FID_VFID", 0x5348, 0 },
17440		{ "Select", 30, 2 },
17441		{ "IDO", 24, 1 },
17442		{ "VFID", 15, 9 },
17443		{ "TC", 12, 3 },
17444		{ "VFVld", 11, 1 },
17445		{ "PF", 8, 3 },
17446		{ "RVF", 0, 8 },
17447	{ "PCIE_FID_VFID", 0x534c, 0 },
17448		{ "Select", 30, 2 },
17449		{ "IDO", 24, 1 },
17450		{ "VFID", 15, 9 },
17451		{ "TC", 12, 3 },
17452		{ "VFVld", 11, 1 },
17453		{ "PF", 8, 3 },
17454		{ "RVF", 0, 8 },
17455	{ "PCIE_FID_VFID", 0x5350, 0 },
17456		{ "Select", 30, 2 },
17457		{ "IDO", 24, 1 },
17458		{ "VFID", 15, 9 },
17459		{ "TC", 12, 3 },
17460		{ "VFVld", 11, 1 },
17461		{ "PF", 8, 3 },
17462		{ "RVF", 0, 8 },
17463	{ "PCIE_FID_VFID", 0x5354, 0 },
17464		{ "Select", 30, 2 },
17465		{ "IDO", 24, 1 },
17466		{ "VFID", 15, 9 },
17467		{ "TC", 12, 3 },
17468		{ "VFVld", 11, 1 },
17469		{ "PF", 8, 3 },
17470		{ "RVF", 0, 8 },
17471	{ "PCIE_FID_VFID", 0x5358, 0 },
17472		{ "Select", 30, 2 },
17473		{ "IDO", 24, 1 },
17474		{ "VFID", 15, 9 },
17475		{ "TC", 12, 3 },
17476		{ "VFVld", 11, 1 },
17477		{ "PF", 8, 3 },
17478		{ "RVF", 0, 8 },
17479	{ "PCIE_FID_VFID", 0x535c, 0 },
17480		{ "Select", 30, 2 },
17481		{ "IDO", 24, 1 },
17482		{ "VFID", 15, 9 },
17483		{ "TC", 12, 3 },
17484		{ "VFVld", 11, 1 },
17485		{ "PF", 8, 3 },
17486		{ "RVF", 0, 8 },
17487	{ "PCIE_FID_VFID", 0x5360, 0 },
17488		{ "Select", 30, 2 },
17489		{ "IDO", 24, 1 },
17490		{ "VFID", 15, 9 },
17491		{ "TC", 12, 3 },
17492		{ "VFVld", 11, 1 },
17493		{ "PF", 8, 3 },
17494		{ "RVF", 0, 8 },
17495	{ "PCIE_FID_VFID", 0x5364, 0 },
17496		{ "Select", 30, 2 },
17497		{ "IDO", 24, 1 },
17498		{ "VFID", 15, 9 },
17499		{ "TC", 12, 3 },
17500		{ "VFVld", 11, 1 },
17501		{ "PF", 8, 3 },
17502		{ "RVF", 0, 8 },
17503	{ "PCIE_FID_VFID", 0x5368, 0 },
17504		{ "Select", 30, 2 },
17505		{ "IDO", 24, 1 },
17506		{ "VFID", 15, 9 },
17507		{ "TC", 12, 3 },
17508		{ "VFVld", 11, 1 },
17509		{ "PF", 8, 3 },
17510		{ "RVF", 0, 8 },
17511	{ "PCIE_FID_VFID", 0x536c, 0 },
17512		{ "Select", 30, 2 },
17513		{ "IDO", 24, 1 },
17514		{ "VFID", 15, 9 },
17515		{ "TC", 12, 3 },
17516		{ "VFVld", 11, 1 },
17517		{ "PF", 8, 3 },
17518		{ "RVF", 0, 8 },
17519	{ "PCIE_FID_VFID", 0x5370, 0 },
17520		{ "Select", 30, 2 },
17521		{ "IDO", 24, 1 },
17522		{ "VFID", 15, 9 },
17523		{ "TC", 12, 3 },
17524		{ "VFVld", 11, 1 },
17525		{ "PF", 8, 3 },
17526		{ "RVF", 0, 8 },
17527	{ "PCIE_FID_VFID", 0x5374, 0 },
17528		{ "Select", 30, 2 },
17529		{ "IDO", 24, 1 },
17530		{ "VFID", 15, 9 },
17531		{ "TC", 12, 3 },
17532		{ "VFVld", 11, 1 },
17533		{ "PF", 8, 3 },
17534		{ "RVF", 0, 8 },
17535	{ "PCIE_FID_VFID", 0x5378, 0 },
17536		{ "Select", 30, 2 },
17537		{ "IDO", 24, 1 },
17538		{ "VFID", 15, 9 },
17539		{ "TC", 12, 3 },
17540		{ "VFVld", 11, 1 },
17541		{ "PF", 8, 3 },
17542		{ "RVF", 0, 8 },
17543	{ "PCIE_FID_VFID", 0x537c, 0 },
17544		{ "Select", 30, 2 },
17545		{ "IDO", 24, 1 },
17546		{ "VFID", 15, 9 },
17547		{ "TC", 12, 3 },
17548		{ "VFVld", 11, 1 },
17549		{ "PF", 8, 3 },
17550		{ "RVF", 0, 8 },
17551	{ "PCIE_FID_VFID", 0x5380, 0 },
17552		{ "Select", 30, 2 },
17553		{ "IDO", 24, 1 },
17554		{ "VFID", 15, 9 },
17555		{ "TC", 12, 3 },
17556		{ "VFVld", 11, 1 },
17557		{ "PF", 8, 3 },
17558		{ "RVF", 0, 8 },
17559	{ "PCIE_FID_VFID", 0x5384, 0 },
17560		{ "Select", 30, 2 },
17561		{ "IDO", 24, 1 },
17562		{ "VFID", 15, 9 },
17563		{ "TC", 12, 3 },
17564		{ "VFVld", 11, 1 },
17565		{ "PF", 8, 3 },
17566		{ "RVF", 0, 8 },
17567	{ "PCIE_FID_VFID", 0x5388, 0 },
17568		{ "Select", 30, 2 },
17569		{ "IDO", 24, 1 },
17570		{ "VFID", 15, 9 },
17571		{ "TC", 12, 3 },
17572		{ "VFVld", 11, 1 },
17573		{ "PF", 8, 3 },
17574		{ "RVF", 0, 8 },
17575	{ "PCIE_FID_VFID", 0x538c, 0 },
17576		{ "Select", 30, 2 },
17577		{ "IDO", 24, 1 },
17578		{ "VFID", 15, 9 },
17579		{ "TC", 12, 3 },
17580		{ "VFVld", 11, 1 },
17581		{ "PF", 8, 3 },
17582		{ "RVF", 0, 8 },
17583	{ "PCIE_FID_VFID", 0x5390, 0 },
17584		{ "Select", 30, 2 },
17585		{ "IDO", 24, 1 },
17586		{ "VFID", 15, 9 },
17587		{ "TC", 12, 3 },
17588		{ "VFVld", 11, 1 },
17589		{ "PF", 8, 3 },
17590		{ "RVF", 0, 8 },
17591	{ "PCIE_FID_VFID", 0x5394, 0 },
17592		{ "Select", 30, 2 },
17593		{ "IDO", 24, 1 },
17594		{ "VFID", 15, 9 },
17595		{ "TC", 12, 3 },
17596		{ "VFVld", 11, 1 },
17597		{ "PF", 8, 3 },
17598		{ "RVF", 0, 8 },
17599	{ "PCIE_FID_VFID", 0x5398, 0 },
17600		{ "Select", 30, 2 },
17601		{ "IDO", 24, 1 },
17602		{ "VFID", 15, 9 },
17603		{ "TC", 12, 3 },
17604		{ "VFVld", 11, 1 },
17605		{ "PF", 8, 3 },
17606		{ "RVF", 0, 8 },
17607	{ "PCIE_FID_VFID", 0x539c, 0 },
17608		{ "Select", 30, 2 },
17609		{ "IDO", 24, 1 },
17610		{ "VFID", 15, 9 },
17611		{ "TC", 12, 3 },
17612		{ "VFVld", 11, 1 },
17613		{ "PF", 8, 3 },
17614		{ "RVF", 0, 8 },
17615	{ "PCIE_FID_VFID", 0x53a0, 0 },
17616		{ "Select", 30, 2 },
17617		{ "IDO", 24, 1 },
17618		{ "VFID", 15, 9 },
17619		{ "TC", 12, 3 },
17620		{ "VFVld", 11, 1 },
17621		{ "PF", 8, 3 },
17622		{ "RVF", 0, 8 },
17623	{ "PCIE_FID_VFID", 0x53a4, 0 },
17624		{ "Select", 30, 2 },
17625		{ "IDO", 24, 1 },
17626		{ "VFID", 15, 9 },
17627		{ "TC", 12, 3 },
17628		{ "VFVld", 11, 1 },
17629		{ "PF", 8, 3 },
17630		{ "RVF", 0, 8 },
17631	{ "PCIE_FID_VFID", 0x53a8, 0 },
17632		{ "Select", 30, 2 },
17633		{ "IDO", 24, 1 },
17634		{ "VFID", 15, 9 },
17635		{ "TC", 12, 3 },
17636		{ "VFVld", 11, 1 },
17637		{ "PF", 8, 3 },
17638		{ "RVF", 0, 8 },
17639	{ "PCIE_FID_VFID", 0x53ac, 0 },
17640		{ "Select", 30, 2 },
17641		{ "IDO", 24, 1 },
17642		{ "VFID", 15, 9 },
17643		{ "TC", 12, 3 },
17644		{ "VFVld", 11, 1 },
17645		{ "PF", 8, 3 },
17646		{ "RVF", 0, 8 },
17647	{ "PCIE_FID_VFID", 0x53b0, 0 },
17648		{ "Select", 30, 2 },
17649		{ "IDO", 24, 1 },
17650		{ "VFID", 15, 9 },
17651		{ "TC", 12, 3 },
17652		{ "VFVld", 11, 1 },
17653		{ "PF", 8, 3 },
17654		{ "RVF", 0, 8 },
17655	{ "PCIE_FID_VFID", 0x53b4, 0 },
17656		{ "Select", 30, 2 },
17657		{ "IDO", 24, 1 },
17658		{ "VFID", 15, 9 },
17659		{ "TC", 12, 3 },
17660		{ "VFVld", 11, 1 },
17661		{ "PF", 8, 3 },
17662		{ "RVF", 0, 8 },
17663	{ "PCIE_FID_VFID", 0x53b8, 0 },
17664		{ "Select", 30, 2 },
17665		{ "IDO", 24, 1 },
17666		{ "VFID", 15, 9 },
17667		{ "TC", 12, 3 },
17668		{ "VFVld", 11, 1 },
17669		{ "PF", 8, 3 },
17670		{ "RVF", 0, 8 },
17671	{ "PCIE_FID_VFID", 0x53bc, 0 },
17672		{ "Select", 30, 2 },
17673		{ "IDO", 24, 1 },
17674		{ "VFID", 15, 9 },
17675		{ "TC", 12, 3 },
17676		{ "VFVld", 11, 1 },
17677		{ "PF", 8, 3 },
17678		{ "RVF", 0, 8 },
17679	{ "PCIE_FID_VFID", 0x53c0, 0 },
17680		{ "Select", 30, 2 },
17681		{ "IDO", 24, 1 },
17682		{ "VFID", 15, 9 },
17683		{ "TC", 12, 3 },
17684		{ "VFVld", 11, 1 },
17685		{ "PF", 8, 3 },
17686		{ "RVF", 0, 8 },
17687	{ "PCIE_FID_VFID", 0x53c4, 0 },
17688		{ "Select", 30, 2 },
17689		{ "IDO", 24, 1 },
17690		{ "VFID", 15, 9 },
17691		{ "TC", 12, 3 },
17692		{ "VFVld", 11, 1 },
17693		{ "PF", 8, 3 },
17694		{ "RVF", 0, 8 },
17695	{ "PCIE_FID_VFID", 0x53c8, 0 },
17696		{ "Select", 30, 2 },
17697		{ "IDO", 24, 1 },
17698		{ "VFID", 15, 9 },
17699		{ "TC", 12, 3 },
17700		{ "VFVld", 11, 1 },
17701		{ "PF", 8, 3 },
17702		{ "RVF", 0, 8 },
17703	{ "PCIE_FID_VFID", 0x53cc, 0 },
17704		{ "Select", 30, 2 },
17705		{ "IDO", 24, 1 },
17706		{ "VFID", 15, 9 },
17707		{ "TC", 12, 3 },
17708		{ "VFVld", 11, 1 },
17709		{ "PF", 8, 3 },
17710		{ "RVF", 0, 8 },
17711	{ "PCIE_FID_VFID", 0x53d0, 0 },
17712		{ "Select", 30, 2 },
17713		{ "IDO", 24, 1 },
17714		{ "VFID", 15, 9 },
17715		{ "TC", 12, 3 },
17716		{ "VFVld", 11, 1 },
17717		{ "PF", 8, 3 },
17718		{ "RVF", 0, 8 },
17719	{ "PCIE_FID_VFID", 0x53d4, 0 },
17720		{ "Select", 30, 2 },
17721		{ "IDO", 24, 1 },
17722		{ "VFID", 15, 9 },
17723		{ "TC", 12, 3 },
17724		{ "VFVld", 11, 1 },
17725		{ "PF", 8, 3 },
17726		{ "RVF", 0, 8 },
17727	{ "PCIE_FID_VFID", 0x53d8, 0 },
17728		{ "Select", 30, 2 },
17729		{ "IDO", 24, 1 },
17730		{ "VFID", 15, 9 },
17731		{ "TC", 12, 3 },
17732		{ "VFVld", 11, 1 },
17733		{ "PF", 8, 3 },
17734		{ "RVF", 0, 8 },
17735	{ "PCIE_FID_VFID", 0x53dc, 0 },
17736		{ "Select", 30, 2 },
17737		{ "IDO", 24, 1 },
17738		{ "VFID", 15, 9 },
17739		{ "TC", 12, 3 },
17740		{ "VFVld", 11, 1 },
17741		{ "PF", 8, 3 },
17742		{ "RVF", 0, 8 },
17743	{ "PCIE_FID_VFID", 0x53e0, 0 },
17744		{ "Select", 30, 2 },
17745		{ "IDO", 24, 1 },
17746		{ "VFID", 15, 9 },
17747		{ "TC", 12, 3 },
17748		{ "VFVld", 11, 1 },
17749		{ "PF", 8, 3 },
17750		{ "RVF", 0, 8 },
17751	{ "PCIE_FID_VFID", 0x53e4, 0 },
17752		{ "Select", 30, 2 },
17753		{ "IDO", 24, 1 },
17754		{ "VFID", 15, 9 },
17755		{ "TC", 12, 3 },
17756		{ "VFVld", 11, 1 },
17757		{ "PF", 8, 3 },
17758		{ "RVF", 0, 8 },
17759	{ "PCIE_FID_VFID", 0x53e8, 0 },
17760		{ "Select", 30, 2 },
17761		{ "IDO", 24, 1 },
17762		{ "VFID", 15, 9 },
17763		{ "TC", 12, 3 },
17764		{ "VFVld", 11, 1 },
17765		{ "PF", 8, 3 },
17766		{ "RVF", 0, 8 },
17767	{ "PCIE_FID_VFID", 0x53ec, 0 },
17768		{ "Select", 30, 2 },
17769		{ "IDO", 24, 1 },
17770		{ "VFID", 15, 9 },
17771		{ "TC", 12, 3 },
17772		{ "VFVld", 11, 1 },
17773		{ "PF", 8, 3 },
17774		{ "RVF", 0, 8 },
17775	{ "PCIE_FID_VFID", 0x53f0, 0 },
17776		{ "Select", 30, 2 },
17777		{ "IDO", 24, 1 },
17778		{ "VFID", 15, 9 },
17779		{ "TC", 12, 3 },
17780		{ "VFVld", 11, 1 },
17781		{ "PF", 8, 3 },
17782		{ "RVF", 0, 8 },
17783	{ "PCIE_FID_VFID", 0x53f4, 0 },
17784		{ "Select", 30, 2 },
17785		{ "IDO", 24, 1 },
17786		{ "VFID", 15, 9 },
17787		{ "TC", 12, 3 },
17788		{ "VFVld", 11, 1 },
17789		{ "PF", 8, 3 },
17790		{ "RVF", 0, 8 },
17791	{ "PCIE_FID_VFID", 0x53f8, 0 },
17792		{ "Select", 30, 2 },
17793		{ "IDO", 24, 1 },
17794		{ "VFID", 15, 9 },
17795		{ "TC", 12, 3 },
17796		{ "VFVld", 11, 1 },
17797		{ "PF", 8, 3 },
17798		{ "RVF", 0, 8 },
17799	{ "PCIE_FID_VFID", 0x53fc, 0 },
17800		{ "Select", 30, 2 },
17801		{ "IDO", 24, 1 },
17802		{ "VFID", 15, 9 },
17803		{ "TC", 12, 3 },
17804		{ "VFVld", 11, 1 },
17805		{ "PF", 8, 3 },
17806		{ "RVF", 0, 8 },
17807	{ "PCIE_FID_VFID", 0x5400, 0 },
17808		{ "Select", 30, 2 },
17809		{ "IDO", 24, 1 },
17810		{ "VFID", 15, 9 },
17811		{ "TC", 12, 3 },
17812		{ "VFVld", 11, 1 },
17813		{ "PF", 8, 3 },
17814		{ "RVF", 0, 8 },
17815	{ "PCIE_FID_VFID", 0x5404, 0 },
17816		{ "Select", 30, 2 },
17817		{ "IDO", 24, 1 },
17818		{ "VFID", 15, 9 },
17819		{ "TC", 12, 3 },
17820		{ "VFVld", 11, 1 },
17821		{ "PF", 8, 3 },
17822		{ "RVF", 0, 8 },
17823	{ "PCIE_FID_VFID", 0x5408, 0 },
17824		{ "Select", 30, 2 },
17825		{ "IDO", 24, 1 },
17826		{ "VFID", 15, 9 },
17827		{ "TC", 12, 3 },
17828		{ "VFVld", 11, 1 },
17829		{ "PF", 8, 3 },
17830		{ "RVF", 0, 8 },
17831	{ "PCIE_FID_VFID", 0x540c, 0 },
17832		{ "Select", 30, 2 },
17833		{ "IDO", 24, 1 },
17834		{ "VFID", 15, 9 },
17835		{ "TC", 12, 3 },
17836		{ "VFVld", 11, 1 },
17837		{ "PF", 8, 3 },
17838		{ "RVF", 0, 8 },
17839	{ "PCIE_FID_VFID", 0x5410, 0 },
17840		{ "Select", 30, 2 },
17841		{ "IDO", 24, 1 },
17842		{ "VFID", 15, 9 },
17843		{ "TC", 12, 3 },
17844		{ "VFVld", 11, 1 },
17845		{ "PF", 8, 3 },
17846		{ "RVF", 0, 8 },
17847	{ "PCIE_FID_VFID", 0x5414, 0 },
17848		{ "Select", 30, 2 },
17849		{ "IDO", 24, 1 },
17850		{ "VFID", 15, 9 },
17851		{ "TC", 12, 3 },
17852		{ "VFVld", 11, 1 },
17853		{ "PF", 8, 3 },
17854		{ "RVF", 0, 8 },
17855	{ "PCIE_FID_VFID", 0x5418, 0 },
17856		{ "Select", 30, 2 },
17857		{ "IDO", 24, 1 },
17858		{ "VFID", 15, 9 },
17859		{ "TC", 12, 3 },
17860		{ "VFVld", 11, 1 },
17861		{ "PF", 8, 3 },
17862		{ "RVF", 0, 8 },
17863	{ "PCIE_FID_VFID", 0x541c, 0 },
17864		{ "Select", 30, 2 },
17865		{ "IDO", 24, 1 },
17866		{ "VFID", 15, 9 },
17867		{ "TC", 12, 3 },
17868		{ "VFVld", 11, 1 },
17869		{ "PF", 8, 3 },
17870		{ "RVF", 0, 8 },
17871	{ "PCIE_FID_VFID", 0x5420, 0 },
17872		{ "Select", 30, 2 },
17873		{ "IDO", 24, 1 },
17874		{ "VFID", 15, 9 },
17875		{ "TC", 12, 3 },
17876		{ "VFVld", 11, 1 },
17877		{ "PF", 8, 3 },
17878		{ "RVF", 0, 8 },
17879	{ "PCIE_FID_VFID", 0x5424, 0 },
17880		{ "Select", 30, 2 },
17881		{ "IDO", 24, 1 },
17882		{ "VFID", 15, 9 },
17883		{ "TC", 12, 3 },
17884		{ "VFVld", 11, 1 },
17885		{ "PF", 8, 3 },
17886		{ "RVF", 0, 8 },
17887	{ "PCIE_FID_VFID", 0x5428, 0 },
17888		{ "Select", 30, 2 },
17889		{ "IDO", 24, 1 },
17890		{ "VFID", 15, 9 },
17891		{ "TC", 12, 3 },
17892		{ "VFVld", 11, 1 },
17893		{ "PF", 8, 3 },
17894		{ "RVF", 0, 8 },
17895	{ "PCIE_FID_VFID", 0x542c, 0 },
17896		{ "Select", 30, 2 },
17897		{ "IDO", 24, 1 },
17898		{ "VFID", 15, 9 },
17899		{ "TC", 12, 3 },
17900		{ "VFVld", 11, 1 },
17901		{ "PF", 8, 3 },
17902		{ "RVF", 0, 8 },
17903	{ "PCIE_FID_VFID", 0x5430, 0 },
17904		{ "Select", 30, 2 },
17905		{ "IDO", 24, 1 },
17906		{ "VFID", 15, 9 },
17907		{ "TC", 12, 3 },
17908		{ "VFVld", 11, 1 },
17909		{ "PF", 8, 3 },
17910		{ "RVF", 0, 8 },
17911	{ "PCIE_FID_VFID", 0x5434, 0 },
17912		{ "Select", 30, 2 },
17913		{ "IDO", 24, 1 },
17914		{ "VFID", 15, 9 },
17915		{ "TC", 12, 3 },
17916		{ "VFVld", 11, 1 },
17917		{ "PF", 8, 3 },
17918		{ "RVF", 0, 8 },
17919	{ "PCIE_FID_VFID", 0x5438, 0 },
17920		{ "Select", 30, 2 },
17921		{ "IDO", 24, 1 },
17922		{ "VFID", 15, 9 },
17923		{ "TC", 12, 3 },
17924		{ "VFVld", 11, 1 },
17925		{ "PF", 8, 3 },
17926		{ "RVF", 0, 8 },
17927	{ "PCIE_FID_VFID", 0x543c, 0 },
17928		{ "Select", 30, 2 },
17929		{ "IDO", 24, 1 },
17930		{ "VFID", 15, 9 },
17931		{ "TC", 12, 3 },
17932		{ "VFVld", 11, 1 },
17933		{ "PF", 8, 3 },
17934		{ "RVF", 0, 8 },
17935	{ "PCIE_FID_VFID", 0x5440, 0 },
17936		{ "Select", 30, 2 },
17937		{ "IDO", 24, 1 },
17938		{ "VFID", 15, 9 },
17939		{ "TC", 12, 3 },
17940		{ "VFVld", 11, 1 },
17941		{ "PF", 8, 3 },
17942		{ "RVF", 0, 8 },
17943	{ "PCIE_FID_VFID", 0x5444, 0 },
17944		{ "Select", 30, 2 },
17945		{ "IDO", 24, 1 },
17946		{ "VFID", 15, 9 },
17947		{ "TC", 12, 3 },
17948		{ "VFVld", 11, 1 },
17949		{ "PF", 8, 3 },
17950		{ "RVF", 0, 8 },
17951	{ "PCIE_FID_VFID", 0x5448, 0 },
17952		{ "Select", 30, 2 },
17953		{ "IDO", 24, 1 },
17954		{ "VFID", 15, 9 },
17955		{ "TC", 12, 3 },
17956		{ "VFVld", 11, 1 },
17957		{ "PF", 8, 3 },
17958		{ "RVF", 0, 8 },
17959	{ "PCIE_FID_VFID", 0x544c, 0 },
17960		{ "Select", 30, 2 },
17961		{ "IDO", 24, 1 },
17962		{ "VFID", 15, 9 },
17963		{ "TC", 12, 3 },
17964		{ "VFVld", 11, 1 },
17965		{ "PF", 8, 3 },
17966		{ "RVF", 0, 8 },
17967	{ "PCIE_FID_VFID", 0x5450, 0 },
17968		{ "Select", 30, 2 },
17969		{ "IDO", 24, 1 },
17970		{ "VFID", 15, 9 },
17971		{ "TC", 12, 3 },
17972		{ "VFVld", 11, 1 },
17973		{ "PF", 8, 3 },
17974		{ "RVF", 0, 8 },
17975	{ "PCIE_FID_VFID", 0x5454, 0 },
17976		{ "Select", 30, 2 },
17977		{ "IDO", 24, 1 },
17978		{ "VFID", 15, 9 },
17979		{ "TC", 12, 3 },
17980		{ "VFVld", 11, 1 },
17981		{ "PF", 8, 3 },
17982		{ "RVF", 0, 8 },
17983	{ "PCIE_FID_VFID", 0x5458, 0 },
17984		{ "Select", 30, 2 },
17985		{ "IDO", 24, 1 },
17986		{ "VFID", 15, 9 },
17987		{ "TC", 12, 3 },
17988		{ "VFVld", 11, 1 },
17989		{ "PF", 8, 3 },
17990		{ "RVF", 0, 8 },
17991	{ "PCIE_FID_VFID", 0x545c, 0 },
17992		{ "Select", 30, 2 },
17993		{ "IDO", 24, 1 },
17994		{ "VFID", 15, 9 },
17995		{ "TC", 12, 3 },
17996		{ "VFVld", 11, 1 },
17997		{ "PF", 8, 3 },
17998		{ "RVF", 0, 8 },
17999	{ "PCIE_FID_VFID", 0x5460, 0 },
18000		{ "Select", 30, 2 },
18001		{ "IDO", 24, 1 },
18002		{ "VFID", 15, 9 },
18003		{ "TC", 12, 3 },
18004		{ "VFVld", 11, 1 },
18005		{ "PF", 8, 3 },
18006		{ "RVF", 0, 8 },
18007	{ "PCIE_FID_VFID", 0x5464, 0 },
18008		{ "Select", 30, 2 },
18009		{ "IDO", 24, 1 },
18010		{ "VFID", 15, 9 },
18011		{ "TC", 12, 3 },
18012		{ "VFVld", 11, 1 },
18013		{ "PF", 8, 3 },
18014		{ "RVF", 0, 8 },
18015	{ "PCIE_FID_VFID", 0x5468, 0 },
18016		{ "Select", 30, 2 },
18017		{ "IDO", 24, 1 },
18018		{ "VFID", 15, 9 },
18019		{ "TC", 12, 3 },
18020		{ "VFVld", 11, 1 },
18021		{ "PF", 8, 3 },
18022		{ "RVF", 0, 8 },
18023	{ "PCIE_FID_VFID", 0x546c, 0 },
18024		{ "Select", 30, 2 },
18025		{ "IDO", 24, 1 },
18026		{ "VFID", 15, 9 },
18027		{ "TC", 12, 3 },
18028		{ "VFVld", 11, 1 },
18029		{ "PF", 8, 3 },
18030		{ "RVF", 0, 8 },
18031	{ "PCIE_FID_VFID", 0x5470, 0 },
18032		{ "Select", 30, 2 },
18033		{ "IDO", 24, 1 },
18034		{ "VFID", 15, 9 },
18035		{ "TC", 12, 3 },
18036		{ "VFVld", 11, 1 },
18037		{ "PF", 8, 3 },
18038		{ "RVF", 0, 8 },
18039	{ "PCIE_FID_VFID", 0x5474, 0 },
18040		{ "Select", 30, 2 },
18041		{ "IDO", 24, 1 },
18042		{ "VFID", 15, 9 },
18043		{ "TC", 12, 3 },
18044		{ "VFVld", 11, 1 },
18045		{ "PF", 8, 3 },
18046		{ "RVF", 0, 8 },
18047	{ "PCIE_FID_VFID", 0x5478, 0 },
18048		{ "Select", 30, 2 },
18049		{ "IDO", 24, 1 },
18050		{ "VFID", 15, 9 },
18051		{ "TC", 12, 3 },
18052		{ "VFVld", 11, 1 },
18053		{ "PF", 8, 3 },
18054		{ "RVF", 0, 8 },
18055	{ "PCIE_FID_VFID", 0x547c, 0 },
18056		{ "Select", 30, 2 },
18057		{ "IDO", 24, 1 },
18058		{ "VFID", 15, 9 },
18059		{ "TC", 12, 3 },
18060		{ "VFVld", 11, 1 },
18061		{ "PF", 8, 3 },
18062		{ "RVF", 0, 8 },
18063	{ "PCIE_FID_VFID", 0x5480, 0 },
18064		{ "Select", 30, 2 },
18065		{ "IDO", 24, 1 },
18066		{ "VFID", 15, 9 },
18067		{ "TC", 12, 3 },
18068		{ "VFVld", 11, 1 },
18069		{ "PF", 8, 3 },
18070		{ "RVF", 0, 8 },
18071	{ "PCIE_FID_VFID", 0x5484, 0 },
18072		{ "Select", 30, 2 },
18073		{ "IDO", 24, 1 },
18074		{ "VFID", 15, 9 },
18075		{ "TC", 12, 3 },
18076		{ "VFVld", 11, 1 },
18077		{ "PF", 8, 3 },
18078		{ "RVF", 0, 8 },
18079	{ "PCIE_FID_VFID", 0x5488, 0 },
18080		{ "Select", 30, 2 },
18081		{ "IDO", 24, 1 },
18082		{ "VFID", 15, 9 },
18083		{ "TC", 12, 3 },
18084		{ "VFVld", 11, 1 },
18085		{ "PF", 8, 3 },
18086		{ "RVF", 0, 8 },
18087	{ "PCIE_FID_VFID", 0x548c, 0 },
18088		{ "Select", 30, 2 },
18089		{ "IDO", 24, 1 },
18090		{ "VFID", 15, 9 },
18091		{ "TC", 12, 3 },
18092		{ "VFVld", 11, 1 },
18093		{ "PF", 8, 3 },
18094		{ "RVF", 0, 8 },
18095	{ "PCIE_FID_VFID", 0x5490, 0 },
18096		{ "Select", 30, 2 },
18097		{ "IDO", 24, 1 },
18098		{ "VFID", 15, 9 },
18099		{ "TC", 12, 3 },
18100		{ "VFVld", 11, 1 },
18101		{ "PF", 8, 3 },
18102		{ "RVF", 0, 8 },
18103	{ "PCIE_FID_VFID", 0x5494, 0 },
18104		{ "Select", 30, 2 },
18105		{ "IDO", 24, 1 },
18106		{ "VFID", 15, 9 },
18107		{ "TC", 12, 3 },
18108		{ "VFVld", 11, 1 },
18109		{ "PF", 8, 3 },
18110		{ "RVF", 0, 8 },
18111	{ "PCIE_FID_VFID", 0x5498, 0 },
18112		{ "Select", 30, 2 },
18113		{ "IDO", 24, 1 },
18114		{ "VFID", 15, 9 },
18115		{ "TC", 12, 3 },
18116		{ "VFVld", 11, 1 },
18117		{ "PF", 8, 3 },
18118		{ "RVF", 0, 8 },
18119	{ "PCIE_FID_VFID", 0x549c, 0 },
18120		{ "Select", 30, 2 },
18121		{ "IDO", 24, 1 },
18122		{ "VFID", 15, 9 },
18123		{ "TC", 12, 3 },
18124		{ "VFVld", 11, 1 },
18125		{ "PF", 8, 3 },
18126		{ "RVF", 0, 8 },
18127	{ "PCIE_FID_VFID", 0x54a0, 0 },
18128		{ "Select", 30, 2 },
18129		{ "IDO", 24, 1 },
18130		{ "VFID", 15, 9 },
18131		{ "TC", 12, 3 },
18132		{ "VFVld", 11, 1 },
18133		{ "PF", 8, 3 },
18134		{ "RVF", 0, 8 },
18135	{ "PCIE_FID_VFID", 0x54a4, 0 },
18136		{ "Select", 30, 2 },
18137		{ "IDO", 24, 1 },
18138		{ "VFID", 15, 9 },
18139		{ "TC", 12, 3 },
18140		{ "VFVld", 11, 1 },
18141		{ "PF", 8, 3 },
18142		{ "RVF", 0, 8 },
18143	{ "PCIE_FID_VFID", 0x54a8, 0 },
18144		{ "Select", 30, 2 },
18145		{ "IDO", 24, 1 },
18146		{ "VFID", 15, 9 },
18147		{ "TC", 12, 3 },
18148		{ "VFVld", 11, 1 },
18149		{ "PF", 8, 3 },
18150		{ "RVF", 0, 8 },
18151	{ "PCIE_FID_VFID", 0x54ac, 0 },
18152		{ "Select", 30, 2 },
18153		{ "IDO", 24, 1 },
18154		{ "VFID", 15, 9 },
18155		{ "TC", 12, 3 },
18156		{ "VFVld", 11, 1 },
18157		{ "PF", 8, 3 },
18158		{ "RVF", 0, 8 },
18159	{ "PCIE_FID_VFID", 0x54b0, 0 },
18160		{ "Select", 30, 2 },
18161		{ "IDO", 24, 1 },
18162		{ "VFID", 15, 9 },
18163		{ "TC", 12, 3 },
18164		{ "VFVld", 11, 1 },
18165		{ "PF", 8, 3 },
18166		{ "RVF", 0, 8 },
18167	{ "PCIE_FID_VFID", 0x54b4, 0 },
18168		{ "Select", 30, 2 },
18169		{ "IDO", 24, 1 },
18170		{ "VFID", 15, 9 },
18171		{ "TC", 12, 3 },
18172		{ "VFVld", 11, 1 },
18173		{ "PF", 8, 3 },
18174		{ "RVF", 0, 8 },
18175	{ "PCIE_FID_VFID", 0x54b8, 0 },
18176		{ "Select", 30, 2 },
18177		{ "IDO", 24, 1 },
18178		{ "VFID", 15, 9 },
18179		{ "TC", 12, 3 },
18180		{ "VFVld", 11, 1 },
18181		{ "PF", 8, 3 },
18182		{ "RVF", 0, 8 },
18183	{ "PCIE_FID_VFID", 0x54bc, 0 },
18184		{ "Select", 30, 2 },
18185		{ "IDO", 24, 1 },
18186		{ "VFID", 15, 9 },
18187		{ "TC", 12, 3 },
18188		{ "VFVld", 11, 1 },
18189		{ "PF", 8, 3 },
18190		{ "RVF", 0, 8 },
18191	{ "PCIE_FID_VFID", 0x54c0, 0 },
18192		{ "Select", 30, 2 },
18193		{ "IDO", 24, 1 },
18194		{ "VFID", 15, 9 },
18195		{ "TC", 12, 3 },
18196		{ "VFVld", 11, 1 },
18197		{ "PF", 8, 3 },
18198		{ "RVF", 0, 8 },
18199	{ "PCIE_FID_VFID", 0x54c4, 0 },
18200		{ "Select", 30, 2 },
18201		{ "IDO", 24, 1 },
18202		{ "VFID", 15, 9 },
18203		{ "TC", 12, 3 },
18204		{ "VFVld", 11, 1 },
18205		{ "PF", 8, 3 },
18206		{ "RVF", 0, 8 },
18207	{ "PCIE_FID_VFID", 0x54c8, 0 },
18208		{ "Select", 30, 2 },
18209		{ "IDO", 24, 1 },
18210		{ "VFID", 15, 9 },
18211		{ "TC", 12, 3 },
18212		{ "VFVld", 11, 1 },
18213		{ "PF", 8, 3 },
18214		{ "RVF", 0, 8 },
18215	{ "PCIE_FID_VFID", 0x54cc, 0 },
18216		{ "Select", 30, 2 },
18217		{ "IDO", 24, 1 },
18218		{ "VFID", 15, 9 },
18219		{ "TC", 12, 3 },
18220		{ "VFVld", 11, 1 },
18221		{ "PF", 8, 3 },
18222		{ "RVF", 0, 8 },
18223	{ "PCIE_FID_VFID", 0x54d0, 0 },
18224		{ "Select", 30, 2 },
18225		{ "IDO", 24, 1 },
18226		{ "VFID", 15, 9 },
18227		{ "TC", 12, 3 },
18228		{ "VFVld", 11, 1 },
18229		{ "PF", 8, 3 },
18230		{ "RVF", 0, 8 },
18231	{ "PCIE_FID_VFID", 0x54d4, 0 },
18232		{ "Select", 30, 2 },
18233		{ "IDO", 24, 1 },
18234		{ "VFID", 15, 9 },
18235		{ "TC", 12, 3 },
18236		{ "VFVld", 11, 1 },
18237		{ "PF", 8, 3 },
18238		{ "RVF", 0, 8 },
18239	{ "PCIE_FID_VFID", 0x54d8, 0 },
18240		{ "Select", 30, 2 },
18241		{ "IDO", 24, 1 },
18242		{ "VFID", 15, 9 },
18243		{ "TC", 12, 3 },
18244		{ "VFVld", 11, 1 },
18245		{ "PF", 8, 3 },
18246		{ "RVF", 0, 8 },
18247	{ "PCIE_FID_VFID", 0x54dc, 0 },
18248		{ "Select", 30, 2 },
18249		{ "IDO", 24, 1 },
18250		{ "VFID", 15, 9 },
18251		{ "TC", 12, 3 },
18252		{ "VFVld", 11, 1 },
18253		{ "PF", 8, 3 },
18254		{ "RVF", 0, 8 },
18255	{ "PCIE_FID_VFID", 0x54e0, 0 },
18256		{ "Select", 30, 2 },
18257		{ "IDO", 24, 1 },
18258		{ "VFID", 15, 9 },
18259		{ "TC", 12, 3 },
18260		{ "VFVld", 11, 1 },
18261		{ "PF", 8, 3 },
18262		{ "RVF", 0, 8 },
18263	{ "PCIE_FID_VFID", 0x54e4, 0 },
18264		{ "Select", 30, 2 },
18265		{ "IDO", 24, 1 },
18266		{ "VFID", 15, 9 },
18267		{ "TC", 12, 3 },
18268		{ "VFVld", 11, 1 },
18269		{ "PF", 8, 3 },
18270		{ "RVF", 0, 8 },
18271	{ "PCIE_FID_VFID", 0x54e8, 0 },
18272		{ "Select", 30, 2 },
18273		{ "IDO", 24, 1 },
18274		{ "VFID", 15, 9 },
18275		{ "TC", 12, 3 },
18276		{ "VFVld", 11, 1 },
18277		{ "PF", 8, 3 },
18278		{ "RVF", 0, 8 },
18279	{ "PCIE_FID_VFID", 0x54ec, 0 },
18280		{ "Select", 30, 2 },
18281		{ "IDO", 24, 1 },
18282		{ "VFID", 15, 9 },
18283		{ "TC", 12, 3 },
18284		{ "VFVld", 11, 1 },
18285		{ "PF", 8, 3 },
18286		{ "RVF", 0, 8 },
18287	{ "PCIE_FID_VFID", 0x54f0, 0 },
18288		{ "Select", 30, 2 },
18289		{ "IDO", 24, 1 },
18290		{ "VFID", 15, 9 },
18291		{ "TC", 12, 3 },
18292		{ "VFVld", 11, 1 },
18293		{ "PF", 8, 3 },
18294		{ "RVF", 0, 8 },
18295	{ "PCIE_FID_VFID", 0x54f4, 0 },
18296		{ "Select", 30, 2 },
18297		{ "IDO", 24, 1 },
18298		{ "VFID", 15, 9 },
18299		{ "TC", 12, 3 },
18300		{ "VFVld", 11, 1 },
18301		{ "PF", 8, 3 },
18302		{ "RVF", 0, 8 },
18303	{ "PCIE_FID_VFID", 0x54f8, 0 },
18304		{ "Select", 30, 2 },
18305		{ "IDO", 24, 1 },
18306		{ "VFID", 15, 9 },
18307		{ "TC", 12, 3 },
18308		{ "VFVld", 11, 1 },
18309		{ "PF", 8, 3 },
18310		{ "RVF", 0, 8 },
18311	{ "PCIE_FID_VFID", 0x54fc, 0 },
18312		{ "Select", 30, 2 },
18313		{ "IDO", 24, 1 },
18314		{ "VFID", 15, 9 },
18315		{ "TC", 12, 3 },
18316		{ "VFVld", 11, 1 },
18317		{ "PF", 8, 3 },
18318		{ "RVF", 0, 8 },
18319	{ "PCIE_FID_VFID", 0x5500, 0 },
18320		{ "Select", 30, 2 },
18321		{ "IDO", 24, 1 },
18322		{ "VFID", 15, 9 },
18323		{ "TC", 12, 3 },
18324		{ "VFVld", 11, 1 },
18325		{ "PF", 8, 3 },
18326		{ "RVF", 0, 8 },
18327	{ "PCIE_FID_VFID", 0x5504, 0 },
18328		{ "Select", 30, 2 },
18329		{ "IDO", 24, 1 },
18330		{ "VFID", 15, 9 },
18331		{ "TC", 12, 3 },
18332		{ "VFVld", 11, 1 },
18333		{ "PF", 8, 3 },
18334		{ "RVF", 0, 8 },
18335	{ "PCIE_FID_VFID", 0x5508, 0 },
18336		{ "Select", 30, 2 },
18337		{ "IDO", 24, 1 },
18338		{ "VFID", 15, 9 },
18339		{ "TC", 12, 3 },
18340		{ "VFVld", 11, 1 },
18341		{ "PF", 8, 3 },
18342		{ "RVF", 0, 8 },
18343	{ "PCIE_FID_VFID", 0x550c, 0 },
18344		{ "Select", 30, 2 },
18345		{ "IDO", 24, 1 },
18346		{ "VFID", 15, 9 },
18347		{ "TC", 12, 3 },
18348		{ "VFVld", 11, 1 },
18349		{ "PF", 8, 3 },
18350		{ "RVF", 0, 8 },
18351	{ "PCIE_FID_VFID", 0x5510, 0 },
18352		{ "Select", 30, 2 },
18353		{ "IDO", 24, 1 },
18354		{ "VFID", 15, 9 },
18355		{ "TC", 12, 3 },
18356		{ "VFVld", 11, 1 },
18357		{ "PF", 8, 3 },
18358		{ "RVF", 0, 8 },
18359	{ "PCIE_FID_VFID", 0x5514, 0 },
18360		{ "Select", 30, 2 },
18361		{ "IDO", 24, 1 },
18362		{ "VFID", 15, 9 },
18363		{ "TC", 12, 3 },
18364		{ "VFVld", 11, 1 },
18365		{ "PF", 8, 3 },
18366		{ "RVF", 0, 8 },
18367	{ "PCIE_FID_VFID", 0x5518, 0 },
18368		{ "Select", 30, 2 },
18369		{ "IDO", 24, 1 },
18370		{ "VFID", 15, 9 },
18371		{ "TC", 12, 3 },
18372		{ "VFVld", 11, 1 },
18373		{ "PF", 8, 3 },
18374		{ "RVF", 0, 8 },
18375	{ "PCIE_FID_VFID", 0x551c, 0 },
18376		{ "Select", 30, 2 },
18377		{ "IDO", 24, 1 },
18378		{ "VFID", 15, 9 },
18379		{ "TC", 12, 3 },
18380		{ "VFVld", 11, 1 },
18381		{ "PF", 8, 3 },
18382		{ "RVF", 0, 8 },
18383	{ "PCIE_FID_VFID", 0x5520, 0 },
18384		{ "Select", 30, 2 },
18385		{ "IDO", 24, 1 },
18386		{ "VFID", 15, 9 },
18387		{ "TC", 12, 3 },
18388		{ "VFVld", 11, 1 },
18389		{ "PF", 8, 3 },
18390		{ "RVF", 0, 8 },
18391	{ "PCIE_FID_VFID", 0x5524, 0 },
18392		{ "Select", 30, 2 },
18393		{ "IDO", 24, 1 },
18394		{ "VFID", 15, 9 },
18395		{ "TC", 12, 3 },
18396		{ "VFVld", 11, 1 },
18397		{ "PF", 8, 3 },
18398		{ "RVF", 0, 8 },
18399	{ "PCIE_FID_VFID", 0x5528, 0 },
18400		{ "Select", 30, 2 },
18401		{ "IDO", 24, 1 },
18402		{ "VFID", 15, 9 },
18403		{ "TC", 12, 3 },
18404		{ "VFVld", 11, 1 },
18405		{ "PF", 8, 3 },
18406		{ "RVF", 0, 8 },
18407	{ "PCIE_FID_VFID", 0x552c, 0 },
18408		{ "Select", 30, 2 },
18409		{ "IDO", 24, 1 },
18410		{ "VFID", 15, 9 },
18411		{ "TC", 12, 3 },
18412		{ "VFVld", 11, 1 },
18413		{ "PF", 8, 3 },
18414		{ "RVF", 0, 8 },
18415	{ "PCIE_FID_VFID", 0x5530, 0 },
18416		{ "Select", 30, 2 },
18417		{ "IDO", 24, 1 },
18418		{ "VFID", 15, 9 },
18419		{ "TC", 12, 3 },
18420		{ "VFVld", 11, 1 },
18421		{ "PF", 8, 3 },
18422		{ "RVF", 0, 8 },
18423	{ "PCIE_FID_VFID", 0x5534, 0 },
18424		{ "Select", 30, 2 },
18425		{ "IDO", 24, 1 },
18426		{ "VFID", 15, 9 },
18427		{ "TC", 12, 3 },
18428		{ "VFVld", 11, 1 },
18429		{ "PF", 8, 3 },
18430		{ "RVF", 0, 8 },
18431	{ "PCIE_FID_VFID", 0x5538, 0 },
18432		{ "Select", 30, 2 },
18433		{ "IDO", 24, 1 },
18434		{ "VFID", 15, 9 },
18435		{ "TC", 12, 3 },
18436		{ "VFVld", 11, 1 },
18437		{ "PF", 8, 3 },
18438		{ "RVF", 0, 8 },
18439	{ "PCIE_FID_VFID", 0x553c, 0 },
18440		{ "Select", 30, 2 },
18441		{ "IDO", 24, 1 },
18442		{ "VFID", 15, 9 },
18443		{ "TC", 12, 3 },
18444		{ "VFVld", 11, 1 },
18445		{ "PF", 8, 3 },
18446		{ "RVF", 0, 8 },
18447	{ "PCIE_FID_VFID", 0x5540, 0 },
18448		{ "Select", 30, 2 },
18449		{ "IDO", 24, 1 },
18450		{ "VFID", 15, 9 },
18451		{ "TC", 12, 3 },
18452		{ "VFVld", 11, 1 },
18453		{ "PF", 8, 3 },
18454		{ "RVF", 0, 8 },
18455	{ "PCIE_FID_VFID", 0x5544, 0 },
18456		{ "Select", 30, 2 },
18457		{ "IDO", 24, 1 },
18458		{ "VFID", 15, 9 },
18459		{ "TC", 12, 3 },
18460		{ "VFVld", 11, 1 },
18461		{ "PF", 8, 3 },
18462		{ "RVF", 0, 8 },
18463	{ "PCIE_FID_VFID", 0x5548, 0 },
18464		{ "Select", 30, 2 },
18465		{ "IDO", 24, 1 },
18466		{ "VFID", 15, 9 },
18467		{ "TC", 12, 3 },
18468		{ "VFVld", 11, 1 },
18469		{ "PF", 8, 3 },
18470		{ "RVF", 0, 8 },
18471	{ "PCIE_FID_VFID", 0x554c, 0 },
18472		{ "Select", 30, 2 },
18473		{ "IDO", 24, 1 },
18474		{ "VFID", 15, 9 },
18475		{ "TC", 12, 3 },
18476		{ "VFVld", 11, 1 },
18477		{ "PF", 8, 3 },
18478		{ "RVF", 0, 8 },
18479	{ "PCIE_FID_VFID", 0x5550, 0 },
18480		{ "Select", 30, 2 },
18481		{ "IDO", 24, 1 },
18482		{ "VFID", 15, 9 },
18483		{ "TC", 12, 3 },
18484		{ "VFVld", 11, 1 },
18485		{ "PF", 8, 3 },
18486		{ "RVF", 0, 8 },
18487	{ "PCIE_FID_VFID", 0x5554, 0 },
18488		{ "Select", 30, 2 },
18489		{ "IDO", 24, 1 },
18490		{ "VFID", 15, 9 },
18491		{ "TC", 12, 3 },
18492		{ "VFVld", 11, 1 },
18493		{ "PF", 8, 3 },
18494		{ "RVF", 0, 8 },
18495	{ "PCIE_FID_VFID", 0x5558, 0 },
18496		{ "Select", 30, 2 },
18497		{ "IDO", 24, 1 },
18498		{ "VFID", 15, 9 },
18499		{ "TC", 12, 3 },
18500		{ "VFVld", 11, 1 },
18501		{ "PF", 8, 3 },
18502		{ "RVF", 0, 8 },
18503	{ "PCIE_FID_VFID", 0x555c, 0 },
18504		{ "Select", 30, 2 },
18505		{ "IDO", 24, 1 },
18506		{ "VFID", 15, 9 },
18507		{ "TC", 12, 3 },
18508		{ "VFVld", 11, 1 },
18509		{ "PF", 8, 3 },
18510		{ "RVF", 0, 8 },
18511	{ "PCIE_FID_VFID", 0x5560, 0 },
18512		{ "Select", 30, 2 },
18513		{ "IDO", 24, 1 },
18514		{ "VFID", 15, 9 },
18515		{ "TC", 12, 3 },
18516		{ "VFVld", 11, 1 },
18517		{ "PF", 8, 3 },
18518		{ "RVF", 0, 8 },
18519	{ "PCIE_FID_VFID", 0x5564, 0 },
18520		{ "Select", 30, 2 },
18521		{ "IDO", 24, 1 },
18522		{ "VFID", 15, 9 },
18523		{ "TC", 12, 3 },
18524		{ "VFVld", 11, 1 },
18525		{ "PF", 8, 3 },
18526		{ "RVF", 0, 8 },
18527	{ "PCIE_FID_VFID", 0x5568, 0 },
18528		{ "Select", 30, 2 },
18529		{ "IDO", 24, 1 },
18530		{ "VFID", 15, 9 },
18531		{ "TC", 12, 3 },
18532		{ "VFVld", 11, 1 },
18533		{ "PF", 8, 3 },
18534		{ "RVF", 0, 8 },
18535	{ "PCIE_FID_VFID", 0x556c, 0 },
18536		{ "Select", 30, 2 },
18537		{ "IDO", 24, 1 },
18538		{ "VFID", 15, 9 },
18539		{ "TC", 12, 3 },
18540		{ "VFVld", 11, 1 },
18541		{ "PF", 8, 3 },
18542		{ "RVF", 0, 8 },
18543	{ "PCIE_FID_VFID", 0x5570, 0 },
18544		{ "Select", 30, 2 },
18545		{ "IDO", 24, 1 },
18546		{ "VFID", 15, 9 },
18547		{ "TC", 12, 3 },
18548		{ "VFVld", 11, 1 },
18549		{ "PF", 8, 3 },
18550		{ "RVF", 0, 8 },
18551	{ "PCIE_FID_VFID", 0x5574, 0 },
18552		{ "Select", 30, 2 },
18553		{ "IDO", 24, 1 },
18554		{ "VFID", 15, 9 },
18555		{ "TC", 12, 3 },
18556		{ "VFVld", 11, 1 },
18557		{ "PF", 8, 3 },
18558		{ "RVF", 0, 8 },
18559	{ "PCIE_FID_VFID", 0x5578, 0 },
18560		{ "Select", 30, 2 },
18561		{ "IDO", 24, 1 },
18562		{ "VFID", 15, 9 },
18563		{ "TC", 12, 3 },
18564		{ "VFVld", 11, 1 },
18565		{ "PF", 8, 3 },
18566		{ "RVF", 0, 8 },
18567	{ "PCIE_FID_VFID", 0x557c, 0 },
18568		{ "Select", 30, 2 },
18569		{ "IDO", 24, 1 },
18570		{ "VFID", 15, 9 },
18571		{ "TC", 12, 3 },
18572		{ "VFVld", 11, 1 },
18573		{ "PF", 8, 3 },
18574		{ "RVF", 0, 8 },
18575	{ "PCIE_FID_VFID", 0x5580, 0 },
18576		{ "Select", 30, 2 },
18577		{ "IDO", 24, 1 },
18578		{ "VFID", 15, 9 },
18579		{ "TC", 12, 3 },
18580		{ "VFVld", 11, 1 },
18581		{ "PF", 8, 3 },
18582		{ "RVF", 0, 8 },
18583	{ "PCIE_FID_VFID", 0x5584, 0 },
18584		{ "Select", 30, 2 },
18585		{ "IDO", 24, 1 },
18586		{ "VFID", 15, 9 },
18587		{ "TC", 12, 3 },
18588		{ "VFVld", 11, 1 },
18589		{ "PF", 8, 3 },
18590		{ "RVF", 0, 8 },
18591	{ "PCIE_FID_VFID", 0x5588, 0 },
18592		{ "Select", 30, 2 },
18593		{ "IDO", 24, 1 },
18594		{ "VFID", 15, 9 },
18595		{ "TC", 12, 3 },
18596		{ "VFVld", 11, 1 },
18597		{ "PF", 8, 3 },
18598		{ "RVF", 0, 8 },
18599	{ "PCIE_FID_VFID", 0x558c, 0 },
18600		{ "Select", 30, 2 },
18601		{ "IDO", 24, 1 },
18602		{ "VFID", 15, 9 },
18603		{ "TC", 12, 3 },
18604		{ "VFVld", 11, 1 },
18605		{ "PF", 8, 3 },
18606		{ "RVF", 0, 8 },
18607	{ "PCIE_FID_VFID", 0x5590, 0 },
18608		{ "Select", 30, 2 },
18609		{ "IDO", 24, 1 },
18610		{ "VFID", 15, 9 },
18611		{ "TC", 12, 3 },
18612		{ "VFVld", 11, 1 },
18613		{ "PF", 8, 3 },
18614		{ "RVF", 0, 8 },
18615	{ "PCIE_FID_VFID", 0x5594, 0 },
18616		{ "Select", 30, 2 },
18617		{ "IDO", 24, 1 },
18618		{ "VFID", 15, 9 },
18619		{ "TC", 12, 3 },
18620		{ "VFVld", 11, 1 },
18621		{ "PF", 8, 3 },
18622		{ "RVF", 0, 8 },
18623	{ "PCIE_FID_VFID", 0x5598, 0 },
18624		{ "Select", 30, 2 },
18625		{ "IDO", 24, 1 },
18626		{ "VFID", 15, 9 },
18627		{ "TC", 12, 3 },
18628		{ "VFVld", 11, 1 },
18629		{ "PF", 8, 3 },
18630		{ "RVF", 0, 8 },
18631	{ "PCIE_FID_VFID", 0x559c, 0 },
18632		{ "Select", 30, 2 },
18633		{ "IDO", 24, 1 },
18634		{ "VFID", 15, 9 },
18635		{ "TC", 12, 3 },
18636		{ "VFVld", 11, 1 },
18637		{ "PF", 8, 3 },
18638		{ "RVF", 0, 8 },
18639	{ "PCIE_FID_VFID", 0x55a0, 0 },
18640		{ "Select", 30, 2 },
18641		{ "IDO", 24, 1 },
18642		{ "VFID", 15, 9 },
18643		{ "TC", 12, 3 },
18644		{ "VFVld", 11, 1 },
18645		{ "PF", 8, 3 },
18646		{ "RVF", 0, 8 },
18647	{ "PCIE_FID_VFID", 0x55a4, 0 },
18648		{ "Select", 30, 2 },
18649		{ "IDO", 24, 1 },
18650		{ "VFID", 15, 9 },
18651		{ "TC", 12, 3 },
18652		{ "VFVld", 11, 1 },
18653		{ "PF", 8, 3 },
18654		{ "RVF", 0, 8 },
18655	{ "PCIE_FID_VFID", 0x55a8, 0 },
18656		{ "Select", 30, 2 },
18657		{ "IDO", 24, 1 },
18658		{ "VFID", 15, 9 },
18659		{ "TC", 12, 3 },
18660		{ "VFVld", 11, 1 },
18661		{ "PF", 8, 3 },
18662		{ "RVF", 0, 8 },
18663	{ "PCIE_FID_VFID", 0x55ac, 0 },
18664		{ "Select", 30, 2 },
18665		{ "IDO", 24, 1 },
18666		{ "VFID", 15, 9 },
18667		{ "TC", 12, 3 },
18668		{ "VFVld", 11, 1 },
18669		{ "PF", 8, 3 },
18670		{ "RVF", 0, 8 },
18671	{ "PCIE_FID_VFID", 0x55b0, 0 },
18672		{ "Select", 30, 2 },
18673		{ "IDO", 24, 1 },
18674		{ "VFID", 15, 9 },
18675		{ "TC", 12, 3 },
18676		{ "VFVld", 11, 1 },
18677		{ "PF", 8, 3 },
18678		{ "RVF", 0, 8 },
18679	{ "PCIE_FID_VFID", 0x55b4, 0 },
18680		{ "Select", 30, 2 },
18681		{ "IDO", 24, 1 },
18682		{ "VFID", 15, 9 },
18683		{ "TC", 12, 3 },
18684		{ "VFVld", 11, 1 },
18685		{ "PF", 8, 3 },
18686		{ "RVF", 0, 8 },
18687	{ "PCIE_FID_VFID", 0x55b8, 0 },
18688		{ "Select", 30, 2 },
18689		{ "IDO", 24, 1 },
18690		{ "VFID", 15, 9 },
18691		{ "TC", 12, 3 },
18692		{ "VFVld", 11, 1 },
18693		{ "PF", 8, 3 },
18694		{ "RVF", 0, 8 },
18695	{ "PCIE_FID_VFID", 0x55bc, 0 },
18696		{ "Select", 30, 2 },
18697		{ "IDO", 24, 1 },
18698		{ "VFID", 15, 9 },
18699		{ "TC", 12, 3 },
18700		{ "VFVld", 11, 1 },
18701		{ "PF", 8, 3 },
18702		{ "RVF", 0, 8 },
18703	{ "PCIE_FID_VFID", 0x55c0, 0 },
18704		{ "Select", 30, 2 },
18705		{ "IDO", 24, 1 },
18706		{ "VFID", 15, 9 },
18707		{ "TC", 12, 3 },
18708		{ "VFVld", 11, 1 },
18709		{ "PF", 8, 3 },
18710		{ "RVF", 0, 8 },
18711	{ "PCIE_FID_VFID", 0x55c4, 0 },
18712		{ "Select", 30, 2 },
18713		{ "IDO", 24, 1 },
18714		{ "VFID", 15, 9 },
18715		{ "TC", 12, 3 },
18716		{ "VFVld", 11, 1 },
18717		{ "PF", 8, 3 },
18718		{ "RVF", 0, 8 },
18719	{ "PCIE_FID_VFID", 0x55c8, 0 },
18720		{ "Select", 30, 2 },
18721		{ "IDO", 24, 1 },
18722		{ "VFID", 15, 9 },
18723		{ "TC", 12, 3 },
18724		{ "VFVld", 11, 1 },
18725		{ "PF", 8, 3 },
18726		{ "RVF", 0, 8 },
18727	{ "PCIE_FID_VFID", 0x55cc, 0 },
18728		{ "Select", 30, 2 },
18729		{ "IDO", 24, 1 },
18730		{ "VFID", 15, 9 },
18731		{ "TC", 12, 3 },
18732		{ "VFVld", 11, 1 },
18733		{ "PF", 8, 3 },
18734		{ "RVF", 0, 8 },
18735	{ "PCIE_FID_VFID", 0x55d0, 0 },
18736		{ "Select", 30, 2 },
18737		{ "IDO", 24, 1 },
18738		{ "VFID", 15, 9 },
18739		{ "TC", 12, 3 },
18740		{ "VFVld", 11, 1 },
18741		{ "PF", 8, 3 },
18742		{ "RVF", 0, 8 },
18743	{ "PCIE_FID_VFID", 0x55d4, 0 },
18744		{ "Select", 30, 2 },
18745		{ "IDO", 24, 1 },
18746		{ "VFID", 15, 9 },
18747		{ "TC", 12, 3 },
18748		{ "VFVld", 11, 1 },
18749		{ "PF", 8, 3 },
18750		{ "RVF", 0, 8 },
18751	{ "PCIE_FID_VFID", 0x55d8, 0 },
18752		{ "Select", 30, 2 },
18753		{ "IDO", 24, 1 },
18754		{ "VFID", 15, 9 },
18755		{ "TC", 12, 3 },
18756		{ "VFVld", 11, 1 },
18757		{ "PF", 8, 3 },
18758		{ "RVF", 0, 8 },
18759	{ "PCIE_FID_VFID", 0x55dc, 0 },
18760		{ "Select", 30, 2 },
18761		{ "IDO", 24, 1 },
18762		{ "VFID", 15, 9 },
18763		{ "TC", 12, 3 },
18764		{ "VFVld", 11, 1 },
18765		{ "PF", 8, 3 },
18766		{ "RVF", 0, 8 },
18767	{ "PCIE_FID_VFID", 0x55e0, 0 },
18768		{ "Select", 30, 2 },
18769		{ "IDO", 24, 1 },
18770		{ "VFID", 15, 9 },
18771		{ "TC", 12, 3 },
18772		{ "VFVld", 11, 1 },
18773		{ "PF", 8, 3 },
18774		{ "RVF", 0, 8 },
18775	{ "PCIE_FID_VFID", 0x55e4, 0 },
18776		{ "Select", 30, 2 },
18777		{ "IDO", 24, 1 },
18778		{ "VFID", 15, 9 },
18779		{ "TC", 12, 3 },
18780		{ "VFVld", 11, 1 },
18781		{ "PF", 8, 3 },
18782		{ "RVF", 0, 8 },
18783	{ "PCIE_FID_VFID", 0x55e8, 0 },
18784		{ "Select", 30, 2 },
18785		{ "IDO", 24, 1 },
18786		{ "VFID", 15, 9 },
18787		{ "TC", 12, 3 },
18788		{ "VFVld", 11, 1 },
18789		{ "PF", 8, 3 },
18790		{ "RVF", 0, 8 },
18791	{ "PCIE_FID_VFID", 0x55ec, 0 },
18792		{ "Select", 30, 2 },
18793		{ "IDO", 24, 1 },
18794		{ "VFID", 15, 9 },
18795		{ "TC", 12, 3 },
18796		{ "VFVld", 11, 1 },
18797		{ "PF", 8, 3 },
18798		{ "RVF", 0, 8 },
18799	{ "PCIE_FID_VFID", 0x55f0, 0 },
18800		{ "Select", 30, 2 },
18801		{ "IDO", 24, 1 },
18802		{ "VFID", 15, 9 },
18803		{ "TC", 12, 3 },
18804		{ "VFVld", 11, 1 },
18805		{ "PF", 8, 3 },
18806		{ "RVF", 0, 8 },
18807	{ "PCIE_FID_VFID", 0x55f4, 0 },
18808		{ "Select", 30, 2 },
18809		{ "IDO", 24, 1 },
18810		{ "VFID", 15, 9 },
18811		{ "TC", 12, 3 },
18812		{ "VFVld", 11, 1 },
18813		{ "PF", 8, 3 },
18814		{ "RVF", 0, 8 },
18815	{ "PCIE_FID_VFID", 0x55f8, 0 },
18816		{ "Select", 30, 2 },
18817		{ "IDO", 24, 1 },
18818		{ "VFID", 15, 9 },
18819		{ "TC", 12, 3 },
18820		{ "VFVld", 11, 1 },
18821		{ "PF", 8, 3 },
18822		{ "RVF", 0, 8 },
18823	{ "PCIE_FID_VFID", 0x55fc, 0 },
18824		{ "Select", 30, 2 },
18825		{ "IDO", 24, 1 },
18826		{ "VFID", 15, 9 },
18827		{ "TC", 12, 3 },
18828		{ "VFVld", 11, 1 },
18829		{ "PF", 8, 3 },
18830		{ "RVF", 0, 8 },
18831	{ "PCIE_COOKIE_STAT", 0x5600, 0 },
18832		{ "CookieB", 16, 10 },
18833		{ "CookieA", 0, 10 },
18834	{ "PCIE_COOKIE_STAT", 0x5604, 0 },
18835		{ "CookieB", 16, 10 },
18836		{ "CookieA", 0, 10 },
18837	{ "PCIE_COOKIE_STAT", 0x5608, 0 },
18838		{ "CookieB", 16, 10 },
18839		{ "CookieA", 0, 10 },
18840	{ "PCIE_COOKIE_STAT", 0x560c, 0 },
18841		{ "CookieB", 16, 10 },
18842		{ "CookieA", 0, 10 },
18843	{ "PCIE_COOKIE_STAT", 0x5610, 0 },
18844		{ "CookieB", 16, 10 },
18845		{ "CookieA", 0, 10 },
18846	{ "PCIE_COOKIE_STAT", 0x5614, 0 },
18847		{ "CookieB", 16, 10 },
18848		{ "CookieA", 0, 10 },
18849	{ "PCIE_COOKIE_STAT", 0x5618, 0 },
18850		{ "CookieB", 16, 10 },
18851		{ "CookieA", 0, 10 },
18852	{ "PCIE_COOKIE_STAT", 0x561c, 0 },
18853		{ "CookieB", 16, 10 },
18854		{ "CookieA", 0, 10 },
18855	{ "PCIE_FLR_PIO", 0x5620, 0 },
18856		{ "RcvdBAR2Cookie", 24, 8 },
18857		{ "RcvdMARspCookie", 16, 8 },
18858		{ "RcvdPIORspCookie", 8, 8 },
18859		{ "ExpdCookie", 0, 8 },
18860	{ "PCIE_FLR_PIO2", 0x5624, 0 },
18861		{ "RcvdVDMRxCookie", 24, 8 },
18862		{ "RcvdVDMTxCookie", 16, 8 },
18863		{ "RcvdMAReqCookie", 8, 8 },
18864		{ "RcvdPIOReqCookie", 0, 8 },
18865	{ "PCIE_VC0_CDTS0", 0x56cc, 0 },
18866		{ "CPLD0", 20, 12 },
18867		{ "PH0", 12, 8 },
18868		{ "PD0", 0, 12 },
18869	{ "PCIE_VC0_CDTS1", 0x56d0, 0 },
18870		{ "CPLH0", 20, 8 },
18871		{ "NPH0", 12, 8 },
18872		{ "NPD0", 0, 12 },
18873	{ "PCIE_VC1_CDTS0", 0x56d4, 0 },
18874		{ "CPLD1", 20, 12 },
18875		{ "PH1", 12, 8 },
18876		{ "PD1", 0, 12 },
18877	{ "PCIE_VC1_CDTS1", 0x56d8, 0 },
18878		{ "CPLH1", 20, 8 },
18879		{ "NPH1", 12, 8 },
18880		{ "NPD1", 0, 12 },
18881	{ "PCIE_FLR_PF_STATUS", 0x56dc, 0 },
18882	{ "PCIE_FLR_VF0_STATUS", 0x56e0, 0 },
18883	{ "PCIE_FLR_VF1_STATUS", 0x56e4, 0 },
18884	{ "PCIE_FLR_VF2_STATUS", 0x56e8, 0 },
18885	{ "PCIE_FLR_VF3_STATUS", 0x56ec, 0 },
18886	{ "PCIE_STAT", 0x56f4, 0 },
18887		{ "PM_Status", 24, 8 },
18888		{ "PM_CurrentState", 20, 3 },
18889		{ "LTSSMEnable", 12, 1 },
18890		{ "StateCfgInitF", 4, 8 },
18891		{ "StateCfgInit", 0, 4 },
18892	{ "PCIE_CRS", 0x56f8, 0 },
18893	{ "PCIE_LTSSM", 0x56fc, 0 },
18894		{ "Stall_Disable", 1, 1 },
18895		{ "Enable", 0, 1 },
18896	{ "PCIE_PF_CFG", 0x1e040, 0 },
18897		{ "INTXStat", 16, 1 },
18898		{ "AIVec", 4, 10 },
18899		{ "D3HotEn", 1, 1 },
18900		{ "CLIDecEn", 0, 1 },
18901	{ "PCIE_PF_CLI", 0x1e044, 0 },
18902	{ "PCIE_PF_EXPROM_OFST", 0x1e04c, 0 },
18903		{ "Offset", 10, 14 },
18904	{ "PCIE_PF_CFG", 0x1e440, 0 },
18905		{ "INTXStat", 16, 1 },
18906		{ "AIVec", 4, 10 },
18907		{ "D3HotEn", 1, 1 },
18908		{ "CLIDecEn", 0, 1 },
18909	{ "PCIE_PF_CLI", 0x1e444, 0 },
18910	{ "PCIE_PF_EXPROM_OFST", 0x1e44c, 0 },
18911		{ "Offset", 10, 14 },
18912	{ "PCIE_PF_CFG", 0x1e840, 0 },
18913		{ "INTXStat", 16, 1 },
18914		{ "AIVec", 4, 10 },
18915		{ "D3HotEn", 1, 1 },
18916		{ "CLIDecEn", 0, 1 },
18917	{ "PCIE_PF_CLI", 0x1e844, 0 },
18918	{ "PCIE_PF_EXPROM_OFST", 0x1e84c, 0 },
18919		{ "Offset", 10, 14 },
18920	{ "PCIE_PF_CFG", 0x1ec40, 0 },
18921		{ "INTXStat", 16, 1 },
18922		{ "AIVec", 4, 10 },
18923		{ "D3HotEn", 1, 1 },
18924		{ "CLIDecEn", 0, 1 },
18925	{ "PCIE_PF_CLI", 0x1ec44, 0 },
18926	{ "PCIE_PF_EXPROM_OFST", 0x1ec4c, 0 },
18927		{ "Offset", 10, 14 },
18928	{ "PCIE_PF_CFG", 0x1f040, 0 },
18929		{ "INTXStat", 16, 1 },
18930		{ "AIVec", 4, 10 },
18931		{ "D3HotEn", 1, 1 },
18932		{ "CLIDecEn", 0, 1 },
18933	{ "PCIE_PF_CLI", 0x1f044, 0 },
18934	{ "PCIE_PF_EXPROM_OFST", 0x1f04c, 0 },
18935		{ "Offset", 10, 14 },
18936	{ "PCIE_PF_CFG", 0x1f440, 0 },
18937		{ "INTXStat", 16, 1 },
18938		{ "AIVec", 4, 10 },
18939		{ "D3HotEn", 1, 1 },
18940		{ "CLIDecEn", 0, 1 },
18941	{ "PCIE_PF_CLI", 0x1f444, 0 },
18942	{ "PCIE_PF_EXPROM_OFST", 0x1f44c, 0 },
18943		{ "Offset", 10, 14 },
18944	{ "PCIE_PF_CFG", 0x1f840, 0 },
18945		{ "INTXStat", 16, 1 },
18946		{ "AIVec", 4, 10 },
18947		{ "D3HotEn", 1, 1 },
18948		{ "CLIDecEn", 0, 1 },
18949	{ "PCIE_PF_CLI", 0x1f844, 0 },
18950	{ "PCIE_PF_EXPROM_OFST", 0x1f84c, 0 },
18951		{ "Offset", 10, 14 },
18952	{ "PCIE_PF_CFG", 0x1fc40, 0 },
18953		{ "INTXStat", 16, 1 },
18954		{ "AIVec", 4, 10 },
18955		{ "D3HotEn", 1, 1 },
18956		{ "CLIDecEn", 0, 1 },
18957	{ "PCIE_PF_CLI", 0x1fc44, 0 },
18958	{ "PCIE_PF_EXPROM_OFST", 0x1fc4c, 0 },
18959		{ "Offset", 10, 14 },
18960	{ "PCIE_CORE_ACK_LATENCY_TIMER_REPLAY_TIMER", 0x5700, 0 },
18961		{ "Replay_Time_Limit", 16, 16 },
18962		{ "Ack_Latency_Timer_Limit", 0, 16 },
18963	{ "PCIE_CORE_VENDOR_SPECIFIC_DLLP", 0x5704, 0 },
18964	{ "PCIE_CORE_PORT_FORCE_LINK", 0x5708, 0 },
18965		{ "Low_Power_Entrance_Count", 24, 8 },
18966		{ "Link_State", 16, 6 },
18967		{ "Force_Link", 15, 1 },
18968		{ "Link_Number", 0, 8 },
18969	{ "PCIE_CORE_ACK_FREQUENCY_L0L1_ASPM_CONTROL", 0x570c, 0 },
18970		{ "Enter_ASPM_L1_wo_L0s", 30, 1 },
18971		{ "L1_Entrance_Latency", 27, 3 },
18972		{ "L0s_Entrance_Latency", 24, 3 },
18973		{ "Common_Clock_N_FTS", 16, 8 },
18974		{ "N_FTS", 8, 8 },
18975		{ "Ack_Frequency", 0, 8 },
18976	{ "PCIE_CORE_PORT_LINK_CONTROL", 0x5710, 0 },
18977		{ "Crosslink_Active", 23, 1 },
18978		{ "Crosslink_Enable", 22, 1 },
18979		{ "Link_Mode_Enable", 16, 6 },
18980		{ "Fast_Link_Mode", 7, 1 },
18981		{ "DLL_Link_Enable", 5, 1 },
18982		{ "Reset_Assert", 3, 1 },
18983		{ "Loopback_Enable", 2, 1 },
18984		{ "Scramble_Disable", 1, 1 },
18985		{ "Vendor_Specific_DLLP_Request", 0, 1 },
18986	{ "PCIE_CORE_LANE_SKEW", 0x5714, 0 },
18987		{ "Disable_DeSkew", 31, 1 },
18988		{ "Ack_Nak_Disable", 25, 1 },
18989		{ "Flow_Control_Disable", 24, 1 },
18990		{ "Insert_TxSkew", 0, 24 },
18991	{ "PCIE_CORE_SYMBOL_NUMBER", 0x5718, 0 },
18992		{ "Ack_Nak_Timer_Modifier", 19, 5 },
18993		{ "Replay_Timer_Modifier", 14, 5 },
18994		{ "MaxFunc", 0, 3 },
18995	{ "PCIE_CORE_SYMBOL_TIMER_FILTER_MASK1", 0x571c, 0 },
18996		{ "Mask_RADM_Filter", 16, 16 },
18997		{ "Disable_FC_Watchdog", 15, 1 },
18998		{ "SKP_Interval", 0, 11 },
18999	{ "PCIE_CORE_FILTER_MASK2", 0x5720, 0 },
19000	{ "PCIE_CORE_DEBUG_0", 0x5728, 0 },
19001	{ "PCIE_CORE_DEBUG_1", 0x572c, 0 },
19002	{ "PCIE_CORE_TRANSMIT_POSTED_FC_CREDIT_STATUS", 0x5730, 0 },
19003		{ "TxPH_FC", 12, 8 },
19004		{ "TxPD_FC", 0, 12 },
19005	{ "PCIE_CORE_TRANSMIT_NONPOSTED_FC_CREDIT_STATUS", 0x5734, 0 },
19006		{ "TxNPH_FC", 12, 8 },
19007		{ "TxNPD_FC", 0, 12 },
19008	{ "PCIE_CORE_TRANSMIT_COMPLETION_FC_CREDIT_STATUS", 0x5738, 0 },
19009		{ "TxCPLH_FC", 12, 8 },
19010		{ "TxCPLD_FC", 0, 12 },
19011	{ "PCIE_CORE_QUEUE_STATUS", 0x573c, 0 },
19012		{ "RxQueue_Not_Empty", 2, 1 },
19013		{ "TxRetryBuf_Not_Empty", 1, 1 },
19014		{ "RxTLP_FC_Not_Returned", 0, 1 },
19015	{ "PCIE_CORE_VC_TRANSMIT_ARBITRATION_1", 0x5740, 0 },
19016		{ "VC3_WRR", 24, 8 },
19017		{ "VC2_WRR", 16, 8 },
19018		{ "VC1_WRR", 8, 8 },
19019		{ "VC0_WRR", 0, 8 },
19020	{ "PCIE_CORE_VC_TRANSMIT_ARBITRATION_2", 0x5744, 0 },
19021		{ "VC7_WRR", 24, 8 },
19022		{ "VC6_WRR", 16, 8 },
19023		{ "VC5_WRR", 8, 8 },
19024		{ "VC4_WRR", 0, 8 },
19025	{ "PCIE_CORE_VC0_POSTED_RECEIVE_QUEUE_CONTROL", 0x5748, 0 },
19026		{ "VC0_Rx_Ordering", 31, 1 },
19027		{ "VC0_TLP_Ordering", 30, 1 },
19028		{ "VC0_PTLP_Queue_Mode", 21, 3 },
19029		{ "VC0_PH_Credits", 12, 8 },
19030		{ "VC0_PD_Credits", 0, 12 },
19031	{ "PCIE_CORE_VC0_NONPOSTED_RECEIVE_QUEUE_CONTROL", 0x574c, 0 },
19032		{ "VC0_NPTLP_Queue_Mode", 21, 3 },
19033		{ "VC0_NPH_Credits", 12, 8 },
19034		{ "VC0_NPD_Credits", 0, 12 },
19035	{ "PCIE_CORE_VC0_COMPLETION_RECEIVE_QUEUE_CONTROL", 0x5750, 0 },
19036		{ "VC0_CPLTLP_Queue_Mode", 21, 3 },
19037		{ "VC0_CPLH_Credits", 12, 8 },
19038		{ "VC0_CPLD_Credits", 0, 12 },
19039	{ "PCIE_CORE_VC1_POSTED_RECEIVE_QUEUE_CONTROL", 0x5754, 0 },
19040		{ "VC1_TLP_Ordering", 30, 1 },
19041		{ "VC1_PTLP_Queue_Mode", 21, 3 },
19042		{ "VC1_PH_Credits", 12, 8 },
19043		{ "VC1_PD_Credits", 0, 12 },
19044	{ "PCIE_CORE_VC1_NONPOSTED_RECEIVE_QUEUE_CONTROL", 0x5758, 0 },
19045		{ "VC1_NPTLP_Queue_Mode", 21, 3 },
19046		{ "VC1_NPH_Credits", 12, 8 },
19047		{ "VC1_NPD_Credits", 0, 12 },
19048	{ "PCIE_CORE_VC1_COMPLETION_RECEIVE_QUEUE_CONTROL", 0x575c, 0 },
19049		{ "VC1_CPLTLP_Queue_Mode", 21, 3 },
19050		{ "VC1_CPLH_Credits", 12, 8 },
19051		{ "VC1_CPLD_Credits", 0, 12 },
19052	{ "PCIE_CORE_LINK_WIDTH_SPEED_CHANGE", 0x580c, 0 },
19053		{ "Sel_DeEmphasis", 20, 1 },
19054		{ "TxCmplRcv", 19, 1 },
19055		{ "PhyTxSwing", 18, 1 },
19056		{ "DirSpdChange", 17, 1 },
19057		{ "Auto_Lane_Flip_Ctrl_En", 16, 1 },
19058		{ "Num_Lanes", 8, 5 },
19059		{ "NFTS_Gen2_3", 0, 8 },
19060	{ "PCIE_CORE_PHY_STATUS", 0x5810, 0 },
19061	{ "PCIE_CORE_PHY_CONTROL", 0x5814, 0 },
19062	{ "PCIE_CORE_GEN3_CONTROL", 0x5890, 0 },
19063		{ "DC_Balance_Disable", 18, 1 },
19064		{ "DLLP_Delay_Disable", 17, 1 },
19065		{ "Eql_Disable", 16, 1 },
19066		{ "Eql_Redo_Disable", 11, 1 },
19067		{ "Eql_EIEOS_CntRst_Disable", 10, 1 },
19068		{ "Eql_PH2_PH3_Disable", 9, 1 },
19069		{ "Disable_Scrambler", 8, 1 },
19070	{ "PCIE_CORE_GEN3_EQ_FS_LF", 0x5894, 0 },
19071		{ "Full_Swing", 6, 6 },
19072		{ "Low_Frequency", 0, 6 },
19073	{ "PCIE_CORE_GEN3_EQ_PRESET_COEFF", 0x5898, 0 },
19074		{ "PostCursor", 12, 6 },
19075		{ "Cursor", 6, 6 },
19076		{ "PreCursor", 0, 6 },
19077	{ "PCIE_CORE_GEN3_EQ_PRESET_INDEX", 0x589c, 0 },
19078	{ "PCIE_CORE_GEN3_EQ_STATUS", 0x58a4, 0 },
19079	{ "PCIE_CORE_GEN3_EQ_CONTROL", 0x58a8, 0 },
19080		{ "Include_Initial_FOM", 24, 1 },
19081		{ "Preset_Request_Vector", 8, 16 },
19082		{ "Phase23_2ms_Timeout_Disable", 5, 1 },
19083		{ "After24ms", 4, 1 },
19084		{ "Feedback_Mode", 0, 4 },
19085	{ "PCIE_CORE_GEN3_EQ_DIRCHANGE_FEEDBACK", 0x58ac, 0 },
19086		{ "WinAperture_CPlus1", 14, 4 },
19087		{ "WinAperture_CMins1", 10, 4 },
19088		{ "Convergence_WinDepth", 5, 5 },
19089		{ "EQMasterPhase_MinTime", 0, 5 },
19090	{ "PCIE_CORE_PIPE_CONTROL", 0x58b8, 0 },
19091		{ "Loopback_Enable", 31, 1 },
19092	{ "PCIE_CORE_DBI_RO_WE", 0x58bc, 0 },
19093	{ "PCIE_DMA_CFG", 0x5940, 0 },
19094		{ "MaxPyldSize", 28, 3 },
19095		{ "MaxReqCnt", 20, 7 },
19096		{ "MaxRdReqSize", 17, 3 },
19097		{ "MaxRspCnt", 9, 8 },
19098		{ "SeqChkDis", 8, 1 },
19099		{ "MinTag", 0, 8 },
19100	{ "PCIE_DMA_STAT", 0x5944, 0 },
19101		{ "RspCnt", 20, 10 },
19102		{ "RdReqCnt", 12, 6 },
19103		{ "WrReqCnt", 0, 9 },
19104	{ "PCIE_DMA_STAT2", 0x5948, 0 },
19105		{ "CookieCnt", 24, 4 },
19106		{ "RdSeqNumUpdCnt", 20, 4 },
19107		{ "SIReqCnt", 16, 4 },
19108		{ "WrEOPMatchSOP", 12, 1 },
19109		{ "WrSOPCnt", 8, 4 },
19110		{ "RdSOPCnt", 0, 8 },
19111	{ "PCIE_DMA_STAT3", 0x594c, 0 },
19112		{ "AtmReqSOPCnt", 24, 8 },
19113		{ "AtmEOPMatchSOP", 17, 1 },
19114		{ "RspEOPMatchSOP", 16, 1 },
19115		{ "RspErrCnt", 8, 8 },
19116		{ "RspSOPCnt", 0, 8 },
19117	{ "PCIE_DMA_CFG", 0x5950, 0 },
19118		{ "MaxPyldSize", 28, 3 },
19119		{ "MaxReqCnt", 20, 7 },
19120		{ "MaxRdReqSize", 17, 3 },
19121		{ "MaxRspCnt", 9, 8 },
19122		{ "SeqChkDis", 8, 1 },
19123		{ "MinTag", 0, 8 },
19124	{ "PCIE_DMA_STAT", 0x5954, 0 },
19125		{ "RspCnt", 20, 10 },
19126		{ "RdReqCnt", 12, 6 },
19127		{ "WrReqCnt", 0, 9 },
19128	{ "PCIE_DMA_STAT2", 0x5958, 0 },
19129		{ "CookieCnt", 24, 4 },
19130		{ "RdSeqNumUpdCnt", 20, 4 },
19131		{ "SIReqCnt", 16, 4 },
19132		{ "WrEOPMatchSOP", 12, 1 },
19133		{ "WrSOPCnt", 8, 4 },
19134		{ "RdSOPCnt", 0, 8 },
19135	{ "PCIE_DMA_STAT3", 0x595c, 0 },
19136		{ "AtmReqSOPCnt", 24, 8 },
19137		{ "AtmEOPMatchSOP", 17, 1 },
19138		{ "RspEOPMatchSOP", 16, 1 },
19139		{ "RspErrCnt", 8, 8 },
19140		{ "RspSOPCnt", 0, 8 },
19141	{ "PCIE_CMD_CFG", 0x5980, 0 },
19142		{ "MaxRdReqSize", 17, 3 },
19143		{ "MaxRspCnt", 9, 6 },
19144		{ "UseCmdPool", 8, 1 },
19145		{ "MinTag", 0, 8 },
19146	{ "PCIE_CMD_STAT", 0x5984, 0 },
19147		{ "RspCnt", 20, 8 },
19148		{ "RdReqCnt", 12, 4 },
19149	{ "PCIE_CMD_STAT2", 0x5988, 0 },
19150	{ "PCIE_CMD_STAT3", 0x598c, 0 },
19151		{ "RspEOPMatchSOP", 16, 1 },
19152		{ "RspErrCnt", 8, 8 },
19153		{ "RspSOPCnt", 0, 8 },
19154	{ "PCIE_HMA_CFG", 0x59b0, 0 },
19155		{ "MaxPyldSize", 28, 3 },
19156		{ "MaxReqCnt", 20, 7 },
19157		{ "MaxRdReqSize", 17, 3 },
19158		{ "MaxRspCnt", 9, 8 },
19159		{ "SeqChkDis", 8, 1 },
19160		{ "MinTag", 0, 8 },
19161	{ "PCIE_HMA_STAT", 0x59b4, 0 },
19162		{ "RspCnt", 20, 10 },
19163		{ "RdReqCnt", 12, 6 },
19164		{ "WrReqCnt", 0, 9 },
19165	{ "PCIE_HMA_STAT2", 0x59b8, 0 },
19166		{ "CookieCnt", 24, 4 },
19167		{ "RdSeqNumUpdCnt", 20, 4 },
19168		{ "WrEOPMatchSOP", 12, 1 },
19169		{ "WrSOPCnt", 8, 4 },
19170		{ "RdSOPCnt", 0, 8 },
19171	{ "PCIE_HMA_STAT3", 0x59bc, 0 },
19172		{ "RspEOPMatchSOP", 16, 1 },
19173		{ "RspErrCnt", 8, 8 },
19174		{ "RspSOPCnt", 0, 8 },
19175	{ "PCIE_CGEN", 0x59c0, 0 },
19176		{ "VPD_Dynamic_CGEN", 26, 1 },
19177		{ "MA_Dynamic_CGEN", 25, 1 },
19178		{ "Tagq_Dynamic_CGEN", 24, 1 },
19179		{ "ReqCtl_Dynamic_CGEN", 23, 1 },
19180		{ "RspDataProc_Dynamic_CGEN", 22, 1 },
19181		{ "RspRdq_Dynamic_CGEN", 21, 1 },
19182		{ "RspIPif_Dynamic_CGEN", 20, 1 },
19183		{ "HMA_Static_CGEN", 19, 1 },
19184		{ "HMA_Dynamic_CGEN", 18, 1 },
19185		{ "CMD_Static_CGEN", 16, 1 },
19186		{ "CMD_Dynamic_CGEN", 15, 1 },
19187		{ "DMA_Static_CGEN", 13, 1 },
19188		{ "DMA_Dynamic_CGEN", 12, 1 },
19189		{ "VFID_SleepStatus", 10, 1 },
19190		{ "VC1_SleepStatus", 9, 1 },
19191		{ "STI_SleepStatus", 8, 1 },
19192		{ "VFID_SleepReq", 2, 1 },
19193		{ "VC1_SleepReq", 1, 1 },
19194		{ "STI_SleepReq", 0, 1 },
19195	{ "PCIE_MA_RSP", 0x59c4, 0 },
19196		{ "TimerValue", 8, 24 },
19197		{ "MAReqTimerEn", 1, 1 },
19198		{ "TimerEn", 0, 1 },
19199	{ "PCIE_HPRD", 0x59c8, 0 },
19200		{ "NPH_CreditsAvailVC0", 19, 2 },
19201		{ "NPD_CreditsAvailVC0", 17, 2 },
19202		{ "NPH_CreditsAvailVC1", 15, 2 },
19203		{ "NPD_CreditsAvailVC1", 13, 2 },
19204		{ "NPH_CreditsRequired", 11, 2 },
19205		{ "NPD_CreditsRequired", 9, 2 },
19206		{ "ReqBurstCount", 5, 4 },
19207		{ "ReqBurstFrequency", 1, 4 },
19208		{ "EnableVC1", 0, 1 },
19209	{ "PCIE_PERR_GROUP", 0x59d0, 0 },
19210		{ "MA_RspCtlPerr", 26, 1 },
19211		{ "MST_DataPathPerr", 25, 1 },
19212		{ "MST_RspRdQPerr", 24, 1 },
19213		{ "TRGT1_FIDLkUpHdrPerr", 20, 1 },
19214		{ "TRGT1_AlindDataPerr", 19, 1 },
19215		{ "TRGT1_UnAlinDataPerr", 18, 1 },
19216		{ "TRGT1_ReqDataPerr", 17, 1 },
19217		{ "TRGT1_ReqHdrPerr", 16, 1 },
19218		{ "IPRxData_VC0Perr", 15, 1 },
19219		{ "IPRxHdr_VC0Perr", 14, 1 },
19220		{ "PIOCpl_VDMTxCtlPerr", 13, 1 },
19221		{ "PIOCpl_VDMTxDataPerr", 12, 1 },
19222		{ "MA_RspDataPerr", 11, 1 },
19223		{ "MA_CplTagQPerr", 10, 1 },
19224		{ "MA_ReqTagQPerr", 9, 1 },
19225		{ "PIOReq_BAR2CtlPerr", 8, 1 },
19226		{ "PIOReq_MEMCtlPerr", 7, 1 },
19227		{ "PIOReq_PLMCtlPerr", 6, 1 },
19228		{ "PIOReq_BAR2DataPerr", 5, 1 },
19229		{ "PIOReq_MEMDataPerr", 4, 1 },
19230		{ "PIOReq_PLMDataPerr", 3, 1 },
19231		{ "PIOCpl_CtlPerr", 2, 1 },
19232		{ "PIOCpl_DataPerr", 1, 1 },
19233		{ "PIOCpl_PLMRspPerr", 0, 1 },
19234	{ "PCIE_RSP_ERR_INT_LOG_EN", 0x59d4, 0 },
19235		{ "CplStatusIntEn", 12, 1 },
19236		{ "TimeoutIntEn", 11, 1 },
19237		{ "DisabledIntEn", 10, 1 },
19238		{ "RspDropFLRIntEn", 9, 1 },
19239		{ "ReqUnderFLRIntEn", 8, 1 },
19240		{ "CplStatusLogEn", 4, 1 },
19241		{ "TimeoutLogEn", 3, 1 },
19242		{ "DisabledLogEn", 2, 1 },
19243		{ "RspDropFLRLogEn", 1, 1 },
19244		{ "ReqUnderFLRLogEn", 0, 1 },
19245	{ "PCIE_RSP_ERR_LOG1", 0x59d8, 0 },
19246		{ "Tag", 25, 7 },
19247		{ "CID", 22, 3 },
19248		{ "ChNum", 19, 3 },
19249		{ "ByteLen", 6, 13 },
19250		{ "Reason", 3, 3 },
19251		{ "CplStatus", 0, 3 },
19252	{ "PCIE_RSP_ERR_LOG2", 0x59dc, 0 },
19253		{ "Valid", 31, 1 },
19254		{ "Addr10b", 9, 10 },
19255		{ "VFID", 0, 9 },
19256	{ "PCIE_REVISION", 0x5a00, 0 },
19257	{ "PCIE_PDEBUG_INDEX", 0x5a04, 0 },
19258		{ "PDEBUGSelH", 16, 7 },
19259		{ "PDEBUGSelL", 0, 7 },
19260	{ "PCIE_PDEBUG_DATA_HIGH", 0x5a08, 0 },
19261	{ "PCIE_PDEBUG_DATA_LOW", 0x5a0c, 0 },
19262	{ "PCIE_CDEBUG_INDEX", 0x5a10, 0 },
19263		{ "CDEBUGSelH", 16, 8 },
19264		{ "CDEBUGSelL", 0, 8 },
19265	{ "PCIE_CDEBUG_DATA_HIGH", 0x5a14, 0 },
19266	{ "PCIE_CDEBUG_DATA_LOW", 0x5a18, 0 },
19267	{ "PCIE_BUS_MST_STAT_0", 0x5a60, 0 },
19268	{ "PCIE_BUS_MST_STAT_1", 0x5a64, 0 },
19269	{ "PCIE_BUS_MST_STAT_2", 0x5a68, 0 },
19270	{ "PCIE_BUS_MST_STAT_3", 0x5a6c, 0 },
19271	{ "PCIE_RSP_ERR_STAT_0", 0x5a80, 0 },
19272	{ "PCIE_RSP_ERR_STAT_1", 0x5a84, 0 },
19273	{ "PCIE_RSP_ERR_STAT_2", 0x5a88, 0 },
19274	{ "PCIE_RSP_ERR_STAT_3", 0x5a8c, 0 },
19275	{ "PCIE_DBI_TIMEOUT_CTL", 0x5a94, 0 },
19276	{ "PCIE_DBI_TIMEOUT_STATUS0", 0x5a98, 0 },
19277	{ "PCIE_DBI_TIMEOUT_STATUS1", 0x5a9c, 0 },
19278		{ "Valid", 31, 1 },
19279		{ "Source", 17, 2 },
19280		{ "Write", 13, 4 },
19281		{ "CS2", 12, 1 },
19282		{ "PF", 9, 3 },
19283		{ "VFVld", 8, 1 },
19284		{ "VF", 0, 8 },
19285	{ "PCIE_PB_CTL", 0x5b94, 0 },
19286		{ "PB_Sel", 16, 8 },
19287		{ "PB_SelReg", 8, 8 },
19288		{ "PB_Func", 0, 3 },
19289	{ "PCIE_PB_DATA", 0x5b98, 0 },
19290	{ "PCIE_CHANGESET", 0x59fc, 0 },
19291	{ "PCIE_CUR_LINK", 0x5b9c, 0 },
19292		{ "CfgInitCoeffDoneSeen", 22, 1 },
19293		{ "CfgInitCoeffDone", 21, 1 },
19294		{ "xmlh_link_up", 20, 1 },
19295		{ "pm_linkst_in_l0s", 19, 1 },
19296		{ "pm_linkst_in_l1", 18, 1 },
19297		{ "pm_linkst_in_l2", 17, 1 },
19298		{ "pm_linkst_l2_exit", 16, 1 },
19299		{ "xmlh_in_rl0s", 15, 1 },
19300		{ "xmlh_ltssm_state_rcvry_eq", 14, 1 },
19301		{ "NegotiatedWidth", 8, 6 },
19302		{ "ActiveLanes", 0, 8 },
19303	{ "PCIE_PHY_REQRXPWR", 0x5ba0, 0 },
19304		{ "Req_LnH_RxStateDone", 31, 1 },
19305		{ "Req_LnH_RxStateReq", 30, 1 },
19306		{ "Req_LnH_RxPwrState", 28, 2 },
19307		{ "Req_LnG_RxStateDone", 27, 1 },
19308		{ "Req_LnG_RxStateReq", 26, 1 },
19309		{ "Req_LnG_RxPwrState", 24, 2 },
19310		{ "Req_LnF_RxStateDone", 23, 1 },
19311		{ "Req_LnF_RxStateReq", 22, 1 },
19312		{ "Req_LnF_RxPwrState", 20, 2 },
19313		{ "Req_LnE_RxStateDone", 19, 1 },
19314		{ "Req_LnE_RxStateReq", 18, 1 },
19315		{ "Req_LnE_RxPwrState", 16, 2 },
19316		{ "Req_LnD_RxStateDone", 15, 1 },
19317		{ "Req_LnD_RxStateReq", 14, 1 },
19318		{ "Req_LnD_RxPwrState", 12, 2 },
19319		{ "Req_LnC_RxStateDone", 11, 1 },
19320		{ "Req_LnC_RxStateReq", 10, 1 },
19321		{ "Req_LnC_RxPwrState", 8, 2 },
19322		{ "Req_LnB_RxStateDone", 7, 1 },
19323		{ "Req_LnB_RxStateReq", 6, 1 },
19324		{ "Req_LnB_RxPwrState", 4, 2 },
19325		{ "Req_LnA_RxStateDone", 3, 1 },
19326		{ "Req_LnA_RxStateReq", 2, 1 },
19327		{ "Req_LnA_RxPwrState", 0, 2 },
19328	{ "PCIE_PHY_CURRXPWR", 0x5ba4, 0 },
19329		{ "Cur_LnH_RxPwrState", 28, 3 },
19330		{ "Cur_LnG_RxPwrState", 24, 3 },
19331		{ "Cur_LnF_RxPwrState", 20, 3 },
19332		{ "Cur_LnE_RxPwrState", 16, 3 },
19333		{ "Cur_LnD_RxPwrState", 12, 3 },
19334		{ "Cur_LnC_RxPwrState", 8, 3 },
19335		{ "Cur_LnB_RxPwrState", 4, 3 },
19336		{ "Cur_LnA_RxPwrState", 0, 3 },
19337	{ "PCIE_PHY_GEN3_AE0", 0x5ba8, 0 },
19338		{ "LnD_STAT", 28, 3 },
19339		{ "LnD_CMD", 24, 3 },
19340		{ "LnC_STAT", 20, 3 },
19341		{ "LnC_CMD", 16, 3 },
19342		{ "LnB_STAT", 12, 3 },
19343		{ "LnB_CMD", 8, 3 },
19344		{ "LnA_STAT", 4, 3 },
19345		{ "LnA_CMD", 0, 3 },
19346	{ "PCIE_PHY_GEN3_AE1", 0x5bac, 0 },
19347		{ "LnH_STAT", 28, 3 },
19348		{ "LnH_CMD", 24, 3 },
19349		{ "LnG_STAT", 20, 3 },
19350		{ "LnG_CMD", 16, 3 },
19351		{ "LnF_STAT", 12, 3 },
19352		{ "LnF_CMD", 8, 3 },
19353		{ "LnE_STAT", 4, 3 },
19354		{ "LnE_CMD", 0, 3 },
19355	{ "PCIE_PHY_FS_LF0", 0x5bb0, 0 },
19356		{ "Lane1LF", 24, 6 },
19357		{ "Lane1FS", 16, 6 },
19358		{ "Lane0LF", 8, 6 },
19359		{ "Lane0FS", 0, 6 },
19360	{ "PCIE_PHY_FS_LF1", 0x5bb4, 0 },
19361		{ "Lane3LF", 24, 6 },
19362		{ "Lane3FS", 16, 6 },
19363		{ "Lane2LF", 8, 6 },
19364		{ "Lane2FS", 0, 6 },
19365	{ "PCIE_PHY_FS_LF2", 0x5bb8, 0 },
19366		{ "Lane5LF", 24, 6 },
19367		{ "Lane5FS", 16, 6 },
19368		{ "Lane4LF", 8, 6 },
19369		{ "Lane4FS", 0, 6 },
19370	{ "PCIE_PHY_FS_LF3", 0x5bbc, 0 },
19371		{ "Lane7LF", 24, 6 },
19372		{ "Lane7FS", 16, 6 },
19373		{ "Lane6LF", 8, 6 },
19374		{ "Lane6FS", 0, 6 },
19375	{ "PCIE_PHY_PRESET_REQ", 0x5bc0, 0 },
19376		{ "CoeffDone", 16, 1 },
19377		{ "CoeffLane", 8, 4 },
19378		{ "CoeffStart", 0, 1 },
19379	{ "PCIE_PHY_PRESET_COEFF", 0x5bc4, 0 },
19380	{ "PCIE_PHY_PRESET_COEFF", 0x5bc8, 0 },
19381	{ "PCIE_PHY_PRESET_COEFF", 0x5bcc, 0 },
19382	{ "PCIE_PHY_PRESET_COEFF", 0x5bd0, 0 },
19383	{ "PCIE_PHY_PRESET_COEFF", 0x5bd4, 0 },
19384	{ "PCIE_PHY_PRESET_COEFF", 0x5bd8, 0 },
19385	{ "PCIE_PHY_PRESET_COEFF", 0x5bdc, 0 },
19386	{ "PCIE_PHY_PRESET_COEFF", 0x5be0, 0 },
19387	{ "PCIE_PHY_PRESET_COEFF", 0x5be4, 0 },
19388	{ "PCIE_PHY_PRESET_COEFF", 0x5be8, 0 },
19389	{ "PCIE_PHY_PRESET_COEFF", 0x5bec, 0 },
19390	{ "PCIE_PHY_INDIR_REQ", 0x5bf0, 0 },
19391		{ "Enable", 31, 1 },
19392		{ "RegAddr", 0, 16 },
19393	{ "PCIE_PHY_INDIR_DATA", 0x5bf4, 0 },
19394	{ "PCIE_STATIC_SPARE1", 0x5bf8, 0 },
19395	{ "PCIE_STATIC_SPARE2", 0x5bfc, 0 },
19396	{ "PCIE_KDOORBELL_GTS_PF_BASE_LEN", 0x5c10, 0 },
19397		{ "KDB_PF_Len", 24, 5 },
19398		{ "KDB_PF_BaseAddr", 0, 20 },
19399	{ "PCIE_KDOORBELL_GTS_VF_BASE_LEN", 0x5c14, 0 },
19400		{ "KDB_VF_Len", 24, 5 },
19401		{ "KDB_VF_BaseAddr", 0, 20 },
19402	{ "PCIE_KDOORBELL_GTS_VF_OFFSET", 0x5c18, 0 },
19403	{ "PCIE_PHY_REQRXPWR1", 0x5c1c, 0 },
19404		{ "Req_LnP_RxStateDone", 31, 1 },
19405		{ "Req_LnP_RxStateReq", 30, 1 },
19406		{ "Req_LnP_RxPwrState", 28, 2 },
19407		{ "Req_LnO_RxStateDone", 27, 1 },
19408		{ "Req_LnO_RxStateReq", 26, 1 },
19409		{ "Req_LnO_RxPwrState", 24, 2 },
19410		{ "Req_LnN_RxStateDone", 23, 1 },
19411		{ "Req_LnN_RxStateReq", 22, 1 },
19412		{ "Req_LnN_RxPwrState", 20, 2 },
19413		{ "Req_LnM_RxStateDone", 19, 1 },
19414		{ "Req_LnM_RxStateReq", 18, 1 },
19415		{ "Req_LnM_RxPwrState", 16, 2 },
19416		{ "Req_LnL_RxStateDone", 15, 1 },
19417		{ "Req_LnL_RxStateReq", 14, 1 },
19418		{ "Req_LnL_RxPwrState", 12, 2 },
19419		{ "Req_LnK_RxStateDone", 11, 1 },
19420		{ "Req_LnK_RxStateReq", 10, 1 },
19421		{ "Req_LnK_RxPwrState", 8, 2 },
19422		{ "Req_LnJ_RxStateDone", 7, 1 },
19423		{ "Req_LnJ_RxStateReq", 6, 1 },
19424		{ "Req_LnJ_RxPwrState", 4, 2 },
19425		{ "Req_LnI_RxStateDone", 3, 1 },
19426		{ "Req_LnI_RxStateReq", 2, 1 },
19427		{ "Req_LnI_RxPwrState", 0, 2 },
19428	{ "PCIE_PHY_CURRXPWR1", 0x5c20, 0 },
19429		{ "Cur_LnP_RxPwrState", 28, 3 },
19430		{ "Cur_LnO_RxPwrState", 24, 3 },
19431		{ "Cur_LnN_RxPwrState", 20, 3 },
19432		{ "Cur_LnM_RxPwrState", 16, 3 },
19433		{ "Cur_LnL_RxPwrState", 12, 3 },
19434		{ "Cur_LnK_RxPwrState", 8, 3 },
19435		{ "Cur_LnJ_RxPwrState", 4, 3 },
19436		{ "Cur_LnI_RxPwrState", 0, 3 },
19437	{ "PCIE_PHY_GEN3_AE2", 0x5c24, 0 },
19438		{ "LnL_STAT", 28, 3 },
19439		{ "LnL_CMD", 24, 3 },
19440		{ "LnK_STAT", 20, 3 },
19441		{ "LnK_CMD", 16, 3 },
19442		{ "LnJ_STAT", 12, 3 },
19443		{ "LnJ_CMD", 8, 3 },
19444		{ "LnI_STAT", 4, 3 },
19445		{ "LnI_CMD", 0, 3 },
19446	{ "PCIE_PHY_GEN3_AE3", 0x5c28, 0 },
19447		{ "LnP_STAT", 28, 3 },
19448		{ "LnP_CMD", 24, 3 },
19449		{ "LnO_STAT", 20, 3 },
19450		{ "LnO_CMD", 16, 3 },
19451		{ "LnN_STAT", 12, 3 },
19452		{ "LnN_CMD", 8, 3 },
19453		{ "LnM_STAT", 4, 3 },
19454		{ "LnM_CMD", 0, 3 },
19455	{ "PCIE_PHY_FS_LF4", 0x5c2c, 0 },
19456		{ "Lane9LF", 24, 6 },
19457		{ "Lane9FS", 16, 6 },
19458		{ "Lane8LF", 8, 6 },
19459		{ "Lane8FS", 0, 6 },
19460	{ "PCIE_PHY_FS_LF5", 0x5c30, 0 },
19461		{ "Lane11LF", 24, 6 },
19462		{ "Lane11FS", 16, 6 },
19463		{ "Lane10LF", 8, 6 },
19464		{ "Lane10FS", 0, 6 },
19465	{ "PCIE_PHY_FS_LF6", 0x5c34, 0 },
19466		{ "Lane13LF", 24, 6 },
19467		{ "Lane13FS", 16, 6 },
19468		{ "Lane12LF", 8, 6 },
19469		{ "Lane12FS", 0, 6 },
19470	{ "PCIE_PHY_FS_LF7", 0x5c38, 0 },
19471		{ "Lane15LF", 24, 6 },
19472		{ "Lane15FS", 16, 6 },
19473		{ "Lane14LF", 8, 6 },
19474		{ "Lane14FS", 0, 6 },
19475	{ "PCIE_MULTI_PHY_INDIR_REQ", 0x5c3c, 0 },
19476		{ "Phy_Reg_Enable", 31, 1 },
19477		{ "Phy_Reg_Select", 22, 2 },
19478		{ "Phy_Reg_RegAddr", 0, 16 },
19479	{ "PCIE_MULTI_PHY_INDIR_DATA", 0x5c40, 0 },
19480	{ "PCIE_VF_INT_INDIR_REQ", 0x5c44, 0 },
19481		{ "Enable", 24, 1 },
19482		{ "AI", 23, 1 },
19483		{ "VFID", 0, 10 },
19484	{ "PCIE_VF_INT_INDIR_DATA", 0x5c48, 0 },
19485		{ "VecNum", 12, 10 },
19486		{ "VecBase", 0, 11 },
19487	{ "PCIE_VF_256_INT_CFG2", 0x5c4c, 0 },
19488		{ "SendFLRRsp", 31, 1 },
19489		{ "ImmFLRRsp", 24, 1 },
19490		{ "TxnDisable", 20, 1 },
19491	{ "PCIE_VF_256_INT_CFG2", 0x5c50, 0 },
19492		{ "SendFLRRsp", 31, 1 },
19493		{ "ImmFLRRsp", 24, 1 },
19494		{ "TxnDisable", 20, 1 },
19495	{ "PCIE_VF_256_INT_CFG2", 0x5c54, 0 },
19496		{ "SendFLRRsp", 31, 1 },
19497		{ "ImmFLRRsp", 24, 1 },
19498		{ "TxnDisable", 20, 1 },
19499	{ "PCIE_VF_256_INT_CFG2", 0x5c58, 0 },
19500		{ "SendFLRRsp", 31, 1 },
19501		{ "ImmFLRRsp", 24, 1 },
19502		{ "TxnDisable", 20, 1 },
19503	{ "PCIE_VF_256_INT_CFG2", 0x5c5c, 0 },
19504		{ "SendFLRRsp", 31, 1 },
19505		{ "ImmFLRRsp", 24, 1 },
19506		{ "TxnDisable", 20, 1 },
19507	{ "PCIE_VF_256_INT_CFG2", 0x5c60, 0 },
19508		{ "SendFLRRsp", 31, 1 },
19509		{ "ImmFLRRsp", 24, 1 },
19510		{ "TxnDisable", 20, 1 },
19511	{ "PCIE_VF_256_INT_CFG2", 0x5c64, 0 },
19512		{ "SendFLRRsp", 31, 1 },
19513		{ "ImmFLRRsp", 24, 1 },
19514		{ "TxnDisable", 20, 1 },
19515	{ "PCIE_VF_256_INT_CFG2", 0x5c68, 0 },
19516		{ "SendFLRRsp", 31, 1 },
19517		{ "ImmFLRRsp", 24, 1 },
19518		{ "TxnDisable", 20, 1 },
19519	{ "PCIE_VF_256_INT_CFG2", 0x5c6c, 0 },
19520		{ "SendFLRRsp", 31, 1 },
19521		{ "ImmFLRRsp", 24, 1 },
19522		{ "TxnDisable", 20, 1 },
19523	{ "PCIE_VF_256_INT_CFG2", 0x5c70, 0 },
19524		{ "SendFLRRsp", 31, 1 },
19525		{ "ImmFLRRsp", 24, 1 },
19526		{ "TxnDisable", 20, 1 },
19527	{ "PCIE_VF_256_INT_CFG2", 0x5c74, 0 },
19528		{ "SendFLRRsp", 31, 1 },
19529		{ "ImmFLRRsp", 24, 1 },
19530		{ "TxnDisable", 20, 1 },
19531	{ "PCIE_VF_256_INT_CFG2", 0x5c78, 0 },
19532		{ "SendFLRRsp", 31, 1 },
19533		{ "ImmFLRRsp", 24, 1 },
19534		{ "TxnDisable", 20, 1 },
19535	{ "PCIE_VF_256_INT_CFG2", 0x5c7c, 0 },
19536		{ "SendFLRRsp", 31, 1 },
19537		{ "ImmFLRRsp", 24, 1 },
19538		{ "TxnDisable", 20, 1 },
19539	{ "PCIE_VF_256_INT_CFG2", 0x5c80, 0 },
19540		{ "SendFLRRsp", 31, 1 },
19541		{ "ImmFLRRsp", 24, 1 },
19542		{ "TxnDisable", 20, 1 },
19543	{ "PCIE_VF_256_INT_CFG2", 0x5c84, 0 },
19544		{ "SendFLRRsp", 31, 1 },
19545		{ "ImmFLRRsp", 24, 1 },
19546		{ "TxnDisable", 20, 1 },
19547	{ "PCIE_VF_256_INT_CFG2", 0x5c88, 0 },
19548		{ "SendFLRRsp", 31, 1 },
19549		{ "ImmFLRRsp", 24, 1 },
19550		{ "TxnDisable", 20, 1 },
19551	{ "PCIE_VF_256_INT_CFG2", 0x5c8c, 0 },
19552		{ "SendFLRRsp", 31, 1 },
19553		{ "ImmFLRRsp", 24, 1 },
19554		{ "TxnDisable", 20, 1 },
19555	{ "PCIE_VF_256_INT_CFG2", 0x5c90, 0 },
19556		{ "SendFLRRsp", 31, 1 },
19557		{ "ImmFLRRsp", 24, 1 },
19558		{ "TxnDisable", 20, 1 },
19559	{ "PCIE_VF_256_INT_CFG2", 0x5c94, 0 },
19560		{ "SendFLRRsp", 31, 1 },
19561		{ "ImmFLRRsp", 24, 1 },
19562		{ "TxnDisable", 20, 1 },
19563	{ "PCIE_VF_256_INT_CFG2", 0x5c98, 0 },
19564		{ "SendFLRRsp", 31, 1 },
19565		{ "ImmFLRRsp", 24, 1 },
19566		{ "TxnDisable", 20, 1 },
19567	{ "PCIE_VF_256_INT_CFG2", 0x5c9c, 0 },
19568		{ "SendFLRRsp", 31, 1 },
19569		{ "ImmFLRRsp", 24, 1 },
19570		{ "TxnDisable", 20, 1 },
19571	{ "PCIE_VF_256_INT_CFG2", 0x5ca0, 0 },
19572		{ "SendFLRRsp", 31, 1 },
19573		{ "ImmFLRRsp", 24, 1 },
19574		{ "TxnDisable", 20, 1 },
19575	{ "PCIE_VF_256_INT_CFG2", 0x5ca4, 0 },
19576		{ "SendFLRRsp", 31, 1 },
19577		{ "ImmFLRRsp", 24, 1 },
19578		{ "TxnDisable", 20, 1 },
19579	{ "PCIE_VF_256_INT_CFG2", 0x5ca8, 0 },
19580		{ "SendFLRRsp", 31, 1 },
19581		{ "ImmFLRRsp", 24, 1 },
19582		{ "TxnDisable", 20, 1 },
19583	{ "PCIE_VF_256_INT_CFG2", 0x5cac, 0 },
19584		{ "SendFLRRsp", 31, 1 },
19585		{ "ImmFLRRsp", 24, 1 },
19586		{ "TxnDisable", 20, 1 },
19587	{ "PCIE_VF_256_INT_CFG2", 0x5cb0, 0 },
19588		{ "SendFLRRsp", 31, 1 },
19589		{ "ImmFLRRsp", 24, 1 },
19590		{ "TxnDisable", 20, 1 },
19591	{ "PCIE_VF_256_INT_CFG2", 0x5cb4, 0 },
19592		{ "SendFLRRsp", 31, 1 },
19593		{ "ImmFLRRsp", 24, 1 },
19594		{ "TxnDisable", 20, 1 },
19595	{ "PCIE_VF_256_INT_CFG2", 0x5cb8, 0 },
19596		{ "SendFLRRsp", 31, 1 },
19597		{ "ImmFLRRsp", 24, 1 },
19598		{ "TxnDisable", 20, 1 },
19599	{ "PCIE_VF_256_INT_CFG2", 0x5cbc, 0 },
19600		{ "SendFLRRsp", 31, 1 },
19601		{ "ImmFLRRsp", 24, 1 },
19602		{ "TxnDisable", 20, 1 },
19603	{ "PCIE_VF_256_INT_CFG2", 0x5cc0, 0 },
19604		{ "SendFLRRsp", 31, 1 },
19605		{ "ImmFLRRsp", 24, 1 },
19606		{ "TxnDisable", 20, 1 },
19607	{ "PCIE_VF_256_INT_CFG2", 0x5cc4, 0 },
19608		{ "SendFLRRsp", 31, 1 },
19609		{ "ImmFLRRsp", 24, 1 },
19610		{ "TxnDisable", 20, 1 },
19611	{ "PCIE_VF_256_INT_CFG2", 0x5cc8, 0 },
19612		{ "SendFLRRsp", 31, 1 },
19613		{ "ImmFLRRsp", 24, 1 },
19614		{ "TxnDisable", 20, 1 },
19615	{ "PCIE_VF_256_INT_CFG2", 0x5ccc, 0 },
19616		{ "SendFLRRsp", 31, 1 },
19617		{ "ImmFLRRsp", 24, 1 },
19618		{ "TxnDisable", 20, 1 },
19619	{ "PCIE_VF_256_INT_CFG2", 0x5cd0, 0 },
19620		{ "SendFLRRsp", 31, 1 },
19621		{ "ImmFLRRsp", 24, 1 },
19622		{ "TxnDisable", 20, 1 },
19623	{ "PCIE_VF_256_INT_CFG2", 0x5cd4, 0 },
19624		{ "SendFLRRsp", 31, 1 },
19625		{ "ImmFLRRsp", 24, 1 },
19626		{ "TxnDisable", 20, 1 },
19627	{ "PCIE_VF_256_INT_CFG2", 0x5cd8, 0 },
19628		{ "SendFLRRsp", 31, 1 },
19629		{ "ImmFLRRsp", 24, 1 },
19630		{ "TxnDisable", 20, 1 },
19631	{ "PCIE_VF_256_INT_CFG2", 0x5cdc, 0 },
19632		{ "SendFLRRsp", 31, 1 },
19633		{ "ImmFLRRsp", 24, 1 },
19634		{ "TxnDisable", 20, 1 },
19635	{ "PCIE_VF_256_INT_CFG2", 0x5ce0, 0 },
19636		{ "SendFLRRsp", 31, 1 },
19637		{ "ImmFLRRsp", 24, 1 },
19638		{ "TxnDisable", 20, 1 },
19639	{ "PCIE_VF_256_INT_CFG2", 0x5ce4, 0 },
19640		{ "SendFLRRsp", 31, 1 },
19641		{ "ImmFLRRsp", 24, 1 },
19642		{ "TxnDisable", 20, 1 },
19643	{ "PCIE_VF_256_INT_CFG2", 0x5ce8, 0 },
19644		{ "SendFLRRsp", 31, 1 },
19645		{ "ImmFLRRsp", 24, 1 },
19646		{ "TxnDisable", 20, 1 },
19647	{ "PCIE_VF_256_INT_CFG2", 0x5cec, 0 },
19648		{ "SendFLRRsp", 31, 1 },
19649		{ "ImmFLRRsp", 24, 1 },
19650		{ "TxnDisable", 20, 1 },
19651	{ "PCIE_VF_256_INT_CFG2", 0x5cf0, 0 },
19652		{ "SendFLRRsp", 31, 1 },
19653		{ "ImmFLRRsp", 24, 1 },
19654		{ "TxnDisable", 20, 1 },
19655	{ "PCIE_VF_256_INT_CFG2", 0x5cf4, 0 },
19656		{ "SendFLRRsp", 31, 1 },
19657		{ "ImmFLRRsp", 24, 1 },
19658		{ "TxnDisable", 20, 1 },
19659	{ "PCIE_VF_256_INT_CFG2", 0x5cf8, 0 },
19660		{ "SendFLRRsp", 31, 1 },
19661		{ "ImmFLRRsp", 24, 1 },
19662		{ "TxnDisable", 20, 1 },
19663	{ "PCIE_VF_256_INT_CFG2", 0x5cfc, 0 },
19664		{ "SendFLRRsp", 31, 1 },
19665		{ "ImmFLRRsp", 24, 1 },
19666		{ "TxnDisable", 20, 1 },
19667	{ "PCIE_VF_256_INT_CFG2", 0x5d00, 0 },
19668		{ "SendFLRRsp", 31, 1 },
19669		{ "ImmFLRRsp", 24, 1 },
19670		{ "TxnDisable", 20, 1 },
19671	{ "PCIE_VF_256_INT_CFG2", 0x5d04, 0 },
19672		{ "SendFLRRsp", 31, 1 },
19673		{ "ImmFLRRsp", 24, 1 },
19674		{ "TxnDisable", 20, 1 },
19675	{ "PCIE_VF_256_INT_CFG2", 0x5d08, 0 },
19676		{ "SendFLRRsp", 31, 1 },
19677		{ "ImmFLRRsp", 24, 1 },
19678		{ "TxnDisable", 20, 1 },
19679	{ "PCIE_VF_256_INT_CFG2", 0x5d0c, 0 },
19680		{ "SendFLRRsp", 31, 1 },
19681		{ "ImmFLRRsp", 24, 1 },
19682		{ "TxnDisable", 20, 1 },
19683	{ "PCIE_VF_256_INT_CFG2", 0x5d10, 0 },
19684		{ "SendFLRRsp", 31, 1 },
19685		{ "ImmFLRRsp", 24, 1 },
19686		{ "TxnDisable", 20, 1 },
19687	{ "PCIE_VF_256_INT_CFG2", 0x5d14, 0 },
19688		{ "SendFLRRsp", 31, 1 },
19689		{ "ImmFLRRsp", 24, 1 },
19690		{ "TxnDisable", 20, 1 },
19691	{ "PCIE_VF_256_INT_CFG2", 0x5d18, 0 },
19692		{ "SendFLRRsp", 31, 1 },
19693		{ "ImmFLRRsp", 24, 1 },
19694		{ "TxnDisable", 20, 1 },
19695	{ "PCIE_VF_256_INT_CFG2", 0x5d1c, 0 },
19696		{ "SendFLRRsp", 31, 1 },
19697		{ "ImmFLRRsp", 24, 1 },
19698		{ "TxnDisable", 20, 1 },
19699	{ "PCIE_VF_256_INT_CFG2", 0x5d20, 0 },
19700		{ "SendFLRRsp", 31, 1 },
19701		{ "ImmFLRRsp", 24, 1 },
19702		{ "TxnDisable", 20, 1 },
19703	{ "PCIE_VF_256_INT_CFG2", 0x5d24, 0 },
19704		{ "SendFLRRsp", 31, 1 },
19705		{ "ImmFLRRsp", 24, 1 },
19706		{ "TxnDisable", 20, 1 },
19707	{ "PCIE_VF_256_INT_CFG2", 0x5d28, 0 },
19708		{ "SendFLRRsp", 31, 1 },
19709		{ "ImmFLRRsp", 24, 1 },
19710		{ "TxnDisable", 20, 1 },
19711	{ "PCIE_VF_256_INT_CFG2", 0x5d2c, 0 },
19712		{ "SendFLRRsp", 31, 1 },
19713		{ "ImmFLRRsp", 24, 1 },
19714		{ "TxnDisable", 20, 1 },
19715	{ "PCIE_VF_256_INT_CFG2", 0x5d30, 0 },
19716		{ "SendFLRRsp", 31, 1 },
19717		{ "ImmFLRRsp", 24, 1 },
19718		{ "TxnDisable", 20, 1 },
19719	{ "PCIE_VF_256_INT_CFG2", 0x5d34, 0 },
19720		{ "SendFLRRsp", 31, 1 },
19721		{ "ImmFLRRsp", 24, 1 },
19722		{ "TxnDisable", 20, 1 },
19723	{ "PCIE_VF_256_INT_CFG2", 0x5d38, 0 },
19724		{ "SendFLRRsp", 31, 1 },
19725		{ "ImmFLRRsp", 24, 1 },
19726		{ "TxnDisable", 20, 1 },
19727	{ "PCIE_VF_256_INT_CFG2", 0x5d3c, 0 },
19728		{ "SendFLRRsp", 31, 1 },
19729		{ "ImmFLRRsp", 24, 1 },
19730		{ "TxnDisable", 20, 1 },
19731	{ "PCIE_VF_256_INT_CFG2", 0x5d40, 0 },
19732		{ "SendFLRRsp", 31, 1 },
19733		{ "ImmFLRRsp", 24, 1 },
19734		{ "TxnDisable", 20, 1 },
19735	{ "PCIE_VF_256_INT_CFG2", 0x5d44, 0 },
19736		{ "SendFLRRsp", 31, 1 },
19737		{ "ImmFLRRsp", 24, 1 },
19738		{ "TxnDisable", 20, 1 },
19739	{ "PCIE_VF_256_INT_CFG2", 0x5d48, 0 },
19740		{ "SendFLRRsp", 31, 1 },
19741		{ "ImmFLRRsp", 24, 1 },
19742		{ "TxnDisable", 20, 1 },
19743	{ "PCIE_VF_256_INT_CFG2", 0x5d4c, 0 },
19744		{ "SendFLRRsp", 31, 1 },
19745		{ "ImmFLRRsp", 24, 1 },
19746		{ "TxnDisable", 20, 1 },
19747	{ "PCIE_VF_256_INT_CFG2", 0x5d50, 0 },
19748		{ "SendFLRRsp", 31, 1 },
19749		{ "ImmFLRRsp", 24, 1 },
19750		{ "TxnDisable", 20, 1 },
19751	{ "PCIE_VF_256_INT_CFG2", 0x5d54, 0 },
19752		{ "SendFLRRsp", 31, 1 },
19753		{ "ImmFLRRsp", 24, 1 },
19754		{ "TxnDisable", 20, 1 },
19755	{ "PCIE_VF_256_INT_CFG2", 0x5d58, 0 },
19756		{ "SendFLRRsp", 31, 1 },
19757		{ "ImmFLRRsp", 24, 1 },
19758		{ "TxnDisable", 20, 1 },
19759	{ "PCIE_VF_256_INT_CFG2", 0x5d5c, 0 },
19760		{ "SendFLRRsp", 31, 1 },
19761		{ "ImmFLRRsp", 24, 1 },
19762		{ "TxnDisable", 20, 1 },
19763	{ "PCIE_VF_256_INT_CFG2", 0x5d60, 0 },
19764		{ "SendFLRRsp", 31, 1 },
19765		{ "ImmFLRRsp", 24, 1 },
19766		{ "TxnDisable", 20, 1 },
19767	{ "PCIE_VF_256_INT_CFG2", 0x5d64, 0 },
19768		{ "SendFLRRsp", 31, 1 },
19769		{ "ImmFLRRsp", 24, 1 },
19770		{ "TxnDisable", 20, 1 },
19771	{ "PCIE_VF_256_INT_CFG2", 0x5d68, 0 },
19772		{ "SendFLRRsp", 31, 1 },
19773		{ "ImmFLRRsp", 24, 1 },
19774		{ "TxnDisable", 20, 1 },
19775	{ "PCIE_VF_256_INT_CFG2", 0x5d6c, 0 },
19776		{ "SendFLRRsp", 31, 1 },
19777		{ "ImmFLRRsp", 24, 1 },
19778		{ "TxnDisable", 20, 1 },
19779	{ "PCIE_VF_256_INT_CFG2", 0x5d70, 0 },
19780		{ "SendFLRRsp", 31, 1 },
19781		{ "ImmFLRRsp", 24, 1 },
19782		{ "TxnDisable", 20, 1 },
19783	{ "PCIE_VF_256_INT_CFG2", 0x5d74, 0 },
19784		{ "SendFLRRsp", 31, 1 },
19785		{ "ImmFLRRsp", 24, 1 },
19786		{ "TxnDisable", 20, 1 },
19787	{ "PCIE_VF_256_INT_CFG2", 0x5d78, 0 },
19788		{ "SendFLRRsp", 31, 1 },
19789		{ "ImmFLRRsp", 24, 1 },
19790		{ "TxnDisable", 20, 1 },
19791	{ "PCIE_VF_256_INT_CFG2", 0x5d7c, 0 },
19792		{ "SendFLRRsp", 31, 1 },
19793		{ "ImmFLRRsp", 24, 1 },
19794		{ "TxnDisable", 20, 1 },
19795	{ "PCIE_VF_256_INT_CFG2", 0x5d80, 0 },
19796		{ "SendFLRRsp", 31, 1 },
19797		{ "ImmFLRRsp", 24, 1 },
19798		{ "TxnDisable", 20, 1 },
19799	{ "PCIE_VF_256_INT_CFG2", 0x5d84, 0 },
19800		{ "SendFLRRsp", 31, 1 },
19801		{ "ImmFLRRsp", 24, 1 },
19802		{ "TxnDisable", 20, 1 },
19803	{ "PCIE_VF_256_INT_CFG2", 0x5d88, 0 },
19804		{ "SendFLRRsp", 31, 1 },
19805		{ "ImmFLRRsp", 24, 1 },
19806		{ "TxnDisable", 20, 1 },
19807	{ "PCIE_VF_256_INT_CFG2", 0x5d8c, 0 },
19808		{ "SendFLRRsp", 31, 1 },
19809		{ "ImmFLRRsp", 24, 1 },
19810		{ "TxnDisable", 20, 1 },
19811	{ "PCIE_VF_256_INT_CFG2", 0x5d90, 0 },
19812		{ "SendFLRRsp", 31, 1 },
19813		{ "ImmFLRRsp", 24, 1 },
19814		{ "TxnDisable", 20, 1 },
19815	{ "PCIE_VF_256_INT_CFG2", 0x5d94, 0 },
19816		{ "SendFLRRsp", 31, 1 },
19817		{ "ImmFLRRsp", 24, 1 },
19818		{ "TxnDisable", 20, 1 },
19819	{ "PCIE_VF_256_INT_CFG2", 0x5d98, 0 },
19820		{ "SendFLRRsp", 31, 1 },
19821		{ "ImmFLRRsp", 24, 1 },
19822		{ "TxnDisable", 20, 1 },
19823	{ "PCIE_VF_256_INT_CFG2", 0x5d9c, 0 },
19824		{ "SendFLRRsp", 31, 1 },
19825		{ "ImmFLRRsp", 24, 1 },
19826		{ "TxnDisable", 20, 1 },
19827	{ "PCIE_VF_256_INT_CFG2", 0x5da0, 0 },
19828		{ "SendFLRRsp", 31, 1 },
19829		{ "ImmFLRRsp", 24, 1 },
19830		{ "TxnDisable", 20, 1 },
19831	{ "PCIE_VF_256_INT_CFG2", 0x5da4, 0 },
19832		{ "SendFLRRsp", 31, 1 },
19833		{ "ImmFLRRsp", 24, 1 },
19834		{ "TxnDisable", 20, 1 },
19835	{ "PCIE_VF_256_INT_CFG2", 0x5da8, 0 },
19836		{ "SendFLRRsp", 31, 1 },
19837		{ "ImmFLRRsp", 24, 1 },
19838		{ "TxnDisable", 20, 1 },
19839	{ "PCIE_VF_256_INT_CFG2", 0x5dac, 0 },
19840		{ "SendFLRRsp", 31, 1 },
19841		{ "ImmFLRRsp", 24, 1 },
19842		{ "TxnDisable", 20, 1 },
19843	{ "PCIE_VF_256_INT_CFG2", 0x5db0, 0 },
19844		{ "SendFLRRsp", 31, 1 },
19845		{ "ImmFLRRsp", 24, 1 },
19846		{ "TxnDisable", 20, 1 },
19847	{ "PCIE_VF_256_INT_CFG2", 0x5db4, 0 },
19848		{ "SendFLRRsp", 31, 1 },
19849		{ "ImmFLRRsp", 24, 1 },
19850		{ "TxnDisable", 20, 1 },
19851	{ "PCIE_VF_256_INT_CFG2", 0x5db8, 0 },
19852		{ "SendFLRRsp", 31, 1 },
19853		{ "ImmFLRRsp", 24, 1 },
19854		{ "TxnDisable", 20, 1 },
19855	{ "PCIE_VF_256_INT_CFG2", 0x5dbc, 0 },
19856		{ "SendFLRRsp", 31, 1 },
19857		{ "ImmFLRRsp", 24, 1 },
19858		{ "TxnDisable", 20, 1 },
19859	{ "PCIE_VF_256_INT_CFG2", 0x5dc0, 0 },
19860		{ "SendFLRRsp", 31, 1 },
19861		{ "ImmFLRRsp", 24, 1 },
19862		{ "TxnDisable", 20, 1 },
19863	{ "PCIE_VF_256_INT_CFG2", 0x5dc4, 0 },
19864		{ "SendFLRRsp", 31, 1 },
19865		{ "ImmFLRRsp", 24, 1 },
19866		{ "TxnDisable", 20, 1 },
19867	{ "PCIE_VF_256_INT_CFG2", 0x5dc8, 0 },
19868		{ "SendFLRRsp", 31, 1 },
19869		{ "ImmFLRRsp", 24, 1 },
19870		{ "TxnDisable", 20, 1 },
19871	{ "PCIE_VF_256_INT_CFG2", 0x5dcc, 0 },
19872		{ "SendFLRRsp", 31, 1 },
19873		{ "ImmFLRRsp", 24, 1 },
19874		{ "TxnDisable", 20, 1 },
19875	{ "PCIE_VF_256_INT_CFG2", 0x5dd0, 0 },
19876		{ "SendFLRRsp", 31, 1 },
19877		{ "ImmFLRRsp", 24, 1 },
19878		{ "TxnDisable", 20, 1 },
19879	{ "PCIE_VF_256_INT_CFG2", 0x5dd4, 0 },
19880		{ "SendFLRRsp", 31, 1 },
19881		{ "ImmFLRRsp", 24, 1 },
19882		{ "TxnDisable", 20, 1 },
19883	{ "PCIE_VF_256_INT_CFG2", 0x5dd8, 0 },
19884		{ "SendFLRRsp", 31, 1 },
19885		{ "ImmFLRRsp", 24, 1 },
19886		{ "TxnDisable", 20, 1 },
19887	{ "PCIE_VF_256_INT_CFG2", 0x5ddc, 0 },
19888		{ "SendFLRRsp", 31, 1 },
19889		{ "ImmFLRRsp", 24, 1 },
19890		{ "TxnDisable", 20, 1 },
19891	{ "PCIE_VF_256_INT_CFG2", 0x5de0, 0 },
19892		{ "SendFLRRsp", 31, 1 },
19893		{ "ImmFLRRsp", 24, 1 },
19894		{ "TxnDisable", 20, 1 },
19895	{ "PCIE_VF_256_INT_CFG2", 0x5de4, 0 },
19896		{ "SendFLRRsp", 31, 1 },
19897		{ "ImmFLRRsp", 24, 1 },
19898		{ "TxnDisable", 20, 1 },
19899	{ "PCIE_VF_256_INT_CFG2", 0x5de8, 0 },
19900		{ "SendFLRRsp", 31, 1 },
19901		{ "ImmFLRRsp", 24, 1 },
19902		{ "TxnDisable", 20, 1 },
19903	{ "PCIE_VF_256_INT_CFG2", 0x5dec, 0 },
19904		{ "SendFLRRsp", 31, 1 },
19905		{ "ImmFLRRsp", 24, 1 },
19906		{ "TxnDisable", 20, 1 },
19907	{ "PCIE_VF_256_INT_CFG2", 0x5df0, 0 },
19908		{ "SendFLRRsp", 31, 1 },
19909		{ "ImmFLRRsp", 24, 1 },
19910		{ "TxnDisable", 20, 1 },
19911	{ "PCIE_VF_256_INT_CFG2", 0x5df4, 0 },
19912		{ "SendFLRRsp", 31, 1 },
19913		{ "ImmFLRRsp", 24, 1 },
19914		{ "TxnDisable", 20, 1 },
19915	{ "PCIE_VF_256_INT_CFG2", 0x5df8, 0 },
19916		{ "SendFLRRsp", 31, 1 },
19917		{ "ImmFLRRsp", 24, 1 },
19918		{ "TxnDisable", 20, 1 },
19919	{ "PCIE_VF_256_INT_CFG2", 0x5dfc, 0 },
19920		{ "SendFLRRsp", 31, 1 },
19921		{ "ImmFLRRsp", 24, 1 },
19922		{ "TxnDisable", 20, 1 },
19923	{ "PCIE_VF_256_INT_CFG2", 0x5e00, 0 },
19924		{ "SendFLRRsp", 31, 1 },
19925		{ "ImmFLRRsp", 24, 1 },
19926		{ "TxnDisable", 20, 1 },
19927	{ "PCIE_VF_256_INT_CFG2", 0x5e04, 0 },
19928		{ "SendFLRRsp", 31, 1 },
19929		{ "ImmFLRRsp", 24, 1 },
19930		{ "TxnDisable", 20, 1 },
19931	{ "PCIE_VF_256_INT_CFG2", 0x5e08, 0 },
19932		{ "SendFLRRsp", 31, 1 },
19933		{ "ImmFLRRsp", 24, 1 },
19934		{ "TxnDisable", 20, 1 },
19935	{ "PCIE_VF_256_INT_CFG2", 0x5e0c, 0 },
19936		{ "SendFLRRsp", 31, 1 },
19937		{ "ImmFLRRsp", 24, 1 },
19938		{ "TxnDisable", 20, 1 },
19939	{ "PCIE_VF_256_INT_CFG2", 0x5e10, 0 },
19940		{ "SendFLRRsp", 31, 1 },
19941		{ "ImmFLRRsp", 24, 1 },
19942		{ "TxnDisable", 20, 1 },
19943	{ "PCIE_VF_256_INT_CFG2", 0x5e14, 0 },
19944		{ "SendFLRRsp", 31, 1 },
19945		{ "ImmFLRRsp", 24, 1 },
19946		{ "TxnDisable", 20, 1 },
19947	{ "PCIE_VF_256_INT_CFG2", 0x5e18, 0 },
19948		{ "SendFLRRsp", 31, 1 },
19949		{ "ImmFLRRsp", 24, 1 },
19950		{ "TxnDisable", 20, 1 },
19951	{ "PCIE_VF_256_INT_CFG2", 0x5e1c, 0 },
19952		{ "SendFLRRsp", 31, 1 },
19953		{ "ImmFLRRsp", 24, 1 },
19954		{ "TxnDisable", 20, 1 },
19955	{ "PCIE_VF_256_INT_CFG2", 0x5e20, 0 },
19956		{ "SendFLRRsp", 31, 1 },
19957		{ "ImmFLRRsp", 24, 1 },
19958		{ "TxnDisable", 20, 1 },
19959	{ "PCIE_VF_256_INT_CFG2", 0x5e24, 0 },
19960		{ "SendFLRRsp", 31, 1 },
19961		{ "ImmFLRRsp", 24, 1 },
19962		{ "TxnDisable", 20, 1 },
19963	{ "PCIE_VF_256_INT_CFG2", 0x5e28, 0 },
19964		{ "SendFLRRsp", 31, 1 },
19965		{ "ImmFLRRsp", 24, 1 },
19966		{ "TxnDisable", 20, 1 },
19967	{ "PCIE_VF_256_INT_CFG2", 0x5e2c, 0 },
19968		{ "SendFLRRsp", 31, 1 },
19969		{ "ImmFLRRsp", 24, 1 },
19970		{ "TxnDisable", 20, 1 },
19971	{ "PCIE_VF_256_INT_CFG2", 0x5e30, 0 },
19972		{ "SendFLRRsp", 31, 1 },
19973		{ "ImmFLRRsp", 24, 1 },
19974		{ "TxnDisable", 20, 1 },
19975	{ "PCIE_VF_256_INT_CFG2", 0x5e34, 0 },
19976		{ "SendFLRRsp", 31, 1 },
19977		{ "ImmFLRRsp", 24, 1 },
19978		{ "TxnDisable", 20, 1 },
19979	{ "PCIE_VF_256_INT_CFG2", 0x5e38, 0 },
19980		{ "SendFLRRsp", 31, 1 },
19981		{ "ImmFLRRsp", 24, 1 },
19982		{ "TxnDisable", 20, 1 },
19983	{ "PCIE_VF_256_INT_CFG2", 0x5e3c, 0 },
19984		{ "SendFLRRsp", 31, 1 },
19985		{ "ImmFLRRsp", 24, 1 },
19986		{ "TxnDisable", 20, 1 },
19987	{ "PCIE_VF_256_INT_CFG2", 0x5e40, 0 },
19988		{ "SendFLRRsp", 31, 1 },
19989		{ "ImmFLRRsp", 24, 1 },
19990		{ "TxnDisable", 20, 1 },
19991	{ "PCIE_VF_256_INT_CFG2", 0x5e44, 0 },
19992		{ "SendFLRRsp", 31, 1 },
19993		{ "ImmFLRRsp", 24, 1 },
19994		{ "TxnDisable", 20, 1 },
19995	{ "PCIE_VF_256_INT_CFG2", 0x5e48, 0 },
19996		{ "SendFLRRsp", 31, 1 },
19997		{ "ImmFLRRsp", 24, 1 },
19998		{ "TxnDisable", 20, 1 },
19999	{ "PCIE_VF_MSI_EN_4", 0x5e50, 0 },
20000	{ "PCIE_VF_MSI_EN_5", 0x5e54, 0 },
20001	{ "PCIE_VF_MSI_EN_6", 0x5e58, 0 },
20002	{ "PCIE_VF_MSI_EN_7", 0x5e5c, 0 },
20003	{ "PCIE_VF_MSIX_EN_4", 0x5e60, 0 },
20004	{ "PCIE_VF_MSIX_EN_5", 0x5e64, 0 },
20005	{ "PCIE_VF_MSIX_EN_6", 0x5e68, 0 },
20006	{ "PCIE_VF_MSIX_EN_7", 0x5e6c, 0 },
20007	{ "PCIE_FLR_VF4_STATUS", 0x5e70, 0 },
20008	{ "PCIE_FLR_VF5_STATUS", 0x5e74, 0 },
20009	{ "PCIE_FLR_VF6_STATUS", 0x5e78, 0 },
20010	{ "PCIE_FLR_VF7_STATUS", 0x5e7c, 0 },
20011	{ "PCIE_BUS_MST_STAT_4", 0x5e80, 0 },
20012	{ "PCIE_BUS_MST_STAT_5", 0x5e84, 0 },
20013	{ "PCIE_BUS_MST_STAT_6", 0x5e88, 0 },
20014	{ "PCIE_BUS_MST_STAT_7", 0x5e8c, 0 },
20015	{ "PCIE_BUS_MST_STAT_8", 0x5e90, 0 },
20016	{ "PCIE_TGT_SKID_FIFO", 0x5e94, 0 },
20017		{ "HdrFreeCnt", 16, 12 },
20018		{ "DataFreeCnt", 0, 12 },
20019	{ "PCIE_RSP_ERR_STAT_4", 0x5ea0, 0 },
20020	{ "PCIE_RSP_ERR_STAT_5", 0x5ea4, 0 },
20021	{ "PCIE_RSP_ERR_STAT_6", 0x5ea8, 0 },
20022	{ "PCIE_RSP_ERR_STAT_7", 0x5eac, 0 },
20023	{ "PCIE_RSP_ERR_STAT_8", 0x5eb0, 0 },
20024	{ "PCIE_PHY_STAT1", 0x5ec0, 0 },
20025		{ "PHY0_RTune_Ack", 31, 1 },
20026		{ "PHY1_RTune_Ack", 30, 1 },
20027	{ "PCIE_PHY_CTRL1", 0x5ec4, 0 },
20028		{ "PHY0_RTune_Req", 31, 1 },
20029		{ "PHY1_RTune_Req", 30, 1 },
20030		{ "TxDeemph_gen1", 16, 8 },
20031		{ "TxDeemph_gen2_3p5db", 8, 8 },
20032		{ "TxDeemph_gen2_6db", 0, 8 },
20033	{ "PCIE_PCIE_SPARE0", 0x5ec8, 0 },
20034	{ "PCIE_RESET_STAT", 0x5ecc, 0 },
20035		{ "PON_RST_STATE_flag", 11, 1 },
20036		{ "BUS_RST_STATE_flag", 10, 1 },
20037		{ "DL_DOWN_PCIeCRST_MODE0_STATE_flag", 9, 1 },
20038		{ "DL_DOWN_PCIeCRST_MODE1_STATE_flag", 8, 1 },
20039		{ "PCIe_WARM_RST_MODE0_STATE_flag", 7, 1 },
20040		{ "PCIe_WARM_RST_MODE1_STATE_flag", 6, 1 },
20041		{ "PIO_WARM_RST_MODE0_STATE_flag", 5, 1 },
20042		{ "PIO_WARM_RST_MODE1_STATE_flag", 4, 1 },
20043		{ "LastResetState", 0, 3 },
20044	{ "PCIE_FUNC_DSTATE", 0x5ed0, 0 },
20045		{ "PF7_DState", 21, 3 },
20046		{ "PF6_DState", 18, 3 },
20047		{ "PF5_DState", 15, 3 },
20048		{ "PF4_DState", 12, 3 },
20049		{ "PF3_DState", 9, 3 },
20050		{ "PF2_DState", 6, 3 },
20051		{ "PF1_DState", 3, 3 },
20052		{ "PF0_DState", 0, 3 },
20053	{ "PCIE_DEBUG_ADDR_RANGE1", 0x5ee0, 0 },
20054	{ "PCIE_DEBUG_ADDR_RANGE2", 0x5ef0, 0 },
20055	{ "PCIE_DEBUG_ADDR_RANGE_CNT", 0x5f00, 0 },
20056	{ NULL }
20057};
20058
20059struct reg_info t6_dbg_regs[] = {
20060	{ "DBG_DBG0_CFG", 0x6000, 0 },
20061		{ "ModuleSelect", 12, 8 },
20062		{ "RegSelect", 4, 8 },
20063		{ "ClkSelect", 0, 4 },
20064	{ "DBG_DBG0_EN", 0x6004, 0 },
20065		{ "SDRHalfWord0", 8, 1 },
20066		{ "DDREn", 4, 1 },
20067		{ "PortEn", 0, 1 },
20068	{ "DBG_DBG1_CFG", 0x6008, 0 },
20069		{ "ModuleSelect", 12, 8 },
20070		{ "RegSelect", 4, 8 },
20071		{ "ClkSelect", 0, 4 },
20072	{ "DBG_DBG1_EN", 0x600c, 0 },
20073		{ "Clk_en_on_dbg1", 20, 1 },
20074		{ "SDRHalfWord0", 8, 1 },
20075		{ "DDREn", 4, 1 },
20076		{ "PortEn", 0, 1 },
20077	{ "DBG_GPIO_EN", 0x6010, 0 },
20078		{ "GPIO15_OEn", 31, 1 },
20079		{ "GPIO14_OEn", 30, 1 },
20080		{ "GPIO13_OEn", 29, 1 },
20081		{ "GPIO12_OEn", 28, 1 },
20082		{ "GPIO11_OEn", 27, 1 },
20083		{ "GPIO10_OEn", 26, 1 },
20084		{ "GPIO9_OEn", 25, 1 },
20085		{ "GPIO8_OEn", 24, 1 },
20086		{ "GPIO7_OEn", 23, 1 },
20087		{ "GPIO6_OEn", 22, 1 },
20088		{ "GPIO5_OEn", 21, 1 },
20089		{ "GPIO4_OEn", 20, 1 },
20090		{ "GPIO3_OEn", 19, 1 },
20091		{ "GPIO2_OEn", 18, 1 },
20092		{ "GPIO1_OEn", 17, 1 },
20093		{ "GPIO0_OEn", 16, 1 },
20094		{ "GPIO15_Out_Val", 15, 1 },
20095		{ "GPIO14_Out_Val", 14, 1 },
20096		{ "GPIO13_Out_Val", 13, 1 },
20097		{ "GPIO12_Out_Val", 12, 1 },
20098		{ "GPIO11_Out_Val", 11, 1 },
20099		{ "GPIO10_Out_Val", 10, 1 },
20100		{ "GPIO9_Out_Val", 9, 1 },
20101		{ "GPIO8_Out_Val", 8, 1 },
20102		{ "GPIO7_Out_Val", 7, 1 },
20103		{ "GPIO6_Out_Val", 6, 1 },
20104		{ "GPIO5_Out_Val", 5, 1 },
20105		{ "GPIO4_Out_Val", 4, 1 },
20106		{ "GPIO3_Out_Val", 3, 1 },
20107		{ "GPIO2_Out_Val", 2, 1 },
20108		{ "GPIO1_Out_Val", 1, 1 },
20109		{ "GPIO0_Out_Val", 0, 1 },
20110	{ "DBG_GPIO_IN", 0x6014, 0 },
20111		{ "GPIO15_CHG_DET", 31, 1 },
20112		{ "GPIO14_CHG_DET", 30, 1 },
20113		{ "GPIO13_CHG_DET", 29, 1 },
20114		{ "GPIO12_CHG_DET", 28, 1 },
20115		{ "GPIO11_CHG_DET", 27, 1 },
20116		{ "GPIO10_CHG_DET", 26, 1 },
20117		{ "GPIO9_CHG_DET", 25, 1 },
20118		{ "GPIO8_CHG_DET", 24, 1 },
20119		{ "GPIO7_CHG_DET", 23, 1 },
20120		{ "GPIO6_CHG_DET", 22, 1 },
20121		{ "GPIO5_CHG_DET", 21, 1 },
20122		{ "GPIO4_CHG_DET", 20, 1 },
20123		{ "GPIO3_CHG_DET", 19, 1 },
20124		{ "GPIO2_CHG_DET", 18, 1 },
20125		{ "GPIO1_CHG_DET", 17, 1 },
20126		{ "GPIO0_CHG_DET", 16, 1 },
20127		{ "GPIO15_IN", 15, 1 },
20128		{ "GPIO14_IN", 14, 1 },
20129		{ "GPIO13_IN", 13, 1 },
20130		{ "GPIO12_IN", 12, 1 },
20131		{ "GPIO11_IN", 11, 1 },
20132		{ "GPIO10_IN", 10, 1 },
20133		{ "GPIO9_IN", 9, 1 },
20134		{ "GPIO8_IN", 8, 1 },
20135		{ "GPIO7_IN", 7, 1 },
20136		{ "GPIO6_IN", 6, 1 },
20137		{ "GPIO5_IN", 5, 1 },
20138		{ "GPIO4_IN", 4, 1 },
20139		{ "GPIO3_IN", 3, 1 },
20140		{ "GPIO2_IN", 2, 1 },
20141		{ "GPIO1_IN", 1, 1 },
20142		{ "GPIO0_IN", 0, 1 },
20143	{ "DBG_GPIO_EN_NEW", 0x6100, 0 },
20144		{ "GPIO16_OEn", 7, 1 },
20145		{ "GPIO17_OEn", 6, 1 },
20146		{ "GPIO18_OEn", 5, 1 },
20147		{ "GPIO19_OEn", 4, 1 },
20148		{ "GPIO16_Out_Val", 3, 1 },
20149		{ "GPIO17_Out_Val", 2, 1 },
20150		{ "GPIO18_Out_Val", 1, 1 },
20151		{ "GPIO19_Out_Val", 0, 1 },
20152	{ "DBG_GPIO_IN_NEW", 0x6104, 0 },
20153		{ "GPIO16_CHG_DET", 7, 1 },
20154		{ "GPIO17_CHG_DET", 6, 1 },
20155		{ "GPIO18_CHG_DET", 5, 1 },
20156		{ "GPIO19_CHG_DET", 4, 1 },
20157		{ "GPIO19_IN", 3, 1 },
20158		{ "GPIO18_IN", 2, 1 },
20159		{ "GPIO17_IN", 1, 1 },
20160		{ "GPIO16_IN", 0, 1 },
20161	{ "DBG_INT_ENABLE", 0x6018, 0 },
20162		{ "GPIO19", 29, 1 },
20163		{ "GPIO18", 28, 1 },
20164		{ "GPIO17", 27, 1 },
20165		{ "GPIO16", 26, 1 },
20166		{ "IBM_FDL_FAIL_int_enbl", 25, 1 },
20167		{ "pll_lock_lost_int_enbl", 22, 1 },
20168		{ "C_LOCK", 21, 1 },
20169		{ "M_LOCK", 20, 1 },
20170		{ "U_LOCK", 19, 1 },
20171		{ "PCIe_LOCK", 18, 1 },
20172		{ "KX_LOCK", 17, 1 },
20173		{ "KR_LOCK", 16, 1 },
20174		{ "GPIO15", 15, 1 },
20175		{ "GPIO14", 14, 1 },
20176		{ "GPIO13", 13, 1 },
20177		{ "GPIO12", 12, 1 },
20178		{ "GPIO11", 11, 1 },
20179		{ "GPIO10", 10, 1 },
20180		{ "GPIO9", 9, 1 },
20181		{ "GPIO8", 8, 1 },
20182		{ "GPIO7", 7, 1 },
20183		{ "GPIO6", 6, 1 },
20184		{ "GPIO5", 5, 1 },
20185		{ "GPIO4", 4, 1 },
20186		{ "GPIO3", 3, 1 },
20187		{ "GPIO2", 2, 1 },
20188		{ "GPIO1", 1, 1 },
20189		{ "GPIO0", 0, 1 },
20190	{ "DBG_INT_CAUSE", 0x601c, 0 },
20191		{ "GPIO19", 29, 1 },
20192		{ "GPIO18", 28, 1 },
20193		{ "GPIO17", 27, 1 },
20194		{ "GPIO16", 26, 1 },
20195		{ "IBM_FDL_FAIL_int_cause", 25, 1 },
20196		{ "pll_lock_lost_int_cause", 22, 1 },
20197		{ "C_LOCK", 21, 1 },
20198		{ "M_LOCK", 20, 1 },
20199		{ "U_LOCK", 19, 1 },
20200		{ "PCIe_LOCK", 18, 1 },
20201		{ "KX_LOCK", 17, 1 },
20202		{ "KR_LOCK", 16, 1 },
20203		{ "GPIO15", 15, 1 },
20204		{ "GPIO14", 14, 1 },
20205		{ "GPIO13", 13, 1 },
20206		{ "GPIO12", 12, 1 },
20207		{ "GPIO11", 11, 1 },
20208		{ "GPIO10", 10, 1 },
20209		{ "GPIO9", 9, 1 },
20210		{ "GPIO8", 8, 1 },
20211		{ "GPIO7", 7, 1 },
20212		{ "GPIO6", 6, 1 },
20213		{ "GPIO5", 5, 1 },
20214		{ "GPIO4", 4, 1 },
20215		{ "GPIO3", 3, 1 },
20216		{ "GPIO2", 2, 1 },
20217		{ "GPIO1", 1, 1 },
20218		{ "GPIO0", 0, 1 },
20219	{ "DBG_DBG0_RST_VALUE", 0x6020, 0 },
20220	{ "DBG_PLL_OCLK_PAD_EN", 0x6028, 0 },
20221		{ "PCIE_OCLK_En", 20, 1 },
20222		{ "KX_OCLK_En", 16, 1 },
20223		{ "U_OCLK_En", 12, 1 },
20224		{ "KR_OCLK_En", 8, 1 },
20225		{ "M_OCLK_En", 4, 1 },
20226		{ "C_OCLK_En", 0, 1 },
20227	{ "DBG_PLL_LOCK", 0x602c, 0 },
20228		{ "P_LOCK", 20, 1 },
20229		{ "KX_LOCK", 16, 1 },
20230		{ "U_LOCK", 12, 1 },
20231		{ "KR_LOCK", 8, 1 },
20232		{ "M_LOCK", 4, 1 },
20233		{ "C_LOCK", 0, 1 },
20234	{ "DBG_GPIO_ACT_LOW", 0x6030, 0 },
20235		{ "GPIO19_ACT_LOW", 25, 1 },
20236		{ "GPIO18_ACT_LOW", 24, 1 },
20237		{ "GPIO17_ACT_LOW", 23, 1 },
20238		{ "GPIO16_ACT_LOW", 22, 1 },
20239		{ "P_LOCK_ACT_LOW", 21, 1 },
20240		{ "C_LOCK_ACT_LOW", 20, 1 },
20241		{ "M_LOCK_ACT_LOW", 19, 1 },
20242		{ "U_LOCK_ACT_LOW", 18, 1 },
20243		{ "KR_LOCK_ACT_LOW", 17, 1 },
20244		{ "KX_LOCK_ACT_LOW", 16, 1 },
20245		{ "GPIO15_ACT_LOW", 15, 1 },
20246		{ "GPIO14_ACT_LOW", 14, 1 },
20247		{ "GPIO13_ACT_LOW", 13, 1 },
20248		{ "GPIO12_ACT_LOW", 12, 1 },
20249		{ "GPIO11_ACT_LOW", 11, 1 },
20250		{ "GPIO10_ACT_LOW", 10, 1 },
20251		{ "GPIO9_ACT_LOW", 9, 1 },
20252		{ "GPIO8_ACT_LOW", 8, 1 },
20253		{ "GPIO7_ACT_LOW", 7, 1 },
20254		{ "GPIO6_ACT_LOW", 6, 1 },
20255		{ "GPIO5_ACT_LOW", 5, 1 },
20256		{ "GPIO4_ACT_LOW", 4, 1 },
20257		{ "GPIO3_ACT_LOW", 3, 1 },
20258		{ "GPIO2_ACT_LOW", 2, 1 },
20259		{ "GPIO1_ACT_LOW", 1, 1 },
20260		{ "GPIO0_ACT_LOW", 0, 1 },
20261	{ "DBG_EFUSE_BYTE0_3", 0x6034, 0 },
20262	{ "DBG_EFUSE_BYTE4_7", 0x6038, 0 },
20263	{ "DBG_EFUSE_BYTE8_11", 0x603c, 0 },
20264	{ "DBG_EFUSE_BYTE12_15", 0x6040, 0 },
20265	{ "DBG_EXTRA_STATIC_BITS_CONF", 0x6058, 0 },
20266		{ "STATIC_M_PLL_RESET", 30, 1 },
20267		{ "STATIC_M_PLL_SLEEP", 29, 1 },
20268		{ "STATIC_M_PLL_BYPASS", 28, 1 },
20269		{ "STATIC_MPLL_CLK_SEL", 27, 1 },
20270		{ "STATIC_U_PLL_SLEEP", 26, 1 },
20271		{ "STATIC_C_PLL_SLEEP", 25, 1 },
20272		{ "STATIC_LVDS_CLKOUT_SEL", 23, 2 },
20273		{ "STATIC_LVDS_CLKOUT_EN", 22, 1 },
20274		{ "STATIC_CCLK_FREQ_SEL", 20, 2 },
20275		{ "STATIC_UCLK_FREQ_SEL", 18, 2 },
20276		{ "ExPHYClk_sel_en", 17, 1 },
20277		{ "ExPHYClk_sel", 15, 2 },
20278		{ "STATIC_U_PLL_BYPASS", 14, 1 },
20279		{ "STATIC_C_PLL_BYPASS", 13, 1 },
20280		{ "STATIC_KR_PLL_BYPASS", 12, 1 },
20281		{ "STATIC_KX_PLL_BYPASS", 11, 1 },
20282		{ "STATIC_KX_PLL_V", 7, 4 },
20283		{ "STATIC_KR_PLL_V", 3, 4 },
20284	{ "DBG_STATIC_OCLK_MUXSEL_CONF", 0x605c, 0 },
20285		{ "P_OCLK_MUXSEL", 13, 4 },
20286		{ "M_OCLK_MUXSEL", 12, 1 },
20287		{ "C_OCLK_MUXSEL", 10, 2 },
20288		{ "U_OCLK_MUXSEL", 8, 2 },
20289		{ "KX_OCLK_MUXSEL", 3, 3 },
20290		{ "KR_OCLK_MUXSEL", 0, 3 },
20291	{ "DBG_TRACE0_CONF_COMPREG0", 0x6060, 0 },
20292	{ "DBG_TRACE0_CONF_COMPREG1", 0x6064, 0 },
20293	{ "DBG_TRACE1_CONF_COMPREG0", 0x6068, 0 },
20294	{ "DBG_TRACE1_CONF_COMPREG1", 0x606c, 0 },
20295	{ "DBG_TRACE0_CONF_MASKREG0", 0x6070, 0 },
20296	{ "DBG_TRACE0_CONF_MASKREG1", 0x6074, 0 },
20297	{ "DBG_TRACE1_CONF_MASKREG0", 0x6078, 0 },
20298	{ "DBG_TRACE1_CONF_MASKREG1", 0x607c, 0 },
20299	{ "DBG_TRACE_COUNTER", 0x6080, 0 },
20300		{ "Counter1", 16, 16 },
20301		{ "Counter0", 0, 16 },
20302	{ "DBG_STATIC_REFCLK_PERIOD", 0x6084, 0 },
20303	{ "DBG_TRACE_CONF", 0x6088, 0 },
20304		{ "dbg_trace_operate_with_trg", 5, 1 },
20305		{ "dbg_trace_operate_en", 4, 1 },
20306		{ "dbg_operate_indv_combined", 3, 1 },
20307		{ "dbg_operate_order_of_trigger", 2, 1 },
20308		{ "dbg_operate_sgl_dbl_trigger", 1, 1 },
20309		{ "dbg_operate0_or_1", 0, 1 },
20310	{ "DBG_TRACE_RDEN", 0x608c, 0 },
20311		{ "RD_ADDR1", 11, 9 },
20312		{ "RD_ADDR0", 2, 9 },
20313		{ "Rd_en1", 1, 1 },
20314		{ "Rd_en0", 0, 1 },
20315	{ "DBG_TRACE_WRADDR", 0x6090, 0 },
20316		{ "Wr_pointer_addr1", 16, 9 },
20317		{ "Wr_pointer_addr0", 0, 9 },
20318	{ "DBG_TRACE0_DATA_OUT", 0x6094, 0 },
20319	{ "DBG_TRACE1_DATA_OUT", 0x6098, 0 },
20320	{ "DBG_FUSE_SENSE_DONE", 0x609c, 0 },
20321		{ "PSRO_sel", 1, 4 },
20322		{ "FUSE_DONE_SENSE", 0, 1 },
20323	{ "DBG_TVSENSE_EN", 0x60a8, 0 },
20324		{ "MCIMPED1_out", 29, 1 },
20325		{ "MCIMPED2_out", 28, 1 },
20326		{ "TVSENSE_SNSOUT", 17, 9 },
20327		{ "TVSENSE_OUTPUTVALID", 16, 1 },
20328		{ "TVSENSE_SLEEP", 11, 1 },
20329		{ "TVSENSE_SENSV", 10, 1 },
20330		{ "TVSENSE_RST", 9, 1 },
20331		{ "TVSENSE_RATIO", 0, 8 },
20332	{ "DBG_CUST_EFUSE_OUT_EN", 0x60ac, 0 },
20333	{ "DBG_CUST_EFUSE_SEL1_EN", 0x60b0, 0 },
20334	{ "DBG_CUST_EFUSE_SEL2_EN", 0x60b4, 0 },
20335		{ "DBG_FEENABLE", 29, 1 },
20336		{ "DBG_FEF", 23, 6 },
20337		{ "DBG_FEMIMICN", 22, 1 },
20338		{ "DBG_FEGATEC", 21, 1 },
20339		{ "DBG_FEPROGP", 20, 1 },
20340		{ "DBG_FEREADCLK", 19, 1 },
20341		{ "DBG_FERSEL", 3, 16 },
20342		{ "DBG_FETIME", 0, 3 },
20343	{ "DBG_STATIC_M_PLL_CONF1", 0x60b8, 0 },
20344		{ "STATIC_M_PLL_MULTFRAC", 8, 24 },
20345		{ "STATIC_M_PLL_FFSLEWRATE", 0, 8 },
20346	{ "DBG_STATIC_M_PLL_CONF2", 0x60bc, 0 },
20347		{ "STATIC_M_PLL_PREDIV", 24, 6 },
20348		{ "STATIC_M_PLL_DCO_BYPASS", 23, 1 },
20349		{ "STATIC_M_PLL_SDORDER", 21, 2 },
20350		{ "STATIC_M_PLL_FFENABLE", 20, 1 },
20351		{ "STATIC_M_PLL_STOPCLKB", 19, 1 },
20352		{ "STATIC_M_PLL_STOPCLKA", 18, 1 },
20353		{ "STATIC_M_PLL_SLEEP", 17, 1 },
20354		{ "STATIC_M_PLL_BYPASS", 16, 1 },
20355		{ "STATIC_M_PLL_LOCKTUNE", 0, 5 },
20356	{ "DBG_STATIC_M_PLL_CONF3", 0x60c0, 0 },
20357		{ "STATIC_M_PLL_MULTPRE", 30, 2 },
20358		{ "STATIC_M_PLL_LOCKSEL", 28, 1 },
20359		{ "STATIC_M_PLL_FFTUNE", 12, 16 },
20360		{ "STATIC_M_PLL_RANGEPRE", 10, 2 },
20361		{ "STATIC_M_PLL_RANGEB", 5, 5 },
20362		{ "STATIC_M_PLL_RANGEA", 0, 5 },
20363	{ "DBG_STATIC_M_PLL_CONF4", 0x60c4, 0 },
20364	{ "DBG_STATIC_M_PLL_CONF5", 0x60c8, 0 },
20365		{ "STATIC_M_PLL_VCVTUNE", 24, 3 },
20366		{ "STATIC_M_PLL_RESET", 23, 1 },
20367		{ "STATIC_MPLL_REFCLK_SEL", 22, 1 },
20368		{ "STATIC_M_PLL_LFTUNE_32_40", 13, 9 },
20369		{ "STATIC_M_PLL_MULT", 0, 8 },
20370	{ "DBG_STATIC_M_PLL_CONF6", 0x60cc, 0 },
20371		{ "STATIC_M_PLL_DIVCHANGE", 30, 1 },
20372		{ "STATIC_M_PLL_FRAMESTOP", 29, 1 },
20373		{ "STATIC_M_PLL_FASTSTOP", 28, 1 },
20374		{ "STATIC_M_PLL_FFBYPASS", 27, 1 },
20375		{ "STATIC_M_PLL_STARTUP", 25, 2 },
20376		{ "STATIC_M_PLL_VREGTUNE", 6, 19 },
20377		{ "STATIC_PHY0RecRst_", 5, 1 },
20378		{ "STATIC_PHY1RecRst_", 4, 1 },
20379		{ "STATIC_SWMC0Rst_", 3, 1 },
20380		{ "STATIC_SWMC0CfgRst_", 2, 1 },
20381		{ "STATIC_SWMC1Rst_", 1, 1 },
20382		{ "STATIC_SWMC1CfgRst_", 0, 1 },
20383	{ "DBG_STATIC_C_PLL_CONF1", 0x60d0, 0 },
20384		{ "STATIC_C_PLL_MULTFRAC", 8, 24 },
20385		{ "STATIC_C_PLL_FFSLEWRATE", 0, 8 },
20386	{ "DBG_STATIC_C_PLL_CONF2", 0x60d4, 0 },
20387		{ "STATIC_C_PLL_PREDIV", 26, 6 },
20388		{ "STATIC_C_PLL_STARTUP", 24, 2 },
20389		{ "STATIC_C_PLL_DCO_BYPASS", 23, 1 },
20390		{ "STATIC_C_PLL_SDORDER", 21, 2 },
20391		{ "STATIC_C_PLL_DIVCHANGE", 20, 1 },
20392		{ "STATIC_C_PLL_STOPCLKB", 19, 1 },
20393		{ "STATIC_C_PLL_STOPCLKA", 18, 1 },
20394		{ "STATIC_C_PLL_SLEEP", 17, 1 },
20395		{ "STATIC_C_PLL_BYPASS", 16, 1 },
20396		{ "STATIC_C_PLL_LOCKTUNE", 0, 5 },
20397	{ "DBG_STATIC_C_PLL_CONF3", 0x60d8, 0 },
20398		{ "STATIC_C_PLL_MULTPRE", 30, 2 },
20399		{ "STATIC_C_PLL_LOCKSEL", 28, 1 },
20400		{ "STATIC_C_PLL_FFTUNE", 12, 16 },
20401		{ "STATIC_C_PLL_RANGEPRE", 10, 2 },
20402		{ "STATIC_C_PLL_RANGEB", 5, 5 },
20403		{ "STATIC_C_PLL_RANGEA", 0, 5 },
20404	{ "DBG_STATIC_C_PLL_CONF4", 0x60dc, 0 },
20405	{ "DBG_STATIC_C_PLL_CONF5", 0x60e0, 0 },
20406		{ "STATIC_C_PLL_FFBYPASS", 27, 1 },
20407		{ "STATIC_C_PLL_FASTSTOP", 26, 1 },
20408		{ "STATIC_C_PLL_FRAMESTOP", 25, 1 },
20409		{ "STATIC_C_PLL_VCVTUNE", 22, 3 },
20410		{ "STATIC_C_PLL_LFTUNE_32_40", 13, 9 },
20411		{ "STATIC_C_PLL_PREDIV", 8, 5 },
20412		{ "STATIC_C_PLL_MULT", 0, 8 },
20413	{ "DBG_STATIC_U_PLL_CONF1", 0x60e4, 0 },
20414		{ "STATIC_U_PLL_MULTFRAC", 8, 24 },
20415		{ "STATIC_U_PLL_FFSLEWRATE", 0, 8 },
20416	{ "DBG_STATIC_U_PLL_CONF2", 0x60e8, 0 },
20417		{ "STATIC_U_PLL_PREDIV", 26, 6 },
20418		{ "STATIC_U_PLL_STARTUP", 24, 2 },
20419		{ "STATIC_U_PLL_DCO_BYPASS", 23, 1 },
20420		{ "STATIC_U_PLL_SDORDER", 21, 2 },
20421		{ "STATIC_U_PLL_DIVCHANGE", 20, 1 },
20422		{ "STATIC_U_PLL_STOPCLKB", 19, 1 },
20423		{ "STATIC_U_PLL_STOPCLKA", 18, 1 },
20424		{ "STATIC_U_PLL_SLEEP", 17, 1 },
20425		{ "STATIC_U_PLL_BYPASS", 16, 1 },
20426		{ "STATIC_U_PLL_LOCKTUNE", 0, 5 },
20427	{ "DBG_STATIC_U_PLL_CONF3", 0x60ec, 0 },
20428		{ "STATIC_U_PLL_MULTPRE", 30, 2 },
20429		{ "STATIC_U_PLL_LOCKSEL", 28, 1 },
20430		{ "STATIC_U_PLL_FFTUNE", 12, 16 },
20431		{ "STATIC_U_PLL_RANGEPRE", 10, 2 },
20432		{ "STATIC_U_PLL_RANGEB", 5, 5 },
20433		{ "STATIC_U_PLL_RANGEA", 0, 5 },
20434	{ "DBG_STATIC_U_PLL_CONF4", 0x60f0, 0 },
20435	{ "DBG_STATIC_U_PLL_CONF5", 0x60f4, 0 },
20436		{ "STATIC_U_PLL_FFBYPASS", 27, 1 },
20437		{ "STATIC_U_PLL_FASTSTOP", 26, 1 },
20438		{ "STATIC_U_PLL_FRAMESTOP", 25, 1 },
20439		{ "STATIC_U_PLL_VCVTUNE", 22, 3 },
20440		{ "STATIC_U_PLL_LFTUNE_32_40", 13, 9 },
20441		{ "STATIC_U_PLL_PREDIV", 8, 5 },
20442		{ "STATIC_U_PLL_MULT", 0, 8 },
20443	{ "DBG_STATIC_KR_PLL_CONF1", 0x60f8, 0 },
20444		{ "STATIC_KR_PLL_BYPASS", 30, 1 },
20445		{ "STATIC_KR_PLL_VBOOSTDIV", 27, 3 },
20446		{ "STATIC_KR_PLL_CPISEL", 24, 3 },
20447		{ "STATIC_KR_PLL_CCALMETHOD", 23, 1 },
20448		{ "STATIC_KR_PLL_CCALLOAD", 22, 1 },
20449		{ "STATIC_KR_PLL_CCALFMIN", 21, 1 },
20450		{ "STATIC_KR_PLL_CCALFMAX", 20, 1 },
20451		{ "STATIC_KR_PLL_CCALCVHOLD", 19, 1 },
20452		{ "STATIC_KR_PLL_CCALBANDSEL", 15, 4 },
20453		{ "STATIC_KR_PLL_BGOFFSET", 11, 4 },
20454		{ "STATIC_KR_PLL_P", 8, 3 },
20455		{ "STATIC_KR_PLL_N2", 4, 4 },
20456		{ "STATIC_KR_PLL_N1", 0, 4 },
20457	{ "DBG_STATIC_KR_PLL_CONF2", 0x60fc, 0 },
20458		{ "STATIC_KR_PLL_M", 11, 9 },
20459		{ "STATIC_KR_PLL_ANALOGTUNE", 0, 11 },
20460	{ "DBG_STATIC_KX_PLL_CONF1", 0x6108, 0 },
20461		{ "STATIC_KX_PLL_BYPASS", 30, 1 },
20462		{ "STATIC_KX_PLL_VBOOSTDIV", 27, 3 },
20463		{ "STATIC_KX_PLL_CPISEL", 24, 3 },
20464		{ "STATIC_KX_PLL_CCALMETHOD", 23, 1 },
20465		{ "STATIC_KX_PLL_CCALLOAD", 22, 1 },
20466		{ "STATIC_KX_PLL_CCALFMIN", 21, 1 },
20467		{ "STATIC_KX_PLL_CCALFMAX", 20, 1 },
20468		{ "STATIC_KX_PLL_CCALCVHOLD", 19, 1 },
20469		{ "STATIC_KX_PLL_CCALBANDSEL", 15, 4 },
20470		{ "STATIC_KX_PLL_BGOFFSET", 11, 4 },
20471		{ "STATIC_KX_PLL_P", 8, 3 },
20472		{ "STATIC_KX_PLL_N2", 4, 4 },
20473		{ "STATIC_KX_PLL_N1", 0, 4 },
20474	{ "DBG_STATIC_KX_PLL_CONF2", 0x610c, 0 },
20475		{ "STATIC_KX_PLL_M", 11, 9 },
20476		{ "STATIC_KX_PLL_ANALOGTUNE", 0, 11 },
20477	{ "DBG_STATIC_C_DFS_CONF", 0x6110, 0 },
20478		{ "STATIC_C_DFS_RANGEA", 8, 5 },
20479		{ "STATIC_C_DFS_RANGEB", 3, 5 },
20480		{ "STATIC_C_DFS_FFTUNE4", 2, 1 },
20481		{ "STATIC_C_DFS_FFTUNE5", 1, 1 },
20482		{ "STATIC_C_DFS_ENABLE", 0, 1 },
20483	{ "DBG_STATIC_U_DFS_CONF", 0x6114, 0 },
20484		{ "STATIC_U_DFS_RANGEA", 8, 5 },
20485		{ "STATIC_U_DFS_RANGEB", 3, 5 },
20486		{ "STATIC_U_DFS_FFTUNE4", 2, 1 },
20487		{ "STATIC_U_DFS_FFTUNE5", 1, 1 },
20488		{ "STATIC_U_DFS_ENABLE", 0, 1 },
20489	{ "DBG_GPIO_PE_EN", 0x6118, 0 },
20490		{ "GPIO19_PE_En", 19, 1 },
20491		{ "GPIO18_PE_En", 18, 1 },
20492		{ "GPIO17_PE_En", 17, 1 },
20493		{ "GPIO16_PE_En", 16, 1 },
20494		{ "GPIO15_PE_En", 15, 1 },
20495		{ "GPIO14_PE_En", 14, 1 },
20496		{ "GPIO13_PE_En", 13, 1 },
20497		{ "GPIO12_PE_En", 12, 1 },
20498		{ "GPIO11_PE_En", 11, 1 },
20499		{ "GPIO10_PE_En", 10, 1 },
20500		{ "GPIO9_PE_En", 9, 1 },
20501		{ "GPIO8_PE_En", 8, 1 },
20502		{ "GPIO7_PE_En", 7, 1 },
20503		{ "GPIO6_PE_En", 6, 1 },
20504		{ "GPIO5_PE_En", 5, 1 },
20505		{ "GPIO4_PE_En", 4, 1 },
20506		{ "GPIO3_PE_En", 3, 1 },
20507		{ "GPIO2_PE_En", 2, 1 },
20508		{ "GPIO1_PE_En", 1, 1 },
20509		{ "GPIO0_PE_En", 0, 1 },
20510	{ "DBG_GPIO_PS_EN", 0x611c, 0 },
20511		{ "GPIO19_PS_En", 19, 1 },
20512		{ "GPIO18_PS_En", 18, 1 },
20513		{ "GPIO17_PS_En", 17, 1 },
20514		{ "GPIO16_PS_En", 16, 1 },
20515		{ "GPIO15_PS_En", 15, 1 },
20516		{ "GPIO14_PS_En", 14, 1 },
20517		{ "GPIO13_PS_En", 13, 1 },
20518		{ "GPIO12_PS_En", 12, 1 },
20519		{ "GPIO11_PS_En", 11, 1 },
20520		{ "GPIO10_PS_En", 10, 1 },
20521		{ "GPIO9_PS_En", 9, 1 },
20522		{ "GPIO8_PS_En", 8, 1 },
20523		{ "GPIO7_PS_En", 7, 1 },
20524		{ "GPIO6_PS_En", 6, 1 },
20525		{ "GPIO5_PS_En", 5, 1 },
20526		{ "GPIO4_PS_En", 4, 1 },
20527		{ "GPIO3_PS_En", 3, 1 },
20528		{ "GPIO2_PS_En", 2, 1 },
20529		{ "GPIO1_PS_En", 1, 1 },
20530		{ "GPIO0_PS_En", 0, 1 },
20531	{ "DBG_EFUSE_BYTE16_19", 0x6120, 0 },
20532	{ "DBG_EFUSE_BYTE20_23", 0x6124, 0 },
20533	{ "DBG_EFUSE_BYTE24_27", 0x6128, 0 },
20534	{ "DBG_EFUSE_BYTE28_31", 0x612c, 0 },
20535	{ "DBG_EFUSE_BYTE32_35", 0x6130, 0 },
20536	{ "DBG_EFUSE_BYTE36_39", 0x6134, 0 },
20537	{ "DBG_EFUSE_BYTE40_43", 0x6138, 0 },
20538	{ "DBG_EFUSE_BYTE44_47", 0x613c, 0 },
20539	{ "DBG_EFUSE_BYTE48_51", 0x6140, 0 },
20540	{ "DBG_EFUSE_BYTE52_55", 0x6144, 0 },
20541	{ "DBG_EFUSE_BYTE56_59", 0x6148, 0 },
20542	{ "DBG_EFUSE_BYTE60_63", 0x614c, 0 },
20543	{ "DBG_STATIC_U_PLL_CONF6", 0x6150, 0 },
20544	{ "DBG_STATIC_C_PLL_CONF6", 0x6154, 0 },
20545	{ "DBG_CUST_EFUSE_PROGRAM", 0x6158, 0 },
20546		{ "EFUSE_PROG_PERIOD", 16, 16 },
20547		{ "EFUSE_OPER_TYP", 14, 2 },
20548		{ "EFUSE_ADDR", 8, 6 },
20549		{ "EFUSE_DIN", 0, 8 },
20550	{ "DBG_CUST_EFUSE_OUT", 0x615c, 0 },
20551		{ "EFUSE_OPER_DONE", 8, 1 },
20552		{ "EFUSE_DOUT", 0, 8 },
20553	{ "DBG_CUST_EFUSE_BYTE0_3", 0x6160, 0 },
20554	{ "DBG_CUST_EFUSE_BYTE4_7", 0x6164, 0 },
20555	{ "DBG_CUST_EFUSE_BYTE8_11", 0x6168, 0 },
20556	{ "DBG_CUST_EFUSE_BYTE12_15", 0x616c, 0 },
20557	{ "DBG_CUST_EFUSE_BYTE16_19", 0x6170, 0 },
20558	{ "DBG_CUST_EFUSE_BYTE20_23", 0x6174, 0 },
20559	{ "DBG_CUST_EFUSE_BYTE24_27", 0x6178, 0 },
20560	{ "DBG_CUST_EFUSE_BYTE28_31", 0x617c, 0 },
20561	{ "DBG_CUST_EFUSE_BYTE32_35", 0x6180, 0 },
20562	{ "DBG_CUST_EFUSE_BYTE36_39", 0x6184, 0 },
20563	{ "DBG_CUST_EFUSE_BYTE40_43", 0x6188, 0 },
20564	{ "DBG_CUST_EFUSE_BYTE44_47", 0x618c, 0 },
20565	{ "DBG_CUST_EFUSE_BYTE48_51", 0x6190, 0 },
20566	{ "DBG_CUST_EFUSE_BYTE52_55", 0x6194, 0 },
20567	{ "DBG_CUST_EFUSE_BYTE56_59", 0x6198, 0 },
20568	{ "DBG_CUST_EFUSE_BYTE60_63", 0x619c, 0 },
20569	{ NULL }
20570};
20571
20572struct reg_info t6_ma_regs[] = {
20573	{ "MA_CLIENT0_RD_LATENCY_THRESHOLD", 0x7700, 0 },
20574		{ "THRESHOLD1", 17, 15 },
20575		{ "THRESHOLD1_EN", 16, 1 },
20576		{ "THRESHOLD0", 1, 15 },
20577		{ "THRESHOLD0_EN", 0, 1 },
20578	{ "MA_CLIENT0_WR_LATENCY_THRESHOLD", 0x7704, 0 },
20579		{ "THRESHOLD1", 17, 15 },
20580		{ "THRESHOLD1_EN", 16, 1 },
20581		{ "THRESHOLD0", 1, 15 },
20582		{ "THRESHOLD0_EN", 0, 1 },
20583	{ "MA_CLIENT1_RD_LATENCY_THRESHOLD", 0x7708, 0 },
20584		{ "THRESHOLD1", 17, 15 },
20585		{ "THRESHOLD1_EN", 16, 1 },
20586		{ "THRESHOLD0", 1, 15 },
20587		{ "THRESHOLD0_EN", 0, 1 },
20588	{ "MA_CLIENT1_WR_LATENCY_THRESHOLD", 0x770c, 0 },
20589		{ "THRESHOLD1", 17, 15 },
20590		{ "THRESHOLD1_EN", 16, 1 },
20591		{ "THRESHOLD0", 1, 15 },
20592		{ "THRESHOLD0_EN", 0, 1 },
20593	{ "MA_CLIENT2_RD_LATENCY_THRESHOLD", 0x7710, 0 },
20594		{ "THRESHOLD1", 17, 15 },
20595		{ "THRESHOLD1_EN", 16, 1 },
20596		{ "THRESHOLD0", 1, 15 },
20597		{ "THRESHOLD0_EN", 0, 1 },
20598	{ "MA_CLIENT2_WR_LATENCY_THRESHOLD", 0x7714, 0 },
20599		{ "THRESHOLD1", 17, 15 },
20600		{ "THRESHOLD1_EN", 16, 1 },
20601		{ "THRESHOLD0", 1, 15 },
20602		{ "THRESHOLD0_EN", 0, 1 },
20603	{ "MA_CLIENT3_RD_LATENCY_THRESHOLD", 0x7718, 0 },
20604		{ "THRESHOLD1", 17, 15 },
20605		{ "THRESHOLD1_EN", 16, 1 },
20606		{ "THRESHOLD0", 1, 15 },
20607		{ "THRESHOLD0_EN", 0, 1 },
20608	{ "MA_CLIENT3_WR_LATENCY_THRESHOLD", 0x771c, 0 },
20609		{ "THRESHOLD1", 17, 15 },
20610		{ "THRESHOLD1_EN", 16, 1 },
20611		{ "THRESHOLD0", 1, 15 },
20612		{ "THRESHOLD0_EN", 0, 1 },
20613	{ "MA_CLIENT4_RD_LATENCY_THRESHOLD", 0x7720, 0 },
20614		{ "THRESHOLD1", 17, 15 },
20615		{ "THRESHOLD1_EN", 16, 1 },
20616		{ "THRESHOLD0", 1, 15 },
20617		{ "THRESHOLD0_EN", 0, 1 },
20618	{ "MA_CLIENT4_WR_LATENCY_THRESHOLD", 0x7724, 0 },
20619		{ "THRESHOLD1", 17, 15 },
20620		{ "THRESHOLD1_EN", 16, 1 },
20621		{ "THRESHOLD0", 1, 15 },
20622		{ "THRESHOLD0_EN", 0, 1 },
20623	{ "MA_CLIENT5_RD_LATENCY_THRESHOLD", 0x7728, 0 },
20624		{ "THRESHOLD1", 17, 15 },
20625		{ "THRESHOLD1_EN", 16, 1 },
20626		{ "THRESHOLD0", 1, 15 },
20627		{ "THRESHOLD0_EN", 0, 1 },
20628	{ "MA_CLIENT5_WR_LATENCY_THRESHOLD", 0x772c, 0 },
20629		{ "THRESHOLD1", 17, 15 },
20630		{ "THRESHOLD1_EN", 16, 1 },
20631		{ "THRESHOLD0", 1, 15 },
20632		{ "THRESHOLD0_EN", 0, 1 },
20633	{ "MA_CLIENT6_RD_LATENCY_THRESHOLD", 0x7730, 0 },
20634		{ "THRESHOLD1", 17, 15 },
20635		{ "THRESHOLD1_EN", 16, 1 },
20636		{ "THRESHOLD0", 1, 15 },
20637		{ "THRESHOLD0_EN", 0, 1 },
20638	{ "MA_CLIENT6_WR_LATENCY_THRESHOLD", 0x7734, 0 },
20639		{ "THRESHOLD1", 17, 15 },
20640		{ "THRESHOLD1_EN", 16, 1 },
20641		{ "THRESHOLD0", 1, 15 },
20642		{ "THRESHOLD0_EN", 0, 1 },
20643	{ "MA_CLIENT7_RD_LATENCY_THRESHOLD", 0x7738, 0 },
20644		{ "THRESHOLD1", 17, 15 },
20645		{ "THRESHOLD1_EN", 16, 1 },
20646		{ "THRESHOLD0", 1, 15 },
20647		{ "THRESHOLD0_EN", 0, 1 },
20648	{ "MA_CLIENT7_WR_LATENCY_THRESHOLD", 0x773c, 0 },
20649		{ "THRESHOLD1", 17, 15 },
20650		{ "THRESHOLD1_EN", 16, 1 },
20651		{ "THRESHOLD0", 1, 15 },
20652		{ "THRESHOLD0_EN", 0, 1 },
20653	{ "MA_CLIENT8_RD_LATENCY_THRESHOLD", 0x7740, 0 },
20654		{ "THRESHOLD1", 17, 15 },
20655		{ "THRESHOLD1_EN", 16, 1 },
20656		{ "THRESHOLD0", 1, 15 },
20657		{ "THRESHOLD0_EN", 0, 1 },
20658	{ "MA_CLIENT8_WR_LATENCY_THRESHOLD", 0x7744, 0 },
20659		{ "THRESHOLD1", 17, 15 },
20660		{ "THRESHOLD1_EN", 16, 1 },
20661		{ "THRESHOLD0", 1, 15 },
20662		{ "THRESHOLD0_EN", 0, 1 },
20663	{ "MA_CLIENT9_RD_LATENCY_THRESHOLD", 0x7748, 0 },
20664		{ "THRESHOLD1", 17, 15 },
20665		{ "THRESHOLD1_EN", 16, 1 },
20666		{ "THRESHOLD0", 1, 15 },
20667		{ "THRESHOLD0_EN", 0, 1 },
20668	{ "MA_CLIENT9_WR_LATENCY_THRESHOLD", 0x774c, 0 },
20669		{ "THRESHOLD1", 17, 15 },
20670		{ "THRESHOLD1_EN", 16, 1 },
20671		{ "THRESHOLD0", 1, 15 },
20672		{ "THRESHOLD0_EN", 0, 1 },
20673	{ "MA_CLIENT10_RD_LATENCY_THRESHOLD", 0x7750, 0 },
20674		{ "THRESHOLD1", 17, 15 },
20675		{ "THRESHOLD1_EN", 16, 1 },
20676		{ "THRESHOLD0", 1, 15 },
20677		{ "THRESHOLD0_EN", 0, 1 },
20678	{ "MA_CLIENT10_WR_LATENCY_THRESHOLD", 0x7754, 0 },
20679		{ "THRESHOLD1", 17, 15 },
20680		{ "THRESHOLD1_EN", 16, 1 },
20681		{ "THRESHOLD0", 1, 15 },
20682		{ "THRESHOLD0_EN", 0, 1 },
20683	{ "MA_CLIENT11_RD_LATENCY_THRESHOLD", 0x7758, 0 },
20684		{ "THRESHOLD1", 17, 15 },
20685		{ "THRESHOLD1_EN", 16, 1 },
20686		{ "THRESHOLD0", 1, 15 },
20687		{ "THRESHOLD0_EN", 0, 1 },
20688	{ "MA_CLIENT11_WR_LATENCY_THRESHOLD", 0x775c, 0 },
20689		{ "THRESHOLD1", 17, 15 },
20690		{ "THRESHOLD1_EN", 16, 1 },
20691		{ "THRESHOLD0", 1, 15 },
20692		{ "THRESHOLD0_EN", 0, 1 },
20693	{ "MA_CLIENT12_RD_LATENCY_THRESHOLD", 0x7760, 0 },
20694		{ "THRESHOLD1", 17, 15 },
20695		{ "THRESHOLD1_EN", 16, 1 },
20696		{ "THRESHOLD0", 1, 15 },
20697		{ "THRESHOLD0_EN", 0, 1 },
20698	{ "MA_CLIENT12_WR_LATENCY_THRESHOLD", 0x7764, 0 },
20699		{ "THRESHOLD1", 17, 15 },
20700		{ "THRESHOLD1_EN", 16, 1 },
20701		{ "THRESHOLD0", 1, 15 },
20702		{ "THRESHOLD0_EN", 0, 1 },
20703	{ "MA_SGE_TH0_DEBUG_CNT", 0x7768, 0 },
20704		{ "DBG_READ_DATA_CNT", 24, 8 },
20705		{ "DBG_READ_REQ_CNT", 16, 8 },
20706		{ "DBG_WRITE_DATA_CNT", 8, 8 },
20707		{ "DBG_WRITE_REQ_CNT", 0, 8 },
20708	{ "MA_SGE_TH1_DEBUG_CNT", 0x776c, 0 },
20709		{ "DBG_READ_DATA_CNT", 24, 8 },
20710		{ "DBG_READ_REQ_CNT", 16, 8 },
20711		{ "DBG_WRITE_DATA_CNT", 8, 8 },
20712		{ "DBG_WRITE_REQ_CNT", 0, 8 },
20713	{ "MA_ULPTX_DEBUG_CNT", 0x7770, 0 },
20714		{ "DBG_READ_DATA_CNT", 24, 8 },
20715		{ "DBG_READ_REQ_CNT", 16, 8 },
20716		{ "DBG_WRITE_DATA_CNT", 8, 8 },
20717		{ "DBG_WRITE_REQ_CNT", 0, 8 },
20718	{ "MA_ULPRX_DEBUG_CNT", 0x7774, 0 },
20719		{ "DBG_READ_DATA_CNT", 24, 8 },
20720		{ "DBG_READ_REQ_CNT", 16, 8 },
20721		{ "DBG_WRITE_DATA_CNT", 8, 8 },
20722		{ "DBG_WRITE_REQ_CNT", 0, 8 },
20723	{ "MA_ULPTXRX_DEBUG_CNT", 0x7778, 0 },
20724		{ "DBG_READ_DATA_CNT", 24, 8 },
20725		{ "DBG_READ_REQ_CNT", 16, 8 },
20726		{ "DBG_WRITE_DATA_CNT", 8, 8 },
20727		{ "DBG_WRITE_REQ_CNT", 0, 8 },
20728	{ "MA_TP_TH0_DEBUG_CNT", 0x777c, 0 },
20729		{ "DBG_READ_DATA_CNT", 24, 8 },
20730		{ "DBG_READ_REQ_CNT", 16, 8 },
20731		{ "DBG_WRITE_DATA_CNT", 8, 8 },
20732		{ "DBG_WRITE_REQ_CNT", 0, 8 },
20733	{ "MA_TP_TH1_DEBUG_CNT", 0x7780, 0 },
20734		{ "DBG_READ_DATA_CNT", 24, 8 },
20735		{ "DBG_READ_REQ_CNT", 16, 8 },
20736		{ "DBG_WRITE_DATA_CNT", 8, 8 },
20737		{ "DBG_WRITE_REQ_CNT", 0, 8 },
20738	{ "MA_LE_DEBUG_CNT", 0x7784, 0 },
20739		{ "DBG_READ_DATA_CNT", 24, 8 },
20740		{ "DBG_READ_REQ_CNT", 16, 8 },
20741		{ "DBG_WRITE_DATA_CNT", 8, 8 },
20742		{ "DBG_WRITE_REQ_CNT", 0, 8 },
20743	{ "MA_CIM_DEBUG_CNT", 0x7788, 0 },
20744		{ "DBG_READ_DATA_CNT", 24, 8 },
20745		{ "DBG_READ_REQ_CNT", 16, 8 },
20746		{ "DBG_WRITE_DATA_CNT", 8, 8 },
20747		{ "DBG_WRITE_REQ_CNT", 0, 8 },
20748	{ "MA_PCIE_DEBUG_CNT", 0x778c, 0 },
20749		{ "DBG_READ_DATA_CNT", 24, 8 },
20750		{ "DBG_READ_REQ_CNT", 16, 8 },
20751		{ "DBG_WRITE_DATA_CNT", 8, 8 },
20752		{ "DBG_WRITE_REQ_CNT", 0, 8 },
20753	{ "MA_PMTX_DEBUG_CNT", 0x7790, 0 },
20754		{ "DBG_READ_DATA_CNT", 24, 8 },
20755		{ "DBG_READ_REQ_CNT", 16, 8 },
20756		{ "DBG_WRITE_DATA_CNT", 8, 8 },
20757		{ "DBG_WRITE_REQ_CNT", 0, 8 },
20758	{ "MA_PMRX_DEBUG_CNT", 0x7794, 0 },
20759		{ "DBG_READ_DATA_CNT", 24, 8 },
20760		{ "DBG_READ_REQ_CNT", 16, 8 },
20761		{ "DBG_WRITE_DATA_CNT", 8, 8 },
20762		{ "DBG_WRITE_REQ_CNT", 0, 8 },
20763	{ "MA_HMA_DEBUG_CNT", 0x7798, 0 },
20764		{ "DBG_READ_DATA_CNT", 24, 8 },
20765		{ "DBG_READ_REQ_CNT", 16, 8 },
20766		{ "DBG_WRITE_DATA_CNT", 8, 8 },
20767		{ "DBG_WRITE_REQ_CNT", 0, 8 },
20768	{ "MA_EDRAM0_BAR", 0x77c0, 0 },
20769		{ "EDRAM0_BASE", 16, 12 },
20770		{ "EDRAM0_SIZE", 0, 12 },
20771	{ "MA_EDRAM1_BAR", 0x77c4, 0 },
20772		{ "EDRAM1_BASE", 16, 12 },
20773		{ "EDRAM1_SIZE", 0, 12 },
20774	{ "MA_EXT_MEMORY0_BAR", 0x77c8, 0 },
20775		{ "EXT_MEM0_BASE", 16, 12 },
20776		{ "EXT_MEM0_SIZE", 0, 12 },
20777	{ "MA_HOST_MEMORY_BAR", 0x77cc, 0 },
20778		{ "HMA_BASE", 16, 12 },
20779		{ "HMA_SIZE", 0, 12 },
20780	{ "MA_EXT_MEM_PAGE_SIZE", 0x77d0, 0 },
20781		{ "BRBC_MODE", 4, 1 },
20782		{ "BRC_MODE", 3, 1 },
20783		{ "EXT_MEM_PAGE_SIZE", 0, 3 },
20784	{ "MA_ARB_CTRL", 0x77d4, 0 },
20785		{ "HMA_WRT_EN", 26, 1 },
20786		{ "HMA_NUM_PG_128B_FDBK", 21, 5 },
20787		{ "HMA_DIS_128B_PG_CNT_FDBK", 20, 1 },
20788		{ "HMA_DIS_BG_ARB", 19, 1 },
20789		{ "HMA_DIS_BANK_FAIR", 18, 1 },
20790		{ "HMA_DIS_PAGE_HINT", 17, 1 },
20791		{ "HMA_DIS_ADV_ARB", 16, 1 },
20792		{ "NUM_PG_128B_FDBK", 5, 5 },
20793		{ "DIS_128B_PG_CNT_FDBK", 4, 1 },
20794		{ "DIS_BG_ARB", 3, 1 },
20795		{ "DIS_BANK_FAIR", 2, 1 },
20796		{ "DIS_PAGE_HINT", 1, 1 },
20797		{ "DIS_ADV_ARB", 0, 1 },
20798	{ "MA_TARGET_MEM_ENABLE", 0x77d8, 0 },
20799		{ "MC_SPLIT", 6, 1 },
20800		{ "HMA_MUX", 5, 1 },
20801		{ "EXT_MEM1_ENABLE", 4, 1 },
20802		{ "HMA_ENABLE", 3, 1 },
20803		{ "EXT_MEM0_ENABLE", 2, 1 },
20804		{ "EDRAM1_ENABLE", 1, 1 },
20805		{ "EDRAM0_ENABLE", 0, 1 },
20806	{ "MA_INT_ENABLE", 0x77dc, 0 },
20807		{ "MEM_TO_INT_ENABLE", 2, 1 },
20808		{ "MEM_PERR_INT_ENABLE", 1, 1 },
20809		{ "MEM_WRAP_INT_ENABLE", 0, 1 },
20810	{ "MA_INT_CAUSE", 0x77e0, 0 },
20811		{ "MEM_TO_INT_CAUSE", 2, 1 },
20812		{ "MEM_PERR_INT_CAUSE", 1, 1 },
20813		{ "MEM_WRAP_INT_CAUSE", 0, 1 },
20814	{ "MA_INT_WRAP_STATUS", 0x77e4, 0 },
20815		{ "MEM_WRAP_ADDRESS", 4, 28 },
20816		{ "MEM_WRAP_CLIENT_NUM", 0, 4 },
20817	{ "MA_TP_THREAD1_MAPPER", 0x77e8, 0 },
20818	{ "MA_SGE_THREAD1_MAPPER", 0x77ec, 0 },
20819	{ "MA_PARITY_ERROR_ENABLE1", 0x77f0, 0 },
20820		{ "TP_DMARBT_PAR_ERROR_EN", 31, 1 },
20821		{ "LOGIC_FIFO_PAR_ERROR_EN", 30, 1 },
20822		{ "ARB3_PAR_WRQUEUE_ERROR_EN", 29, 1 },
20823		{ "ARB2_PAR_WRQUEUE_ERROR_EN", 28, 1 },
20824		{ "ARB1_PAR_WRQUEUE_ERROR_EN", 27, 1 },
20825		{ "ARB0_PAR_WRQUEUE_ERROR_EN", 26, 1 },
20826		{ "ARB3_PAR_RDQUEUE_ERROR_EN", 25, 1 },
20827		{ "ARB2_PAR_RDQUEUE_ERROR_EN", 24, 1 },
20828		{ "ARB1_PAR_RDQUEUE_ERROR_EN", 23, 1 },
20829		{ "ARB0_PAR_RDQUEUE_ERROR_EN", 22, 1 },
20830		{ "CL10_PAR_WRQUEUE_ERROR_EN", 21, 1 },
20831		{ "CL9_PAR_WRQUEUE_ERROR_EN", 20, 1 },
20832		{ "CL8_PAR_WRQUEUE_ERROR_EN", 19, 1 },
20833		{ "CL7_PAR_WRQUEUE_ERROR_EN", 18, 1 },
20834		{ "CL6_PAR_WRQUEUE_ERROR_EN", 17, 1 },
20835		{ "CL5_PAR_WRQUEUE_ERROR_EN", 16, 1 },
20836		{ "CL4_PAR_WRQUEUE_ERROR_EN", 15, 1 },
20837		{ "CL3_PAR_WRQUEUE_ERROR_EN", 14, 1 },
20838		{ "CL2_PAR_WRQUEUE_ERROR_EN", 13, 1 },
20839		{ "CL1_PAR_WRQUEUE_ERROR_EN", 12, 1 },
20840		{ "CL0_PAR_WRQUEUE_ERROR_EN", 11, 1 },
20841		{ "CL10_PAR_RDQUEUE_ERROR_EN", 10, 1 },
20842		{ "CL9_PAR_RDQUEUE_ERROR_EN", 9, 1 },
20843		{ "CL8_PAR_RDQUEUE_ERROR_EN", 8, 1 },
20844		{ "CL7_PAR_RDQUEUE_ERROR_EN", 7, 1 },
20845		{ "CL6_PAR_RDQUEUE_ERROR_EN", 6, 1 },
20846		{ "CL5_PAR_RDQUEUE_ERROR_EN", 5, 1 },
20847		{ "CL4_PAR_RDQUEUE_ERROR_EN", 4, 1 },
20848		{ "CL3_PAR_RDQUEUE_ERROR_EN", 3, 1 },
20849		{ "CL2_PAR_RDQUEUE_ERROR_EN", 2, 1 },
20850		{ "CL1_PAR_RDQUEUE_ERROR_EN", 1, 1 },
20851		{ "CL0_PAR_RDQUEUE_ERROR_EN", 0, 1 },
20852	{ "MA_PARITY_ERROR_STATUS1", 0x77f4, 0 },
20853		{ "TP_DMARBT_PAR_ERROR", 31, 1 },
20854		{ "LOGIC_FIFO_PAR_ERROR", 30, 1 },
20855		{ "ARB3_PAR_WRQUEUE_ERROR", 29, 1 },
20856		{ "ARB2_PAR_WRQUEUE_ERROR", 28, 1 },
20857		{ "ARB1_PAR_WRQUEUE_ERROR", 27, 1 },
20858		{ "ARB0_PAR_WRQUEUE_ERROR", 26, 1 },
20859		{ "ARB3_PAR_RDQUEUE_ERROR", 25, 1 },
20860		{ "ARB2_PAR_RDQUEUE_ERROR", 24, 1 },
20861		{ "ARB1_PAR_RDQUEUE_ERROR", 23, 1 },
20862		{ "ARB0_PAR_RDQUEUE_ERROR", 22, 1 },
20863		{ "CL10_PAR_WRQUEUE_ERROR", 21, 1 },
20864		{ "CL9_PAR_WRQUEUE_ERROR", 20, 1 },
20865		{ "CL8_PAR_WRQUEUE_ERROR", 19, 1 },
20866		{ "CL7_PAR_WRQUEUE_ERROR", 18, 1 },
20867		{ "CL6_PAR_WRQUEUE_ERROR", 17, 1 },
20868		{ "CL5_PAR_WRQUEUE_ERROR", 16, 1 },
20869		{ "CL4_PAR_WRQUEUE_ERROR", 15, 1 },
20870		{ "CL3_PAR_WRQUEUE_ERROR", 14, 1 },
20871		{ "CL2_PAR_WRQUEUE_ERROR", 13, 1 },
20872		{ "CL1_PAR_WRQUEUE_ERROR", 12, 1 },
20873		{ "CL0_PAR_WRQUEUE_ERROR", 11, 1 },
20874		{ "CL10_PAR_RDQUEUE_ERROR", 10, 1 },
20875		{ "CL9_PAR_RDQUEUE_ERROR", 9, 1 },
20876		{ "CL8_PAR_RDQUEUE_ERROR", 8, 1 },
20877		{ "CL7_PAR_RDQUEUE_ERROR", 7, 1 },
20878		{ "CL6_PAR_RDQUEUE_ERROR", 6, 1 },
20879		{ "CL5_PAR_RDQUEUE_ERROR", 5, 1 },
20880		{ "CL4_PAR_RDQUEUE_ERROR", 4, 1 },
20881		{ "CL3_PAR_RDQUEUE_ERROR", 3, 1 },
20882		{ "CL2_PAR_RDQUEUE_ERROR", 2, 1 },
20883		{ "CL1_PAR_RDQUEUE_ERROR", 1, 1 },
20884		{ "CL0_PAR_RDQUEUE_ERROR", 0, 1 },
20885	{ "MA_SGE_PCIE_COHERANCY_CTRL", 0x77f8, 0 },
20886		{ "BONUS_REG", 6, 26 },
20887		{ "COHERANCY_CMD_TYPE", 4, 2 },
20888		{ "COHERANCY_THREAD_NUM", 1, 3 },
20889		{ "COHERANCY_ENABLE", 0, 1 },
20890	{ "MA_ERROR_ENABLE", 0x77fc, 0 },
20891		{ "FUTURE_EXPANSION_EE", 1, 31 },
20892		{ "UE_ENABLE", 0, 1 },
20893	{ "MA_PARITY_ERROR_ENABLE2", 0x7800, 0 },
20894		{ "ARB4_PAR_WRQUEUE_ERROR_EN", 1, 1 },
20895		{ "ARB4_PAR_RDQUEUE_ERROR_EN", 0, 1 },
20896	{ "MA_PARITY_ERROR_STATUS2", 0x7804, 0 },
20897		{ "ARB4_PAR_WRQUEUE_ERROR", 1, 1 },
20898		{ "ARB4_PAR_RDQUEUE_ERROR", 0, 1 },
20899	{ "MA_EXT_MEMORY1_BAR", 0x7808, 0 },
20900		{ "EXT_MEM1_BASE", 16, 12 },
20901		{ "EXT_MEM1_SIZE", 0, 12 },
20902	{ "MA_PMTX_THROTTLE", 0x780c, 0 },
20903		{ "FL_ENABLE", 31, 1 },
20904		{ "FL_LIMIT", 0, 8 },
20905	{ "MA_PMRX_THROTTLE", 0x7810, 0 },
20906		{ "FL_ENABLE", 31, 1 },
20907		{ "FL_LIMIT", 0, 8 },
20908	{ "MA_SGE_TH0_WRDATA_CNT", 0x7814, 0 },
20909	{ "MA_SGE_TH1_WRDATA_CNT", 0x7818, 0 },
20910	{ "MA_ULPTX_WRDATA_CNT", 0x781c, 0 },
20911	{ "MA_ULPRX_WRDATA_CNT", 0x7820, 0 },
20912	{ "MA_ULPTXRX_WRDATA_CNT", 0x7824, 0 },
20913	{ "MA_TP_TH0_WRDATA_CNT", 0x7828, 0 },
20914	{ "MA_TP_TH1_WRDATA_CNT", 0x782c, 0 },
20915	{ "MA_LE_WRDATA_CNT", 0x7830, 0 },
20916	{ "MA_CIM_WRDATA_CNT", 0x7834, 0 },
20917	{ "MA_PCIE_WRDATA_CNT", 0x7838, 0 },
20918	{ "MA_PMTX_WRDATA_CNT", 0x783c, 0 },
20919	{ "MA_PMRX_WRDATA_CNT", 0x7840, 0 },
20920	{ "MA_HMA_WRDATA_CNT", 0x7844, 0 },
20921	{ "MA_SGE_TH0_RDDATA_CNT", 0x7848, 0 },
20922	{ "MA_SGE_TH1_RDDATA_CNT", 0x784c, 0 },
20923	{ "MA_ULPTX_RDDATA_CNT", 0x7850, 0 },
20924	{ "MA_ULPRX_RDDATA_CNT", 0x7854, 0 },
20925	{ "MA_ULPTXRX_RDDATA_CNT", 0x7858, 0 },
20926	{ "MA_TP_TH0_RDDATA_CNT", 0x785c, 0 },
20927	{ "MA_TP_TH1_RDDATA_CNT", 0x7860, 0 },
20928	{ "MA_LE_RDDATA_CNT", 0x7864, 0 },
20929	{ "MA_CIM_RDDATA_CNT", 0x7868, 0 },
20930	{ "MA_PCIE_RDDATA_CNT", 0x786c, 0 },
20931	{ "MA_PMTX_RDDATA_CNT", 0x7870, 0 },
20932	{ "MA_PMRX_RDDATA_CNT", 0x7874, 0 },
20933	{ "MA_HMA_RDDATA_CNT", 0x7878, 0 },
20934	{ "MA_EXIT_ADDR_FAULT", 0x787c, 0 },
20935	{ "MA_DDR_DEVICE_CFG", 0x7880, 0 },
20936		{ "MEM_WIDTH", 1, 3 },
20937		{ "DDR_MODE", 0, 1 },
20938	{ "MA_TIMEOUT_CFG", 0x78cc, 0 },
20939		{ "CLR", 31, 1 },
20940		{ "CNT_LOCK", 30, 1 },
20941		{ "WRN", 24, 1 },
20942		{ "DIR", 23, 1 },
20943		{ "TYPE", 22, 1 },
20944		{ "CLIENT", 16, 4 },
20945		{ "DELAY", 0, 16 },
20946	{ "MA_TIMEOUT_CNT", 0x78d0, 0 },
20947		{ "DIR", 23, 1 },
20948		{ "TYPE", 22, 1 },
20949		{ "CLIENT", 16, 4 },
20950		{ "CNT_VAL", 0, 16 },
20951	{ "MA_WRITE_TIMEOUT_ERROR_ENABLE", 0x78d4, 0 },
20952		{ "FUTURE_CEXPANSION_WTE", 29, 3 },
20953		{ "CL12_WR_CMD_TO_EN", 28, 1 },
20954		{ "CL11_WR_CMD_TO_EN", 27, 1 },
20955		{ "CL10_WR_CMD_TO_EN", 26, 1 },
20956		{ "CL9_WR_CMD_TO_EN", 25, 1 },
20957		{ "CL8_WR_CMD_TO_EN", 24, 1 },
20958		{ "CL7_WR_CMD_TO_EN", 23, 1 },
20959		{ "CL6_WR_CMD_TO_EN", 22, 1 },
20960		{ "CL5_WR_CMD_TO_EN", 21, 1 },
20961		{ "CL4_WR_CMD_TO_EN", 20, 1 },
20962		{ "CL3_WR_CMD_TO_EN", 19, 1 },
20963		{ "CL2_WR_CMD_TO_EN", 18, 1 },
20964		{ "CL1_WR_CMD_TO_EN", 17, 1 },
20965		{ "CL0_WR_CMD_TO_EN", 16, 1 },
20966		{ "FUTURE_DEXPANSION_WTE", 13, 3 },
20967		{ "CL12_WR_DATA_TO_EN", 12, 1 },
20968		{ "CL11_WR_DATA_TO_EN", 11, 1 },
20969		{ "CL10_WR_DATA_TO_EN", 10, 1 },
20970		{ "CL9_WR_DATA_TO_EN", 9, 1 },
20971		{ "CL8_WR_DATA_TO_EN", 8, 1 },
20972		{ "CL7_WR_DATA_TO_EN", 7, 1 },
20973		{ "CL6_WR_DATA_TO_EN", 6, 1 },
20974		{ "CL5_WR_DATA_TO_EN", 5, 1 },
20975		{ "CL4_WR_DATA_TO_EN", 4, 1 },
20976		{ "CL3_WR_DATA_TO_EN", 3, 1 },
20977		{ "CL2_WR_DATA_TO_EN", 2, 1 },
20978		{ "CL1_WR_DATA_TO_EN", 1, 1 },
20979		{ "CL0_WR_DATA_TO_EN", 0, 1 },
20980	{ "MA_WRITE_TIMEOUT_ERROR_STATUS", 0x78d8, 0 },
20981		{ "FUTURE_CEXPANSION_WTS", 29, 3 },
20982		{ "CL12_WR_CMD_TO_ERROR", 28, 1 },
20983		{ "CL11_WR_CMD_TO_ERROR", 27, 1 },
20984		{ "CL10_WR_CMD_TO_ERROR", 26, 1 },
20985		{ "CL9_WR_CMD_TO_ERROR", 25, 1 },
20986		{ "CL8_WR_CMD_TO_ERROR", 24, 1 },
20987		{ "CL7_WR_CMD_TO_ERROR", 23, 1 },
20988		{ "CL6_WR_CMD_TO_ERROR", 22, 1 },
20989		{ "CL5_WR_CMD_TO_ERROR", 21, 1 },
20990		{ "CL4_WR_CMD_TO_ERROR", 20, 1 },
20991		{ "CL3_WR_CMD_TO_ERROR", 19, 1 },
20992		{ "CL2_WR_CMD_TO_ERROR", 18, 1 },
20993		{ "CL1_WR_CMD_TO_ERROR", 17, 1 },
20994		{ "CL0_WR_CMD_TO_ERROR", 16, 1 },
20995		{ "FUTURE_DEXPANSION_WTS", 13, 3 },
20996		{ "CL12_WR_DATA_TO_ERROR", 12, 1 },
20997		{ "CL11_WR_DATA_TO_ERROR", 11, 1 },
20998		{ "CL10_WR_DATA_TO_ERROR", 10, 1 },
20999		{ "CL9_WR_DATA_TO_ERROR", 9, 1 },
21000		{ "CL8_WR_DATA_TO_ERROR", 8, 1 },
21001		{ "CL7_WR_DATA_TO_ERROR", 7, 1 },
21002		{ "CL6_WR_DATA_TO_ERROR", 6, 1 },
21003		{ "CL5_WR_DATA_TO_ERROR", 5, 1 },
21004		{ "CL4_WR_DATA_TO_ERROR", 4, 1 },
21005		{ "CL3_WR_DATA_TO_ERROR", 3, 1 },
21006		{ "CL2_WR_DATA_TO_ERROR", 2, 1 },
21007		{ "CL1_WR_DATA_TO_ERROR", 1, 1 },
21008		{ "CL0_WR_DATA_TO_ERROR", 0, 1 },
21009	{ "MA_READ_TIMEOUT_ERROR_ENABLE", 0x78dc, 0 },
21010		{ "FUTURE_CEXPANSION_RTE", 29, 3 },
21011		{ "CL12_RD_CMD_TO_EN", 28, 1 },
21012		{ "CL11_RD_CMD_TO_EN", 27, 1 },
21013		{ "CL10_RD_CMD_TO_EN", 26, 1 },
21014		{ "CL9_RD_CMD_TO_EN", 25, 1 },
21015		{ "CL8_RD_CMD_TO_EN", 24, 1 },
21016		{ "CL7_RD_CMD_TO_EN", 23, 1 },
21017		{ "CL6_RD_CMD_TO_EN", 22, 1 },
21018		{ "CL5_RD_CMD_TO_EN", 21, 1 },
21019		{ "CL4_RD_CMD_TO_EN", 20, 1 },
21020		{ "CL3_RD_CMD_TO_EN", 19, 1 },
21021		{ "CL2_RD_CMD_TO_EN", 18, 1 },
21022		{ "CL1_RD_CMD_TO_EN", 17, 1 },
21023		{ "CL0_RD_CMD_TO_EN", 16, 1 },
21024		{ "FUTURE_DEXPANSION_RTE", 13, 3 },
21025		{ "CL12_RD_DATA_TO_EN", 12, 1 },
21026		{ "CL11_RD_DATA_TO_EN", 11, 1 },
21027		{ "CL10_RD_DATA_TO_EN", 10, 1 },
21028		{ "CL9_RD_DATA_TO_EN", 9, 1 },
21029		{ "CL8_RD_DATA_TO_EN", 8, 1 },
21030		{ "CL7_RD_DATA_TO_EN", 7, 1 },
21031		{ "CL6_RD_DATA_TO_EN", 6, 1 },
21032		{ "CL5_RD_DATA_TO_EN", 5, 1 },
21033		{ "CL4_RD_DATA_TO_EN", 4, 1 },
21034		{ "CL3_RD_DATA_TO_EN", 3, 1 },
21035		{ "CL2_RD_DATA_TO_EN", 2, 1 },
21036		{ "CL1_RD_DATA_TO_EN", 1, 1 },
21037		{ "CL0_RD_DATA_TO_EN", 0, 1 },
21038	{ "MA_READ_TIMEOUT_ERROR_STATUS", 0x78e0, 0 },
21039		{ "FUTURE_CEXPANSION_RTS", 29, 3 },
21040		{ "CL12_RD_CMD_TO_ERROR", 28, 1 },
21041		{ "CL11_RD_CMD_TO_ERROR", 27, 1 },
21042		{ "CL10_RD_CMD_TO_ERROR", 26, 1 },
21043		{ "CL9_RD_CMD_TO_ERROR", 25, 1 },
21044		{ "CL8_RD_CMD_TO_ERROR", 24, 1 },
21045		{ "CL7_RD_CMD_TO_ERROR", 23, 1 },
21046		{ "CL6_RD_CMD_TO_ERROR", 22, 1 },
21047		{ "CL5_RD_CMD_TO_ERROR", 21, 1 },
21048		{ "CL4_RD_CMD_TO_ERROR", 20, 1 },
21049		{ "CL3_RD_CMD_TO_ERROR", 19, 1 },
21050		{ "CL2_RD_CMD_TO_ERROR", 18, 1 },
21051		{ "CL1_RD_CMD_TO_ERROR", 17, 1 },
21052		{ "CL0_RD_CMD_TO_ERROR", 16, 1 },
21053		{ "FUTURE_DEXPANSION_RTS", 13, 3 },
21054		{ "CL12_RD_DATA_TO_ERROR", 12, 1 },
21055		{ "CL11_RD_DATA_TO_ERROR", 11, 1 },
21056		{ "CL10_RD_DATA_TO_ERROR", 10, 1 },
21057		{ "CL9_RD_DATA_TO_ERROR", 9, 1 },
21058		{ "CL8_RD_DATA_TO_ERROR", 8, 1 },
21059		{ "CL7_RD_DATA_TO_ERROR", 7, 1 },
21060		{ "CL6_RD_DATA_TO_ERROR", 6, 1 },
21061		{ "CL5_RD_DATA_TO_ERROR", 5, 1 },
21062		{ "CL4_RD_DATA_TO_ERROR", 4, 1 },
21063		{ "CL3_RD_DATA_TO_ERROR", 3, 1 },
21064		{ "CL2_RD_DATA_TO_ERROR", 2, 1 },
21065		{ "CL1_RD_DATA_TO_ERROR", 1, 1 },
21066		{ "CL0_RD_DATA_TO_ERROR", 0, 1 },
21067	{ "MA_BKP_CNT_SEL", 0x78e4, 0 },
21068		{ "TYPE", 30, 2 },
21069		{ "CLIENT", 24, 4 },
21070	{ "MA_BKP_CNT", 0x78e8, 0 },
21071	{ "MA_WRT_ARB", 0x78ec, 0 },
21072		{ "WRT_EN", 31, 1 },
21073		{ "WR_TIM", 16, 8 },
21074		{ "RD_WIN", 8, 8 },
21075		{ "WR_WIN", 0, 8 },
21076	{ "MA_IF_PARITY_ERROR_ENABLE", 0x78f0, 0 },
21077		{ "FUTURE_DEXPANSION_IPE", 13, 19 },
21078		{ "CL12_IF_PAR_EN", 12, 1 },
21079		{ "CL11_IF_PAR_EN", 11, 1 },
21080		{ "CL10_IF_PAR_EN", 10, 1 },
21081		{ "CL9_IF_PAR_EN", 9, 1 },
21082		{ "CL8_IF_PAR_EN", 8, 1 },
21083		{ "CL7_IF_PAR_EN", 7, 1 },
21084		{ "CL6_IF_PAR_EN", 6, 1 },
21085		{ "CL5_IF_PAR_EN", 5, 1 },
21086		{ "CL4_IF_PAR_EN", 4, 1 },
21087		{ "CL3_IF_PAR_EN", 3, 1 },
21088		{ "CL2_IF_PAR_EN", 2, 1 },
21089		{ "CL1_IF_PAR_EN", 1, 1 },
21090		{ "CL0_IF_PAR_EN", 0, 1 },
21091	{ "MA_IF_PARITY_ERROR_STATUS", 0x78f4, 0 },
21092		{ "FUTURE_DEXPANSION_IPS", 13, 19 },
21093		{ "CL12_IF_PAR_ERROR", 12, 1 },
21094		{ "CL11_IF_PAR_ERROR", 11, 1 },
21095		{ "CL10_IF_PAR_ERROR", 10, 1 },
21096		{ "CL9_IF_PAR_ERROR", 9, 1 },
21097		{ "CL8_IF_PAR_ERROR", 8, 1 },
21098		{ "CL7_IF_PAR_ERROR", 7, 1 },
21099		{ "CL6_IF_PAR_ERROR", 6, 1 },
21100		{ "CL5_IF_PAR_ERROR", 5, 1 },
21101		{ "CL4_IF_PAR_ERROR", 4, 1 },
21102		{ "CL3_IF_PAR_ERROR", 3, 1 },
21103		{ "CL2_IF_PAR_ERROR", 2, 1 },
21104		{ "CL1_IF_PAR_ERROR", 1, 1 },
21105		{ "CL0_IF_PAR_ERROR", 0, 1 },
21106	{ "MA_LOCAL_DEBUG_CFG", 0x78f8, 0 },
21107		{ "DEBUG_OR", 15, 1 },
21108		{ "DEBUG_HI", 14, 1 },
21109		{ "DEBUG_RPT", 13, 1 },
21110		{ "DEBUGPAGE", 10, 3 },
21111		{ "DEBUGSELH", 5, 5 },
21112		{ "DEBUGSELL", 0, 5 },
21113	{ "MA_LOCAL_DEBUG_RPT", 0x78fc, 0 },
21114	{ NULL }
21115};
21116
21117struct reg_info t6_cim_regs[] = {
21118	{ "CIM_BOOT_CFG", 0x7b00, 0 },
21119		{ "BootAddr", 8, 24 },
21120		{ "uPGen", 2, 6 },
21121		{ "BootSdram", 1, 1 },
21122		{ "uPCRst", 0, 1 },
21123	{ "CIM_BOOT_LEN", 0x7bf0, 0 },
21124		{ "BootLen", 4, 28 },
21125	{ "CIM_FLASH_BASE_ADDR", 0x7b04, 0 },
21126		{ "FlashBaseAddr", 6, 18 },
21127	{ "CIM_FLASH_ADDR_SIZE", 0x7b08, 0 },
21128		{ "FlashAddrSize", 4, 20 },
21129	{ "CIM_EEPROM_BASE_ADDR", 0x7b0c, 0 },
21130		{ "EEPROMBaseAddr", 6, 18 },
21131	{ "CIM_EEPROM_ADDR_SIZE", 0x7b10, 0 },
21132		{ "EEPROMAddrSize", 4, 20 },
21133	{ "CIM_SDRAM_BASE_ADDR", 0x7b14, 0 },
21134		{ "SdramBaseAddr", 6, 26 },
21135	{ "CIM_SDRAM_ADDR_SIZE", 0x7b18, 0 },
21136		{ "SdramAddrSize", 4, 28 },
21137	{ "CIM_EXTMEM2_BASE_ADDR", 0x7b1c, 0 },
21138		{ "ExtMem2BaseAddr", 6, 26 },
21139	{ "CIM_EXTMEM2_ADDR_SIZE", 0x7b20, 0 },
21140		{ "ExtMem2AddrSize", 4, 28 },
21141	{ "CIM_UP_SPARE_INT", 0x7b24, 0 },
21142		{ "TDebugInt", 4, 1 },
21143		{ "BootVecSel", 3, 1 },
21144		{ "uPSpareInt", 0, 3 },
21145	{ "CIM_HOST_INT_ENABLE", 0x7b28, 0 },
21146		{ "PCIE2CIMIntfParErr", 29, 1 },
21147		{ "ma_cim_IntfPerr", 28, 1 },
21148		{ "PLCIM_MstRspDataParErr", 27, 1 },
21149		{ "NCSI2CIMIntfParErr", 26, 1 },
21150		{ "SGE2CIMIntfParErr", 25, 1 },
21151		{ "ULP2CIMIntfParErr", 24, 1 },
21152		{ "TP2CIMIntfParErr", 23, 1 },
21153		{ "OBQSGERx1ParErr", 22, 1 },
21154		{ "OBQSGERx0ParErr", 21, 1 },
21155		{ "TieQOutParErrIntEn", 20, 1 },
21156		{ "TieQInParErrIntEn", 19, 1 },
21157		{ "MBHostParErr", 18, 1 },
21158		{ "MBuPParErr", 17, 1 },
21159		{ "IBQTP0ParErr", 16, 1 },
21160		{ "IBQTP1ParErr", 15, 1 },
21161		{ "IBQULPParErr", 14, 1 },
21162		{ "IBQSGELOParErr", 13, 1 },
21163		{ "IBQPCIEParErr", 12, 1 },
21164		{ "IBQNCSIParErr", 11, 1 },
21165		{ "OBQULP0ParErr", 10, 1 },
21166		{ "OBQULP1ParErr", 9, 1 },
21167		{ "OBQULP2ParErr", 8, 1 },
21168		{ "OBQULP3ParErr", 7, 1 },
21169		{ "OBQSGEParErr", 6, 1 },
21170		{ "OBQNCSIParErr", 5, 1 },
21171		{ "Timer1IntEn", 3, 1 },
21172		{ "Timer0IntEn", 2, 1 },
21173		{ "PrefDropIntEn", 1, 1 },
21174	{ "CIM_HOST_INT_CAUSE", 0x7b2c, 0 },
21175		{ "PCIE2CIMIntfParErr", 29, 1 },
21176		{ "ma_cim_IntfPerr", 28, 1 },
21177		{ "PLCIM_MstRspDataParErr", 27, 1 },
21178		{ "NCSI2CIMIntfParErr", 26, 1 },
21179		{ "SGE2CIMIntfParErr", 25, 1 },
21180		{ "ULP2CIMIntfParErr", 24, 1 },
21181		{ "TP2CIMIntfParErr", 23, 1 },
21182		{ "OBQSGERx1ParErr", 22, 1 },
21183		{ "OBQSGERx0ParErr", 21, 1 },
21184		{ "TieQOutParErrInt", 20, 1 },
21185		{ "TieQInParErrInt", 19, 1 },
21186		{ "MBHostParErr", 18, 1 },
21187		{ "IBQTP0ParErr", 16, 1 },
21188		{ "IBQTP1ParErr", 15, 1 },
21189		{ "IBQULPParErr", 14, 1 },
21190		{ "IBQSGELOParErr", 13, 1 },
21191		{ "IBQPCIEParErr", 12, 1 },
21192		{ "IBQNCSIParErr", 11, 1 },
21193		{ "OBQULP0ParErr", 10, 1 },
21194		{ "OBQULP1ParErr", 9, 1 },
21195		{ "OBQULP2ParErr", 8, 1 },
21196		{ "OBQULP3ParErr", 7, 1 },
21197		{ "OBQSGEParErr", 6, 1 },
21198		{ "OBQNCSIParErr", 5, 1 },
21199		{ "Timer1Int", 3, 1 },
21200		{ "Timer0Int", 2, 1 },
21201		{ "PrefDropInt", 1, 1 },
21202		{ "uPAccNonZero", 0, 1 },
21203	{ "CIM_HOST_UPACC_INT_ENABLE", 0x7b30, 0 },
21204		{ "EEPROMWRIntEn", 30, 1 },
21205		{ "TimeOutMAIntEn", 29, 1 },
21206		{ "TimeOutIntEn", 28, 1 },
21207		{ "RspOvrLookupIntEn", 27, 1 },
21208		{ "ReqOvrLookupIntEn", 26, 1 },
21209		{ "BlkWrPlIntEn", 25, 1 },
21210		{ "BlkRdPlIntEn", 24, 1 },
21211		{ "SglWrPlIntEn", 23, 1 },
21212		{ "SglRdPlIntEn", 22, 1 },
21213		{ "BlkWrCtlIntEn", 21, 1 },
21214		{ "BlkRdCtlIntEn", 20, 1 },
21215		{ "SglWrCtlIntEn", 19, 1 },
21216		{ "SglRdCtlIntEn", 18, 1 },
21217		{ "BlkWrEEPROMIntEn", 17, 1 },
21218		{ "BlkRdEEPROMIntEn", 16, 1 },
21219		{ "SglWrEEPROMIntEn", 15, 1 },
21220		{ "SglRdEEPROMIntEn", 14, 1 },
21221		{ "BlkWrFlashIntEn", 13, 1 },
21222		{ "BlkRdFlashIntEn", 12, 1 },
21223		{ "SglWrFlashIntEn", 11, 1 },
21224		{ "SglRdFlashIntEn", 10, 1 },
21225		{ "BlkWrBootIntEn", 9, 1 },
21226		{ "BlkRdBootIntEn", 8, 1 },
21227		{ "SglWrBootIntEn", 7, 1 },
21228		{ "SglRdBootIntEn", 6, 1 },
21229		{ "IllWrBEIntEn", 5, 1 },
21230		{ "IllRdBEIntEn", 4, 1 },
21231		{ "IllRdIntEn", 3, 1 },
21232		{ "IllWrIntEn", 2, 1 },
21233		{ "IllTransIntEn", 1, 1 },
21234		{ "RsvdSpaceIntEn", 0, 1 },
21235	{ "CIM_HOST_UPACC_INT_CAUSE", 0x7b34, 0 },
21236		{ "EEPROMWRInt", 30, 1 },
21237		{ "TimeOutMAInt", 29, 1 },
21238		{ "TimeOutInt", 28, 1 },
21239		{ "RspOvrLookupInt", 27, 1 },
21240		{ "ReqOvrLookupInt", 26, 1 },
21241		{ "BlkWrPlInt", 25, 1 },
21242		{ "BlkRdPlInt", 24, 1 },
21243		{ "SglWrPlInt", 23, 1 },
21244		{ "SglRdPlInt", 22, 1 },
21245		{ "BlkWrCtlInt", 21, 1 },
21246		{ "BlkRdCtlInt", 20, 1 },
21247		{ "SglWrCtlInt", 19, 1 },
21248		{ "SglRdCtlInt", 18, 1 },
21249		{ "BlkWrEEPROMInt", 17, 1 },
21250		{ "BlkRdEEPROMInt", 16, 1 },
21251		{ "SglWrEEPROMInt", 15, 1 },
21252		{ "SglRdEEPROMInt", 14, 1 },
21253		{ "BlkWrFlashInt", 13, 1 },
21254		{ "BlkRdFlashInt", 12, 1 },
21255		{ "SglWrFlashInt", 11, 1 },
21256		{ "SglRdFlashInt", 10, 1 },
21257		{ "BlkWrBootInt", 9, 1 },
21258		{ "BlkRdBootInt", 8, 1 },
21259		{ "SglWrBootInt", 7, 1 },
21260		{ "SglRdBootInt", 6, 1 },
21261		{ "IllWrBEInt", 5, 1 },
21262		{ "IllRdBEInt", 4, 1 },
21263		{ "IllRdInt", 3, 1 },
21264		{ "IllWrInt", 2, 1 },
21265		{ "IllTransInt", 1, 1 },
21266		{ "RsvdSpaceInt", 0, 1 },
21267	{ "CIM_UP_INT_ENABLE", 0x7b38, 0 },
21268		{ "PCIE2CIMIntfParErr", 29, 1 },
21269		{ "ma_cim_IntfPerr", 28, 1 },
21270		{ "PLCIM_MstRspDataParErr", 27, 1 },
21271		{ "NCSI2CIMIntfParErr", 26, 1 },
21272		{ "SGE2CIMIntfParErr", 25, 1 },
21273		{ "ULP2CIMIntfParErr", 24, 1 },
21274		{ "TP2CIMIntfParErr", 23, 1 },
21275		{ "OBQSGERx1ParErr", 22, 1 },
21276		{ "OBQSGERx0ParErr", 21, 1 },
21277		{ "TieQOutParErrIntEn", 20, 1 },
21278		{ "TieQInParErrIntEn", 19, 1 },
21279		{ "MBHostParErr", 18, 1 },
21280		{ "MBuPParErr", 17, 1 },
21281		{ "IBQTP0ParErr", 16, 1 },
21282		{ "IBQTP1ParErr", 15, 1 },
21283		{ "IBQULPParErr", 14, 1 },
21284		{ "IBQSGELOParErr", 13, 1 },
21285		{ "IBQPCIEParErr", 12, 1 },
21286		{ "IBQNCSIParErr", 11, 1 },
21287		{ "OBQULP0ParErr", 10, 1 },
21288		{ "OBQULP1ParErr", 9, 1 },
21289		{ "OBQULP2ParErr", 8, 1 },
21290		{ "OBQULP3ParErr", 7, 1 },
21291		{ "OBQSGEParErr", 6, 1 },
21292		{ "OBQNCSIParErr", 5, 1 },
21293		{ "MstPlIntEn", 4, 1 },
21294		{ "Timer1IntEn", 3, 1 },
21295		{ "Timer0IntEn", 2, 1 },
21296		{ "PrefDropIntEn", 1, 1 },
21297	{ "CIM_UP_INT_CAUSE", 0x7b3c, 0 },
21298		{ "PCIE2CIMIntfParErr", 29, 1 },
21299		{ "ma_cim_IntfPerr", 28, 1 },
21300		{ "PLCIM_MstRspDataParErr", 27, 1 },
21301		{ "NCSI2CIMIntfParErr", 26, 1 },
21302		{ "SGE2CIMIntfParErr", 25, 1 },
21303		{ "ULP2CIMIntfParErr", 24, 1 },
21304		{ "TP2CIMIntfParErr", 23, 1 },
21305		{ "OBQSGERx1ParErr", 22, 1 },
21306		{ "OBQSGERx0ParErr", 21, 1 },
21307		{ "TieQOutParErrInt", 20, 1 },
21308		{ "TieQInParErrInt", 19, 1 },
21309		{ "MBHostParErr", 18, 1 },
21310		{ "IBQTP0ParErr", 16, 1 },
21311		{ "IBQTP1ParErr", 15, 1 },
21312		{ "IBQULPParErr", 14, 1 },
21313		{ "IBQSGELOParErr", 13, 1 },
21314		{ "IBQPCIEParErr", 12, 1 },
21315		{ "IBQNCSIParErr", 11, 1 },
21316		{ "OBQULP0ParErr", 10, 1 },
21317		{ "OBQULP1ParErr", 9, 1 },
21318		{ "OBQULP2ParErr", 8, 1 },
21319		{ "OBQULP3ParErr", 7, 1 },
21320		{ "OBQSGEParErr", 6, 1 },
21321		{ "OBQNCSIParErr", 5, 1 },
21322		{ "MstPlInt", 4, 1 },
21323		{ "Timer1Int", 3, 1 },
21324		{ "Timer0Int", 2, 1 },
21325		{ "PrefDropInt", 1, 1 },
21326		{ "uPAccNonZero", 0, 1 },
21327	{ "CIM_UP_ACC_INT_ENABLE", 0x7b40, 0 },
21328		{ "EEPROMWRIntEn", 30, 1 },
21329		{ "TimeOutMAIntEn", 29, 1 },
21330		{ "TimeOutIntEn", 28, 1 },
21331		{ "RspOvrLookupIntEn", 27, 1 },
21332		{ "ReqOvrLookupIntEn", 26, 1 },
21333		{ "BlkWrPlIntEn", 25, 1 },
21334		{ "BlkRdPlIntEn", 24, 1 },
21335		{ "SglWrPlIntEn", 23, 1 },
21336		{ "SglRdPlIntEn", 22, 1 },
21337		{ "BlkWrCtlIntEn", 21, 1 },
21338		{ "BlkRdCtlIntEn", 20, 1 },
21339		{ "SglWrCtlIntEn", 19, 1 },
21340		{ "SglRdCtlIntEn", 18, 1 },
21341		{ "BlkWrEEPROMIntEn", 17, 1 },
21342		{ "BlkRdEEPROMIntEn", 16, 1 },
21343		{ "SglWrEEPROMIntEn", 15, 1 },
21344		{ "SglRdEEPROMIntEn", 14, 1 },
21345		{ "BlkWrFlashIntEn", 13, 1 },
21346		{ "BlkRdFlashIntEn", 12, 1 },
21347		{ "SglWrFlashIntEn", 11, 1 },
21348		{ "SglRdFlashIntEn", 10, 1 },
21349		{ "BlkWrBootIntEn", 9, 1 },
21350		{ "BlkRdBootIntEn", 8, 1 },
21351		{ "SglWrBootIntEn", 7, 1 },
21352		{ "SglRdBootIntEn", 6, 1 },
21353		{ "IllWrBEIntEn", 5, 1 },
21354		{ "IllRdBEIntEn", 4, 1 },
21355		{ "IllRdIntEn", 3, 1 },
21356		{ "IllWrIntEn", 2, 1 },
21357		{ "IllTransIntEn", 1, 1 },
21358		{ "RsvdSpaceIntEn", 0, 1 },
21359	{ "CIM_UP_ACC_INT_CAUSE", 0x7b44, 0 },
21360		{ "EEPROMWRInt", 30, 1 },
21361		{ "TimeOutMAInt", 29, 1 },
21362		{ "TimeOutInt", 28, 1 },
21363		{ "RspOvrLookupInt", 27, 1 },
21364		{ "ReqOvrLookupInt", 26, 1 },
21365		{ "BlkWrPlInt", 25, 1 },
21366		{ "BlkRdPlInt", 24, 1 },
21367		{ "SglWrPlInt", 23, 1 },
21368		{ "SglRdPlInt", 22, 1 },
21369		{ "BlkWrCtlInt", 21, 1 },
21370		{ "BlkRdCtlInt", 20, 1 },
21371		{ "SglWrCtlInt", 19, 1 },
21372		{ "SglRdCtlInt", 18, 1 },
21373		{ "BlkWrEEPROMInt", 17, 1 },
21374		{ "BlkRdEEPROMInt", 16, 1 },
21375		{ "SglWrEEPROMInt", 15, 1 },
21376		{ "SglRdEEPROMInt", 14, 1 },
21377		{ "BlkWrFlashInt", 13, 1 },
21378		{ "BlkRdFlashInt", 12, 1 },
21379		{ "SglWrFlashInt", 11, 1 },
21380		{ "SglRdFlashInt", 10, 1 },
21381		{ "BlkWrBootInt", 9, 1 },
21382		{ "BlkRdBootInt", 8, 1 },
21383		{ "SglWrBootInt", 7, 1 },
21384		{ "SglRdBootInt", 6, 1 },
21385		{ "IllWrBEInt", 5, 1 },
21386		{ "IllRdBEInt", 4, 1 },
21387		{ "IllRdInt", 3, 1 },
21388		{ "IllWrInt", 2, 1 },
21389		{ "IllTransInt", 1, 1 },
21390		{ "RsvdSpaceInt", 0, 1 },
21391	{ "CIM_QUEUE_CONFIG_REF", 0x7b48, 0 },
21392		{ "OBQSelect", 4, 1 },
21393		{ "IBQSelect", 3, 1 },
21394		{ "QueNumSelect", 0, 3 },
21395	{ "CIM_QUEUE_CONFIG_CTRL", 0x7b4c, 0 },
21396		{ "Que1KEn", 30, 1 },
21397		{ "QueSize", 24, 6 },
21398		{ "QueBase", 16, 6 },
21399		{ "QueDbg8BEn", 9, 1 },
21400		{ "QueFullThrsh", 0, 9 },
21401	{ "CIM_HOST_ACC_CTRL", 0x7b50, 0 },
21402		{ "HostBusy", 17, 1 },
21403		{ "HostWrite", 16, 1 },
21404		{ "HostAddr", 0, 16 },
21405	{ "CIM_HOST_ACC_DATA", 0x7b54, 0 },
21406	{ "CIM_CDEBUGDATA", 0x7b58, 0 },
21407		{ "CDebugDataH", 16, 16 },
21408		{ "CDebugDataL", 0, 16 },
21409	{ "CIM_IBQ_DBG_CFG", 0x7b60, 0 },
21410		{ "IbqDbgAddr", 16, 12 },
21411		{ "IbqDbgWr", 2, 1 },
21412		{ "IbqDbgBusy", 1, 1 },
21413		{ "IbqDbgEn", 0, 1 },
21414	{ "CIM_OBQ_DBG_CFG", 0x7b64, 0 },
21415		{ "ObqDbgAddr", 16, 12 },
21416		{ "ObqDbgWr", 2, 1 },
21417		{ "ObqDbgBusy", 1, 1 },
21418		{ "ObqDbgEn", 0, 1 },
21419	{ "CIM_IBQ_DBG_DATA", 0x7b68, 0 },
21420	{ "CIM_OBQ_DBG_DATA", 0x7b6c, 0 },
21421	{ "CIM_DEBUGCFG", 0x7b70, 0 },
21422		{ "POLADbgRdPtr", 23, 9 },
21423		{ "PILADbgRdPtr", 14, 9 },
21424		{ "LAMaskTrig", 13, 1 },
21425		{ "LADbgEn", 12, 1 },
21426		{ "LAFillOnce", 11, 1 },
21427		{ "LAMaskStop", 10, 1 },
21428		{ "DebugSelH", 5, 5 },
21429		{ "DebugSelL", 0, 5 },
21430	{ "CIM_DEBUGSTS", 0x7b74, 0 },
21431		{ "LAReset", 31, 1 },
21432		{ "POLADbgWrPtr", 16, 9 },
21433		{ "PILADbgWrPtr", 0, 9 },
21434	{ "CIM_PO_LA_DEBUGDATA", 0x7b78, 0 },
21435	{ "CIM_PI_LA_DEBUGDATA", 0x7b7c, 0 },
21436	{ "CIM_PO_LA_MADEBUGDATA", 0x7b80, 0 },
21437	{ "CIM_PI_LA_MADEBUGDATA", 0x7b84, 0 },
21438	{ "CIM_PO_LA_PIFSMDEBUGDATA", 0x7b8c, 0 },
21439	{ "CIM_MEM_ZONE0_VA", 0x7b90, 0 },
21440		{ "MEM_ZONE_VA", 4, 28 },
21441	{ "CIM_MEM_ZONE0_BA", 0x7b94, 0 },
21442		{ "MEM_ZONE_BA", 6, 26 },
21443		{ "PBT_enable", 5, 1 },
21444		{ "ZONE_DST", 0, 2 },
21445	{ "CIM_MEM_ZONE0_LEN", 0x7b98, 0 },
21446		{ "MEM_ZONE_LEN", 4, 28 },
21447	{ "CIM_MEM_ZONE1_VA", 0x7b9c, 0 },
21448		{ "MEM_ZONE_VA", 4, 28 },
21449	{ "CIM_MEM_ZONE1_BA", 0x7ba0, 0 },
21450		{ "MEM_ZONE_BA", 6, 26 },
21451		{ "PBT_enable", 5, 1 },
21452		{ "ZONE_DST", 0, 2 },
21453	{ "CIM_MEM_ZONE1_LEN", 0x7ba4, 0 },
21454		{ "MEM_ZONE_LEN", 4, 28 },
21455	{ "CIM_MEM_ZONE2_VA", 0x7ba8, 0 },
21456		{ "MEM_ZONE_VA", 4, 28 },
21457	{ "CIM_MEM_ZONE2_BA", 0x7bac, 0 },
21458		{ "MEM_ZONE_BA", 6, 26 },
21459		{ "PBT_enable", 5, 1 },
21460		{ "ZONE_DST", 0, 2 },
21461	{ "CIM_MEM_ZONE2_LEN", 0x7bb0, 0 },
21462		{ "MEM_ZONE_LEN", 4, 28 },
21463	{ "CIM_MEM_ZONE3_VA", 0x7bb4, 0 },
21464		{ "MEM_ZONE_VA", 4, 28 },
21465	{ "CIM_MEM_ZONE3_BA", 0x7bb8, 0 },
21466		{ "MEM_ZONE_BA", 6, 26 },
21467		{ "PBT_enable", 5, 1 },
21468		{ "ZONE_DST", 0, 2 },
21469	{ "CIM_MEM_ZONE3_LEN", 0x7bbc, 0 },
21470		{ "MEM_ZONE_LEN", 4, 28 },
21471	{ "CIM_MEM_ZONE4_VA", 0x7bc0, 0 },
21472		{ "MEM_ZONE_VA", 4, 28 },
21473	{ "CIM_MEM_ZONE4_BA", 0x7bc4, 0 },
21474		{ "MEM_ZONE_BA", 6, 26 },
21475		{ "PBT_enable", 5, 1 },
21476		{ "ZONE_DST", 0, 2 },
21477	{ "CIM_MEM_ZONE4_LEN", 0x7bc8, 0 },
21478		{ "MEM_ZONE_LEN", 4, 28 },
21479	{ "CIM_MEM_ZONE5_VA", 0x7bcc, 0 },
21480		{ "MEM_ZONE_VA", 4, 28 },
21481	{ "CIM_MEM_ZONE5_BA", 0x7bd0, 0 },
21482		{ "MEM_ZONE_BA", 6, 26 },
21483		{ "PBT_enable", 5, 1 },
21484		{ "ZONE_DST", 0, 2 },
21485	{ "CIM_MEM_ZONE5_LEN", 0x7bd4, 0 },
21486		{ "MEM_ZONE_LEN", 4, 28 },
21487	{ "CIM_MEM_ZONE6_VA", 0x7bd8, 0 },
21488		{ "MEM_ZONE_VA", 4, 28 },
21489	{ "CIM_MEM_ZONE6_BA", 0x7bdc, 0 },
21490		{ "MEM_ZONE_BA", 6, 26 },
21491		{ "PBT_enable", 5, 1 },
21492		{ "ZONE_DST", 0, 2 },
21493	{ "CIM_MEM_ZONE6_LEN", 0x7be0, 0 },
21494		{ "MEM_ZONE_LEN", 4, 28 },
21495	{ "CIM_MEM_ZONE7_VA", 0x7be4, 0 },
21496		{ "MEM_ZONE_VA", 4, 28 },
21497	{ "CIM_MEM_ZONE7_BA", 0x7be8, 0 },
21498		{ "MEM_ZONE_BA", 6, 26 },
21499		{ "PBT_enable", 5, 1 },
21500		{ "ZONE_DST", 0, 2 },
21501	{ "CIM_MEM_ZONE7_LEN", 0x7bec, 0 },
21502		{ "MEM_ZONE_LEN", 4, 28 },
21503	{ "CIM_GLB_TIMER_CTL", 0x7bf4, 0 },
21504		{ "Timer1En", 4, 1 },
21505		{ "Timer0En", 3, 1 },
21506		{ "TimerEn", 1, 1 },
21507	{ "CIM_GLB_TIMER", 0x7bf8, 0 },
21508	{ "CIM_GLB_TIMER_TICK", 0x7bfc, 0 },
21509	{ "CIM_TIMER0", 0x7c00, 0 },
21510	{ "CIM_TIMER1", 0x7c04, 0 },
21511	{ "CIM_DEBUG_ADDR_TIMEOUT", 0x7c08, 0 },
21512		{ "DAddrTimeOut", 2, 30 },
21513		{ "DAddrTimeOutType", 0, 2 },
21514	{ "CIM_DEBUG_ADDR_ILLEGAL", 0x7c0c, 0 },
21515		{ "DAddrIllegal", 2, 30 },
21516		{ "DAddrIllegalType", 0, 2 },
21517	{ "CIM_DEBUG_PIF_CAUSE_MASK", 0x7c10, 0 },
21518	{ "CIM_DEBUG_PIF_UPACC_CAUSE_MASK", 0x7c14, 0 },
21519	{ "CIM_DEBUG_UP_CAUSE_MASK", 0x7c18, 0 },
21520	{ "CIM_DEBUG_UP_UPACC_CAUSE_MASK", 0x7c1c, 0 },
21521	{ "CIM_PERR_INJECT", 0x7c20, 0 },
21522		{ "MemSel", 1, 5 },
21523		{ "InjectDataErr", 0, 1 },
21524	{ "CIM_PERR_ENABLE", 0x7c24, 0 },
21525	{ "CIM_EEPROM_BUSY_BIT", 0x7c28, 0 },
21526	{ "CIM_MA_TIMER_EN", 0x7c2c, 0 },
21527		{ "slow_timer_enable", 1, 1 },
21528		{ "ma_timer_enable", 0, 1 },
21529	{ "CIM_UP_PO_SINGLE_OUTSTANDING", 0x7c30, 0 },
21530	{ "CIM_CIM_DEBUG_SPARE", 0x7c34, 0 },
21531	{ "CIM_UP_OPERATION_FREQ", 0x7c38, 0 },
21532	{ "CIM_CIM_IBQ_ERR_CODE", 0x7c3c, 0 },
21533		{ "CIM_ULP_TX_PKT_ERR_CODE", 16, 8 },
21534		{ "CIM_PCIE_PKT_ERR_CODE", 8, 8 },
21535		{ "CIM_SGE0_PKT_ERR_CODE", 0, 8 },
21536	{ "CIM_IBQ_DBG_WAIT_COUNTER", 0x7c40, 0 },
21537	{ "CIM_PIO_UP_MST_CFG_SEL", 0x7c44, 0 },
21538	{ "CIM_CGEN", 0x7c48, 0 },
21539	{ "CIM_QUEUE_FEATURE_DISABLE", 0x7c4c, 0 },
21540		{ "pcie_obq_if_disable", 5, 1 },
21541		{ "obq_throuttle_on_eop", 4, 1 },
21542		{ "obq_read_ctl_perf_mode_disable", 3, 1 },
21543		{ "obq_wait_for_eop_flush_disable", 2, 1 },
21544		{ "ibq_rra_dsbl", 1, 1 },
21545		{ "ibq_skid_fifo_eop_flsh_dsbl", 0, 1 },
21546	{ "CIM_CGEN_GLOBAL", 0x7c50, 0 },
21547	{ "CIM_DPSLP_EN", 0x7c54, 0 },
21548	{ "CIM_PF_MAILBOX_DATA", 0x1e240, 0 },
21549	{ "CIM_PF_MAILBOX_DATA", 0x1e244, 0 },
21550	{ "CIM_PF_MAILBOX_DATA", 0x1e248, 0 },
21551	{ "CIM_PF_MAILBOX_DATA", 0x1e24c, 0 },
21552	{ "CIM_PF_MAILBOX_DATA", 0x1e250, 0 },
21553	{ "CIM_PF_MAILBOX_DATA", 0x1e254, 0 },
21554	{ "CIM_PF_MAILBOX_DATA", 0x1e258, 0 },
21555	{ "CIM_PF_MAILBOX_DATA", 0x1e25c, 0 },
21556	{ "CIM_PF_MAILBOX_DATA", 0x1e260, 0 },
21557	{ "CIM_PF_MAILBOX_DATA", 0x1e264, 0 },
21558	{ "CIM_PF_MAILBOX_DATA", 0x1e268, 0 },
21559	{ "CIM_PF_MAILBOX_DATA", 0x1e26c, 0 },
21560	{ "CIM_PF_MAILBOX_DATA", 0x1e270, 0 },
21561	{ "CIM_PF_MAILBOX_DATA", 0x1e274, 0 },
21562	{ "CIM_PF_MAILBOX_DATA", 0x1e278, 0 },
21563	{ "CIM_PF_MAILBOX_DATA", 0x1e27c, 0 },
21564	{ "CIM_PF_MAILBOX_CTRL", 0x1e280, 0 },
21565		{ "MBGeneric", 4, 28 },
21566		{ "MBMsgValid", 3, 1 },
21567		{ "MBIntReq", 2, 1 },
21568		{ "MBOwner", 0, 2 },
21569	{ "CIM_PF_MAILBOX_ACC_STATUS", 0x1e284, 0 },
21570		{ "MBWrBusy", 31, 1 },
21571	{ "CIM_PF_HOST_INT_ENABLE", 0x1e288, 0 },
21572		{ "MBMsgRdyIntEn", 19, 1 },
21573	{ "CIM_PF_HOST_INT_CAUSE", 0x1e28c, 0 },
21574		{ "MBMsgRdyInt", 19, 1 },
21575	{ "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1e290, 0 },
21576		{ "MBGeneric", 4, 28 },
21577		{ "MBMsgValid", 3, 1 },
21578		{ "MBIntReq", 2, 1 },
21579		{ "MBOwner", 0, 2 },
21580	{ "CIM_PF_MAILBOX_DATA", 0x1e640, 0 },
21581	{ "CIM_PF_MAILBOX_DATA", 0x1e644, 0 },
21582	{ "CIM_PF_MAILBOX_DATA", 0x1e648, 0 },
21583	{ "CIM_PF_MAILBOX_DATA", 0x1e64c, 0 },
21584	{ "CIM_PF_MAILBOX_DATA", 0x1e650, 0 },
21585	{ "CIM_PF_MAILBOX_DATA", 0x1e654, 0 },
21586	{ "CIM_PF_MAILBOX_DATA", 0x1e658, 0 },
21587	{ "CIM_PF_MAILBOX_DATA", 0x1e65c, 0 },
21588	{ "CIM_PF_MAILBOX_DATA", 0x1e660, 0 },
21589	{ "CIM_PF_MAILBOX_DATA", 0x1e664, 0 },
21590	{ "CIM_PF_MAILBOX_DATA", 0x1e668, 0 },
21591	{ "CIM_PF_MAILBOX_DATA", 0x1e66c, 0 },
21592	{ "CIM_PF_MAILBOX_DATA", 0x1e670, 0 },
21593	{ "CIM_PF_MAILBOX_DATA", 0x1e674, 0 },
21594	{ "CIM_PF_MAILBOX_DATA", 0x1e678, 0 },
21595	{ "CIM_PF_MAILBOX_DATA", 0x1e67c, 0 },
21596	{ "CIM_PF_MAILBOX_CTRL", 0x1e680, 0 },
21597		{ "MBGeneric", 4, 28 },
21598		{ "MBMsgValid", 3, 1 },
21599		{ "MBIntReq", 2, 1 },
21600		{ "MBOwner", 0, 2 },
21601	{ "CIM_PF_MAILBOX_ACC_STATUS", 0x1e684, 0 },
21602		{ "MBWrBusy", 31, 1 },
21603	{ "CIM_PF_HOST_INT_ENABLE", 0x1e688, 0 },
21604		{ "MBMsgRdyIntEn", 19, 1 },
21605	{ "CIM_PF_HOST_INT_CAUSE", 0x1e68c, 0 },
21606		{ "MBMsgRdyInt", 19, 1 },
21607	{ "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1e690, 0 },
21608		{ "MBGeneric", 4, 28 },
21609		{ "MBMsgValid", 3, 1 },
21610		{ "MBIntReq", 2, 1 },
21611		{ "MBOwner", 0, 2 },
21612	{ "CIM_PF_MAILBOX_DATA", 0x1ea40, 0 },
21613	{ "CIM_PF_MAILBOX_DATA", 0x1ea44, 0 },
21614	{ "CIM_PF_MAILBOX_DATA", 0x1ea48, 0 },
21615	{ "CIM_PF_MAILBOX_DATA", 0x1ea4c, 0 },
21616	{ "CIM_PF_MAILBOX_DATA", 0x1ea50, 0 },
21617	{ "CIM_PF_MAILBOX_DATA", 0x1ea54, 0 },
21618	{ "CIM_PF_MAILBOX_DATA", 0x1ea58, 0 },
21619	{ "CIM_PF_MAILBOX_DATA", 0x1ea5c, 0 },
21620	{ "CIM_PF_MAILBOX_DATA", 0x1ea60, 0 },
21621	{ "CIM_PF_MAILBOX_DATA", 0x1ea64, 0 },
21622	{ "CIM_PF_MAILBOX_DATA", 0x1ea68, 0 },
21623	{ "CIM_PF_MAILBOX_DATA", 0x1ea6c, 0 },
21624	{ "CIM_PF_MAILBOX_DATA", 0x1ea70, 0 },
21625	{ "CIM_PF_MAILBOX_DATA", 0x1ea74, 0 },
21626	{ "CIM_PF_MAILBOX_DATA", 0x1ea78, 0 },
21627	{ "CIM_PF_MAILBOX_DATA", 0x1ea7c, 0 },
21628	{ "CIM_PF_MAILBOX_CTRL", 0x1ea80, 0 },
21629		{ "MBGeneric", 4, 28 },
21630		{ "MBMsgValid", 3, 1 },
21631		{ "MBIntReq", 2, 1 },
21632		{ "MBOwner", 0, 2 },
21633	{ "CIM_PF_MAILBOX_ACC_STATUS", 0x1ea84, 0 },
21634		{ "MBWrBusy", 31, 1 },
21635	{ "CIM_PF_HOST_INT_ENABLE", 0x1ea88, 0 },
21636		{ "MBMsgRdyIntEn", 19, 1 },
21637	{ "CIM_PF_HOST_INT_CAUSE", 0x1ea8c, 0 },
21638		{ "MBMsgRdyInt", 19, 1 },
21639	{ "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1ea90, 0 },
21640		{ "MBGeneric", 4, 28 },
21641		{ "MBMsgValid", 3, 1 },
21642		{ "MBIntReq", 2, 1 },
21643		{ "MBOwner", 0, 2 },
21644	{ "CIM_PF_MAILBOX_DATA", 0x1ee40, 0 },
21645	{ "CIM_PF_MAILBOX_DATA", 0x1ee44, 0 },
21646	{ "CIM_PF_MAILBOX_DATA", 0x1ee48, 0 },
21647	{ "CIM_PF_MAILBOX_DATA", 0x1ee4c, 0 },
21648	{ "CIM_PF_MAILBOX_DATA", 0x1ee50, 0 },
21649	{ "CIM_PF_MAILBOX_DATA", 0x1ee54, 0 },
21650	{ "CIM_PF_MAILBOX_DATA", 0x1ee58, 0 },
21651	{ "CIM_PF_MAILBOX_DATA", 0x1ee5c, 0 },
21652	{ "CIM_PF_MAILBOX_DATA", 0x1ee60, 0 },
21653	{ "CIM_PF_MAILBOX_DATA", 0x1ee64, 0 },
21654	{ "CIM_PF_MAILBOX_DATA", 0x1ee68, 0 },
21655	{ "CIM_PF_MAILBOX_DATA", 0x1ee6c, 0 },
21656	{ "CIM_PF_MAILBOX_DATA", 0x1ee70, 0 },
21657	{ "CIM_PF_MAILBOX_DATA", 0x1ee74, 0 },
21658	{ "CIM_PF_MAILBOX_DATA", 0x1ee78, 0 },
21659	{ "CIM_PF_MAILBOX_DATA", 0x1ee7c, 0 },
21660	{ "CIM_PF_MAILBOX_CTRL", 0x1ee80, 0 },
21661		{ "MBGeneric", 4, 28 },
21662		{ "MBMsgValid", 3, 1 },
21663		{ "MBIntReq", 2, 1 },
21664		{ "MBOwner", 0, 2 },
21665	{ "CIM_PF_MAILBOX_ACC_STATUS", 0x1ee84, 0 },
21666		{ "MBWrBusy", 31, 1 },
21667	{ "CIM_PF_HOST_INT_ENABLE", 0x1ee88, 0 },
21668		{ "MBMsgRdyIntEn", 19, 1 },
21669	{ "CIM_PF_HOST_INT_CAUSE", 0x1ee8c, 0 },
21670		{ "MBMsgRdyInt", 19, 1 },
21671	{ "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1ee90, 0 },
21672		{ "MBGeneric", 4, 28 },
21673		{ "MBMsgValid", 3, 1 },
21674		{ "MBIntReq", 2, 1 },
21675		{ "MBOwner", 0, 2 },
21676	{ "CIM_PF_MAILBOX_DATA", 0x1f240, 0 },
21677	{ "CIM_PF_MAILBOX_DATA", 0x1f244, 0 },
21678	{ "CIM_PF_MAILBOX_DATA", 0x1f248, 0 },
21679	{ "CIM_PF_MAILBOX_DATA", 0x1f24c, 0 },
21680	{ "CIM_PF_MAILBOX_DATA", 0x1f250, 0 },
21681	{ "CIM_PF_MAILBOX_DATA", 0x1f254, 0 },
21682	{ "CIM_PF_MAILBOX_DATA", 0x1f258, 0 },
21683	{ "CIM_PF_MAILBOX_DATA", 0x1f25c, 0 },
21684	{ "CIM_PF_MAILBOX_DATA", 0x1f260, 0 },
21685	{ "CIM_PF_MAILBOX_DATA", 0x1f264, 0 },
21686	{ "CIM_PF_MAILBOX_DATA", 0x1f268, 0 },
21687	{ "CIM_PF_MAILBOX_DATA", 0x1f26c, 0 },
21688	{ "CIM_PF_MAILBOX_DATA", 0x1f270, 0 },
21689	{ "CIM_PF_MAILBOX_DATA", 0x1f274, 0 },
21690	{ "CIM_PF_MAILBOX_DATA", 0x1f278, 0 },
21691	{ "CIM_PF_MAILBOX_DATA", 0x1f27c, 0 },
21692	{ "CIM_PF_MAILBOX_CTRL", 0x1f280, 0 },
21693		{ "MBGeneric", 4, 28 },
21694		{ "MBMsgValid", 3, 1 },
21695		{ "MBIntReq", 2, 1 },
21696		{ "MBOwner", 0, 2 },
21697	{ "CIM_PF_MAILBOX_ACC_STATUS", 0x1f284, 0 },
21698		{ "MBWrBusy", 31, 1 },
21699	{ "CIM_PF_HOST_INT_ENABLE", 0x1f288, 0 },
21700		{ "MBMsgRdyIntEn", 19, 1 },
21701	{ "CIM_PF_HOST_INT_CAUSE", 0x1f28c, 0 },
21702		{ "MBMsgRdyInt", 19, 1 },
21703	{ "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1f290, 0 },
21704		{ "MBGeneric", 4, 28 },
21705		{ "MBMsgValid", 3, 1 },
21706		{ "MBIntReq", 2, 1 },
21707		{ "MBOwner", 0, 2 },
21708	{ "CIM_PF_MAILBOX_DATA", 0x1f640, 0 },
21709	{ "CIM_PF_MAILBOX_DATA", 0x1f644, 0 },
21710	{ "CIM_PF_MAILBOX_DATA", 0x1f648, 0 },
21711	{ "CIM_PF_MAILBOX_DATA", 0x1f64c, 0 },
21712	{ "CIM_PF_MAILBOX_DATA", 0x1f650, 0 },
21713	{ "CIM_PF_MAILBOX_DATA", 0x1f654, 0 },
21714	{ "CIM_PF_MAILBOX_DATA", 0x1f658, 0 },
21715	{ "CIM_PF_MAILBOX_DATA", 0x1f65c, 0 },
21716	{ "CIM_PF_MAILBOX_DATA", 0x1f660, 0 },
21717	{ "CIM_PF_MAILBOX_DATA", 0x1f664, 0 },
21718	{ "CIM_PF_MAILBOX_DATA", 0x1f668, 0 },
21719	{ "CIM_PF_MAILBOX_DATA", 0x1f66c, 0 },
21720	{ "CIM_PF_MAILBOX_DATA", 0x1f670, 0 },
21721	{ "CIM_PF_MAILBOX_DATA", 0x1f674, 0 },
21722	{ "CIM_PF_MAILBOX_DATA", 0x1f678, 0 },
21723	{ "CIM_PF_MAILBOX_DATA", 0x1f67c, 0 },
21724	{ "CIM_PF_MAILBOX_CTRL", 0x1f680, 0 },
21725		{ "MBGeneric", 4, 28 },
21726		{ "MBMsgValid", 3, 1 },
21727		{ "MBIntReq", 2, 1 },
21728		{ "MBOwner", 0, 2 },
21729	{ "CIM_PF_MAILBOX_ACC_STATUS", 0x1f684, 0 },
21730		{ "MBWrBusy", 31, 1 },
21731	{ "CIM_PF_HOST_INT_ENABLE", 0x1f688, 0 },
21732		{ "MBMsgRdyIntEn", 19, 1 },
21733	{ "CIM_PF_HOST_INT_CAUSE", 0x1f68c, 0 },
21734		{ "MBMsgRdyInt", 19, 1 },
21735	{ "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1f690, 0 },
21736		{ "MBGeneric", 4, 28 },
21737		{ "MBMsgValid", 3, 1 },
21738		{ "MBIntReq", 2, 1 },
21739		{ "MBOwner", 0, 2 },
21740	{ "CIM_PF_MAILBOX_DATA", 0x1fa40, 0 },
21741	{ "CIM_PF_MAILBOX_DATA", 0x1fa44, 0 },
21742	{ "CIM_PF_MAILBOX_DATA", 0x1fa48, 0 },
21743	{ "CIM_PF_MAILBOX_DATA", 0x1fa4c, 0 },
21744	{ "CIM_PF_MAILBOX_DATA", 0x1fa50, 0 },
21745	{ "CIM_PF_MAILBOX_DATA", 0x1fa54, 0 },
21746	{ "CIM_PF_MAILBOX_DATA", 0x1fa58, 0 },
21747	{ "CIM_PF_MAILBOX_DATA", 0x1fa5c, 0 },
21748	{ "CIM_PF_MAILBOX_DATA", 0x1fa60, 0 },
21749	{ "CIM_PF_MAILBOX_DATA", 0x1fa64, 0 },
21750	{ "CIM_PF_MAILBOX_DATA", 0x1fa68, 0 },
21751	{ "CIM_PF_MAILBOX_DATA", 0x1fa6c, 0 },
21752	{ "CIM_PF_MAILBOX_DATA", 0x1fa70, 0 },
21753	{ "CIM_PF_MAILBOX_DATA", 0x1fa74, 0 },
21754	{ "CIM_PF_MAILBOX_DATA", 0x1fa78, 0 },
21755	{ "CIM_PF_MAILBOX_DATA", 0x1fa7c, 0 },
21756	{ "CIM_PF_MAILBOX_CTRL", 0x1fa80, 0 },
21757		{ "MBGeneric", 4, 28 },
21758		{ "MBMsgValid", 3, 1 },
21759		{ "MBIntReq", 2, 1 },
21760		{ "MBOwner", 0, 2 },
21761	{ "CIM_PF_MAILBOX_ACC_STATUS", 0x1fa84, 0 },
21762		{ "MBWrBusy", 31, 1 },
21763	{ "CIM_PF_HOST_INT_ENABLE", 0x1fa88, 0 },
21764		{ "MBMsgRdyIntEn", 19, 1 },
21765	{ "CIM_PF_HOST_INT_CAUSE", 0x1fa8c, 0 },
21766		{ "MBMsgRdyInt", 19, 1 },
21767	{ "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1fa90, 0 },
21768		{ "MBGeneric", 4, 28 },
21769		{ "MBMsgValid", 3, 1 },
21770		{ "MBIntReq", 2, 1 },
21771		{ "MBOwner", 0, 2 },
21772	{ "CIM_PF_MAILBOX_DATA", 0x1fe40, 0 },
21773	{ "CIM_PF_MAILBOX_DATA", 0x1fe44, 0 },
21774	{ "CIM_PF_MAILBOX_DATA", 0x1fe48, 0 },
21775	{ "CIM_PF_MAILBOX_DATA", 0x1fe4c, 0 },
21776	{ "CIM_PF_MAILBOX_DATA", 0x1fe50, 0 },
21777	{ "CIM_PF_MAILBOX_DATA", 0x1fe54, 0 },
21778	{ "CIM_PF_MAILBOX_DATA", 0x1fe58, 0 },
21779	{ "CIM_PF_MAILBOX_DATA", 0x1fe5c, 0 },
21780	{ "CIM_PF_MAILBOX_DATA", 0x1fe60, 0 },
21781	{ "CIM_PF_MAILBOX_DATA", 0x1fe64, 0 },
21782	{ "CIM_PF_MAILBOX_DATA", 0x1fe68, 0 },
21783	{ "CIM_PF_MAILBOX_DATA", 0x1fe6c, 0 },
21784	{ "CIM_PF_MAILBOX_DATA", 0x1fe70, 0 },
21785	{ "CIM_PF_MAILBOX_DATA", 0x1fe74, 0 },
21786	{ "CIM_PF_MAILBOX_DATA", 0x1fe78, 0 },
21787	{ "CIM_PF_MAILBOX_DATA", 0x1fe7c, 0 },
21788	{ "CIM_PF_MAILBOX_CTRL", 0x1fe80, 0 },
21789		{ "MBGeneric", 4, 28 },
21790		{ "MBMsgValid", 3, 1 },
21791		{ "MBIntReq", 2, 1 },
21792		{ "MBOwner", 0, 2 },
21793	{ "CIM_PF_MAILBOX_ACC_STATUS", 0x1fe84, 0 },
21794		{ "MBWrBusy", 31, 1 },
21795	{ "CIM_PF_HOST_INT_ENABLE", 0x1fe88, 0 },
21796		{ "MBMsgRdyIntEn", 19, 1 },
21797	{ "CIM_PF_HOST_INT_CAUSE", 0x1fe8c, 0 },
21798		{ "MBMsgRdyInt", 19, 1 },
21799	{ "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1fe90, 0 },
21800		{ "MBGeneric", 4, 28 },
21801		{ "MBMsgValid", 3, 1 },
21802		{ "MBIntReq", 2, 1 },
21803		{ "MBOwner", 0, 2 },
21804	{ NULL }
21805};
21806
21807struct reg_info t6_tp_regs[] = {
21808	{ "TP_IN_CONFIG", 0x7d00, 0 },
21809		{ "VLANExtEnPort3", 31, 1 },
21810		{ "VLANExtEnPort2", 30, 1 },
21811		{ "VLANExtEnPort1", 29, 1 },
21812		{ "VLANExtEnPort0", 28, 1 },
21813		{ "TcpOptParserDisCh3", 27, 1 },
21814		{ "TcpOptParserDisCh2", 26, 1 },
21815		{ "TcpOptParserDisCh1", 25, 1 },
21816		{ "TcpOptParserDisCh0", 24, 1 },
21817		{ "CrcPassPrt3", 23, 1 },
21818		{ "CrcPassPrt2", 22, 1 },
21819		{ "CrcPassPrt1", 21, 1 },
21820		{ "CrcPassPrt0", 20, 1 },
21821		{ "VepaMode", 19, 1 },
21822		{ "FipUpEn", 18, 1 },
21823		{ "FcoeUpEn", 17, 1 },
21824		{ "FcoeEnable", 16, 1 },
21825		{ "IPv6Enable", 15, 1 },
21826		{ "NICMode", 14, 1 },
21827		{ "VnTagDefaultVal", 13, 1 },
21828		{ "ECheckUDPLen", 12, 1 },
21829		{ "EReportUdpHdrLen", 11, 1 },
21830		{ "FcoeFPMA", 10, 1 },
21831		{ "VnTagEnable", 9, 1 },
21832		{ "VnTagEthEnable", 8, 1 },
21833		{ "CChecksumCheckIP", 7, 1 },
21834		{ "CChecksumCheckUDP", 6, 1 },
21835		{ "CChecksumCheckTCP", 5, 1 },
21836		{ "CTag", 4, 1 },
21837		{ "CXoffOverride", 3, 1 },
21838		{ "EthUpEn", 2, 1 },
21839		{ "EGreDropEn", 1, 1 },
21840		{ "CFastDemuxEn", 0, 1 },
21841	{ "TP_OUT_CONFIG", 0x7d04, 0 },
21842		{ "PortQfcEn", 28, 4 },
21843		{ "EPktDistChn3", 23, 1 },
21844		{ "EPktDistChn2", 22, 1 },
21845		{ "EPktDistChn1", 21, 1 },
21846		{ "EPktDistChn0", 20, 1 },
21847		{ "TtlMode", 19, 1 },
21848		{ "EQfcDmac", 18, 1 },
21849		{ "ELpbkIncMpsStat", 17, 1 },
21850		{ "IPIDSplitMode", 16, 1 },
21851		{ "CCplAckMode", 13, 1 },
21852		{ "RMWHintEnable", 12, 1 },
21853		{ "EChecksumInsertTCP", 11, 1 },
21854		{ "EChecksumInsertIP", 10, 1 },
21855		{ "EVnTagEn", 9, 1 },
21856		{ "EV6FlwEn", 8, 1 },
21857		{ "EPriority", 7, 1 },
21858		{ "EVlanPrio", 6, 1 },
21859		{ "CChecksumInsertTCP", 5, 1 },
21860		{ "CChecksumInsertIP", 4, 1 },
21861		{ "CRxPktEnc", 3, 1 },
21862		{ "CCPL", 2, 1 },
21863		{ "CRxPktXt", 1, 1 },
21864		{ "CEthernet", 0, 1 },
21865	{ "TP_GLOBAL_CONFIG", 0x7d08, 0 },
21866		{ "SYNCookieParams", 26, 6 },
21867		{ "RXFlowControlDisable", 25, 1 },
21868		{ "TXPacingEnable", 24, 1 },
21869		{ "ActiveFilterCounts", 22, 1 },
21870		{ "ProtectedMode", 21, 1 },
21871		{ "FiveTupleLookup", 17, 2 },
21872		{ "OfdMpsStats", 16, 1 },
21873		{ "DontFragment", 15, 1 },
21874		{ "IPIdentSplit", 14, 1 },
21875		{ "RssSynSteerEnable", 12, 1 },
21876		{ "IssFromCplEnable", 11, 1 },
21877		{ "RssLoopbackEnable", 10, 1 },
21878		{ "TCAMServerUse", 8, 2 },
21879		{ "IPTTL", 0, 8 },
21880	{ "TP_DB_CONFIG", 0x7d0c, 0 },
21881		{ "DBMaxOpCnt", 24, 8 },
21882		{ "CxMaxOpCntDisable", 23, 1 },
21883		{ "CxMaxOpCnt", 16, 7 },
21884		{ "TxMaxOpCntDisable", 15, 1 },
21885		{ "TxMaxOpCnt", 8, 7 },
21886		{ "RxMaxOpCntDisable", 7, 1 },
21887		{ "RxMaxOpCnt", 0, 7 },
21888	{ "TP_CMM_TCB_BASE", 0x7d10, 0 },
21889	{ "TP_CMM_MM_BASE", 0x7d14, 0 },
21890	{ "TP_CMM_TIMER_BASE", 0x7d18, 0 },
21891	{ "TP_CMM_MM_FLST_SIZE", 0x7d1c, 0 },
21892		{ "RxPoolSize", 16, 16 },
21893		{ "TxPoolSize", 0, 16 },
21894	{ "TP_PMM_TX_BASE", 0x7d20, 0 },
21895	{ "TP_PMM_DEFRAG_BASE", 0x7d24, 0 },
21896	{ "TP_PMM_RX_BASE", 0x7d28, 0 },
21897	{ "TP_PMM_RX_PAGE_SIZE", 0x7d2c, 0 },
21898	{ "TP_PMM_RX_MAX_PAGE", 0x7d30, 0 },
21899		{ "PMRxNumChn", 31, 1 },
21900		{ "PMRxMaxPage", 0, 21 },
21901	{ "TP_PMM_TX_PAGE_SIZE", 0x7d34, 0 },
21902	{ "TP_PMM_TX_MAX_PAGE", 0x7d38, 0 },
21903		{ "PMTxNumChn", 30, 2 },
21904		{ "PMTxMaxPage", 0, 21 },
21905	{ "TP_TCP_OPTIONS", 0x7d40, 0 },
21906		{ "MTUDefault", 16, 16 },
21907		{ "MTUEnable", 10, 1 },
21908		{ "SACKTx", 9, 1 },
21909		{ "SACKRx", 8, 1 },
21910		{ "SACKMode", 4, 2 },
21911		{ "WindowScaleMode", 2, 2 },
21912		{ "TimestampsMode", 0, 2 },
21913	{ "TP_DACK_CONFIG", 0x7d44, 0 },
21914		{ "AutoState3", 30, 2 },
21915		{ "AutoState2", 28, 2 },
21916		{ "AutoState1", 26, 2 },
21917		{ "ByteThreshold", 8, 18 },
21918		{ "MSSThreshold", 4, 3 },
21919		{ "AutoCareful", 2, 1 },
21920		{ "AutoEnable", 1, 1 },
21921		{ "Mode", 0, 1 },
21922	{ "TP_PC_CONFIG", 0x7d48, 0 },
21923		{ "EnableFinCheck", 31, 1 },
21924		{ "EnableOcspiFull", 30, 1 },
21925		{ "EnableFLMErrorDDP", 29, 1 },
21926		{ "LockTid", 28, 1 },
21927		{ "DisableInvPend", 27, 1 },
21928		{ "EnableFilterCount", 26, 1 },
21929		{ "RddpCongEn", 25, 1 },
21930		{ "EnableOnFlyPDU", 24, 1 },
21931		{ "EnableMinRcvWnd", 23, 1 },
21932		{ "EnableMaxRcvWnd", 22, 1 },
21933		{ "EnableMibVfPld", 21, 1 },
21934		{ "TxDeferEnable", 20, 1 },
21935		{ "RxCongestionMode", 19, 1 },
21936		{ "HearbeatOnceDACK", 18, 1 },
21937		{ "HearbeatOnceHeap", 17, 1 },
21938		{ "HearbeatDACK", 16, 1 },
21939		{ "TxCongestionMode", 15, 1 },
21940		{ "AcceptLatestRcvAdv", 14, 1 },
21941		{ "DisableSYNData", 13, 1 },
21942		{ "DisableWindowPSH", 12, 1 },
21943		{ "DisableFINOldData", 11, 1 },
21944		{ "EnableFLMError", 10, 1 },
21945		{ "EnableOptMtu", 9, 1 },
21946		{ "FilterPeerFIN", 8, 1 },
21947		{ "EnableFeedbackSend", 7, 1 },
21948		{ "EnableRDMAError", 6, 1 },
21949		{ "EnableFilterNat", 5, 1 },
21950		{ "DisableSepPshFlag", 4, 1 },
21951		{ "EnableOfdoVLAN", 3, 1 },
21952		{ "DisableTimeWait", 2, 1 },
21953		{ "EnableVlanCheck", 1, 1 },
21954		{ "TxDataAckPageEnable", 0, 1 },
21955	{ "TP_PC_CONFIG2", 0x7d4c, 0 },
21956		{ "EnableMtuVfMode", 31, 1 },
21957		{ "EnableMibVfMode", 30, 1 },
21958		{ "DisableLbkCheck", 29, 1 },
21959		{ "EnableUrgDdpOff", 28, 1 },
21960		{ "EnableFilterLpbk", 27, 1 },
21961		{ "DisableTblMmgr", 26, 1 },
21962		{ "CngRecSndNxt", 25, 1 },
21963		{ "EnableLbkChn", 24, 1 },
21964		{ "EnableLroEcn", 23, 1 },
21965		{ "EnablePcmdCheck", 22, 1 },
21966		{ "EnableELbkAFull", 21, 1 },
21967		{ "EnableCLbkAFull", 20, 1 },
21968		{ "EnableOespiFull", 19, 1 },
21969		{ "DisableHitCheck", 18, 1 },
21970		{ "EnableRssErrCheck", 17, 1 },
21971		{ "DisableNewPshFlag", 16, 1 },
21972		{ "EnableRddpRcvAdvClr", 15, 1 },
21973		{ "EnableFinDdpOff", 14, 1 },
21974		{ "EnableArpMiss", 13, 1 },
21975		{ "EnableRstPaws", 12, 1 },
21976		{ "EnableIPv6RSS", 11, 1 },
21977		{ "EnableNonOfdHybRss", 10, 1 },
21978		{ "EnableUDP4TupRss", 9, 1 },
21979		{ "EnableRxPktTmstpRss", 8, 1 },
21980		{ "EnableEPCMDAFull", 7, 1 },
21981		{ "EnableCPCMDAFull", 6, 1 },
21982		{ "EnableEHdrAFull", 5, 1 },
21983		{ "EnableCHdrAFull", 4, 1 },
21984		{ "EnableEMacAFull", 3, 1 },
21985		{ "EnableNonOfdTidRss", 2, 1 },
21986		{ "EnableNonOfdTcbRss", 1, 1 },
21987		{ "EnableTnlOfdClosed", 0, 1 },
21988	{ "TP_TCP_BACKOFF_REG0", 0x7d50, 0 },
21989		{ "TimerBackoffIndex3", 24, 8 },
21990		{ "TimerBackoffIndex2", 16, 8 },
21991		{ "TimerBackoffIndex1", 8, 8 },
21992		{ "TimerBackoffIndex0", 0, 8 },
21993	{ "TP_TCP_BACKOFF_REG1", 0x7d54, 0 },
21994		{ "TimerBackoffIndex7", 24, 8 },
21995		{ "TimerBackoffIndex6", 16, 8 },
21996		{ "TimerBackoffIndex5", 8, 8 },
21997		{ "TimerBackoffIndex4", 0, 8 },
21998	{ "TP_TCP_BACKOFF_REG2", 0x7d58, 0 },
21999		{ "TimerBackoffIndex11", 24, 8 },
22000		{ "TimerBackoffIndex10", 16, 8 },
22001		{ "TimerBackoffIndex9", 8, 8 },
22002		{ "TimerBackoffIndex8", 0, 8 },
22003	{ "TP_TCP_BACKOFF_REG3", 0x7d5c, 0 },
22004		{ "TimerBackoffIndex15", 24, 8 },
22005		{ "TimerBackoffIndex14", 16, 8 },
22006		{ "TimerBackoffIndex13", 8, 8 },
22007		{ "TimerBackoffIndex12", 0, 8 },
22008	{ "TP_PARA_REG0", 0x7d60, 0 },
22009		{ "LimTxThresh", 28, 4 },
22010		{ "InitCwndIdle", 27, 1 },
22011		{ "InitCwnd", 24, 3 },
22012		{ "DupAckThresh", 20, 4 },
22013		{ "EcnCngFifo", 19, 1 },
22014		{ "EcnSynAck", 18, 1 },
22015		{ "EcnThresh", 16, 2 },
22016		{ "EcnMode", 15, 1 },
22017		{ "EcnModeCwr", 14, 1 },
22018		{ "SetTimeEnable", 13, 1 },
22019		{ "CplErrEnable", 12, 1 },
22020		{ "FastTnlCnt", 11, 1 },
22021		{ "ForceShove", 10, 1 },
22022		{ "TpTcamKey", 9, 1 },
22023		{ "SwsMode", 8, 1 },
22024		{ "TsmpMode", 6, 2 },
22025		{ "ByteCountLimit", 4, 2 },
22026		{ "SwsShove", 3, 1 },
22027		{ "TblTimer", 2, 1 },
22028		{ "RxtPace", 1, 1 },
22029		{ "SwsTimer", 0, 1 },
22030	{ "TP_PARA_REG1", 0x7d64, 0 },
22031		{ "InitRwnd", 16, 16 },
22032		{ "InitialSSThresh", 0, 16 },
22033	{ "TP_PARA_REG2", 0x7d68, 0 },
22034		{ "MaxRxData", 16, 16 },
22035		{ "RxCoalesceSize", 0, 16 },
22036	{ "TP_PARA_REG3", 0x7d6c, 0 },
22037		{ "EnableTnlCngLpbk", 31, 1 },
22038		{ "EnableTnlCngFifo", 30, 1 },
22039		{ "EnableTnlCngHdr", 29, 1 },
22040		{ "EnableTnlCngSge", 28, 1 },
22041		{ "RxMacCheck", 27, 1 },
22042		{ "RxSynFilter", 26, 1 },
22043		{ "CngCtrlECN", 25, 1 },
22044		{ "RxDdpOffInit", 24, 1 },
22045		{ "TunnelCngDrop3", 23, 1 },
22046		{ "TunnelCngDrop2", 22, 1 },
22047		{ "TunnelCngDrop1", 21, 1 },
22048		{ "TunnelCngDrop0", 20, 1 },
22049		{ "TxDataAckIdx", 16, 4 },
22050		{ "RxFragEnable", 12, 3 },
22051		{ "TxPaceFixedStrict", 11, 1 },
22052		{ "TxPaceAutoStrict", 10, 1 },
22053		{ "TxPaceFixed", 9, 1 },
22054		{ "TxPaceAuto", 8, 1 },
22055		{ "RxChnTunnel", 7, 1 },
22056		{ "RxUrgTunnel", 6, 1 },
22057		{ "RxUrgMode", 5, 1 },
22058		{ "TxUrgMode", 4, 1 },
22059		{ "CngCtrlMode", 2, 2 },
22060		{ "RxCoalesceEnable", 1, 1 },
22061		{ "RxCoalescePshEn", 0, 1 },
22062	{ "TP_PARA_REG4", 0x7d70, 0 },
22063		{ "IdleCwndHighSpeed", 28, 1 },
22064		{ "RxmtCwndHighSpeed", 27, 1 },
22065		{ "OverdriveHighSpeed", 25, 2 },
22066		{ "ByteCountHighSpeed", 24, 1 },
22067		{ "IdleCwndNewReno", 20, 1 },
22068		{ "RxmtCwndNewReno", 19, 1 },
22069		{ "OverdriveNewReno", 17, 2 },
22070		{ "ByteCountNewReno", 16, 1 },
22071		{ "IdleCwndTahoe", 12, 1 },
22072		{ "RxmtCwndTahoe", 11, 1 },
22073		{ "OverdriveTahoe", 9, 2 },
22074		{ "ByteCountTahoe", 8, 1 },
22075		{ "IdleCwndReno", 4, 1 },
22076		{ "RxmtCwndReno", 3, 1 },
22077		{ "OverdriveReno", 1, 2 },
22078		{ "ByteCountReno", 0, 1 },
22079	{ "TP_PARA_REG5", 0x7d74, 0 },
22080		{ "IndicateSize", 16, 16 },
22081		{ "MaxProxySize", 12, 4 },
22082		{ "EnableReadPdu", 11, 1 },
22083		{ "EnableReadAhead", 10, 1 },
22084		{ "EmptyRqEnable", 9, 1 },
22085		{ "SchdEnable", 8, 1 },
22086		{ "EnableXoffPdu", 7, 1 },
22087		{ "EnableFcoeCheck", 6, 1 },
22088		{ "EnableFragCheck", 5, 1 },
22089		{ "RearmDdpOffset", 4, 1 },
22090		{ "ResetDdpOffset", 3, 1 },
22091		{ "OnFlyDDPEnable", 2, 1 },
22092		{ "EnableRdmaFix", 1, 1 },
22093		{ "PushTimerEnable", 0, 1 },
22094	{ "TP_PARA_REG6", 0x7d78, 0 },
22095		{ "TxPDUSizeAdj", 24, 8 },
22096		{ "TxTcamKey", 22, 1 },
22097		{ "EnableCByp", 21, 1 },
22098		{ "DisablePDUAck", 20, 1 },
22099		{ "EnableCSav", 19, 1 },
22100		{ "EnableDeferPDU", 18, 1 },
22101		{ "EnableFlush", 17, 1 },
22102		{ "EnableBytePersist", 16, 1 },
22103		{ "DisableTmoCng", 15, 1 },
22104		{ "EnableReadAhead", 14, 1 },
22105		{ "AllowExeption", 13, 1 },
22106		{ "EnableDeferACK", 12, 1 },
22107		{ "EnableESnd", 11, 1 },
22108		{ "EnableCSnd", 10, 1 },
22109		{ "EnablePDUE", 9, 1 },
22110		{ "EnablePDUC", 8, 1 },
22111		{ "EnableBUFI", 7, 1 },
22112		{ "EnableBUFE", 6, 1 },
22113		{ "EnableDefer", 5, 1 },
22114		{ "EnableClearRxmtOos", 4, 1 },
22115		{ "DisablePDUCng", 3, 1 },
22116		{ "DisablePDUTimeout", 2, 1 },
22117		{ "DisablePDURxmt", 1, 1 },
22118		{ "DisablePDUxmt", 0, 1 },
22119	{ "TP_PARA_REG7", 0x7d7c, 0 },
22120		{ "PMMaxXferLen1", 16, 16 },
22121		{ "PMMaxXferLen0", 0, 16 },
22122	{ "TP_ENG_CONFIG", 0x7d80, 0 },
22123		{ "TableLatencyDone", 28, 4 },
22124		{ "TableLatencyStart", 24, 4 },
22125		{ "EngineLatencyDelta", 16, 4 },
22126		{ "EngineLatencyMmgr", 12, 4 },
22127		{ "EngineLatencyWireIp6", 8, 4 },
22128		{ "EngineLatencyWire", 4, 4 },
22129		{ "EngineLatencyBase", 0, 4 },
22130	{ "TP_PARA_REG8", 0x7d84, 0 },
22131		{ "EcnAckEct", 2, 1 },
22132		{ "EcnFinEct", 1, 1 },
22133		{ "EcnSynEct", 0, 1 },
22134	{ "TP_ERR_CONFIG", 0x7d8c, 0 },
22135		{ "TnlErrorFPMA", 31, 1 },
22136		{ "TnlErrorPing", 30, 1 },
22137		{ "TnlErrorCsum", 29, 1 },
22138		{ "TnlErrorCsumIP", 28, 1 },
22139		{ "TnlErrorOpaque", 27, 1 },
22140		{ "TnlErrorIp6Opt", 26, 1 },
22141		{ "TnlErrorTcpOpt", 25, 1 },
22142		{ "TnlErrorPktLen", 24, 1 },
22143		{ "TnlErrorTcpHdrLen", 23, 1 },
22144		{ "TnlErrorIpHdrLen", 22, 1 },
22145		{ "TnlErrorEthHdrLen", 21, 1 },
22146		{ "TnlErrorAttack", 20, 1 },
22147		{ "TnlErrorFrag", 19, 1 },
22148		{ "TnlErrorIpVer", 18, 1 },
22149		{ "TnlErrorMac", 17, 1 },
22150		{ "TnlErrorAny", 16, 1 },
22151		{ "DropErrorFPMA", 15, 1 },
22152		{ "DropErrorPing", 14, 1 },
22153		{ "DropErrorCsum", 13, 1 },
22154		{ "DropErrorCsumIP", 12, 1 },
22155		{ "DropErrorOpaque", 11, 1 },
22156		{ "DropErrorIp6Opt", 10, 1 },
22157		{ "DropErrorTcpOpt", 9, 1 },
22158		{ "DropErrorPktLen", 8, 1 },
22159		{ "DropErrorTcpHdrLen", 7, 1 },
22160		{ "DropErrorIpHdrLen", 6, 1 },
22161		{ "DropErrorEthHdrLen", 5, 1 },
22162		{ "DropErrorAttack", 4, 1 },
22163		{ "DropErrorFrag", 3, 1 },
22164		{ "DropErrorIpVer", 2, 1 },
22165		{ "DropErrorMac", 1, 1 },
22166		{ "DropErrorAny", 0, 1 },
22167	{ "TP_TIMER_RESOLUTION", 0x7d90, 0 },
22168		{ "TimerResolution", 16, 8 },
22169		{ "TimestampResolution", 8, 8 },
22170		{ "DelayedACKResolution", 0, 8 },
22171	{ "TP_MSL", 0x7d94, 0 },
22172	{ "TP_RXT_MIN", 0x7d98, 0 },
22173	{ "TP_RXT_MAX", 0x7d9c, 0 },
22174	{ "TP_PERS_MIN", 0x7da0, 0 },
22175	{ "TP_PERS_MAX", 0x7da4, 0 },
22176	{ "TP_KEEP_IDLE", 0x7da8, 0 },
22177	{ "TP_KEEP_INTVL", 0x7dac, 0 },
22178	{ "TP_INIT_SRTT", 0x7db0, 0 },
22179		{ "MaxRtt", 16, 16 },
22180		{ "InitSrtt", 0, 16 },
22181	{ "TP_DACK_TIMER", 0x7db4, 0 },
22182	{ "TP_FINWAIT2_TIMER", 0x7db8, 0 },
22183	{ "TP_FAST_FINWAIT2_TIMER", 0x7dbc, 0 },
22184	{ "TP_SHIFT_CNT", 0x7dc0, 0 },
22185		{ "SynShiftMax", 24, 4 },
22186		{ "RxtShiftMaxR1", 20, 4 },
22187		{ "RxtShiftMaxR2", 16, 4 },
22188		{ "PerShiftBackoffMax", 12, 4 },
22189		{ "PerShiftMax", 8, 4 },
22190		{ "KeepaliveMaxR1", 4, 4 },
22191		{ "KeepaliveMaxR2", 0, 4 },
22192	{ "TP_TM_CONFIG", 0x7dc4, 0 },
22193	{ "TP_TIME_LO", 0x7dc8, 0 },
22194	{ "TP_TIME_HI", 0x7dcc, 0 },
22195	{ "TP_PORT_MTU_0", 0x7dd0, 0 },
22196		{ "Port1MTUValue", 16, 16 },
22197		{ "Port0MTUValue", 0, 16 },
22198	{ "TP_PORT_MTU_1", 0x7dd4, 0 },
22199		{ "Port3MTUValue", 16, 16 },
22200		{ "Port2MTUValue", 0, 16 },
22201	{ "TP_PACE_TABLE", 0x7dd8, 0 },
22202	{ "TP_CCTRL_TABLE", 0x7ddc, 0 },
22203		{ "RowIndex", 16, 16 },
22204		{ "RowValue", 0, 16 },
22205	{ "TP_MTU_TABLE", 0x7de4, 0 },
22206		{ "MTUIndex", 24, 8 },
22207		{ "MTUWidth", 16, 4 },
22208		{ "MTUValue", 0, 14 },
22209	{ "TP_ULP_TABLE", 0x7de8, 0 },
22210		{ "ULPType7Length", 31, 1 },
22211		{ "ULPType7Offset", 28, 3 },
22212		{ "ULPType6Length", 27, 1 },
22213		{ "ULPType6Offset", 24, 3 },
22214		{ "ULPType5Length", 23, 1 },
22215		{ "ULPType5Offset", 20, 3 },
22216		{ "ULPType4Length", 19, 1 },
22217		{ "ULPType4Offset", 16, 3 },
22218		{ "ULPType3Length", 15, 1 },
22219		{ "ULPType3Offset", 12, 3 },
22220		{ "ULPType2Length", 11, 1 },
22221		{ "ULPType2Offset", 8, 3 },
22222		{ "ULPType1Length", 7, 1 },
22223		{ "ULPType1Offset", 4, 3 },
22224		{ "ULPType0Length", 3, 1 },
22225		{ "ULPType0Offset", 0, 3 },
22226	{ "TP_RSS_LKP_TABLE", 0x7dec, 0 },
22227		{ "LkpTblRowVld", 31, 1 },
22228		{ "LkpTblRowIdx", 20, 11 },
22229		{ "LkpTblQueue1", 10, 10 },
22230		{ "LkpTblQueue0", 0, 10 },
22231	{ "TP_RSS_CONFIG", 0x7df0, 0 },
22232		{ "TNL4tupEnIpv6", 31, 1 },
22233		{ "TNL2tupEnIpv6", 30, 1 },
22234		{ "TNL4tupEnIpv4", 29, 1 },
22235		{ "TNL2tupEnIpv4", 28, 1 },
22236		{ "TNLTcpSel", 27, 1 },
22237		{ "TNLIp6Sel", 26, 1 },
22238		{ "TNLVrtSel", 25, 1 },
22239		{ "TNLMapEn", 24, 1 },
22240		{ "TNLFcoeMode", 23, 1 },
22241		{ "TNLFcoeSid", 22, 1 },
22242		{ "TNLFcoeEn", 21, 1 },
22243		{ "HashXor", 20, 1 },
22244		{ "OFDHashSave", 19, 1 },
22245		{ "OFDVrtSel", 18, 1 },
22246		{ "OFDMapEn", 17, 1 },
22247		{ "OFDLkpEn", 16, 1 },
22248		{ "SYN4tupEnIpv6", 15, 1 },
22249		{ "SYN2tupEnIpv6", 14, 1 },
22250		{ "SYN4tupEnIpv4", 13, 1 },
22251		{ "SYN2tupEnIpv4", 12, 1 },
22252		{ "SYNIp6Sel", 11, 1 },
22253		{ "SYNVrtSel", 10, 1 },
22254		{ "SYNMapEn", 9, 1 },
22255		{ "SYNLkpEn", 8, 1 },
22256		{ "ChannelEnable", 7, 1 },
22257		{ "PortEnable", 6, 1 },
22258		{ "TNLAllLookup", 5, 1 },
22259		{ "VirtEnable", 4, 1 },
22260		{ "CongestionEnable", 3, 1 },
22261		{ "HashToeplitz", 2, 1 },
22262		{ "UdpEnable", 1, 1 },
22263		{ "Disable", 0, 1 },
22264	{ "TP_RSS_CONFIG_TNL", 0x7df4, 0 },
22265		{ "MaskSize", 28, 4 },
22266		{ "MaskFilter", 16, 11 },
22267		{ "HashAll", 2, 1 },
22268		{ "HashEth", 1, 1 },
22269		{ "UseWireCh", 0, 1 },
22270	{ "TP_RSS_CONFIG_OFD", 0x7df8, 0 },
22271		{ "MaskSize", 28, 4 },
22272		{ "RRCPLMapEn", 20, 1 },
22273		{ "RRCPLQueWidth", 16, 4 },
22274		{ "FrmwrQueMask", 12, 4 },
22275	{ "TP_RSS_CONFIG_SYN", 0x7dfc, 0 },
22276		{ "MaskSize", 28, 4 },
22277		{ "UseWireCh", 0, 1 },
22278	{ "TP_RSS_CONFIG_VRT", 0x7e00, 0 },
22279		{ "KeyWrAddrX", 30, 2 },
22280		{ "KeyExtend", 26, 1 },
22281		{ "VfRdRg", 25, 1 },
22282		{ "VfRdEn", 24, 1 },
22283		{ "VfPerrEn", 23, 1 },
22284		{ "KeyPerrEn", 22, 1 },
22285		{ "VfVlanEn", 21, 1 },
22286		{ "VfFwEn", 20, 1 },
22287		{ "HashDelay", 16, 4 },
22288		{ "VfWrAddr", 8, 8 },
22289		{ "KeyMode", 6, 2 },
22290		{ "VfWrEn", 5, 1 },
22291		{ "KeyWrEn", 4, 1 },
22292		{ "KeyWrAddr", 0, 4 },
22293	{ "TP_RSS_CONFIG_CNG", 0x7e04, 0 },
22294		{ "ChnCount3", 31, 1 },
22295		{ "ChnCount2", 30, 1 },
22296		{ "ChnCount1", 29, 1 },
22297		{ "ChnCount0", 28, 1 },
22298		{ "ChnUndFlow3", 27, 1 },
22299		{ "ChnUndFlow2", 26, 1 },
22300		{ "ChnUndFlow1", 25, 1 },
22301		{ "ChnUndFlow0", 24, 1 },
22302		{ "ChnOvrFlow3", 23, 1 },
22303		{ "ChnOvrFlow2", 22, 1 },
22304		{ "ChnOvrFlow1", 21, 1 },
22305		{ "ChnOvrFlow0", 20, 1 },
22306		{ "RstChn3", 19, 1 },
22307		{ "RstChn2", 18, 1 },
22308		{ "RstChn1", 17, 1 },
22309		{ "RstChn0", 16, 1 },
22310		{ "UpdVld", 15, 1 },
22311		{ "Xoff", 14, 1 },
22312		{ "UpdChn3", 13, 1 },
22313		{ "UpdChn2", 12, 1 },
22314		{ "UpdChn1", 11, 1 },
22315		{ "UpdChn0", 10, 1 },
22316		{ "Queue", 0, 10 },
22317	{ "TP_LA_TABLE_0", 0x7e10, 0 },
22318		{ "VirtPort1Table", 16, 16 },
22319		{ "VirtPort0Table", 0, 16 },
22320	{ "TP_LA_TABLE_1", 0x7e14, 0 },
22321		{ "VirtPort3Table", 16, 16 },
22322		{ "VirtPort2Table", 0, 16 },
22323	{ "TP_TM_PIO_ADDR", 0x7e18, 0 },
22324	{ "TP_TM_PIO_DATA", 0x7e1c, 0 },
22325	{ "TP_MOD_CONFIG", 0x7e24, 0 },
22326		{ "RxChannelWeight1", 24, 8 },
22327		{ "RXChannelWeight0", 16, 8 },
22328		{ "TimerMode", 8, 8 },
22329		{ "TxChannelXoffEn", 0, 4 },
22330	{ "TP_TX_MOD_QUEUE_REQ_MAP", 0x7e28, 0 },
22331		{ "RX_MOD_WEIGHT", 24, 8 },
22332		{ "TX_MOD_WEIGHT", 16, 8 },
22333		{ "TX_MOD_QUEUE_REQ_MAP", 0, 16 },
22334	{ "TP_TX_MOD_QUEUE_WEIGHT1", 0x7e2c, 0 },
22335		{ "TP_TX_MOD_QUEUE_WEIGHT7", 24, 8 },
22336		{ "TP_TX_MOD_QUEUE_WEIGHT6", 16, 8 },
22337		{ "TP_TX_MOD_QUEUE_WEIGHT5", 8, 8 },
22338		{ "TP_TX_MOD_QUEUE_WEIGHT4", 0, 8 },
22339	{ "TP_TX_MOD_QUEUE_WEIGHT0", 0x7e30, 0 },
22340		{ "TP_TX_MOD_QUEUE_WEIGHT3", 24, 8 },
22341		{ "TP_TX_MOD_QUEUE_WEIGHT2", 16, 8 },
22342		{ "TP_TX_MOD_QUEUE_WEIGHT1", 8, 8 },
22343		{ "TP_TX_MOD_QUEUE_WEIGHT0", 0, 8 },
22344	{ "TP_TX_MOD_CHANNEL_WEIGHT", 0x7e34, 0 },
22345		{ "CH3", 24, 8 },
22346		{ "CH2", 16, 8 },
22347		{ "CH1", 8, 8 },
22348		{ "CH0", 0, 8 },
22349	{ "TP_MOD_RATE_LIMIT", 0x7e38, 0 },
22350		{ "RX_MOD_RATE_LIMIT_INC", 24, 8 },
22351		{ "RX_MOD_RATE_LIMIT_TICK", 16, 8 },
22352		{ "TX_MOD_RATE_LIMIT_INC", 8, 8 },
22353		{ "TX_MOD_RATE_LIMIT_TICK", 0, 8 },
22354	{ "TP_PIO_ADDR", 0x7e40, 0 },
22355	{ "TP_PIO_DATA", 0x7e44, 0 },
22356	{ "TP_RESET", 0x7e4c, 0 },
22357		{ "FlstInitEnable", 1, 1 },
22358		{ "TPReset", 0, 1 },
22359	{ "TP_MIB_INDEX", 0x7e50, 0 },
22360	{ "TP_MIB_DATA", 0x7e54, 0 },
22361	{ "TP_SYNC_TIME_HI", 0x7e58, 0 },
22362	{ "TP_SYNC_TIME_LO", 0x7e5c, 0 },
22363	{ "TP_CMM_MM_RX_FLST_BASE", 0x7e60, 0 },
22364	{ "TP_CMM_MM_TX_FLST_BASE", 0x7e64, 0 },
22365	{ "TP_CMM_MM_PS_FLST_BASE", 0x7e68, 0 },
22366	{ "TP_CMM_MM_MAX_PSTRUCT", 0x7e6c, 0 },
22367	{ "TP_INT_ENABLE", 0x7e70, 0 },
22368		{ "FlmTxFlstEmpty", 30, 1 },
22369		{ "RssLkpPerr", 29, 1 },
22370		{ "FlmPerrSet", 28, 1 },
22371		{ "ProtocolSramPerr", 27, 1 },
22372		{ "ArpLutPerr", 26, 1 },
22373		{ "CmRcfOpPerr", 25, 1 },
22374		{ "CmCachePerr", 24, 1 },
22375		{ "CmRcfDataPerr", 23, 1 },
22376		{ "DbL2tLutPerr", 22, 1 },
22377		{ "DbTxTidPerr", 21, 1 },
22378		{ "DbExtPerr", 20, 1 },
22379		{ "DbOpPerr", 19, 1 },
22380		{ "TmCachePerr", 18, 1 },
22381		{ "ETpOutCplFifoPerr", 17, 1 },
22382		{ "ETpOutTcpFifoPerr", 16, 1 },
22383		{ "ETpOutIpFifoPerr", 15, 1 },
22384		{ "ETpOutEthFifoPerr", 14, 1 },
22385		{ "ETpInCplFifoPerr", 13, 1 },
22386		{ "ETpInTcpOptFifoPerr", 12, 1 },
22387		{ "ETpInTcpFifoPerr", 11, 1 },
22388		{ "ETpInIpFifoPerr", 10, 1 },
22389		{ "ETpInEthFifoPerr", 9, 1 },
22390		{ "CTpOutCplFifoPerr", 8, 1 },
22391		{ "CTpOutPldFifoPerr", 7, 1 },
22392		{ "CTpOutIpFifoPerr", 6, 1 },
22393		{ "CTpOutEthFifoPerr", 5, 1 },
22394		{ "CTpInCplFifoPerr", 4, 1 },
22395		{ "CTpInTcpOpFifoPerr", 3, 1 },
22396		{ "PduFbkFifoPerr", 2, 1 },
22397		{ "SrqTablePerr", 1, 1 },
22398		{ "DelInvFifoPerr", 0, 1 },
22399	{ "TP_INT_CAUSE", 0x7e74, 0 },
22400		{ "FlmTxFlstEmpty", 30, 1 },
22401		{ "RssLkpPerr", 29, 1 },
22402		{ "FlmPerrSet", 28, 1 },
22403		{ "ProtocolSramPerr", 27, 1 },
22404		{ "ArpLutPerr", 26, 1 },
22405		{ "CmRcfOpPerr", 25, 1 },
22406		{ "CmCachePerr", 24, 1 },
22407		{ "CmRcfDataPerr", 23, 1 },
22408		{ "DbL2tLutPerr", 22, 1 },
22409		{ "DbTxTidPerr", 21, 1 },
22410		{ "DbExtPerr", 20, 1 },
22411		{ "DbOpPerr", 19, 1 },
22412		{ "TmCachePerr", 18, 1 },
22413		{ "ETpOutCplFifoPerr", 17, 1 },
22414		{ "ETpOutTcpFifoPerr", 16, 1 },
22415		{ "ETpOutIpFifoPerr", 15, 1 },
22416		{ "ETpOutEthFifoPerr", 14, 1 },
22417		{ "ETpInCplFifoPerr", 13, 1 },
22418		{ "ETpInTcpOptFifoPerr", 12, 1 },
22419		{ "ETpInTcpFifoPerr", 11, 1 },
22420		{ "ETpInIpFifoPerr", 10, 1 },
22421		{ "ETpInEthFifoPerr", 9, 1 },
22422		{ "CTpOutCplFifoPerr", 8, 1 },
22423		{ "CTpOutPldFifoPerr", 7, 1 },
22424		{ "CTpOutIpFifoPerr", 6, 1 },
22425		{ "CTpOutEthFifoPerr", 5, 1 },
22426		{ "CTpInCplFifoPerr", 4, 1 },
22427		{ "CTpInTcpOpFifoPerr", 3, 1 },
22428		{ "PduFbkFifoPerr", 2, 1 },
22429		{ "SrqTablePerr", 1, 1 },
22430		{ "DelInvFifoPerr", 0, 1 },
22431	{ "TP_PER_ENABLE", 0x7e78, 0 },
22432		{ "FlmTxFlstEmpty", 30, 1 },
22433		{ "RssLkpPerr", 29, 1 },
22434		{ "FlmPerrSet", 28, 1 },
22435		{ "ProtocolSramPerr", 27, 1 },
22436		{ "ArpLutPerr", 26, 1 },
22437		{ "CmRcfOpPerr", 25, 1 },
22438		{ "CmCachePerr", 24, 1 },
22439		{ "CmRcfDataPerr", 23, 1 },
22440		{ "DbL2tLutPerr", 22, 1 },
22441		{ "DbTxTidPerr", 21, 1 },
22442		{ "DbExtPerr", 20, 1 },
22443		{ "DbOpPerr", 19, 1 },
22444		{ "TmCachePerr", 18, 1 },
22445		{ "ETpOutCplFifoPerr", 17, 1 },
22446		{ "ETpOutTcpFifoPerr", 16, 1 },
22447		{ "ETpOutIpFifoPerr", 15, 1 },
22448		{ "ETpOutEthFifoPerr", 14, 1 },
22449		{ "ETpInCplFifoPerr", 13, 1 },
22450		{ "ETpInTcpOptFifoPerr", 12, 1 },
22451		{ "ETpInTcpFifoPerr", 11, 1 },
22452		{ "ETpInIpFifoPerr", 10, 1 },
22453		{ "ETpInEthFifoPerr", 9, 1 },
22454		{ "CTpOutCplFifoPerr", 8, 1 },
22455		{ "CTpOutPldFifoPerr", 7, 1 },
22456		{ "CTpOutIpFifoPerr", 6, 1 },
22457		{ "CTpOutEthFifoPerr", 5, 1 },
22458		{ "CTpInCplFifoPerr", 4, 1 },
22459		{ "CTpInTcpOpFifoPerr", 3, 1 },
22460		{ "PduFbkFifoPerr", 2, 1 },
22461		{ "SrqTablePerr", 1, 1 },
22462		{ "DelInvFifoPerr", 0, 1 },
22463	{ "TP_FLM_FREE_PS_CNT", 0x7e80, 0 },
22464	{ "TP_FLM_FREE_RX_CNT", 0x7e84, 0 },
22465		{ "FreeRxPageChn", 28, 1 },
22466		{ "FreeRxPageCount", 0, 21 },
22467	{ "TP_FLM_FREE_TX_CNT", 0x7e88, 0 },
22468		{ "FreeTxPageChn", 28, 2 },
22469		{ "FreeTxPageCount", 0, 21 },
22470	{ "TP_TM_HEAP_PUSH_CNT", 0x7e8c, 0 },
22471	{ "TP_TM_HEAP_POP_CNT", 0x7e90, 0 },
22472	{ "TP_TM_DACK_PUSH_CNT", 0x7e94, 0 },
22473	{ "TP_TM_DACK_POP_CNT", 0x7e98, 0 },
22474	{ "TP_TM_MOD_PUSH_CNT", 0x7e9c, 0 },
22475	{ "TP_MOD_POP_CNT", 0x7ea0, 0 },
22476	{ "TP_TIMER_SEPARATOR", 0x7ea4, 0 },
22477		{ "TimerSeparator", 16, 16 },
22478		{ "DisableTimeFreeze", 0, 1 },
22479	{ "TP_STAMP_TIME", 0x7ea8, 0 },
22480	{ "TP_DEBUG_FLAGS", 0x7eac, 0 },
22481		{ "RxTimerCompBuffer", 27, 1 },
22482		{ "RxTimerDackFirst", 26, 1 },
22483		{ "RxTimerDack", 25, 1 },
22484		{ "RxTimerHeartbeat", 24, 1 },
22485		{ "RxPawsDrop", 23, 1 },
22486		{ "RxUrgDataDrop", 22, 1 },
22487		{ "RxFutureData", 21, 1 },
22488		{ "RxRcvRxmData", 20, 1 },
22489		{ "RxRcvOooDataFin", 19, 1 },
22490		{ "RxRcvOooData", 18, 1 },
22491		{ "RxRcvWndZero", 17, 1 },
22492		{ "RxRcvWndLtMss", 16, 1 },
22493		{ "TxDfrFast", 13, 1 },
22494		{ "TxRxmMisc", 12, 1 },
22495		{ "TxDupAckInc", 11, 1 },
22496		{ "TxRxmUrg", 10, 1 },
22497		{ "TxRxmFin", 9, 1 },
22498		{ "TxRxmSyn", 8, 1 },
22499		{ "TxRxmNewReno", 7, 1 },
22500		{ "TxRxmFast", 6, 1 },
22501		{ "TxRxmTimer", 5, 1 },
22502		{ "TxRxmTimerKeepalive", 4, 1 },
22503		{ "TxRxmTimerPersist", 3, 1 },
22504		{ "TxRcvAdvShrunk", 2, 1 },
22505		{ "TxRcvAdvZero", 1, 1 },
22506		{ "TxRcvAdvLtMss", 0, 1 },
22507	{ "TP_RX_SCHED", 0x7eb0, 0 },
22508		{ "CommitReset1", 31, 1 },
22509		{ "CommitReset0", 30, 1 },
22510		{ "ForceCong1", 29, 1 },
22511		{ "ForceCong0", 28, 1 },
22512		{ "EnableLpbkFull1", 26, 2 },
22513		{ "EnableLpbkFull0", 24, 2 },
22514		{ "EnableFifoFull1", 22, 2 },
22515		{ "EnablePcmdFull1", 20, 2 },
22516		{ "EnableHdrFull1", 18, 2 },
22517		{ "EnableFifoFull0", 16, 2 },
22518		{ "EnablePcmdFull0", 14, 2 },
22519		{ "EnableHdrFull0", 12, 2 },
22520	{ "TP_TX_SCHED", 0x7eb4, 0 },
22521		{ "CommitReset3", 31, 1 },
22522		{ "CommitReset2", 30, 1 },
22523		{ "CommitReset1", 29, 1 },
22524		{ "CommitReset0", 28, 1 },
22525		{ "ForceCong3", 27, 1 },
22526		{ "ForceCong2", 26, 1 },
22527		{ "ForceCong1", 25, 1 },
22528		{ "ForceCong0", 24, 1 },
22529		{ "CommitLimit3", 18, 6 },
22530		{ "CommitLimit2", 12, 6 },
22531		{ "CommitLimit1", 6, 6 },
22532		{ "CommitLimit0", 0, 6 },
22533	{ "TP_FX_SCHED", 0x7eb8, 0 },
22534		{ "TxChnXoff3", 19, 1 },
22535		{ "TxChnXoff2", 18, 1 },
22536		{ "TxChnXoff1", 17, 1 },
22537		{ "TxChnXoff0", 16, 1 },
22538		{ "TxModXoff7", 15, 1 },
22539		{ "TxModXoff6", 14, 1 },
22540		{ "TxModXoff5", 13, 1 },
22541		{ "TxModXoff4", 12, 1 },
22542		{ "TxModXoff3", 11, 1 },
22543		{ "TxModXoff2", 10, 1 },
22544		{ "TxModXoff1", 9, 1 },
22545		{ "TxModXoff0", 8, 1 },
22546		{ "RxChnXoff3", 7, 1 },
22547		{ "RxChnXoff2", 6, 1 },
22548		{ "RxChnXoff1", 5, 1 },
22549		{ "RxChnXoff0", 4, 1 },
22550		{ "RxModXoff1", 1, 1 },
22551		{ "RxModXoff0", 0, 1 },
22552	{ "TP_TX_ORATE", 0x7ebc, 0 },
22553		{ "OfdRate3", 24, 8 },
22554		{ "OfdRate2", 16, 8 },
22555		{ "OfdRate1", 8, 8 },
22556		{ "OfdRate0", 0, 8 },
22557	{ "TP_IX_SCHED0", 0x7ec0, 0 },
22558	{ "TP_IX_SCHED1", 0x7ec4, 0 },
22559	{ "TP_IX_SCHED2", 0x7ec8, 0 },
22560	{ "TP_IX_SCHED3", 0x7ecc, 0 },
22561	{ "TP_TX_TRATE", 0x7ed0, 0 },
22562		{ "TnlRate3", 24, 8 },
22563		{ "TnlRate2", 16, 8 },
22564		{ "TnlRate1", 8, 8 },
22565		{ "TnlRate0", 0, 8 },
22566	{ "TP_DBG_LA_CONFIG", 0x7ed4, 0 },
22567		{ "DbgLaOpcEnable", 24, 8 },
22568		{ "DbgLaWhlf", 23, 1 },
22569		{ "DbgLaWptr", 16, 7 },
22570		{ "DbgLaMode", 14, 2 },
22571		{ "DbgLaFatalFreeze", 13, 1 },
22572		{ "DbgLaEnable", 12, 1 },
22573		{ "DbgLaRptr", 0, 7 },
22574	{ "TP_DBG_LA_DATAL", 0x7ed8, 0 },
22575	{ "TP_DBG_LA_DATAH", 0x7edc, 0 },
22576	{ "TP_PROTOCOL_CNTRL", 0x7ee8, 0 },
22577		{ "WriteEnable", 31, 1 },
22578		{ "TcamEnable", 10, 1 },
22579		{ "BlockSelect", 8, 2 },
22580		{ "LineAddress", 1, 7 },
22581		{ "RequestDone", 0, 1 },
22582	{ "TP_PROTOCOL_DATA0", 0x7eec, 0 },
22583	{ "TP_PROTOCOL_DATA1", 0x7ef0, 0 },
22584	{ "TP_PROTOCOL_DATA2", 0x7ef4, 0 },
22585	{ "TP_PROTOCOL_DATA3", 0x7ef8, 0 },
22586	{ "TP_PROTOCOL_DATA4", 0x7efc, 0 },
22587	{ NULL }
22588};
22589
22590struct reg_info t6_ulp_tx_regs[] = {
22591	{ "ULP_TX_CONFIG", 0x8dc0, 0 },
22592		{ "ULIMIT_EXCLUSIVE_FIX", 16, 1 },
22593		{ "ISO_A_FLAG_EN", 15, 1 },
22594		{ "IWARP_SEQ_FLIT_DIS", 14, 1 },
22595		{ "MR_SIZE_FIX_EN", 13, 1 },
22596		{ "T10_ISO_FIX_EN", 12, 1 },
22597		{ "CPL_FLAGS_UPDATE_EN", 11, 1 },
22598		{ "IWARP_SEQ_UPDATE_EN", 10, 1 },
22599		{ "SEQ_UPDATE_EN", 9, 1 },
22600		{ "ERR_ITT_EN", 8, 1 },
22601		{ "atomic_fix_dis", 7, 1 },
22602		{ "PHYS_ADDR_RESP_EN", 6, 1 },
22603		{ "ENDIANESS_CHANGE", 5, 1 },
22604		{ "ERR_RTAG_EN", 4, 1 },
22605		{ "TSO_ETHLEN_EN", 3, 1 },
22606		{ "emsg_more_info", 2, 1 },
22607		{ "LOSDR", 1, 1 },
22608		{ "extra_tag_insertion_enable", 0, 1 },
22609	{ "ULP_TX_PERR_INJECT", 0x8dc4, 0 },
22610		{ "MemSel", 1, 5 },
22611		{ "InjectDataErr", 0, 1 },
22612	{ "ULP_TX_INT_ENABLE", 0x8dc8, 0 },
22613		{ "Pbl_bound_err_ch3", 31, 1 },
22614		{ "Pbl_bound_err_ch2", 30, 1 },
22615		{ "Pbl_bound_err_ch1", 29, 1 },
22616		{ "Pbl_bound_err_ch0", 28, 1 },
22617		{ "sge2ulp_fifo_perr_set3", 27, 1 },
22618		{ "sge2ulp_fifo_perr_set2", 26, 1 },
22619		{ "sge2ulp_fifo_perr_set1", 25, 1 },
22620		{ "sge2ulp_fifo_perr_set0", 24, 1 },
22621		{ "cim2ulp_fifo_perr_set3", 23, 1 },
22622		{ "cim2ulp_fifo_perr_set2", 22, 1 },
22623		{ "cim2ulp_fifo_perr_set1", 21, 1 },
22624		{ "cim2ulp_fifo_perr_set0", 20, 1 },
22625		{ "CQE_fifo_perr_set3", 19, 1 },
22626		{ "CQE_fifo_perr_set2", 18, 1 },
22627		{ "CQE_fifo_perr_set1", 17, 1 },
22628		{ "CQE_fifo_perr_set0", 16, 1 },
22629		{ "pbl_fifo_perr_set3", 15, 1 },
22630		{ "pbl_fifo_perr_set2", 14, 1 },
22631		{ "pbl_fifo_perr_set1", 13, 1 },
22632		{ "pbl_fifo_perr_set0", 12, 1 },
22633		{ "cmd_fifo_perr_set3", 11, 1 },
22634		{ "cmd_fifo_perr_set2", 10, 1 },
22635		{ "cmd_fifo_perr_set1", 9, 1 },
22636		{ "cmd_fifo_perr_set0", 8, 1 },
22637		{ "lso_hdr_sram_perr_set3", 7, 1 },
22638		{ "lso_hdr_sram_perr_set2", 6, 1 },
22639		{ "lso_hdr_sram_perr_set1", 5, 1 },
22640		{ "lso_hdr_sram_perr_set0", 4, 1 },
22641	{ "ULP_TX_INT_CAUSE", 0x8dcc, 0 },
22642		{ "Pbl_bound_err_ch3", 31, 1 },
22643		{ "Pbl_bound_err_ch2", 30, 1 },
22644		{ "Pbl_bound_err_ch1", 29, 1 },
22645		{ "Pbl_bound_err_ch0", 28, 1 },
22646		{ "sge2ulp_fifo_perr_set3", 27, 1 },
22647		{ "sge2ulp_fifo_perr_set2", 26, 1 },
22648		{ "sge2ulp_fifo_perr_set1", 25, 1 },
22649		{ "sge2ulp_fifo_perr_set0", 24, 1 },
22650		{ "cim2ulp_fifo_perr_set3", 23, 1 },
22651		{ "cim2ulp_fifo_perr_set2", 22, 1 },
22652		{ "cim2ulp_fifo_perr_set1", 21, 1 },
22653		{ "cim2ulp_fifo_perr_set0", 20, 1 },
22654		{ "CQE_fifo_perr_set3", 19, 1 },
22655		{ "CQE_fifo_perr_set2", 18, 1 },
22656		{ "CQE_fifo_perr_set1", 17, 1 },
22657		{ "CQE_fifo_perr_set0", 16, 1 },
22658		{ "pbl_fifo_perr_set3", 15, 1 },
22659		{ "pbl_fifo_perr_set2", 14, 1 },
22660		{ "pbl_fifo_perr_set1", 13, 1 },
22661		{ "pbl_fifo_perr_set0", 12, 1 },
22662		{ "cmd_fifo_perr_set3", 11, 1 },
22663		{ "cmd_fifo_perr_set2", 10, 1 },
22664		{ "cmd_fifo_perr_set1", 9, 1 },
22665		{ "cmd_fifo_perr_set0", 8, 1 },
22666		{ "lso_hdr_sram_perr_set3", 7, 1 },
22667		{ "lso_hdr_sram_perr_set2", 6, 1 },
22668		{ "lso_hdr_sram_perr_set1", 5, 1 },
22669		{ "lso_hdr_sram_perr_set0", 4, 1 },
22670	{ "ULP_TX_PERR_ENABLE", 0x8dd0, 0 },
22671		{ "sge2ulp_fifo_perr_set3", 27, 1 },
22672		{ "sge2ulp_fifo_perr_set2", 26, 1 },
22673		{ "sge2ulp_fifo_perr_set1", 25, 1 },
22674		{ "sge2ulp_fifo_perr_set0", 24, 1 },
22675		{ "cim2ulp_fifo_perr_set3", 23, 1 },
22676		{ "cim2ulp_fifo_perr_set2", 22, 1 },
22677		{ "cim2ulp_fifo_perr_set1", 21, 1 },
22678		{ "cim2ulp_fifo_perr_set0", 20, 1 },
22679		{ "CQE_fifo_perr_set3", 19, 1 },
22680		{ "CQE_fifo_perr_set2", 18, 1 },
22681		{ "CQE_fifo_perr_set1", 17, 1 },
22682		{ "CQE_fifo_perr_set0", 16, 1 },
22683		{ "pbl_fifo_perr_set3", 15, 1 },
22684		{ "pbl_fifo_perr_set2", 14, 1 },
22685		{ "pbl_fifo_perr_set1", 13, 1 },
22686		{ "pbl_fifo_perr_set0", 12, 1 },
22687		{ "cmd_fifo_perr_set3", 11, 1 },
22688		{ "cmd_fifo_perr_set2", 10, 1 },
22689		{ "cmd_fifo_perr_set1", 9, 1 },
22690		{ "cmd_fifo_perr_set0", 8, 1 },
22691		{ "lso_hdr_sram_perr_set3", 7, 1 },
22692		{ "lso_hdr_sram_perr_set2", 6, 1 },
22693		{ "lso_hdr_sram_perr_set1", 5, 1 },
22694		{ "lso_hdr_sram_perr_set0", 4, 1 },
22695	{ "ULP_TX_TPT_LLIMIT", 0x8dd4, 0 },
22696	{ "ULP_TX_TPT_ULIMIT", 0x8dd8, 0 },
22697	{ "ULP_TX_PBL_LLIMIT", 0x8ddc, 0 },
22698	{ "ULP_TX_PBL_ULIMIT", 0x8de0, 0 },
22699	{ "ULP_TX_TLS_CTL", 0x8de4, 0 },
22700		{ "TlsPerrEn", 4, 1 },
22701		{ "TlsPathCtl", 3, 1 },
22702		{ "TlsDisableIFuse", 2, 1 },
22703		{ "TlsDisableCFuse", 1, 1 },
22704		{ "TlsDisable", 0, 1 },
22705	{ "ULP_TX_CPL_PACK_SIZE1", 0x8df8, 0 },
22706		{ "Ch3Size1", 24, 8 },
22707		{ "Ch2Size1", 16, 8 },
22708		{ "Ch1Size1", 8, 8 },
22709		{ "Ch0Size1", 0, 8 },
22710	{ "ULP_TX_CPL_PACK_SIZE2", 0x8dfc, 0 },
22711		{ "Ch3Size2", 24, 8 },
22712		{ "Ch2Size2", 16, 8 },
22713		{ "Ch1Size2", 8, 8 },
22714		{ "Ch0Size2", 0, 8 },
22715	{ "ULP_TX_ERR_MSG2CIM", 0x8e00, 0 },
22716	{ "ULP_TX_ERR_TABLE_BASE", 0x8e04, 0 },
22717	{ "ULP_TX_ERR_CNT_CH0", 0x8e10, 0 },
22718	{ "ULP_TX_ERR_CNT_CH1", 0x8e14, 0 },
22719	{ "ULP_TX_ERR_CNT_CH2", 0x8e18, 0 },
22720	{ "ULP_TX_ERR_CNT_CH3", 0x8e1c, 0 },
22721	{ "ULP_TX_FC_SOF", 0x8e20, 0 },
22722		{ "SOF_FS3", 24, 8 },
22723		{ "SOF_FS2", 16, 8 },
22724		{ "SOF_3", 8, 8 },
22725		{ "SOF_2", 0, 8 },
22726	{ "ULP_TX_FC_EOF", 0x8e24, 0 },
22727		{ "EOF_LS3", 24, 8 },
22728		{ "EOF_LS2", 16, 8 },
22729		{ "EOF_3", 8, 8 },
22730		{ "EOF_2", 0, 8 },
22731	{ "ULP_TX_CGEN_GLOBAL", 0x8e28, 0 },
22732	{ "ULP_TX_CGEN", 0x8e2c, 0 },
22733		{ "ULP_TX_CGEN_Storage", 8, 4 },
22734		{ "ULP_TX_CGEN_RDMA", 4, 4 },
22735		{ "ULP_TX_CGEN_Channel", 0, 4 },
22736	{ "ULP_TX_MEM_CFG", 0x8e30, 0 },
22737	{ "ULP_TX_PERR_INJECT_2", 0x8e34, 0 },
22738		{ "MemSel", 1, 5 },
22739		{ "InjectDataErr", 0, 1 },
22740	{ "ULP_TX_FPGA_CMD_CTRL", 0x8e38, 0 },
22741	{ "ULP_TX_FPGA_CMD_0", 0x8e3c, 0 },
22742	{ "ULP_TX_FPGA_CMD_1", 0x8e40, 0 },
22743	{ "ULP_TX_FPGA_CMD_2", 0x8e44, 0 },
22744	{ "ULP_TX_FPGA_CMD_3", 0x8e48, 0 },
22745	{ "ULP_TX_FPGA_CMD_4", 0x8e4c, 0 },
22746	{ "ULP_TX_FPGA_CMD_5", 0x8e50, 0 },
22747	{ "ULP_TX_FPGA_CMD_6", 0x8e54, 0 },
22748	{ "ULP_TX_FPGA_CMD_7", 0x8e58, 0 },
22749	{ "ULP_TX_FPGA_CMD_8", 0x8e5c, 0 },
22750	{ "ULP_TX_FPGA_CMD_9", 0x8e60, 0 },
22751	{ "ULP_TX_FPGA_CMD_10", 0x8e64, 0 },
22752	{ "ULP_TX_FPGA_CMD_11", 0x8e68, 0 },
22753	{ "ULP_TX_FPGA_CMD_12", 0x8e6c, 0 },
22754	{ "ULP_TX_FPGA_CMD_13", 0x8e70, 0 },
22755	{ "ULP_TX_FPGA_CMD_14", 0x8e74, 0 },
22756	{ "ULP_TX_FPGA_CMD_15", 0x8e78, 0 },
22757	{ "ULP_TX_INT_ENABLE_2", 0x8e7c, 0 },
22758		{ "edma_in_fifo_perr_set3", 31, 1 },
22759		{ "edma_in_fifo_perr_set2", 30, 1 },
22760		{ "edma_in_fifo_perr_set1", 29, 1 },
22761		{ "edma_in_fifo_perr_set0", 28, 1 },
22762		{ "align_ctl_fifo_perr_set3", 27, 1 },
22763		{ "align_ctl_fifo_perr_set2", 26, 1 },
22764		{ "align_ctl_fifo_perr_set1", 25, 1 },
22765		{ "align_ctl_fifo_perr_set0", 24, 1 },
22766		{ "sge_fifo_perr_set3", 23, 1 },
22767		{ "sge_fifo_perr_set2", 22, 1 },
22768		{ "sge_fifo_perr_set1", 21, 1 },
22769		{ "sge_fifo_perr_set0", 20, 1 },
22770		{ "stag_fifo_perr_set3", 19, 1 },
22771		{ "stag_fifo_perr_set2", 18, 1 },
22772		{ "stag_fifo_perr_set1", 17, 1 },
22773		{ "stag_fifo_perr_set0", 16, 1 },
22774		{ "map_fifo_perr_set3", 15, 1 },
22775		{ "map_fifo_perr_set2", 14, 1 },
22776		{ "map_fifo_perr_set1", 13, 1 },
22777		{ "map_fifo_perr_set0", 12, 1 },
22778		{ "dma_fifo_perr_set3", 11, 1 },
22779		{ "dma_fifo_perr_set2", 10, 1 },
22780		{ "dma_fifo_perr_set1", 9, 1 },
22781		{ "dma_fifo_perr_set0", 8, 1 },
22782		{ "fso_hdr_sram_perr_set3", 7, 1 },
22783		{ "fso_hdr_sram_perr_set2", 6, 1 },
22784		{ "fso_hdr_sram_perr_set1", 5, 1 },
22785		{ "fso_hdr_sram_perr_set0", 4, 1 },
22786		{ "t10_pi_sram_perr_set3", 3, 1 },
22787		{ "t10_pi_sram_perr_set2", 2, 1 },
22788		{ "t10_pi_sram_perr_set1", 1, 1 },
22789		{ "t10_pi_sram_perr_set0", 0, 1 },
22790	{ "ULP_TX_INT_CAUSE_2", 0x8e80, 0 },
22791		{ "edma_in_fifo_perr_set3", 31, 1 },
22792		{ "edma_in_fifo_perr_set2", 30, 1 },
22793		{ "edma_in_fifo_perr_set1", 29, 1 },
22794		{ "edma_in_fifo_perr_set0", 28, 1 },
22795		{ "align_ctl_fifo_perr_set3", 27, 1 },
22796		{ "align_ctl_fifo_perr_set2", 26, 1 },
22797		{ "align_ctl_fifo_perr_set1", 25, 1 },
22798		{ "align_ctl_fifo_perr_set0", 24, 1 },
22799		{ "sge_fifo_perr_set3", 23, 1 },
22800		{ "sge_fifo_perr_set2", 22, 1 },
22801		{ "sge_fifo_perr_set1", 21, 1 },
22802		{ "sge_fifo_perr_set0", 20, 1 },
22803		{ "stag_fifo_perr_set3", 19, 1 },
22804		{ "stag_fifo_perr_set2", 18, 1 },
22805		{ "stag_fifo_perr_set1", 17, 1 },
22806		{ "stag_fifo_perr_set0", 16, 1 },
22807		{ "map_fifo_perr_set3", 15, 1 },
22808		{ "map_fifo_perr_set2", 14, 1 },
22809		{ "map_fifo_perr_set1", 13, 1 },
22810		{ "map_fifo_perr_set0", 12, 1 },
22811		{ "dma_fifo_perr_set3", 11, 1 },
22812		{ "dma_fifo_perr_set2", 10, 1 },
22813		{ "dma_fifo_perr_set1", 9, 1 },
22814		{ "dma_fifo_perr_set0", 8, 1 },
22815		{ "fso_hdr_sram_perr_set3", 7, 1 },
22816		{ "fso_hdr_sram_perr_set2", 6, 1 },
22817		{ "fso_hdr_sram_perr_set1", 5, 1 },
22818		{ "fso_hdr_sram_perr_set0", 4, 1 },
22819		{ "t10_pi_sram_perr_set3", 3, 1 },
22820		{ "t10_pi_sram_perr_set2", 2, 1 },
22821		{ "t10_pi_sram_perr_set1", 1, 1 },
22822		{ "t10_pi_sram_perr_set0", 0, 1 },
22823	{ "ULP_TX_PERR_ENABLE_2", 0x8e84, 0 },
22824		{ "edma_in_fifo_perr_set3", 31, 1 },
22825		{ "edma_in_fifo_perr_set2", 30, 1 },
22826		{ "edma_in_fifo_perr_set1", 29, 1 },
22827		{ "edma_in_fifo_perr_set0", 28, 1 },
22828		{ "align_ctl_fifo_perr_set3", 27, 1 },
22829		{ "align_ctl_fifo_perr_set2", 26, 1 },
22830		{ "align_ctl_fifo_perr_set1", 25, 1 },
22831		{ "align_ctl_fifo_perr_set0", 24, 1 },
22832		{ "sge_fifo_perr_set3", 23, 1 },
22833		{ "sge_fifo_perr_set2", 22, 1 },
22834		{ "sge_fifo_perr_set1", 21, 1 },
22835		{ "sge_fifo_perr_set0", 20, 1 },
22836		{ "stag_fifo_perr_set3", 19, 1 },
22837		{ "stag_fifo_perr_set2", 18, 1 },
22838		{ "stag_fifo_perr_set1", 17, 1 },
22839		{ "stag_fifo_perr_set0", 16, 1 },
22840		{ "map_fifo_perr_set3", 15, 1 },
22841		{ "map_fifo_perr_set2", 14, 1 },
22842		{ "map_fifo_perr_set1", 13, 1 },
22843		{ "map_fifo_perr_set0", 12, 1 },
22844		{ "dma_fifo_perr_set3", 11, 1 },
22845		{ "dma_fifo_perr_set2", 10, 1 },
22846		{ "dma_fifo_perr_set1", 9, 1 },
22847		{ "dma_fifo_perr_set0", 8, 1 },
22848		{ "fso_hdr_sram_perr_set3", 7, 1 },
22849		{ "fso_hdr_sram_perr_set2", 6, 1 },
22850		{ "fso_hdr_sram_perr_set1", 5, 1 },
22851		{ "fso_hdr_sram_perr_set0", 4, 1 },
22852		{ "t10_pi_sram_perr_set3", 3, 1 },
22853		{ "t10_pi_sram_perr_set2", 2, 1 },
22854		{ "t10_pi_sram_perr_set1", 1, 1 },
22855		{ "t10_pi_sram_perr_set0", 0, 1 },
22856	{ "ULP_TX_SE_CNT_ERR", 0x8ea0, 0 },
22857		{ "ERR_CH3", 12, 4 },
22858		{ "ERR_CH2", 8, 4 },
22859		{ "ERR_CH1", 4, 4 },
22860		{ "ERR_CH0", 0, 4 },
22861	{ "ULP_TX_SE_CNT_CLR", 0x8ea4, 0 },
22862		{ "CLR_DROP", 16, 4 },
22863		{ "CLR_CH3", 12, 4 },
22864		{ "CLR_CH2", 8, 4 },
22865		{ "CLR_CH1", 4, 4 },
22866		{ "CLR_CH0", 0, 4 },
22867	{ "ULP_TX_SE_CNT_CH0", 0x8ea8, 0 },
22868		{ "SOP_CNT_ULP2TP", 28, 4 },
22869		{ "EOP_CNT_ULP2TP", 24, 4 },
22870		{ "SOP_CNT_LSO_IN", 20, 4 },
22871		{ "EOP_CNT_LSO_IN", 16, 4 },
22872		{ "SOP_CNT_ALG_IN", 12, 4 },
22873		{ "EOP_CNT_ALG_IN", 8, 4 },
22874		{ "SOP_CNT_CIM2ULP", 4, 4 },
22875		{ "EOP_CNT_CIM2ULP", 0, 4 },
22876	{ "ULP_TX_SE_CNT_CH1", 0x8eac, 0 },
22877		{ "SOP_CNT_ULP2TP", 28, 4 },
22878		{ "EOP_CNT_ULP2TP", 24, 4 },
22879		{ "SOP_CNT_LSO_IN", 20, 4 },
22880		{ "EOP_CNT_LSO_IN", 16, 4 },
22881		{ "SOP_CNT_ALG_IN", 12, 4 },
22882		{ "EOP_CNT_ALG_IN", 8, 4 },
22883		{ "SOP_CNT_CIM2ULP", 4, 4 },
22884		{ "EOP_CNT_CIM2ULP", 0, 4 },
22885	{ "ULP_TX_SE_CNT_CH2", 0x8eb0, 0 },
22886		{ "SOP_CNT_ULP2TP", 28, 4 },
22887		{ "EOP_CNT_ULP2TP", 24, 4 },
22888		{ "SOP_CNT_LSO_IN", 20, 4 },
22889		{ "EOP_CNT_LSO_IN", 16, 4 },
22890		{ "SOP_CNT_ALG_IN", 12, 4 },
22891		{ "EOP_CNT_ALG_IN", 8, 4 },
22892		{ "SOP_CNT_CIM2ULP", 4, 4 },
22893		{ "EOP_CNT_CIM2ULP", 0, 4 },
22894	{ "ULP_TX_SE_CNT_CH3", 0x8eb4, 0 },
22895		{ "SOP_CNT_ULP2TP", 28, 4 },
22896		{ "EOP_CNT_ULP2TP", 24, 4 },
22897		{ "SOP_CNT_LSO_IN", 20, 4 },
22898		{ "EOP_CNT_LSO_IN", 16, 4 },
22899		{ "SOP_CNT_ALG_IN", 12, 4 },
22900		{ "EOP_CNT_ALG_IN", 8, 4 },
22901		{ "SOP_CNT_CIM2ULP", 4, 4 },
22902		{ "EOP_CNT_CIM2ULP", 0, 4 },
22903	{ "ULP_TX_DROP_CNT", 0x8eb8, 0 },
22904		{ "DROP_INVLD_MC_CH3", 28, 4 },
22905		{ "DROP_INVLD_MC_CH2", 24, 4 },
22906		{ "DROP_INVLD_MC_CH1", 20, 4 },
22907		{ "DROP_INVLD_MC_CH0", 16, 4 },
22908		{ "DROP_CH3", 12, 4 },
22909		{ "DROP_CH2", 8, 4 },
22910		{ "DROP_CH1", 4, 4 },
22911		{ "DROP_CH0", 0, 4 },
22912	{ "ULP_TX_CSU_REVISION", 0x8ebc, 0 },
22913	{ "ULP_TX_LA_RDPTR_0", 0x8ec0, 0 },
22914	{ "ULP_TX_LA_RDDATA_0", 0x8ec4, 0 },
22915	{ "ULP_TX_LA_WRPTR_0", 0x8ec8, 0 },
22916	{ "ULP_TX_LA_RESERVED_0", 0x8ecc, 0 },
22917	{ "ULP_TX_LA_RDPTR_1", 0x8ed0, 0 },
22918	{ "ULP_TX_LA_RDDATA_1", 0x8ed4, 0 },
22919	{ "ULP_TX_LA_WRPTR_1", 0x8ed8, 0 },
22920	{ "ULP_TX_LA_RESERVED_1", 0x8edc, 0 },
22921	{ "ULP_TX_LA_RDPTR_2", 0x8ee0, 0 },
22922	{ "ULP_TX_LA_RDDATA_2", 0x8ee4, 0 },
22923	{ "ULP_TX_LA_WRPTR_2", 0x8ee8, 0 },
22924	{ "ULP_TX_LA_RESERVED_2", 0x8eec, 0 },
22925	{ "ULP_TX_LA_RDPTR_3", 0x8ef0, 0 },
22926	{ "ULP_TX_LA_RDDATA_3", 0x8ef4, 0 },
22927	{ "ULP_TX_LA_WRPTR_3", 0x8ef8, 0 },
22928	{ "ULP_TX_LA_RESERVED_3", 0x8efc, 0 },
22929	{ "ULP_TX_LA_RDPTR_4", 0x8f00, 0 },
22930	{ "ULP_TX_LA_RDDATA_4", 0x8f04, 0 },
22931	{ "ULP_TX_LA_WRPTR_4", 0x8f08, 0 },
22932	{ "ULP_TX_LA_RESERVED_4", 0x8f0c, 0 },
22933	{ "ULP_TX_LA_RDPTR_5", 0x8f10, 0 },
22934	{ "ULP_TX_LA_RDDATA_5", 0x8f14, 0 },
22935	{ "ULP_TX_LA_WRPTR_5", 0x8f18, 0 },
22936	{ "ULP_TX_LA_RESERVED_5", 0x8f1c, 0 },
22937	{ "ULP_TX_LA_RDPTR_6", 0x8f20, 0 },
22938	{ "ULP_TX_LA_RDDATA_6", 0x8f24, 0 },
22939	{ "ULP_TX_LA_WRPTR_6", 0x8f28, 0 },
22940	{ "ULP_TX_LA_RESERVED_6", 0x8f2c, 0 },
22941	{ "ULP_TX_LA_RDPTR_7", 0x8f30, 0 },
22942	{ "ULP_TX_LA_RDDATA_7", 0x8f34, 0 },
22943	{ "ULP_TX_LA_WRPTR_7", 0x8f38, 0 },
22944	{ "ULP_TX_LA_RESERVED_7", 0x8f3c, 0 },
22945	{ "ULP_TX_LA_RDPTR_8", 0x8f40, 0 },
22946	{ "ULP_TX_LA_RDDATA_8", 0x8f44, 0 },
22947	{ "ULP_TX_LA_WRPTR_8", 0x8f48, 0 },
22948	{ "ULP_TX_LA_RESERVED_8", 0x8f4c, 0 },
22949	{ "ULP_TX_LA_RDPTR_9", 0x8f50, 0 },
22950	{ "ULP_TX_LA_RDDATA_9", 0x8f54, 0 },
22951	{ "ULP_TX_LA_WRPTR_9", 0x8f58, 0 },
22952	{ "ULP_TX_LA_RESERVED_9", 0x8f5c, 0 },
22953	{ "ULP_TX_LA_RDPTR_10", 0x8f60, 0 },
22954	{ "ULP_TX_LA_RDDATA_10", 0x8f64, 0 },
22955	{ "ULP_TX_LA_WRPTR_10", 0x8f68, 0 },
22956	{ "ULP_TX_LA_RESERVED_10", 0x8f6c, 0 },
22957	{ "ULP_TX_ASIC_DEBUG_CTRL", 0x8f70, 0 },
22958	{ "ULP_TX_CPL_TX_DATA_FLAGS_MASK", 0x8f88, 0 },
22959		{ "bypass_first", 26, 1 },
22960		{ "bypass_middle", 25, 1 },
22961		{ "bypass_last", 24, 1 },
22962		{ "push_first", 22, 1 },
22963		{ "push_middle", 21, 1 },
22964		{ "push_last", 20, 1 },
22965		{ "save_first", 18, 1 },
22966		{ "save_middle", 17, 1 },
22967		{ "save_last", 16, 1 },
22968		{ "flush_first", 14, 1 },
22969		{ "flush_middle", 13, 1 },
22970		{ "flush_last", 12, 1 },
22971		{ "urgent_first", 10, 1 },
22972		{ "urgent_middle", 9, 1 },
22973		{ "urgent_last", 8, 1 },
22974		{ "more_first", 6, 1 },
22975		{ "more_middle", 5, 1 },
22976		{ "more_last", 4, 1 },
22977		{ "shove_first", 2, 1 },
22978		{ "shove_middle", 1, 1 },
22979		{ "shove_last", 0, 1 },
22980	{ "ULP_TX_TLS_IND_CMD", 0x8fb8, 0 },
22981	{ "ULP_TX_TLS_IND_DATA", 0x8fbc, 0 },
22982	{ "ULP_TX_ASIC_DEBUG_0", 0x8f74, 0 },
22983	{ "ULP_TX_ASIC_DEBUG_1", 0x8f78, 0 },
22984	{ "ULP_TX_ASIC_DEBUG_2", 0x8f7c, 0 },
22985	{ "ULP_TX_ASIC_DEBUG_3", 0x8f80, 0 },
22986	{ "ULP_TX_ASIC_DEBUG_4", 0x8f84, 0 },
22987	{ NULL }
22988};
22989
22990struct reg_info t6_pm_rx_regs[] = {
22991	{ "PM_RX_CFG", 0x8fc0, 0 },
22992		{ "ch1_output", 27, 5 },
22993		{ "strobe1", 16, 1 },
22994		{ "ch1_input", 11, 5 },
22995		{ "ch2_input", 6, 5 },
22996		{ "ch3_input", 1, 5 },
22997		{ "strobe0", 0, 1 },
22998	{ "PM_RX_MODE", 0x8fc4, 0 },
22999		{ "use_bundle_len", 4, 1 },
23000		{ "stat_to_ch", 3, 1 },
23001		{ "stat_from_ch", 1, 2 },
23002		{ "prefetch_enable", 0, 1 },
23003	{ "PM_RX_STAT_CONFIG", 0x8fc8, 0 },
23004	{ "PM_RX_STAT_COUNT", 0x8fcc, 0 },
23005	{ "PM_RX_DBG_CTRL", 0x8fd0, 0 },
23006		{ "OspiWrBusy", 21, 2 },
23007		{ "IspiWrBusy", 17, 4 },
23008		{ "PMDbgAddr", 0, 17 },
23009	{ "PM_RX_DBG_DATA", 0x8fd4, 0 },
23010	{ "PM_RX_INT_ENABLE", 0x8fd8, 0 },
23011		{ "ospi_overflow1", 28, 1 },
23012		{ "ospi_overflow0", 27, 1 },
23013		{ "ma_intf_sdc_err", 26, 1 },
23014		{ "bundle_len_ParErr", 25, 1 },
23015		{ "bundle_len_ovfl", 24, 1 },
23016		{ "sdc_err", 23, 1 },
23017		{ "zero_e_cmd_error", 22, 1 },
23018		{ "iespi0_fifo2x_Rx_framing_error", 21, 1 },
23019		{ "iespi1_fifo2x_Rx_framing_error", 20, 1 },
23020		{ "iespi2_fifo2x_Rx_framing_error", 19, 1 },
23021		{ "iespi3_fifo2x_Rx_framing_error", 18, 1 },
23022		{ "iespi0_Rx_framing_error", 17, 1 },
23023		{ "iespi1_Rx_framing_error", 16, 1 },
23024		{ "iespi2_Rx_framing_error", 15, 1 },
23025		{ "iespi3_Rx_framing_error", 14, 1 },
23026		{ "iespi0_Tx_framing_error", 13, 1 },
23027		{ "iespi1_Tx_framing_error", 12, 1 },
23028		{ "iespi2_Tx_framing_error", 11, 1 },
23029		{ "iespi3_Tx_framing_error", 10, 1 },
23030		{ "ocspi0_Rx_framing_error", 9, 1 },
23031		{ "ocspi1_Rx_framing_error", 8, 1 },
23032		{ "ocspi0_Tx_framing_error", 7, 1 },
23033		{ "ocspi1_Tx_framing_error", 6, 1 },
23034		{ "ocspi0_ofifo2x_Tx_framing_error", 5, 1 },
23035		{ "ocspi1_ofifo2x_Tx_framing_error", 4, 1 },
23036		{ "ocspi_par_error", 3, 1 },
23037		{ "db_options_par_error", 2, 1 },
23038		{ "iespi_par_error", 1, 1 },
23039		{ "e_pcmd_par_error", 0, 1 },
23040	{ "PM_RX_INT_CAUSE", 0x8fdc, 0 },
23041		{ "ospi_overflow1", 28, 1 },
23042		{ "ospi_overflow0", 27, 1 },
23043		{ "ma_intf_sdc_err", 26, 1 },
23044		{ "bundle_len_ParErr", 25, 1 },
23045		{ "bundle_len_ovfl", 24, 1 },
23046		{ "sdc_err", 23, 1 },
23047		{ "zero_e_cmd_error", 22, 1 },
23048		{ "iespi0_fifo2x_Rx_framing_error", 21, 1 },
23049		{ "iespi1_fifo2x_Rx_framing_error", 20, 1 },
23050		{ "iespi2_fifo2x_Rx_framing_error", 19, 1 },
23051		{ "iespi3_fifo2x_Rx_framing_error", 18, 1 },
23052		{ "iespi0_Rx_framing_error", 17, 1 },
23053		{ "iespi1_Rx_framing_error", 16, 1 },
23054		{ "iespi2_Rx_framing_error", 15, 1 },
23055		{ "iespi3_Rx_framing_error", 14, 1 },
23056		{ "iespi0_Tx_framing_error", 13, 1 },
23057		{ "iespi1_Tx_framing_error", 12, 1 },
23058		{ "iespi2_Tx_framing_error", 11, 1 },
23059		{ "iespi3_Tx_framing_error", 10, 1 },
23060		{ "ocspi0_Rx_framing_error", 9, 1 },
23061		{ "ocspi1_Rx_framing_error", 8, 1 },
23062		{ "ocspi0_Tx_framing_error", 7, 1 },
23063		{ "ocspi1_Tx_framing_error", 6, 1 },
23064		{ "ocspi0_ofifo2x_Tx_framing_error", 5, 1 },
23065		{ "ocspi1_ofifo2x_Tx_framing_error", 4, 1 },
23066		{ "ocspi_par_error", 3, 1 },
23067		{ "db_options_par_error", 2, 1 },
23068		{ "iespi_par_error", 1, 1 },
23069		{ "e_pcmd_par_error", 0, 1 },
23070	{ NULL }
23071};
23072
23073struct reg_info t6_pm_tx_regs[] = {
23074	{ "PM_TX_CFG", 0x8fe0, 0 },
23075		{ "ch1_output", 27, 5 },
23076		{ "ch2_output", 22, 5 },
23077		{ "ch3_output", 17, 5 },
23078		{ "strobe1", 16, 1 },
23079		{ "ch1_input", 11, 5 },
23080		{ "ch2_input", 6, 5 },
23081		{ "ch3_input", 1, 5 },
23082		{ "strobe0", 0, 1 },
23083	{ "PM_TX_MODE", 0x8fe4, 0 },
23084		{ "cong_thresh3", 25, 7 },
23085		{ "cong_thresh2", 18, 7 },
23086		{ "cong_thresh1", 11, 7 },
23087		{ "cong_thresh0", 4, 7 },
23088		{ "use_bundle_len", 3, 1 },
23089		{ "stat_channel", 1, 2 },
23090		{ "prefetch_enable", 0, 1 },
23091	{ "PM_TX_STAT_CONFIG", 0x8fe8, 0 },
23092	{ "PM_TX_STAT_COUNT", 0x8fec, 0 },
23093	{ "PM_TX_DBG_CTRL", 0x8ff0, 0 },
23094		{ "OspiWrBusy", 21, 4 },
23095		{ "IspiWrBusy", 17, 4 },
23096		{ "PMDbgAddr", 0, 17 },
23097	{ "PM_TX_DBG_DATA", 0x8ff4, 0 },
23098	{ "PM_TX_INT_ENABLE", 0x8ff8, 0 },
23099		{ "pcmd_len_ovfl0", 31, 1 },
23100		{ "pcmd_len_ovfl1", 30, 1 },
23101		{ "pcmd_len_ovfl2", 29, 1 },
23102		{ "zero_c_cmd_error", 28, 1 },
23103		{ "icspi0_fifo2x_Rx_framing_error", 27, 1 },
23104		{ "icspi1_fifo2x_Rx_framing_error", 26, 1 },
23105		{ "icspi2_fifo2x_Rx_framing_error", 25, 1 },
23106		{ "icspi3_fifo2x_Rx_framing_error", 24, 1 },
23107		{ "icspi0_Rx_framing_error", 23, 1 },
23108		{ "icspi1_Rx_framing_error", 22, 1 },
23109		{ "icspi2_Rx_framing_error", 21, 1 },
23110		{ "icspi3_Rx_framing_error", 20, 1 },
23111		{ "icspi0_Tx_framing_error", 19, 1 },
23112		{ "icspi1_Tx_framing_error", 18, 1 },
23113		{ "icspi2_Tx_framing_error", 17, 1 },
23114		{ "icspi3_Tx_framing_error", 16, 1 },
23115		{ "oespi0_Rx_framing_error", 15, 1 },
23116		{ "oespi1_Rx_framing_error", 14, 1 },
23117		{ "oespi2_Rx_framing_error", 13, 1 },
23118		{ "oespi3_Rx_framing_error", 12, 1 },
23119		{ "oespi0_Tx_framing_error", 11, 1 },
23120		{ "oespi1_Tx_framing_error", 10, 1 },
23121		{ "oespi2_Tx_framing_error", 9, 1 },
23122		{ "oespi3_Tx_framing_error", 8, 1 },
23123		{ "oespi0_ofifo2x_Tx_framing_error", 7, 1 },
23124		{ "oespi1_ofifo2x_Tx_framing_error", 6, 1 },
23125		{ "oespi2_ofifo2x_Tx_framing_error", 5, 1 },
23126		{ "oespi3_ofifo2x_Tx_framing_error", 4, 1 },
23127		{ "oespi_par_error", 3, 1 },
23128		{ "db_options_par_error", 2, 1 },
23129		{ "icspi_par_error", 1, 1 },
23130		{ "c_pcmd_par_error", 0, 1 },
23131	{ "PM_TX_INT_CAUSE", 0x8ffc, 0 },
23132		{ "pcmd_len_ovfl0", 31, 1 },
23133		{ "pcmd_len_ovfl1", 30, 1 },
23134		{ "pcmd_len_ovfl2", 29, 1 },
23135		{ "zero_c_cmd_error", 28, 1 },
23136		{ "icspi0_fifo2x_Rx_framing_error", 27, 1 },
23137		{ "icspi1_fifo2x_Rx_framing_error", 26, 1 },
23138		{ "icspi2_fifo2x_Rx_framing_error", 25, 1 },
23139		{ "icspi3_fifo2x_Rx_framing_error", 24, 1 },
23140		{ "icspi0_Rx_framing_error", 23, 1 },
23141		{ "icspi1_Rx_framing_error", 22, 1 },
23142		{ "icspi2_Rx_framing_error", 21, 1 },
23143		{ "icspi3_Rx_framing_error", 20, 1 },
23144		{ "icspi0_Tx_framing_error", 19, 1 },
23145		{ "icspi1_Tx_framing_error", 18, 1 },
23146		{ "icspi2_Tx_framing_error", 17, 1 },
23147		{ "icspi3_Tx_framing_error", 16, 1 },
23148		{ "oespi0_Rx_framing_error", 15, 1 },
23149		{ "oespi1_Rx_framing_error", 14, 1 },
23150		{ "oespi2_Rx_framing_error", 13, 1 },
23151		{ "oespi3_Rx_framing_error", 12, 1 },
23152		{ "oespi0_Tx_framing_error", 11, 1 },
23153		{ "oespi1_Tx_framing_error", 10, 1 },
23154		{ "oespi2_Tx_framing_error", 9, 1 },
23155		{ "oespi3_Tx_framing_error", 8, 1 },
23156		{ "oespi0_ofifo2x_Tx_framing_error", 7, 1 },
23157		{ "oespi1_ofifo2x_Tx_framing_error", 6, 1 },
23158		{ "oespi2_ofifo2x_Tx_framing_error", 5, 1 },
23159		{ "oespi3_ofifo2x_Tx_framing_error", 4, 1 },
23160		{ "ospi_or_bundle_len_par_err", 3, 1 },
23161		{ "db_options_par_error", 2, 1 },
23162		{ "icspi_par_error", 1, 1 },
23163		{ "c_pcmd_par_error", 0, 1 },
23164	{ NULL }
23165};
23166
23167struct reg_info t6_mps_regs[] = {
23168	{ "MPS_CMN_CTL", 0x9000, 0 },
23169		{ "TX_PORT_STATS_MODE", 8, 1 },
23170		{ "T5Mode", 7, 1 },
23171		{ "SpeedMode", 5, 2 },
23172		{ "LpbkCrdtCtrl", 4, 1 },
23173		{ "Detect8023", 3, 1 },
23174		{ "VFDirectAccess", 2, 1 },
23175		{ "NumPorts", 0, 2 },
23176	{ "MPS_INT_ENABLE", 0x9004, 0 },
23177		{ "StatIntEnb", 5, 1 },
23178		{ "TxIntEnb", 4, 1 },
23179		{ "RxIntEnb", 3, 1 },
23180		{ "TrcIntEnb", 2, 1 },
23181		{ "ClsIntEnb", 1, 1 },
23182		{ "PLIntEnb", 0, 1 },
23183	{ "MPS_INT_CAUSE", 0x9008, 0 },
23184		{ "StatInt", 5, 1 },
23185		{ "TxInt", 4, 1 },
23186		{ "RxInt", 3, 1 },
23187		{ "TrcInt", 2, 1 },
23188		{ "ClsInt", 1, 1 },
23189		{ "PLInt", 0, 1 },
23190	{ "MPS_CGEN_GLOBAL", 0x900c, 0 },
23191	{ "MPS_VF_TX_CTL_31_0", 0x9010, 0 },
23192	{ "MPS_VF_TX_CTL_63_32", 0x9014, 0 },
23193	{ "MPS_VF_TX_CTL_95_64", 0x9018, 0 },
23194	{ "MPS_VF_TX_CTL_127_96", 0x901c, 0 },
23195	{ "MPS_VF_TX_CTL_159_128", 0x9100, 0 },
23196	{ "MPS_VF_TX_CTL_191_160", 0x9104, 0 },
23197	{ "MPS_VF_TX_CTL_223_192", 0x9108, 0 },
23198	{ "MPS_VF_TX_CTL_255_224", 0x910c, 0 },
23199	{ "MPS_VF_RX_CTL_31_0", 0x9020, 0 },
23200	{ "MPS_VF_RX_CTL_63_32", 0x9024, 0 },
23201	{ "MPS_VF_RX_CTL_95_64", 0x9028, 0 },
23202	{ "MPS_VF_RX_CTL_127_96", 0x902c, 0 },
23203	{ "MPS_VF_RX_CTL_159_128", 0x9110, 0 },
23204	{ "MPS_VF_RX_CTL_191_160", 0x9114, 0 },
23205	{ "MPS_VF_RX_CTL_223_192", 0x9118, 0 },
23206	{ "MPS_VF_RX_CTL_255_224", 0x911c, 0 },
23207	{ "MPS_TX_PAUSE_DURATION_BUF_GRP0", 0x9030, 0 },
23208	{ "MPS_TX_PAUSE_DURATION_BUF_GRP1", 0x9034, 0 },
23209	{ "MPS_TX_PAUSE_DURATION_BUF_GRP2", 0x9038, 0 },
23210	{ "MPS_TX_PAUSE_DURATION_BUF_GRP3", 0x903c, 0 },
23211	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP0", 0x9040, 0 },
23212	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP1", 0x9044, 0 },
23213	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP2", 0x9048, 0 },
23214	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP3", 0x904c, 0 },
23215	{ "MPS_TP_CSIDE_MUX_CTL_P0", 0x9050, 0 },
23216	{ "MPS_TP_CSIDE_MUX_CTL_P1", 0x9054, 0 },
23217	{ "MPS_WOL_CTL_MODE", 0x9058, 0 },
23218	{ "MPS_FPGA_DEBUG", 0x9060, 0 },
23219		{ "FPGA_PTP_PORT", 9, 2 },
23220		{ "LPBK_EN", 8, 1 },
23221		{ "CH_MAP1", 2, 2 },
23222		{ "CH_MAP0", 0, 2 },
23223	{ "MPS_DEBUG_CTL", 0x9068, 0 },
23224		{ "DbgModeCtl_H", 11, 1 },
23225		{ "DbgSel_H", 6, 5 },
23226		{ "DbgModeCtl_L", 5, 1 },
23227		{ "DbgSel_L", 0, 5 },
23228	{ "MPS_DEBUG_DATA_REG_L", 0x906c, 0 },
23229	{ "MPS_DEBUG_DATA_REG_H", 0x9070, 0 },
23230	{ "MPS_TOP_SPARE", 0x9074, 0 },
23231		{ "TopSpare", 8, 24 },
23232		{ "oVlanSelLpbk3", 7, 1 },
23233		{ "oVlanSelLpbk2", 6, 1 },
23234		{ "oVlanSelLpbk1", 5, 1 },
23235		{ "oVlanSelLpbk0", 4, 1 },
23236		{ "oVlanSelMac3", 3, 1 },
23237		{ "oVlanSelMac2", 2, 1 },
23238		{ "oVlanSelMac1", 1, 1 },
23239		{ "oVlanSelMac0", 0, 1 },
23240	{ "MPS_BUILD_REVISION", 0x9078, 0 },
23241	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH0", 0x907c, 0 },
23242	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH1", 0x9080, 0 },
23243	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH2", 0x9084, 0 },
23244	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH3", 0x9088, 0 },
23245	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH4", 0x908c, 0 },
23246	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH5", 0x9090, 0 },
23247	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH6", 0x9094, 0 },
23248	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH7", 0x9098, 0 },
23249	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH8", 0x909c, 0 },
23250	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH9", 0x90a0, 0 },
23251	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH10", 0x90a4, 0 },
23252	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH11", 0x90a8, 0 },
23253	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH12", 0x90ac, 0 },
23254	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH13", 0x90b0, 0 },
23255	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH14", 0x90b4, 0 },
23256	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH15", 0x90b8, 0 },
23257	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH0", 0x90bc, 0 },
23258	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH1", 0x90c0, 0 },
23259	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH2", 0x90c4, 0 },
23260	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH3", 0x90c8, 0 },
23261	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH4", 0x90cc, 0 },
23262	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH5", 0x90d0, 0 },
23263	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH6", 0x90d4, 0 },
23264	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH7", 0x90d8, 0 },
23265	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH8", 0x90dc, 0 },
23266	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH9", 0x90e0, 0 },
23267	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH10", 0x90e4, 0 },
23268	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH11", 0x90e8, 0 },
23269	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH12", 0x90ec, 0 },
23270	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH13", 0x90f0, 0 },
23271	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH14", 0x90f4, 0 },
23272	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH15", 0x90f8, 0 },
23273	{ "MPS_FPGA_BIST_CFG_P0", 0x9120, 0 },
23274		{ "AddrMask", 16, 16 },
23275		{ "BaseAddr", 0, 16 },
23276	{ "MPS_FPGA_BIST_CFG_P1", 0x9124, 0 },
23277		{ "AddrMask", 16, 16 },
23278		{ "BaseAddr", 0, 16 },
23279	{ "MPS_PORT_CTL", 0x30000, 0 },
23280		{ "LpbkEn", 31, 1 },
23281		{ "TxEn", 30, 1 },
23282		{ "RxEn", 29, 1 },
23283		{ "PPPEn", 28, 1 },
23284		{ "FCSStripEn", 27, 1 },
23285		{ "PPPAndPause", 26, 1 },
23286		{ "PrioPPPEnMap", 16, 8 },
23287	{ "MPS_PORT_PAUSE_CTL", 0x30004, 0 },
23288	{ "MPS_PORT_TX_PAUSE_CTL", 0x30008, 0 },
23289		{ "RegSendOff", 24, 8 },
23290		{ "RegSendOn", 16, 8 },
23291		{ "SgeSendEn", 8, 8 },
23292		{ "RxSendEn", 0, 8 },
23293	{ "MPS_PORT_TX_PAUSE_CTL2", 0x3000c, 0 },
23294	{ "MPS_PORT_RX_PAUSE_CTL", 0x30010, 0 },
23295		{ "RegHaltOn", 8, 8 },
23296		{ "RxHaltEn", 0, 8 },
23297	{ "MPS_PORT_TX_PAUSE_STATUS", 0x30014, 0 },
23298		{ "RegSending", 16, 8 },
23299		{ "SgeSending", 8, 8 },
23300		{ "RxSending", 0, 8 },
23301	{ "MPS_PORT_RX_PAUSE_STATUS", 0x30018, 0 },
23302		{ "RegHalted", 8, 8 },
23303		{ "RxHalted", 0, 8 },
23304	{ "MPS_PORT_TX_PAUSE_DEST_L", 0x3001c, 0 },
23305	{ "MPS_PORT_TX_PAUSE_DEST_H", 0x30020, 0 },
23306	{ "MPS_PORT_TX_PAUSE_SOURCE_L", 0x30024, 0 },
23307	{ "MPS_PORT_TX_PAUSE_SOURCE_H", 0x30028, 0 },
23308	{ "MPS_PORT_PRTY_BUFFER_GROUP_MAP", 0x3002c, 0 },
23309		{ "Prty7", 14, 2 },
23310		{ "Prty6", 12, 2 },
23311		{ "Prty5", 10, 2 },
23312		{ "Prty4", 8, 2 },
23313		{ "Prty3", 6, 2 },
23314		{ "Prty2", 4, 2 },
23315		{ "Prty1", 2, 2 },
23316		{ "Prty0", 0, 2 },
23317	{ "MPS_PORT_PRTY_BUFFER_GROUP_TH_MAP", 0x30030, 0 },
23318		{ "Prty7", 28, 4 },
23319		{ "Prty6", 24, 4 },
23320		{ "Prty5", 20, 4 },
23321		{ "Prty4", 16, 4 },
23322		{ "Prty3", 12, 4 },
23323		{ "Prty2", 8, 4 },
23324		{ "Prty1", 4, 4 },
23325		{ "Prty0", 0, 4 },
23326	{ "MPS_PORT_CTL", 0x34000, 0 },
23327		{ "LpbkEn", 31, 1 },
23328		{ "TxEn", 30, 1 },
23329		{ "RxEn", 29, 1 },
23330		{ "PPPEn", 28, 1 },
23331		{ "FCSStripEn", 27, 1 },
23332		{ "PPPAndPause", 26, 1 },
23333		{ "PrioPPPEnMap", 16, 8 },
23334	{ "MPS_PORT_PAUSE_CTL", 0x34004, 0 },
23335	{ "MPS_PORT_TX_PAUSE_CTL", 0x34008, 0 },
23336		{ "RegSendOff", 24, 8 },
23337		{ "RegSendOn", 16, 8 },
23338		{ "SgeSendEn", 8, 8 },
23339		{ "RxSendEn", 0, 8 },
23340	{ "MPS_PORT_TX_PAUSE_CTL2", 0x3400c, 0 },
23341	{ "MPS_PORT_RX_PAUSE_CTL", 0x34010, 0 },
23342		{ "RegHaltOn", 8, 8 },
23343		{ "RxHaltEn", 0, 8 },
23344	{ "MPS_PORT_TX_PAUSE_STATUS", 0x34014, 0 },
23345		{ "RegSending", 16, 8 },
23346		{ "SgeSending", 8, 8 },
23347		{ "RxSending", 0, 8 },
23348	{ "MPS_PORT_RX_PAUSE_STATUS", 0x34018, 0 },
23349		{ "RegHalted", 8, 8 },
23350		{ "RxHalted", 0, 8 },
23351	{ "MPS_PORT_TX_PAUSE_DEST_L", 0x3401c, 0 },
23352	{ "MPS_PORT_TX_PAUSE_DEST_H", 0x34020, 0 },
23353	{ "MPS_PORT_TX_PAUSE_SOURCE_L", 0x34024, 0 },
23354	{ "MPS_PORT_TX_PAUSE_SOURCE_H", 0x34028, 0 },
23355	{ "MPS_PORT_PRTY_BUFFER_GROUP_MAP", 0x3402c, 0 },
23356		{ "Prty7", 14, 2 },
23357		{ "Prty6", 12, 2 },
23358		{ "Prty5", 10, 2 },
23359		{ "Prty4", 8, 2 },
23360		{ "Prty3", 6, 2 },
23361		{ "Prty2", 4, 2 },
23362		{ "Prty1", 2, 2 },
23363		{ "Prty0", 0, 2 },
23364	{ "MPS_PORT_PRTY_BUFFER_GROUP_TH_MAP", 0x34030, 0 },
23365		{ "Prty7", 28, 4 },
23366		{ "Prty6", 24, 4 },
23367		{ "Prty5", 20, 4 },
23368		{ "Prty4", 16, 4 },
23369		{ "Prty3", 12, 4 },
23370		{ "Prty2", 8, 4 },
23371		{ "Prty1", 4, 4 },
23372		{ "Prty0", 0, 4 },
23373	{ "MPS_PF_CTL", 0x1e2c0, 0 },
23374		{ "TxEn", 1, 1 },
23375		{ "RxEn", 0, 1 },
23376	{ "MPS_PF_CTL", 0x1e6c0, 0 },
23377		{ "TxEn", 1, 1 },
23378		{ "RxEn", 0, 1 },
23379	{ "MPS_PF_CTL", 0x1eac0, 0 },
23380		{ "TxEn", 1, 1 },
23381		{ "RxEn", 0, 1 },
23382	{ "MPS_PF_CTL", 0x1eec0, 0 },
23383		{ "TxEn", 1, 1 },
23384		{ "RxEn", 0, 1 },
23385	{ "MPS_PF_CTL", 0x1f2c0, 0 },
23386		{ "TxEn", 1, 1 },
23387		{ "RxEn", 0, 1 },
23388	{ "MPS_PF_CTL", 0x1f6c0, 0 },
23389		{ "TxEn", 1, 1 },
23390		{ "RxEn", 0, 1 },
23391	{ "MPS_PF_CTL", 0x1fac0, 0 },
23392		{ "TxEn", 1, 1 },
23393		{ "RxEn", 0, 1 },
23394	{ "MPS_PF_CTL", 0x1fec0, 0 },
23395		{ "TxEn", 1, 1 },
23396		{ "RxEn", 0, 1 },
23397	{ "MPS_RX_CTL", 0x11000, 0 },
23398		{ "FILT_VLAN_SEL", 17, 1 },
23399		{ "CBA_EN", 16, 1 },
23400		{ "BLK_SNDR", 12, 4 },
23401		{ "CMPRS", 8, 4 },
23402		{ "SNF", 0, 8 },
23403	{ "MPS_RX_PORT_MUX_CTL", 0x11004, 0 },
23404		{ "CTL_P1", 4, 4 },
23405		{ "CTL_P0", 0, 4 },
23406	{ "MPS_RX_FIFO_0_CTL", 0x11008, 0 },
23407	{ "MPS_RX_FIFO_1_CTL", 0x1100c, 0 },
23408	{ "MPS_RX_FIFO_2_CTL", 0x11010, 0 },
23409	{ "MPS_RX_FIFO_3_CTL", 0x11014, 0 },
23410	{ "MPS_RX_PG_HYST_BG0", 0x11048, 0 },
23411		{ "EN", 31, 1 },
23412		{ "TH", 0, 11 },
23413	{ "MPS_RX_PG_HYST_BG1", 0x1104c, 0 },
23414		{ "EN", 31, 1 },
23415		{ "TH", 0, 11 },
23416	{ "MPS_RX_PG_HYST_BG2", 0x11050, 0 },
23417		{ "EN", 31, 1 },
23418		{ "TH", 0, 11 },
23419	{ "MPS_RX_PG_HYST_BG3", 0x11054, 0 },
23420		{ "EN", 31, 1 },
23421		{ "TH", 0, 11 },
23422	{ "MPS_RX_OCH_CTL", 0x11058, 0 },
23423		{ "DROP_WT", 27, 5 },
23424		{ "TRUNC_WT", 22, 5 },
23425		{ "DRAIN", 13, 5 },
23426		{ "DROP", 8, 5 },
23427		{ "STOP", 0, 5 },
23428	{ "MPS_RX_LPBK_BP0", 0x1105c, 0 },
23429	{ "MPS_RX_LPBK_BP1", 0x11060, 0 },
23430	{ "MPS_RX_LPBK_BP2", 0x11064, 0 },
23431	{ "MPS_RX_LPBK_BP3", 0x11068, 0 },
23432	{ "MPS_RX_PORT_GAP", 0x1106c, 0 },
23433	{ "MPS_RX_PERR_INT_CAUSE", 0x11074, 0 },
23434		{ "INT_ERR_INT", 24, 1 },
23435		{ "FF", 23, 1 },
23436		{ "RPLC", 19, 1 },
23437		{ "ATRB", 18, 1 },
23438		{ "PPM1", 10, 1 },
23439		{ "PPM0", 9, 1 },
23440	{ "MPS_RX_PERR_INT_ENABLE", 0x11078, 0 },
23441		{ "INT_ERR_INT", 24, 1 },
23442		{ "FF", 23, 1 },
23443		{ "RPLC", 19, 1 },
23444		{ "ATRB", 18, 1 },
23445		{ "PPM1", 10, 1 },
23446		{ "PPM0", 9, 1 },
23447	{ "MPS_RX_PERR_ENABLE", 0x1107c, 0 },
23448		{ "INT_ERR_INT", 24, 1 },
23449		{ "FF", 23, 1 },
23450		{ "RPLC", 19, 1 },
23451		{ "ATRB", 18, 1 },
23452		{ "PPM1", 10, 1 },
23453		{ "PPM0", 9, 1 },
23454	{ "MPS_RX_PERR_INJECT", 0x11080, 0 },
23455		{ "MemSel", 1, 5 },
23456		{ "InjectDataErr", 0, 1 },
23457	{ "MPS_RX_FUNC_INT_CAUSE", 0x11084, 0 },
23458		{ "MTU_ERR_INT3", 19, 1 },
23459		{ "MTU_ERR_INT2", 18, 1 },
23460		{ "MTU_ERR_INT1", 17, 1 },
23461		{ "MTU_ERR_INT0", 16, 1 },
23462		{ "SE_CNT_ERR_INT", 15, 1 },
23463		{ "FRM_ERR_INT", 14, 1 },
23464		{ "LEN_ERR_INT", 13, 1 },
23465		{ "INT_ERR_INT", 8, 5 },
23466		{ "PG_TH_INT7", 7, 1 },
23467		{ "PG_TH_INT6", 6, 1 },
23468		{ "PG_TH_INT5", 5, 1 },
23469		{ "PG_TH_INT4", 4, 1 },
23470		{ "PG_TH_INT3", 3, 1 },
23471		{ "PG_TH_INT2", 2, 1 },
23472		{ "PG_TH_INT1", 1, 1 },
23473		{ "PG_TH_INT0", 0, 1 },
23474	{ "MPS_RX_FUNC_INT_ENABLE", 0x11088, 0 },
23475		{ "MTU_ERR_INT3", 19, 1 },
23476		{ "MTU_ERR_INT2", 18, 1 },
23477		{ "MTU_ERR_INT1", 17, 1 },
23478		{ "MTU_ERR_INT0", 16, 1 },
23479		{ "SE_CNT_ERR_INT", 15, 1 },
23480		{ "FRM_ERR_INT", 14, 1 },
23481		{ "LEN_ERR_INT", 13, 1 },
23482		{ "INT_ERR_INT", 8, 5 },
23483		{ "PG_TH_INT7", 7, 1 },
23484		{ "PG_TH_INT6", 6, 1 },
23485		{ "PG_TH_INT5", 5, 1 },
23486		{ "PG_TH_INT4", 4, 1 },
23487		{ "PG_TH_INT3", 3, 1 },
23488		{ "PG_TH_INT2", 2, 1 },
23489		{ "PG_TH_INT1", 1, 1 },
23490		{ "PG_TH_INT0", 0, 1 },
23491	{ "MPS_RX_REPL_CTL", 0x11098, 0 },
23492	{ "MPS_RX_PPP_ATRB", 0x1109c, 0 },
23493		{ "ETYPE", 16, 16 },
23494		{ "OPCODE", 0, 16 },
23495	{ "MPS_RX_QFC0_ATRB", 0x110a0, 0 },
23496		{ "ETYPE", 16, 16 },
23497		{ "DA", 0, 16 },
23498	{ "MPS_RX_QFC1_ATRB", 0x110a4, 0 },
23499	{ "MPS_RX_PT_ARB0", 0x110a8, 0 },
23500		{ "LPBK_WT", 16, 14 },
23501		{ "MAC_WT", 0, 14 },
23502	{ "MPS_RX_PT_ARB1", 0x110ac, 0 },
23503		{ "LPBK_WT", 16, 14 },
23504		{ "MAC_WT", 0, 14 },
23505	{ "MPS_RX_PT_ARB2", 0x110b0, 0 },
23506		{ "LPBK_WT", 16, 14 },
23507		{ "MAC_WT", 0, 14 },
23508	{ "MPS_PF_OUT_EN", 0x110b4, 0 },
23509	{ "MPS_BMC_MTU", 0x110b8, 0 },
23510	{ "MPS_BMC_PKT_CNT", 0x110bc, 0 },
23511	{ "MPS_BMC_BYTE_CNT", 0x110c0, 0 },
23512	{ "MPS_PFVF_ATRB_CTL", 0x110c4, 0 },
23513		{ "RD_WRN", 31, 1 },
23514		{ "PFVF", 0, 9 },
23515	{ "MPS_PFVF_ATRB", 0x110c8, 0 },
23516		{ "PF", 28, 3 },
23517		{ "OFF", 18, 1 },
23518		{ "NV_DROP", 17, 1 },
23519		{ "MODE", 16, 1 },
23520		{ "FULL_FRAME_MODE", 14, 1 },
23521		{ "MTU", 0, 14 },
23522	{ "MPS_PFVF_ATRB_FLTR0", 0x110cc, 0 },
23523		{ "VLAN_EN", 16, 1 },
23524		{ "VLAN_ID", 0, 12 },
23525	{ "MPS_PFVF_ATRB_FLTR1", 0x110d0, 0 },
23526		{ "VLAN_EN", 16, 1 },
23527		{ "VLAN_ID", 0, 12 },
23528	{ "MPS_PFVF_ATRB_FLTR2", 0x110d4, 0 },
23529		{ "VLAN_EN", 16, 1 },
23530		{ "VLAN_ID", 0, 12 },
23531	{ "MPS_PFVF_ATRB_FLTR3", 0x110d8, 0 },
23532		{ "VLAN_EN", 16, 1 },
23533		{ "VLAN_ID", 0, 12 },
23534	{ "MPS_PFVF_ATRB_FLTR4", 0x110dc, 0 },
23535		{ "VLAN_EN", 16, 1 },
23536		{ "VLAN_ID", 0, 12 },
23537	{ "MPS_PFVF_ATRB_FLTR5", 0x110e0, 0 },
23538		{ "VLAN_EN", 16, 1 },
23539		{ "VLAN_ID", 0, 12 },
23540	{ "MPS_PFVF_ATRB_FLTR6", 0x110e4, 0 },
23541		{ "VLAN_EN", 16, 1 },
23542		{ "VLAN_ID", 0, 12 },
23543	{ "MPS_PFVF_ATRB_FLTR7", 0x110e8, 0 },
23544		{ "VLAN_EN", 16, 1 },
23545		{ "VLAN_ID", 0, 12 },
23546	{ "MPS_PFVF_ATRB_FLTR8", 0x110ec, 0 },
23547		{ "VLAN_EN", 16, 1 },
23548		{ "VLAN_ID", 0, 12 },
23549	{ "MPS_PFVF_ATRB_FLTR9", 0x110f0, 0 },
23550		{ "VLAN_EN", 16, 1 },
23551		{ "VLAN_ID", 0, 12 },
23552	{ "MPS_PFVF_ATRB_FLTR10", 0x110f4, 0 },
23553		{ "VLAN_EN", 16, 1 },
23554		{ "VLAN_ID", 0, 12 },
23555	{ "MPS_PFVF_ATRB_FLTR11", 0x110f8, 0 },
23556		{ "VLAN_EN", 16, 1 },
23557		{ "VLAN_ID", 0, 12 },
23558	{ "MPS_PFVF_ATRB_FLTR12", 0x110fc, 0 },
23559		{ "VLAN_EN", 16, 1 },
23560		{ "VLAN_ID", 0, 12 },
23561	{ "MPS_PFVF_ATRB_FLTR13", 0x11100, 0 },
23562		{ "VLAN_EN", 16, 1 },
23563		{ "VLAN_ID", 0, 12 },
23564	{ "MPS_PFVF_ATRB_FLTR14", 0x11104, 0 },
23565		{ "VLAN_EN", 16, 1 },
23566		{ "VLAN_ID", 0, 12 },
23567	{ "MPS_PFVF_ATRB_FLTR15", 0x11108, 0 },
23568		{ "VLAN_EN", 16, 1 },
23569		{ "VLAN_ID", 0, 12 },
23570	{ "MPS_RPLC_MAP_CTL", 0x1110c, 0 },
23571		{ "RD_WRN", 31, 1 },
23572		{ "ADDR", 0, 10 },
23573	{ "MPS_PF_RPLCT_MAP", 0x11110, 0 },
23574	{ "MPS_VF_RPLCT_MAP0", 0x11114, 0 },
23575	{ "MPS_VF_RPLCT_MAP1", 0x11118, 0 },
23576	{ "MPS_VF_RPLCT_MAP2", 0x1111c, 0 },
23577	{ "MPS_VF_RPLCT_MAP3", 0x11120, 0 },
23578	{ "MPS_VF_RPLCT_MAP4", 0x11300, 0 },
23579	{ "MPS_VF_RPLCT_MAP5", 0x11304, 0 },
23580	{ "MPS_VF_RPLCT_MAP6", 0x11308, 0 },
23581	{ "MPS_VF_RPLCT_MAP7", 0x1130c, 0 },
23582	{ "MPS_MEM_DBG_CTL", 0x1112c, 0 },
23583		{ "PKD", 17, 1 },
23584		{ "PGD", 16, 1 },
23585		{ "ADDR", 0, 16 },
23586	{ "MPS_PKD_MEM_DATA0", 0x11130, 0 },
23587	{ "MPS_PKD_MEM_DATA1", 0x11134, 0 },
23588	{ "MPS_PKD_MEM_DATA2", 0x11138, 0 },
23589	{ "MPS_PGD_MEM_DATA", 0x1113c, 0 },
23590	{ "MPS_RX_SE_CNT_ERR", 0x11140, 0 },
23591	{ "MPS_RX_SE_CNT_CLR", 0x11144, 0 },
23592	{ "MPS_RX_SE_CNT_IN0", 0x11148, 0 },
23593		{ "SOP_CNT_PM", 24, 8 },
23594		{ "EOP_CNT_PM", 16, 8 },
23595		{ "SOP_CNT_IN", 8, 8 },
23596		{ "EOP_CNT_IN", 0, 8 },
23597	{ "MPS_RX_SE_CNT_IN1", 0x1114c, 0 },
23598		{ "SOP_CNT_PM", 24, 8 },
23599		{ "EOP_CNT_PM", 16, 8 },
23600		{ "SOP_CNT_IN", 8, 8 },
23601		{ "EOP_CNT_IN", 0, 8 },
23602	{ "MPS_RX_SE_CNT_IN2", 0x11150, 0 },
23603		{ "SOP_CNT_PM", 24, 8 },
23604		{ "EOP_CNT_PM", 16, 8 },
23605		{ "SOP_CNT_IN", 8, 8 },
23606		{ "EOP_CNT_IN", 0, 8 },
23607	{ "MPS_RX_SE_CNT_IN3", 0x11154, 0 },
23608		{ "SOP_CNT_PM", 24, 8 },
23609		{ "EOP_CNT_PM", 16, 8 },
23610		{ "SOP_CNT_IN", 8, 8 },
23611		{ "EOP_CNT_IN", 0, 8 },
23612	{ "MPS_RX_SE_CNT_IN4", 0x11158, 0 },
23613		{ "SOP_CNT_PM", 24, 8 },
23614		{ "EOP_CNT_PM", 16, 8 },
23615		{ "SOP_CNT_IN", 8, 8 },
23616		{ "EOP_CNT_IN", 0, 8 },
23617	{ "MPS_RX_SE_CNT_IN5", 0x1115c, 0 },
23618		{ "SOP_CNT_PM", 24, 8 },
23619		{ "EOP_CNT_PM", 16, 8 },
23620		{ "SOP_CNT_IN", 8, 8 },
23621		{ "EOP_CNT_IN", 0, 8 },
23622	{ "MPS_RX_SE_CNT_IN6", 0x11160, 0 },
23623		{ "SOP_CNT_PM", 24, 8 },
23624		{ "EOP_CNT_PM", 16, 8 },
23625		{ "SOP_CNT_IN", 8, 8 },
23626		{ "EOP_CNT_IN", 0, 8 },
23627	{ "MPS_RX_SE_CNT_IN7", 0x11164, 0 },
23628		{ "SOP_CNT_PM", 24, 8 },
23629		{ "EOP_CNT_PM", 16, 8 },
23630		{ "SOP_CNT_IN", 8, 8 },
23631		{ "EOP_CNT_IN", 0, 8 },
23632	{ "MPS_RX_SE_CNT_OUT01", 0x11168, 0 },
23633		{ "SOP_CNT_1", 24, 8 },
23634		{ "EOP_CNT_1", 16, 8 },
23635		{ "SOP_CNT_0", 8, 8 },
23636		{ "EOP_CNT_0", 0, 8 },
23637	{ "MPS_RX_SE_CNT_OUT23", 0x1116c, 0 },
23638		{ "SOP_CNT_3", 24, 8 },
23639		{ "EOP_CNT_3", 16, 8 },
23640		{ "SOP_CNT_2", 8, 8 },
23641		{ "EOP_CNT_2", 0, 8 },
23642	{ "MPS_RX_SPI_ERR", 0x11170, 0 },
23643		{ "LEN_ERR", 21, 4 },
23644		{ "ERR", 0, 21 },
23645	{ "MPS_RX_IN_BUS_STATE", 0x11174, 0 },
23646		{ "ST3", 24, 8 },
23647		{ "ST2", 16, 8 },
23648		{ "ST1", 8, 8 },
23649		{ "ST0", 0, 8 },
23650	{ "MPS_RX_OUT_BUS_STATE", 0x11178, 0 },
23651		{ "ST_NCSI", 23, 9 },
23652		{ "ST_TP", 0, 23 },
23653	{ "MPS_RX_DBG_CTL", 0x1117c, 0 },
23654		{ "OUT_DBG_CHNL", 8, 3 },
23655		{ "DBG_PKD_QSEL", 7, 1 },
23656		{ "DBG_CDS_INV", 6, 1 },
23657		{ "IN_DBG_PORT", 3, 3 },
23658		{ "IN_DBG_CHNL", 0, 3 },
23659	{ "MPS_RX_SPARE", 0x11190, 0 },
23660	{ "MPS_RX_PTP_ETYPE", 0x11194, 0 },
23661		{ "PETYPE2", 16, 16 },
23662		{ "PETYPE1", 0, 16 },
23663	{ "MPS_RX_PTP_TCP", 0x11198, 0 },
23664		{ "PTCPORT2", 16, 16 },
23665		{ "PTCPORT1", 0, 16 },
23666	{ "MPS_RX_PTP_UDP", 0x1119c, 0 },
23667		{ "PUDPORT2", 16, 16 },
23668		{ "PUDPORT1", 0, 16 },
23669	{ "MPS_RX_PTP_CTL", 0x111a0, 0 },
23670		{ "MIN_PTP_SPACE", 24, 7 },
23671		{ "PUDP2EN", 20, 4 },
23672		{ "PUDP1EN", 16, 4 },
23673		{ "PTCP2EN", 12, 4 },
23674		{ "PTCP1EN", 8, 4 },
23675		{ "PETYPE2EN", 4, 4 },
23676		{ "PETYPE1EN", 0, 4 },
23677	{ "MPS_RX_PAUSE_GEN_TH_0_0", 0x111a4, 0 },
23678		{ "TH_HIGH", 16, 16 },
23679		{ "TH_LOW", 0, 16 },
23680	{ "MPS_RX_PAUSE_GEN_TH_0_1", 0x111a8, 0 },
23681		{ "TH_HIGH", 16, 16 },
23682		{ "TH_LOW", 0, 16 },
23683	{ "MPS_RX_PAUSE_GEN_TH_0_2", 0x111ac, 0 },
23684		{ "TH_HIGH", 16, 16 },
23685		{ "TH_LOW", 0, 16 },
23686	{ "MPS_RX_PAUSE_GEN_TH_0_3", 0x111b0, 0 },
23687		{ "TH_HIGH", 16, 16 },
23688		{ "TH_LOW", 0, 16 },
23689	{ "MPS_RX_PAUSE_GEN_TH_1_0", 0x111b4, 0 },
23690		{ "TH_HIGH", 16, 16 },
23691		{ "TH_LOW", 0, 16 },
23692	{ "MPS_RX_PAUSE_GEN_TH_1_1", 0x111b8, 0 },
23693		{ "TH_HIGH", 16, 16 },
23694		{ "TH_LOW", 0, 16 },
23695	{ "MPS_RX_PAUSE_GEN_TH_1_2", 0x111bc, 0 },
23696		{ "TH_HIGH", 16, 16 },
23697		{ "TH_LOW", 0, 16 },
23698	{ "MPS_RX_PAUSE_GEN_TH_1_3", 0x111c0, 0 },
23699		{ "TH_HIGH", 16, 16 },
23700		{ "TH_LOW", 0, 16 },
23701	{ "MPS_RX_PAUSE_GEN_TH_2_0", 0x111c4, 0 },
23702		{ "TH_HIGH", 16, 16 },
23703		{ "TH_LOW", 0, 16 },
23704	{ "MPS_RX_PAUSE_GEN_TH_2_1", 0x111c8, 0 },
23705		{ "TH_HIGH", 16, 16 },
23706		{ "TH_LOW", 0, 16 },
23707	{ "MPS_RX_PAUSE_GEN_TH_2_2", 0x111cc, 0 },
23708		{ "TH_HIGH", 16, 16 },
23709		{ "TH_LOW", 0, 16 },
23710	{ "MPS_RX_PAUSE_GEN_TH_2_3", 0x111d0, 0 },
23711		{ "TH_HIGH", 16, 16 },
23712		{ "TH_LOW", 0, 16 },
23713	{ "MPS_RX_PAUSE_GEN_TH_3_0", 0x111d4, 0 },
23714		{ "TH_HIGH", 16, 16 },
23715		{ "TH_LOW", 0, 16 },
23716	{ "MPS_RX_PAUSE_GEN_TH_3_1", 0x111d8, 0 },
23717		{ "TH_HIGH", 16, 16 },
23718		{ "TH_LOW", 0, 16 },
23719	{ "MPS_RX_PAUSE_GEN_TH_3_2", 0x111dc, 0 },
23720		{ "TH_HIGH", 16, 16 },
23721		{ "TH_LOW", 0, 16 },
23722	{ "MPS_RX_PAUSE_GEN_TH_3_3", 0x111e0, 0 },
23723		{ "TH_HIGH", 16, 16 },
23724		{ "TH_LOW", 0, 16 },
23725	{ "MPS_RX_MAC_CLS_DROP_CNT0", 0x111e4, 0 },
23726	{ "MPS_RX_MAC_CLS_DROP_CNT1", 0x111e8, 0 },
23727	{ "MPS_RX_MAC_CLS_DROP_CNT2", 0x111ec, 0 },
23728	{ "MPS_RX_MAC_CLS_DROP_CNT3", 0x111f0, 0 },
23729	{ "MPS_RX_LPBK_CLS_DROP_CNT0", 0x111f4, 0 },
23730	{ "MPS_RX_LPBK_CLS_DROP_CNT1", 0x111f8, 0 },
23731	{ "MPS_RX_LPBK_CLS_DROP_CNT2", 0x111fc, 0 },
23732	{ "MPS_RX_LPBK_CLS_DROP_CNT3", 0x11200, 0 },
23733	{ "MPS_RX_CGEN", 0x11204, 0 },
23734		{ "MPS_RX_CGEN_NCSI", 12, 1 },
23735		{ "MPS_RX_CGEN_OUT", 8, 4 },
23736		{ "MPS_RX_CGEN_LPBK_IN", 4, 4 },
23737		{ "MPS_RX_CGEN_MAC_IN", 0, 4 },
23738	{ "MPS_RX_MAC_BG_PG_CNT0", 0x11208, 0 },
23739		{ "MAC_USED", 16, 11 },
23740		{ "MAC_ALLOC", 0, 11 },
23741	{ "MPS_RX_MAC_BG_PG_CNT1", 0x1120c, 0 },
23742		{ "MAC_USED", 16, 11 },
23743		{ "MAC_ALLOC", 0, 11 },
23744	{ "MPS_RX_MAC_BG_PG_CNT2", 0x11210, 0 },
23745		{ "MAC_USED", 16, 11 },
23746		{ "MAC_ALLOC", 0, 11 },
23747	{ "MPS_RX_MAC_BG_PG_CNT3", 0x11214, 0 },
23748		{ "MAC_USED", 16, 11 },
23749		{ "MAC_ALLOC", 0, 11 },
23750	{ "MPS_RX_LPBK_BG_PG_CNT0", 0x11218, 0 },
23751		{ "LPBK_USED", 16, 11 },
23752		{ "LPBK_ALLOC", 0, 11 },
23753	{ "MPS_RX_LPBK_BG_PG_CNT1", 0x1121c, 0 },
23754		{ "LPBK_USED", 16, 11 },
23755		{ "LPBK_ALLOC", 0, 11 },
23756	{ "MPS_RX_CONGESTION_THRESHOLD_BG0", 0x11220, 0 },
23757		{ "CONG_EN", 31, 1 },
23758		{ "CONG_TH", 0, 20 },
23759	{ "MPS_RX_CONGESTION_THRESHOLD_BG1", 0x11224, 0 },
23760		{ "CONG_EN", 31, 1 },
23761		{ "CONG_TH", 0, 20 },
23762	{ "MPS_RX_CONGESTION_THRESHOLD_BG2", 0x11228, 0 },
23763		{ "CONG_EN", 31, 1 },
23764		{ "CONG_TH", 0, 20 },
23765	{ "MPS_RX_CONGESTION_THRESHOLD_BG3", 0x1122c, 0 },
23766		{ "CONG_EN", 31, 1 },
23767		{ "CONG_TH", 0, 20 },
23768	{ "MPS_RX_GRE_PROT_TYPE", 0x11230, 0 },
23769		{ "NVGRE_EN", 9, 1 },
23770		{ "GRE_EN", 8, 1 },
23771		{ "GRE", 0, 8 },
23772	{ "MPS_RX_VXLAN_TYPE", 0x11234, 0 },
23773		{ "VXLAN_EN", 16, 1 },
23774		{ "VXLAN", 0, 16 },
23775	{ "MPS_RX_GENEVE_TYPE", 0x11238, 0 },
23776		{ "GENEVE_EN", 16, 1 },
23777		{ "GENEVE", 0, 16 },
23778	{ "MPS_RX_INNER_HDR_IVLAN", 0x1123c, 0 },
23779		{ "IVLAN_EN", 16, 1 },
23780		{ "IVLAN_ETYPE", 0, 16 },
23781	{ "MPS_RX_ENCAP_NVGRE", 0x11240, 0 },
23782		{ "ETYPE_EN", 16, 1 },
23783		{ "ETYPE", 0, 16 },
23784	{ "MPS_RX_ENCAP_GENEVE", 0x11244, 0 },
23785		{ "ETYPE_EN", 16, 1 },
23786		{ "ETYPE", 0, 16 },
23787	{ "MPS_RX_TCP", 0x11248, 0 },
23788		{ "PROT_TYPE_EN", 8, 1 },
23789		{ "PROT_TYPE", 0, 8 },
23790	{ "MPS_RX_UDP", 0x1124c, 0 },
23791		{ "PROT_TYPE_EN", 8, 1 },
23792		{ "PROT_TYPE", 0, 8 },
23793	{ "MPS_RX_PAUSE", 0x11250, 0 },
23794	{ "MPS_RX_LENGTH", 0x11254, 0 },
23795		{ "SAP_VALUE", 16, 16 },
23796		{ "LENGTH_ETYPE", 0, 16 },
23797	{ "MPS_RX_CTL_ORG", 0x11258, 0 },
23798		{ "CTL_VALUE", 24, 8 },
23799		{ "ORG_VALUE", 0, 24 },
23800	{ "MPS_RX_IPV4", 0x1125c, 0 },
23801	{ "MPS_RX_IPV6", 0x11260, 0 },
23802	{ "MPS_RX_TTL", 0x11264, 0 },
23803		{ "TTL_IPV4", 10, 8 },
23804		{ "TTL_IPV6", 2, 8 },
23805		{ "TTL_CHK_EN_IPV4", 1, 1 },
23806		{ "TTL_CHK_EN_IPV6", 0, 1 },
23807	{ "MPS_RX_DEFAULT_VNI", 0x11268, 0 },
23808	{ "MPS_RX_PRS_CTL", 0x1126c, 0 },
23809		{ "CTL_CHK_EN", 28, 1 },
23810		{ "ORG_CHK_EN", 27, 1 },
23811		{ "SAP_CHK_EN", 26, 1 },
23812		{ "VXLAN_FLAG_CHK_EN", 25, 1 },
23813		{ "VXLAN_FLAG_MASK", 17, 8 },
23814		{ "VXLAN_FLAG", 9, 8 },
23815		{ "GRE_VER_CHK_EN", 8, 1 },
23816		{ "GRE_VER", 5, 3 },
23817		{ "GENEVE_VER_CHK_EN", 4, 1 },
23818		{ "GENEVE_VER", 2, 2 },
23819		{ "DIP_EN", 1, 1 },
23820	{ "MPS_RX_PRS_CTL_2", 0x11270, 0 },
23821		{ "EN_UDP_CSUM_CHK", 4, 1 },
23822		{ "EN_UDP_LEN_CHK", 3, 1 },
23823		{ "EN_IP_CSUM_CHK", 2, 1 },
23824		{ "EN_IP_PAYLOAD_LEN_CHK", 1, 1 },
23825		{ "IPV6_UDP_CSUM_COMPAT", 0, 1 },
23826	{ "MPS_RX_MPS2NCSI_CNT", 0x11274, 0 },
23827	{ "MPS_RX_MAX_TNL_HDR_LEN", 0x11278, 0 },
23828	{ "MPS_RX_PAUSE_DA_H", 0x1127c, 0 },
23829	{ "MPS_RX_PAUSE_DA_L", 0x11280, 0 },
23830	{ "MPS_RX_CNT_NVGRE_PKT_MAC0", 0x11284, 0 },
23831	{ "MPS_RX_CNT_VXLAN_PKT_MAC0", 0x11288, 0 },
23832	{ "MPS_RX_CNT_GENEVE_PKT_MAC0", 0x1128c, 0 },
23833	{ "MPS_RX_CNT_TNL_ERR_PKT_MAC0", 0x11290, 0 },
23834	{ "MPS_RX_CNT_NVGRE_PKT_MAC1", 0x11294, 0 },
23835	{ "MPS_RX_CNT_VXLAN_PKT_MAC1", 0x11298, 0 },
23836	{ "MPS_RX_CNT_GENEVE_PKT_MAC1", 0x1129c, 0 },
23837	{ "MPS_RX_CNT_TNL_ERR_PKT_MAC1", 0x112a0, 0 },
23838	{ "MPS_RX_CNT_NVGRE_PKT_LPBK0", 0x112a4, 0 },
23839	{ "MPS_RX_CNT_VXLAN_PKT_LPBK0", 0x112a8, 0 },
23840	{ "MPS_RX_CNT_GENEVE_PKT_LPBK0", 0x112ac, 0 },
23841	{ "MPS_RX_CNT_TNL_ERR_PKT_LPBK0", 0x112b0, 0 },
23842	{ "MPS_RX_CNT_NVGRE_PKT_LPBK1", 0x112b4, 0 },
23843	{ "MPS_RX_CNT_VXLAN_PKT_LPBK1", 0x112b8, 0 },
23844	{ "MPS_RX_CNT_GENEVE_PKT_LPBK1", 0x112bc, 0 },
23845	{ "MPS_RX_CNT_TNL_ERR_PKT_LPBK1", 0x112c0, 0 },
23846	{ "MPS_RX_CNT_NVGRE_PKT_TO_TP0", 0x112c4, 0 },
23847	{ "MPS_RX_CNT_VXLAN_PKT_TO_TP0", 0x112c8, 0 },
23848	{ "MPS_RX_CNT_GENEVE_PKT_TO_TP0", 0x112cc, 0 },
23849	{ "MPS_RX_CNT_TNL_ERR_PKT_TO_TP0", 0x112d0, 0 },
23850	{ "MPS_RX_CNT_NVGRE_PKT_TO_TP1", 0x112d4, 0 },
23851	{ "MPS_RX_CNT_VXLAN_PKT_TO_TP1", 0x112d8, 0 },
23852	{ "MPS_RX_CNT_GENEVE_PKT_TO_TP1", 0x112dc, 0 },
23853	{ "MPS_RX_CNT_TNL_ERR_PKT_TO_TP1", 0x112e0, 0 },
23854	{ "MPS_PORT_RX_CTL", 0x30100, 0 },
23855		{ "HASH_PRIO_SEL_LPBK", 25, 1 },
23856		{ "HASH_PRIO_SEL_MAC", 24, 1 },
23857		{ "HASH_EN_LPBK", 23, 1 },
23858		{ "HASH_EN_MAC", 22, 1 },
23859		{ "PTP_FWD_UP", 21, 1 },
23860		{ "NO_RPLCT_M", 20, 1 },
23861		{ "RPLCT_SEL_L", 18, 2 },
23862		{ "FLTR_VLAN_SEL", 17, 1 },
23863		{ "PRIO_VLAN_SEL", 16, 1 },
23864		{ "CHK_8023_LEN_M", 15, 1 },
23865		{ "CHK_8023_LEN_L", 14, 1 },
23866		{ "NIV_DROP", 13, 1 },
23867		{ "NOV_DROP", 12, 1 },
23868		{ "CLS_PRT", 11, 1 },
23869		{ "RX_QFC_EN", 10, 1 },
23870		{ "QFC_FWD_UP", 9, 1 },
23871		{ "PPP_FWD_UP", 8, 1 },
23872		{ "PAUSE_FWD_UP", 7, 1 },
23873		{ "LPBK_BP", 6, 1 },
23874		{ "PASS_NO_MATCH", 5, 1 },
23875		{ "IVLAN_EN", 4, 1 },
23876		{ "OVLAN_EN3", 3, 1 },
23877		{ "OVLAN_EN2", 2, 1 },
23878		{ "OVLAN_EN1", 1, 1 },
23879		{ "OVLAN_EN0", 0, 1 },
23880	{ "MPS_PORT_RX_MTU", 0x30104, 0 },
23881	{ "MPS_PORT_RX_PF_MAP", 0x30108, 0 },
23882	{ "MPS_PORT_RX_VF_MAP0", 0x3010c, 0 },
23883	{ "MPS_PORT_RX_VF_MAP1", 0x30110, 0 },
23884	{ "MPS_PORT_RX_VF_MAP2", 0x30114, 0 },
23885	{ "MPS_PORT_RX_VF_MAP3", 0x30118, 0 },
23886	{ "MPS_PORT_RX_VF_MAP4", 0x30150, 0 },
23887	{ "MPS_PORT_RX_VF_MAP5", 0x30154, 0 },
23888	{ "MPS_PORT_RX_VF_MAP6", 0x30158, 0 },
23889	{ "MPS_PORT_RX_VF_MAP7", 0x3015c, 0 },
23890	{ "MPS_PORT_RX_IVLAN", 0x3011c, 0 },
23891	{ "MPS_PORT_RX_OVLAN0", 0x30120, 0 },
23892		{ "OVLAN_MASK", 16, 16 },
23893		{ "OVLAN_ETYPE", 0, 16 },
23894	{ "MPS_PORT_RX_OVLAN1", 0x30124, 0 },
23895		{ "OVLAN_MASK", 16, 16 },
23896		{ "OVLAN_ETYPE", 0, 16 },
23897	{ "MPS_PORT_RX_OVLAN2", 0x30128, 0 },
23898		{ "OVLAN_MASK", 16, 16 },
23899		{ "OVLAN_ETYPE", 0, 16 },
23900	{ "MPS_PORT_RX_OVLAN3", 0x3012c, 0 },
23901		{ "OVLAN_MASK", 16, 16 },
23902		{ "OVLAN_ETYPE", 0, 16 },
23903	{ "MPS_PORT_RX_RSS_HASH", 0x30130, 0 },
23904	{ "MPS_PORT_RX_RSS_CONTROL", 0x30134, 0 },
23905		{ "RSS_CTRL", 16, 8 },
23906		{ "QUE_NUM", 0, 16 },
23907	{ "MPS_PORT_RX_CTL1", 0x30138, 0 },
23908		{ "FIXED_PFVF_MAC", 14, 1 },
23909		{ "FIXED_PFVF_LPBK", 13, 1 },
23910		{ "FIXED_PFVF_LPBK_OV", 12, 1 },
23911		{ "FIXED_PF", 9, 3 },
23912		{ "FIXED_VF_VLD", 8, 1 },
23913		{ "FIXED_VF", 0, 8 },
23914	{ "MPS_PORT_RX_SPARE", 0x3013c, 0 },
23915	{ "MPS_PORT_RX_PTP_RSS_HASH", 0x30140, 0 },
23916	{ "MPS_PORT_RX_PTP_RSS_CONTROL", 0x30144, 0 },
23917		{ "RSS_CTRL", 16, 8 },
23918		{ "QUE_NUM", 0, 16 },
23919	{ "MPS_PORT_RX_TS_VLD", 0x30148, 0 },
23920	{ "MPS_PORT_RX_TNL_LKP_INNER_SEL", 0x3014c, 0 },
23921	{ "MPS_PORT_RX_PRS_DEBUG_FLAG_MAC", 0x30160, 0 },
23922		{ "Outer_IPv4_n_Inner_IPv4", 31, 1 },
23923		{ "Outer_IPv4_n_Inner_IPv6", 30, 1 },
23924		{ "Outer_IPv6_n_Inner_IPv4", 29, 1 },
23925		{ "Outer_IPv6_n_Inner_IPv6", 28, 1 },
23926		{ "Outer_IPv4_n_VLAN_NVGRE", 27, 1 },
23927		{ "Outer_IPv6_n_VLAN_NVGRE", 26, 1 },
23928		{ "Outer_IPv4_n_Double_VLAN_NVGRE", 25, 1 },
23929		{ "Outer_IPv6_n_Double_VLAN_NVGRE", 24, 1 },
23930		{ "Outer_IPv4_n_VLAN_GRE", 23, 1 },
23931		{ "Outer_IPv6_n_VLAN_GRE", 22, 1 },
23932		{ "Outer_IPv4_n_Double_VLAN_GRE", 21, 1 },
23933		{ "Outer_IPv6_n_Double_VLAN_GRE", 20, 1 },
23934		{ "Outer_IPv4_n_VLAN_VXLAN", 19, 1 },
23935		{ "Outer_IPv6_n_VLAN_VXLAN", 18, 1 },
23936		{ "Outer_IPv4_n_Double_VLAN_VXLAN", 17, 1 },
23937		{ "Outer_IPv6_n_Double_VLAN_VXLAN", 16, 1 },
23938		{ "Outer_IPv4_n_VLAN_GENEVE", 15, 1 },
23939		{ "Outer_IPv6_n_VLAN_GENEVE", 14, 1 },
23940		{ "Outer_IPv4_n_Double_VLAN_GENEVE", 13, 1 },
23941		{ "Outer_IPv6_n_Double_VLAN_GENEVE", 12, 1 },
23942		{ "Err_Tnl_Hdr_Len", 11, 1 },
23943		{ "non_runt_frame", 10, 1 },
23944		{ "Inner_VLAN_VLD", 9, 1 },
23945		{ "Err_IP_Payload_Len", 8, 1 },
23946		{ "Err_UDP_Payload_Len", 7, 1 },
23947	{ "MPS_PORT_RX_PRS_DEBUG_FLAG_LPBK", 0x30164, 0 },
23948		{ "Outer_IPv4_n_Inner_IPv4", 31, 1 },
23949		{ "Outer_IPv4_n_Inner_IPv6", 30, 1 },
23950		{ "Outer_IPv6_n_Inner_IPv4", 29, 1 },
23951		{ "Outer_IPv6_n_Inner_IPv6", 28, 1 },
23952		{ "Outer_IPv4_n_VLAN_NVGRE", 27, 1 },
23953		{ "Outer_IPv6_n_VLAN_NVGRE", 26, 1 },
23954		{ "Outer_IPv4_n_Double_VLAN_NVGRE", 25, 1 },
23955		{ "Outer_IPv6_n_Double_VLAN_NVGRE", 24, 1 },
23956		{ "Outer_IPv4_n_VLAN_GRE", 23, 1 },
23957		{ "Outer_IPv6_n_VLAN_GRE", 22, 1 },
23958		{ "Outer_IPv4_n_Double_VLAN_GRE", 21, 1 },
23959		{ "Outer_IPv6_n_Double_VLAN_GRE", 20, 1 },
23960		{ "Outer_IPv4_n_VLAN_VXLAN", 19, 1 },
23961		{ "Outer_IPv6_n_VLAN_VXLAN", 18, 1 },
23962		{ "Outer_IPv4_n_Double_VLAN_VXLAN", 17, 1 },
23963		{ "Outer_IPv6_n_Double_VLAN_VXLAN", 16, 1 },
23964		{ "Outer_IPv4_n_VLAN_GENEVE", 15, 1 },
23965		{ "Outer_IPv6_n_VLAN_GENEVE", 14, 1 },
23966		{ "Outer_IPv4_n_Double_VLAN_GENEVE", 13, 1 },
23967		{ "Outer_IPv6_n_Double_VLAN_GENEVE", 12, 1 },
23968		{ "Err_Tnl_Hdr_Len", 11, 1 },
23969		{ "Inner_VLAN_VLD", 10, 1 },
23970		{ "Err_IP_Payload_Len", 9, 1 },
23971		{ "Err_UDP_Payload_Len", 8, 1 },
23972	{ "MPS_PORT_RX_REPL_VECT_SEL", 0x30168, 0 },
23973		{ "DIS_REPL_VECT_SEL", 4, 1 },
23974		{ "REPL_VECT_SEL", 0, 4 },
23975	{ "MPS_PORT_RX_CTL", 0x34100, 0 },
23976		{ "HASH_PRIO_SEL_LPBK", 25, 1 },
23977		{ "HASH_PRIO_SEL_MAC", 24, 1 },
23978		{ "HASH_EN_LPBK", 23, 1 },
23979		{ "HASH_EN_MAC", 22, 1 },
23980		{ "PTP_FWD_UP", 21, 1 },
23981		{ "NO_RPLCT_M", 20, 1 },
23982		{ "RPLCT_SEL_L", 18, 2 },
23983		{ "FLTR_VLAN_SEL", 17, 1 },
23984		{ "PRIO_VLAN_SEL", 16, 1 },
23985		{ "CHK_8023_LEN_M", 15, 1 },
23986		{ "CHK_8023_LEN_L", 14, 1 },
23987		{ "NIV_DROP", 13, 1 },
23988		{ "NOV_DROP", 12, 1 },
23989		{ "CLS_PRT", 11, 1 },
23990		{ "RX_QFC_EN", 10, 1 },
23991		{ "QFC_FWD_UP", 9, 1 },
23992		{ "PPP_FWD_UP", 8, 1 },
23993		{ "PAUSE_FWD_UP", 7, 1 },
23994		{ "LPBK_BP", 6, 1 },
23995		{ "PASS_NO_MATCH", 5, 1 },
23996		{ "IVLAN_EN", 4, 1 },
23997		{ "OVLAN_EN3", 3, 1 },
23998		{ "OVLAN_EN2", 2, 1 },
23999		{ "OVLAN_EN1", 1, 1 },
24000		{ "OVLAN_EN0", 0, 1 },
24001	{ "MPS_PORT_RX_MTU", 0x34104, 0 },
24002	{ "MPS_PORT_RX_PF_MAP", 0x34108, 0 },
24003	{ "MPS_PORT_RX_VF_MAP0", 0x3410c, 0 },
24004	{ "MPS_PORT_RX_VF_MAP1", 0x34110, 0 },
24005	{ "MPS_PORT_RX_VF_MAP2", 0x34114, 0 },
24006	{ "MPS_PORT_RX_VF_MAP3", 0x34118, 0 },
24007	{ "MPS_PORT_RX_VF_MAP4", 0x34150, 0 },
24008	{ "MPS_PORT_RX_VF_MAP5", 0x34154, 0 },
24009	{ "MPS_PORT_RX_VF_MAP6", 0x34158, 0 },
24010	{ "MPS_PORT_RX_VF_MAP7", 0x3415c, 0 },
24011	{ "MPS_PORT_RX_IVLAN", 0x3411c, 0 },
24012	{ "MPS_PORT_RX_OVLAN0", 0x34120, 0 },
24013		{ "OVLAN_MASK", 16, 16 },
24014		{ "OVLAN_ETYPE", 0, 16 },
24015	{ "MPS_PORT_RX_OVLAN1", 0x34124, 0 },
24016		{ "OVLAN_MASK", 16, 16 },
24017		{ "OVLAN_ETYPE", 0, 16 },
24018	{ "MPS_PORT_RX_OVLAN2", 0x34128, 0 },
24019		{ "OVLAN_MASK", 16, 16 },
24020		{ "OVLAN_ETYPE", 0, 16 },
24021	{ "MPS_PORT_RX_OVLAN3", 0x3412c, 0 },
24022		{ "OVLAN_MASK", 16, 16 },
24023		{ "OVLAN_ETYPE", 0, 16 },
24024	{ "MPS_PORT_RX_RSS_HASH", 0x34130, 0 },
24025	{ "MPS_PORT_RX_RSS_CONTROL", 0x34134, 0 },
24026		{ "RSS_CTRL", 16, 8 },
24027		{ "QUE_NUM", 0, 16 },
24028	{ "MPS_PORT_RX_CTL1", 0x34138, 0 },
24029		{ "FIXED_PFVF_MAC", 14, 1 },
24030		{ "FIXED_PFVF_LPBK", 13, 1 },
24031		{ "FIXED_PFVF_LPBK_OV", 12, 1 },
24032		{ "FIXED_PF", 9, 3 },
24033		{ "FIXED_VF_VLD", 8, 1 },
24034		{ "FIXED_VF", 0, 8 },
24035	{ "MPS_PORT_RX_SPARE", 0x3413c, 0 },
24036	{ "MPS_PORT_RX_PTP_RSS_HASH", 0x34140, 0 },
24037	{ "MPS_PORT_RX_PTP_RSS_CONTROL", 0x34144, 0 },
24038		{ "RSS_CTRL", 16, 8 },
24039		{ "QUE_NUM", 0, 16 },
24040	{ "MPS_PORT_RX_TS_VLD", 0x34148, 0 },
24041	{ "MPS_PORT_RX_TNL_LKP_INNER_SEL", 0x3414c, 0 },
24042	{ "MPS_PORT_RX_PRS_DEBUG_FLAG_MAC", 0x34160, 0 },
24043		{ "Outer_IPv4_n_Inner_IPv4", 31, 1 },
24044		{ "Outer_IPv4_n_Inner_IPv6", 30, 1 },
24045		{ "Outer_IPv6_n_Inner_IPv4", 29, 1 },
24046		{ "Outer_IPv6_n_Inner_IPv6", 28, 1 },
24047		{ "Outer_IPv4_n_VLAN_NVGRE", 27, 1 },
24048		{ "Outer_IPv6_n_VLAN_NVGRE", 26, 1 },
24049		{ "Outer_IPv4_n_Double_VLAN_NVGRE", 25, 1 },
24050		{ "Outer_IPv6_n_Double_VLAN_NVGRE", 24, 1 },
24051		{ "Outer_IPv4_n_VLAN_GRE", 23, 1 },
24052		{ "Outer_IPv6_n_VLAN_GRE", 22, 1 },
24053		{ "Outer_IPv4_n_Double_VLAN_GRE", 21, 1 },
24054		{ "Outer_IPv6_n_Double_VLAN_GRE", 20, 1 },
24055		{ "Outer_IPv4_n_VLAN_VXLAN", 19, 1 },
24056		{ "Outer_IPv6_n_VLAN_VXLAN", 18, 1 },
24057		{ "Outer_IPv4_n_Double_VLAN_VXLAN", 17, 1 },
24058		{ "Outer_IPv6_n_Double_VLAN_VXLAN", 16, 1 },
24059		{ "Outer_IPv4_n_VLAN_GENEVE", 15, 1 },
24060		{ "Outer_IPv6_n_VLAN_GENEVE", 14, 1 },
24061		{ "Outer_IPv4_n_Double_VLAN_GENEVE", 13, 1 },
24062		{ "Outer_IPv6_n_Double_VLAN_GENEVE", 12, 1 },
24063		{ "Err_Tnl_Hdr_Len", 11, 1 },
24064		{ "non_runt_frame", 10, 1 },
24065		{ "Inner_VLAN_VLD", 9, 1 },
24066		{ "Err_IP_Payload_Len", 8, 1 },
24067		{ "Err_UDP_Payload_Len", 7, 1 },
24068	{ "MPS_PORT_RX_PRS_DEBUG_FLAG_LPBK", 0x34164, 0 },
24069		{ "Outer_IPv4_n_Inner_IPv4", 31, 1 },
24070		{ "Outer_IPv4_n_Inner_IPv6", 30, 1 },
24071		{ "Outer_IPv6_n_Inner_IPv4", 29, 1 },
24072		{ "Outer_IPv6_n_Inner_IPv6", 28, 1 },
24073		{ "Outer_IPv4_n_VLAN_NVGRE", 27, 1 },
24074		{ "Outer_IPv6_n_VLAN_NVGRE", 26, 1 },
24075		{ "Outer_IPv4_n_Double_VLAN_NVGRE", 25, 1 },
24076		{ "Outer_IPv6_n_Double_VLAN_NVGRE", 24, 1 },
24077		{ "Outer_IPv4_n_VLAN_GRE", 23, 1 },
24078		{ "Outer_IPv6_n_VLAN_GRE", 22, 1 },
24079		{ "Outer_IPv4_n_Double_VLAN_GRE", 21, 1 },
24080		{ "Outer_IPv6_n_Double_VLAN_GRE", 20, 1 },
24081		{ "Outer_IPv4_n_VLAN_VXLAN", 19, 1 },
24082		{ "Outer_IPv6_n_VLAN_VXLAN", 18, 1 },
24083		{ "Outer_IPv4_n_Double_VLAN_VXLAN", 17, 1 },
24084		{ "Outer_IPv6_n_Double_VLAN_VXLAN", 16, 1 },
24085		{ "Outer_IPv4_n_VLAN_GENEVE", 15, 1 },
24086		{ "Outer_IPv6_n_VLAN_GENEVE", 14, 1 },
24087		{ "Outer_IPv4_n_Double_VLAN_GENEVE", 13, 1 },
24088		{ "Outer_IPv6_n_Double_VLAN_GENEVE", 12, 1 },
24089		{ "Err_Tnl_Hdr_Len", 11, 1 },
24090		{ "Inner_VLAN_VLD", 10, 1 },
24091		{ "Err_IP_Payload_Len", 9, 1 },
24092		{ "Err_UDP_Payload_Len", 8, 1 },
24093	{ "MPS_PORT_RX_REPL_VECT_SEL", 0x34168, 0 },
24094		{ "DIS_REPL_VECT_SEL", 4, 1 },
24095		{ "REPL_VECT_SEL", 0, 4 },
24096	{ "MPS_TX_PRTY_SEL", 0x9400, 0 },
24097		{ "Ch2_Prty", 12, 3 },
24098		{ "Ch1_Prty", 8, 3 },
24099		{ "Ch0_Prty", 4, 3 },
24100		{ "TP_Source", 2, 2 },
24101		{ "NCSI_Source", 0, 2 },
24102	{ "MPS_TX_INT_ENABLE", 0x9404, 0 },
24103		{ "PortErr", 16, 1 },
24104		{ "FRMERR", 15, 1 },
24105		{ "SECNTERR", 14, 1 },
24106		{ "BUBBLE", 13, 1 },
24107		{ "TxDescFifo", 9, 4 },
24108		{ "TxDataFifo", 5, 4 },
24109		{ "Ncsi", 4, 1 },
24110		{ "TP", 0, 4 },
24111	{ "MPS_TX_INT_CAUSE", 0x9408, 0 },
24112		{ "PortErr", 16, 1 },
24113		{ "FRMERR", 15, 1 },
24114		{ "SECNTERR", 14, 1 },
24115		{ "BUBBLE", 13, 1 },
24116		{ "TxDescFifo", 9, 4 },
24117		{ "TxDataFifo", 5, 4 },
24118		{ "Ncsi", 4, 1 },
24119		{ "TP", 0, 4 },
24120	{ "MPS_TX_NCSI2MPS_CNT", 0x940c, 0 },
24121	{ "MPS_TX_PERR_ENABLE", 0x9410, 0 },
24122		{ "TxDescFifo", 9, 4 },
24123		{ "TxDataFifo", 5, 4 },
24124		{ "Ncsi", 4, 1 },
24125		{ "TP", 0, 4 },
24126	{ "MPS_TX_PERR_INJECT", 0x9414, 0 },
24127		{ "MemSel", 1, 5 },
24128		{ "InjectDataErr", 0, 1 },
24129	{ "MPS_TX_SE_CNT_TP01", 0x9418, 0 },
24130		{ "SOP_CNT_1", 24, 8 },
24131		{ "EOP_CNT_1", 16, 8 },
24132		{ "SOP_CNT_0", 8, 8 },
24133		{ "EOP_CNT_0", 0, 8 },
24134	{ "MPS_TX_SE_CNT_TP23", 0x941c, 0 },
24135		{ "SOP_CNT_3", 24, 8 },
24136		{ "EOP_CNT_3", 16, 8 },
24137		{ "SOP_CNT_2", 8, 8 },
24138		{ "EOP_CNT_2", 0, 8 },
24139	{ "MPS_TX_SE_CNT_MAC01", 0x9420, 0 },
24140		{ "SOP_CNT_1", 24, 8 },
24141		{ "EOP_CNT_1", 16, 8 },
24142		{ "SOP_CNT_0", 8, 8 },
24143		{ "EOP_CNT_0", 0, 8 },
24144	{ "MPS_TX_SE_CNT_MAC23", 0x9424, 0 },
24145		{ "SOP_CNT_3", 24, 8 },
24146		{ "EOP_CNT_3", 16, 8 },
24147		{ "SOP_CNT_2", 8, 8 },
24148		{ "EOP_CNT_2", 0, 8 },
24149	{ "MPS_TX_SECNT_SPI_BUBBLE_ERR", 0x9428, 0 },
24150		{ "Bubble", 16, 8 },
24151		{ "Spi", 8, 8 },
24152		{ "SeCnt", 0, 8 },
24153	{ "MPS_TX_SECNT_BUBBLE_CLR", 0x942c, 0 },
24154		{ "NcsiSeCnt", 20, 1 },
24155		{ "LpbkSeCnt", 16, 4 },
24156		{ "Bubble", 8, 8 },
24157		{ "SeCnt", 0, 8 },
24158	{ "MPS_TX_PORT_ERR", 0x9430, 0 },
24159		{ "Lpbkpt3", 7, 1 },
24160		{ "Lpbkpt2", 6, 1 },
24161		{ "Lpbkpt1", 5, 1 },
24162		{ "Lpbkpt0", 4, 1 },
24163		{ "pt3", 3, 1 },
24164		{ "pt2", 2, 1 },
24165		{ "pt1", 1, 1 },
24166		{ "pt0", 0, 1 },
24167	{ "MPS_TX_LPBK_DROP_BP_CTL_CH0", 0x9434, 0 },
24168		{ "BpEn", 1, 1 },
24169		{ "DropEn", 0, 1 },
24170	{ "MPS_TX_LPBK_DROP_BP_CTL_CH1", 0x9438, 0 },
24171		{ "BpEn", 1, 1 },
24172		{ "DropEn", 0, 1 },
24173	{ "MPS_TX_LPBK_DROP_BP_CTL_CH2", 0x943c, 0 },
24174		{ "BpEn", 1, 1 },
24175		{ "DropEn", 0, 1 },
24176	{ "MPS_TX_LPBK_DROP_BP_CTL_CH3", 0x9440, 0 },
24177		{ "BpEn", 1, 1 },
24178		{ "DropEn", 0, 1 },
24179	{ "MPS_TX_DEBUG_REG_TP2TX_10", 0x9444, 0 },
24180		{ "SOPCh1", 31, 1 },
24181		{ "EOPCh1", 30, 1 },
24182		{ "SizeCh1", 27, 3 },
24183		{ "ErrCh1", 26, 1 },
24184		{ "FullCh1", 25, 1 },
24185		{ "ValidCh1", 24, 1 },
24186		{ "DataCh1", 16, 8 },
24187		{ "SOPCh0", 15, 1 },
24188		{ "EOPCh0", 14, 1 },
24189		{ "SizeCh0", 11, 3 },
24190		{ "ErrCh0", 10, 1 },
24191		{ "FullCh0", 9, 1 },
24192		{ "ValidCh0", 8, 1 },
24193		{ "DataCh0", 0, 8 },
24194	{ "MPS_TX_DEBUG_REG_TP2TX_32", 0x9448, 0 },
24195		{ "SOPCh3", 31, 1 },
24196		{ "EOPCh3", 30, 1 },
24197		{ "SizeCh3", 27, 3 },
24198		{ "ErrCh3", 26, 1 },
24199		{ "FullCh3", 25, 1 },
24200		{ "ValidCh3", 24, 1 },
24201		{ "DataCh3", 16, 8 },
24202		{ "SOPCh2", 15, 1 },
24203		{ "EOPCh2", 14, 1 },
24204		{ "SizeCh2", 11, 3 },
24205		{ "ErrCh2", 10, 1 },
24206		{ "FullCh2", 9, 1 },
24207		{ "ValidCh2", 8, 1 },
24208		{ "DataCh2", 0, 8 },
24209	{ "MPS_TX_DEBUG_REG_TX2MAC_10", 0x944c, 0 },
24210		{ "SOPPt1", 31, 1 },
24211		{ "EOPPt1", 30, 1 },
24212		{ "SizePt1", 27, 3 },
24213		{ "ErrPt1", 26, 1 },
24214		{ "FullPt1", 25, 1 },
24215		{ "ValidPt1", 24, 1 },
24216		{ "DataPt1", 16, 8 },
24217		{ "SOPPt0", 15, 1 },
24218		{ "EOPPt0", 14, 1 },
24219		{ "SizePt0", 11, 3 },
24220		{ "ErrPt0", 10, 1 },
24221		{ "FullPt0", 9, 1 },
24222		{ "ValidPt0", 8, 1 },
24223		{ "DataPt0", 0, 8 },
24224	{ "MPS_TX_DEBUG_REG_TX2MAC_32", 0x9450, 0 },
24225		{ "SOPPt3", 31, 1 },
24226		{ "EOPPt3", 30, 1 },
24227		{ "SizePt3", 27, 3 },
24228		{ "ErrPt3", 26, 1 },
24229		{ "FullPt3", 25, 1 },
24230		{ "ValidPt3", 24, 1 },
24231		{ "DataPt3", 16, 8 },
24232		{ "SOPPt2", 15, 1 },
24233		{ "EOPPt2", 14, 1 },
24234		{ "SizePt2", 11, 3 },
24235		{ "ErrPt2", 10, 1 },
24236		{ "FullPt2", 9, 1 },
24237		{ "ValidPt2", 8, 1 },
24238		{ "DataPt2", 0, 8 },
24239	{ "MPS_TX_SGE_CH_PAUSE_IGNR", 0x9454, 0 },
24240	{ "MPS_TX_DEBUG_SUBPART_SEL", 0x9458, 0 },
24241		{ "SubPrtH", 11, 5 },
24242		{ "PortH", 8, 3 },
24243		{ "SubPrtL", 3, 5 },
24244		{ "PortL", 0, 3 },
24245	{ "MPS_TX_PAD_CTL", 0x945c, 0 },
24246		{ "LpbkPadEnPt3", 7, 1 },
24247		{ "LpbkPadEnPt2", 6, 1 },
24248		{ "LpbkPadEnPt1", 5, 1 },
24249		{ "LpbkPadEnPt0", 4, 1 },
24250		{ "MacPadEnPt3", 3, 1 },
24251		{ "MacPadEnPt2", 2, 1 },
24252		{ "MacPadEnPt1", 1, 1 },
24253		{ "MacPadEnPt0", 0, 1 },
24254	{ "MPS_TX_PFVF_PORT_DROP_TP", 0x9460, 0 },
24255		{ "TP2MPS_Ch1", 8, 8 },
24256		{ "TP2MPS_Ch0", 0, 8 },
24257	{ "MPS_TX_PFVF_PORT_DROP_NCSI", 0x9464, 0 },
24258	{ "MPS_TX_PFVF_PORT_DROP_CTL", 0x9468, 0 },
24259		{ "PFNOVFDROP", 5, 1 },
24260		{ "NCSI_Ch4_CLR", 4, 1 },
24261		{ "TP2MPS_Ch1_CLR", 1, 1 },
24262		{ "TP2MPS_Ch0_CLR", 0, 1 },
24263	{ "MPS_TX_CGEN", 0x946c, 0 },
24264		{ "TxOutLpbk3_CGEN", 31, 1 },
24265		{ "TxOutLpbk2_CGEN", 30, 1 },
24266		{ "TxOutLpbk1_CGEN", 29, 1 },
24267		{ "TxOutLpbk0_CGEN", 28, 1 },
24268		{ "TxOutMAC3_CGEN", 27, 1 },
24269		{ "TxOutMAC2_CGEN", 26, 1 },
24270		{ "TxOutMAC1_CGEN", 25, 1 },
24271		{ "TxOutMAC0_CGEN", 24, 1 },
24272		{ "TxSchLpbk3_CGEN", 23, 1 },
24273		{ "TxSchLpbk2_CGEN", 22, 1 },
24274		{ "TxSchLpbk1_CGEN", 21, 1 },
24275		{ "TxSchLpbk0_CGEN", 20, 1 },
24276		{ "TxSchMAC3_CGEN", 19, 1 },
24277		{ "TxSchMAC2_CGEN", 18, 1 },
24278		{ "TxSchMAC1_CGEN", 17, 1 },
24279		{ "TxSchMAC0_CGEN", 16, 1 },
24280		{ "TxInCh4_CGEN", 15, 1 },
24281		{ "TxInCh3_CGEN", 14, 1 },
24282		{ "TxInCh2_CGEN", 13, 1 },
24283		{ "TxInCh1_CGEN", 12, 1 },
24284		{ "TxInCh0_CGEN", 11, 1 },
24285	{ "MPS_TX_CGEN_DYNAMIC", 0x9470, 0 },
24286		{ "TxOutLpbk3_CGEN", 31, 1 },
24287		{ "TxOutLpbk2_CGEN", 30, 1 },
24288		{ "TxOutLpbk1_CGEN", 29, 1 },
24289		{ "TxOutLpbk0_CGEN", 28, 1 },
24290		{ "TxOutMAC3_CGEN", 27, 1 },
24291		{ "TxOutMAC2_CGEN", 26, 1 },
24292		{ "TxOutMAC1_CGEN", 25, 1 },
24293		{ "TxOutMAC0_CGEN", 24, 1 },
24294		{ "TxSchLpbk3_CGEN", 23, 1 },
24295		{ "TxSchLpbk2_CGEN", 22, 1 },
24296		{ "TxSchLpbk1_CGEN", 21, 1 },
24297		{ "TxSchLpbk0_CGEN", 20, 1 },
24298		{ "TxSchMAC3_CGEN", 19, 1 },
24299		{ "TxSchMAC2_CGEN", 18, 1 },
24300		{ "TxSchMAC1_CGEN", 17, 1 },
24301		{ "TxSchMAC0_CGEN", 16, 1 },
24302		{ "TxInCh4_CGEN", 15, 1 },
24303		{ "TxInCh3_CGEN", 14, 1 },
24304		{ "TxInCh2_CGEN", 13, 1 },
24305		{ "TxInCh1_CGEN", 12, 1 },
24306		{ "TxInCh0_CGEN", 11, 1 },
24307	{ "MPS_PF_TX_QINQ_VLAN", 0x1e2e0, 0 },
24308		{ "ProtocolID", 16, 16 },
24309		{ "Priority", 13, 3 },
24310		{ "CFI", 12, 1 },
24311		{ "Tag", 0, 12 },
24312	{ "MPS_PF_TX_QINQ_VLAN", 0x1e6e0, 0 },
24313		{ "ProtocolID", 16, 16 },
24314		{ "Priority", 13, 3 },
24315		{ "CFI", 12, 1 },
24316		{ "Tag", 0, 12 },
24317	{ "MPS_PF_TX_QINQ_VLAN", 0x1eae0, 0 },
24318		{ "ProtocolID", 16, 16 },
24319		{ "Priority", 13, 3 },
24320		{ "CFI", 12, 1 },
24321		{ "Tag", 0, 12 },
24322	{ "MPS_PF_TX_QINQ_VLAN", 0x1eee0, 0 },
24323		{ "ProtocolID", 16, 16 },
24324		{ "Priority", 13, 3 },
24325		{ "CFI", 12, 1 },
24326		{ "Tag", 0, 12 },
24327	{ "MPS_PF_TX_QINQ_VLAN", 0x1f2e0, 0 },
24328		{ "ProtocolID", 16, 16 },
24329		{ "Priority", 13, 3 },
24330		{ "CFI", 12, 1 },
24331		{ "Tag", 0, 12 },
24332	{ "MPS_PF_TX_QINQ_VLAN", 0x1f6e0, 0 },
24333		{ "ProtocolID", 16, 16 },
24334		{ "Priority", 13, 3 },
24335		{ "CFI", 12, 1 },
24336		{ "Tag", 0, 12 },
24337	{ "MPS_PF_TX_QINQ_VLAN", 0x1fae0, 0 },
24338		{ "ProtocolID", 16, 16 },
24339		{ "Priority", 13, 3 },
24340		{ "CFI", 12, 1 },
24341		{ "Tag", 0, 12 },
24342	{ "MPS_PF_TX_QINQ_VLAN", 0x1fee0, 0 },
24343		{ "ProtocolID", 16, 16 },
24344		{ "Priority", 13, 3 },
24345		{ "CFI", 12, 1 },
24346		{ "Tag", 0, 12 },
24347	{ "MPS_PORT_TX_MAC_RELOAD_CH0", 0x30190, 0 },
24348	{ "MPS_PORT_TX_MAC_RELOAD_CH1", 0x30194, 0 },
24349	{ "MPS_PORT_TX_MAC_RELOAD_CH2", 0x30198, 0 },
24350	{ "MPS_PORT_TX_MAC_RELOAD_CH3", 0x3019c, 0 },
24351	{ "MPS_PORT_TX_MAC_RELOAD_CH4", 0x301a0, 0 },
24352	{ "MPS_PORT_TX_LPBK_RELOAD_CH0", 0x301a8, 0 },
24353	{ "MPS_PORT_TX_LPBK_RELOAD_CH1", 0x301ac, 0 },
24354	{ "MPS_PORT_TX_LPBK_RELOAD_CH2", 0x301b0, 0 },
24355	{ "MPS_PORT_TX_LPBK_RELOAD_CH3", 0x301b4, 0 },
24356	{ "MPS_PORT_TX_LPBK_RELOAD_CH4", 0x301b8, 0 },
24357	{ "MPS_PORT_TX_FIFO_CTL", 0x301c4, 0 },
24358		{ "OUT_TH", 22, 8 },
24359		{ "IN_TH", 14, 8 },
24360		{ "FifoTh", 5, 9 },
24361		{ "FifoEn", 4, 1 },
24362		{ "MaxPktCnt", 0, 4 },
24363	{ "MPS_PORT_FPGA_PAUSE_CTL", 0x301c8, 0 },
24364	{ "MPS_PORT_TX_PAUSE_PENDING_STATUS", 0x301d0, 0 },
24365		{ "off_pending", 8, 8 },
24366		{ "on_pending", 0, 8 },
24367	{ "MPS_PORT_TX_MAC_RELOAD_CH0", 0x34190, 0 },
24368	{ "MPS_PORT_TX_MAC_RELOAD_CH1", 0x34194, 0 },
24369	{ "MPS_PORT_TX_MAC_RELOAD_CH2", 0x34198, 0 },
24370	{ "MPS_PORT_TX_MAC_RELOAD_CH3", 0x3419c, 0 },
24371	{ "MPS_PORT_TX_MAC_RELOAD_CH4", 0x341a0, 0 },
24372	{ "MPS_PORT_TX_LPBK_RELOAD_CH0", 0x341a8, 0 },
24373	{ "MPS_PORT_TX_LPBK_RELOAD_CH1", 0x341ac, 0 },
24374	{ "MPS_PORT_TX_LPBK_RELOAD_CH2", 0x341b0, 0 },
24375	{ "MPS_PORT_TX_LPBK_RELOAD_CH3", 0x341b4, 0 },
24376	{ "MPS_PORT_TX_LPBK_RELOAD_CH4", 0x341b8, 0 },
24377	{ "MPS_PORT_TX_FIFO_CTL", 0x341c4, 0 },
24378		{ "OUT_TH", 22, 8 },
24379		{ "IN_TH", 14, 8 },
24380		{ "FifoTh", 5, 9 },
24381		{ "FifoEn", 4, 1 },
24382		{ "MaxPktCnt", 0, 4 },
24383	{ "MPS_PORT_FPGA_PAUSE_CTL", 0x341c8, 0 },
24384	{ "MPS_PORT_TX_PAUSE_PENDING_STATUS", 0x341d0, 0 },
24385		{ "off_pending", 8, 8 },
24386		{ "on_pending", 0, 8 },
24387	{ "MPS_TRC_CFG", 0x9800, 0 },
24388		{ "TrcMultiRSSFilter", 5, 1 },
24389		{ "TrcFifoEmpty", 4, 1 },
24390		{ "TrcIgnoreDropInput", 3, 1 },
24391		{ "TrcKeepDuplicates", 2, 1 },
24392		{ "TrcEn", 1, 1 },
24393		{ "TrcMultiFilter", 0, 1 },
24394	{ "MPS_TRC_FILTER0_RSS_HASH", 0x9804, 0 },
24395	{ "MPS_TRC_FILTER0_RSS_CONTROL", 0x9808, 0 },
24396		{ "RssControl", 16, 8 },
24397		{ "QueueNumber", 0, 16 },
24398	{ "MPS_TRC_FILTER1_RSS_HASH", 0x9ff0, 0 },
24399	{ "MPS_TRC_FILTER1_RSS_CONTROL", 0x9ff4, 0 },
24400		{ "RssControl", 16, 8 },
24401		{ "QueueNumber", 0, 16 },
24402	{ "MPS_TRC_FILTER2_RSS_HASH", 0x9ff8, 0 },
24403	{ "MPS_TRC_FILTER2_RSS_CONTROL", 0x9ffc, 0 },
24404		{ "RssControl", 16, 8 },
24405		{ "QueueNumber", 0, 16 },
24406	{ "MPS_TRC_FILTER3_RSS_HASH", 0xa000, 0 },
24407	{ "MPS_TRC_FILTER3_RSS_CONTROL", 0xa004, 0 },
24408		{ "RssControl", 16, 8 },
24409		{ "QueueNumber", 0, 16 },
24410	{ "MPS_TRC_RSS_HASH", 0xa008, 0 },
24411	{ "MPS_TRC_RSS_CONTROL", 0xa00c, 0 },
24412		{ "RssControl", 16, 8 },
24413		{ "QueueNumber", 0, 16 },
24414	{ "MPS_TRC_VF_OFF_FILTER_0", 0xa010, 0 },
24415		{ "TrcMPS2TP_MacOnly", 22, 1 },
24416		{ "TrcAllMPS2TP", 21, 1 },
24417		{ "TrcAllTP2MPS", 20, 1 },
24418		{ "TrcAllVf", 19, 1 },
24419		{ "OffEn", 18, 1 },
24420		{ "VfFiltEn", 17, 1 },
24421		{ "VfFiltMask", 9, 8 },
24422		{ "VfFiltValid", 8, 1 },
24423		{ "VfFiltData", 0, 8 },
24424	{ "MPS_TRC_VF_OFF_FILTER_1", 0xa014, 0 },
24425		{ "TrcMPS2TP_MacOnly", 22, 1 },
24426		{ "TrcAllMPS2TP", 21, 1 },
24427		{ "TrcAllTP2MPS", 20, 1 },
24428		{ "TrcAllVf", 19, 1 },
24429		{ "OffEn", 18, 1 },
24430		{ "VfFiltEn", 17, 1 },
24431		{ "VfFiltMask", 9, 8 },
24432		{ "VfFiltValid", 8, 1 },
24433		{ "VfFiltData", 0, 8 },
24434	{ "MPS_TRC_VF_OFF_FILTER_2", 0xa018, 0 },
24435		{ "TrcMPS2TP_MacOnly", 22, 1 },
24436		{ "TrcAllMPS2TP", 21, 1 },
24437		{ "TrcAllTP2MPS", 20, 1 },
24438		{ "TrcAllVf", 19, 1 },
24439		{ "OffEn", 18, 1 },
24440		{ "VfFiltEn", 17, 1 },
24441		{ "VfFiltMask", 9, 8 },
24442		{ "VfFiltValid", 8, 1 },
24443		{ "VfFiltData", 0, 8 },
24444	{ "MPS_TRC_VF_OFF_FILTER_3", 0xa01c, 0 },
24445		{ "TrcMPS2TP_MacOnly", 22, 1 },
24446		{ "TrcAllMPS2TP", 21, 1 },
24447		{ "TrcAllTP2MPS", 20, 1 },
24448		{ "TrcAllVf", 19, 1 },
24449		{ "OffEn", 18, 1 },
24450		{ "VfFiltEn", 17, 1 },
24451		{ "VfFiltMask", 9, 8 },
24452		{ "VfFiltValid", 8, 1 },
24453		{ "VfFiltData", 0, 8 },
24454	{ "MPS_TRC_CGEN", 0xa020, 0 },
24455	{ "MPS_TRC_FILTER_MATCH_CTL_A", 0x9810, 0 },
24456		{ "TfInsertActLen", 27, 1 },
24457		{ "TfInsertTimer", 26, 1 },
24458		{ "TfInvertMatch", 25, 1 },
24459		{ "TfPktTooLarge", 24, 1 },
24460		{ "TfEn", 23, 1 },
24461		{ "TfPort", 18, 5 },
24462		{ "TfDrop", 17, 1 },
24463		{ "TfSopEopErr", 16, 1 },
24464		{ "TfLength", 8, 5 },
24465		{ "TfOffset", 0, 5 },
24466	{ "MPS_TRC_FILTER_MATCH_CTL_A", 0x9814, 0 },
24467		{ "TfInsertActLen", 27, 1 },
24468		{ "TfInsertTimer", 26, 1 },
24469		{ "TfInvertMatch", 25, 1 },
24470		{ "TfPktTooLarge", 24, 1 },
24471		{ "TfEn", 23, 1 },
24472		{ "TfPort", 18, 5 },
24473		{ "TfDrop", 17, 1 },
24474		{ "TfSopEopErr", 16, 1 },
24475		{ "TfLength", 8, 5 },
24476		{ "TfOffset", 0, 5 },
24477	{ "MPS_TRC_FILTER_MATCH_CTL_A", 0x9818, 0 },
24478		{ "TfInsertActLen", 27, 1 },
24479		{ "TfInsertTimer", 26, 1 },
24480		{ "TfInvertMatch", 25, 1 },
24481		{ "TfPktTooLarge", 24, 1 },
24482		{ "TfEn", 23, 1 },
24483		{ "TfPort", 18, 5 },
24484		{ "TfDrop", 17, 1 },
24485		{ "TfSopEopErr", 16, 1 },
24486		{ "TfLength", 8, 5 },
24487		{ "TfOffset", 0, 5 },
24488	{ "MPS_TRC_FILTER_MATCH_CTL_A", 0x981c, 0 },
24489		{ "TfInsertActLen", 27, 1 },
24490		{ "TfInsertTimer", 26, 1 },
24491		{ "TfInvertMatch", 25, 1 },
24492		{ "TfPktTooLarge", 24, 1 },
24493		{ "TfEn", 23, 1 },
24494		{ "TfPort", 18, 5 },
24495		{ "TfDrop", 17, 1 },
24496		{ "TfSopEopErr", 16, 1 },
24497		{ "TfLength", 8, 5 },
24498		{ "TfOffset", 0, 5 },
24499	{ "MPS_TRC_FILTER_MATCH_CTL_B", 0x9820, 0 },
24500		{ "TfMinPktSize", 16, 9 },
24501		{ "TfCaptureMax", 0, 14 },
24502	{ "MPS_TRC_FILTER_MATCH_CTL_B", 0x9824, 0 },
24503		{ "TfMinPktSize", 16, 9 },
24504		{ "TfCaptureMax", 0, 14 },
24505	{ "MPS_TRC_FILTER_MATCH_CTL_B", 0x9828, 0 },
24506		{ "TfMinPktSize", 16, 9 },
24507		{ "TfCaptureMax", 0, 14 },
24508	{ "MPS_TRC_FILTER_MATCH_CTL_B", 0x982c, 0 },
24509		{ "TfMinPktSize", 16, 9 },
24510		{ "TfCaptureMax", 0, 14 },
24511	{ "MPS_TRC_FILTER_RUNT_CTL", 0x9830, 0 },
24512	{ "MPS_TRC_FILTER_RUNT_CTL", 0x9834, 0 },
24513	{ "MPS_TRC_FILTER_RUNT_CTL", 0x9838, 0 },
24514	{ "MPS_TRC_FILTER_RUNT_CTL", 0x983c, 0 },
24515	{ "MPS_TRC_FILTER_DROP", 0x9840, 0 },
24516		{ "TfDropInpCount", 16, 16 },
24517		{ "TfDropBufferCount", 0, 16 },
24518	{ "MPS_TRC_FILTER_DROP", 0x9844, 0 },
24519		{ "TfDropInpCount", 16, 16 },
24520		{ "TfDropBufferCount", 0, 16 },
24521	{ "MPS_TRC_FILTER_DROP", 0x9848, 0 },
24522		{ "TfDropInpCount", 16, 16 },
24523		{ "TfDropBufferCount", 0, 16 },
24524	{ "MPS_TRC_FILTER_DROP", 0x984c, 0 },
24525		{ "TfDropInpCount", 16, 16 },
24526		{ "TfDropBufferCount", 0, 16 },
24527	{ "MPS_TRC_PERR_INJECT", 0x9850, 0 },
24528		{ "MemSel", 1, 4 },
24529		{ "InjectDataErr", 0, 1 },
24530	{ "MPS_TRC_PERR_ENABLE", 0x9854, 0 },
24531		{ "MiscPerr", 8, 1 },
24532		{ "PktFifo", 4, 4 },
24533		{ "FiltMem", 0, 4 },
24534	{ "MPS_TRC_INT_ENABLE", 0x9858, 0 },
24535		{ "PLErrEnb", 9, 1 },
24536		{ "MiscPerr", 8, 1 },
24537		{ "PktFifo", 4, 4 },
24538		{ "FiltMem", 0, 4 },
24539	{ "MPS_TRC_INT_CAUSE", 0x985c, 0 },
24540		{ "PLErrEnb", 9, 1 },
24541		{ "MiscPerr", 8, 1 },
24542		{ "PktFifo", 4, 4 },
24543		{ "FiltMem", 0, 4 },
24544	{ "MPS_TRC_TIMESTAMP_L", 0x9860, 0 },
24545	{ "MPS_TRC_TIMESTAMP_H", 0x9864, 0 },
24546	{ "MPS_TRC_FILTER0_MATCH", 0x9c00, 0 },
24547	{ "MPS_TRC_FILTER0_MATCH", 0x9c04, 0 },
24548	{ "MPS_TRC_FILTER0_MATCH", 0x9c08, 0 },
24549	{ "MPS_TRC_FILTER0_MATCH", 0x9c0c, 0 },
24550	{ "MPS_TRC_FILTER0_MATCH", 0x9c10, 0 },
24551	{ "MPS_TRC_FILTER0_MATCH", 0x9c14, 0 },
24552	{ "MPS_TRC_FILTER0_MATCH", 0x9c18, 0 },
24553	{ "MPS_TRC_FILTER0_MATCH", 0x9c1c, 0 },
24554	{ "MPS_TRC_FILTER0_MATCH", 0x9c20, 0 },
24555	{ "MPS_TRC_FILTER0_MATCH", 0x9c24, 0 },
24556	{ "MPS_TRC_FILTER0_MATCH", 0x9c28, 0 },
24557	{ "MPS_TRC_FILTER0_MATCH", 0x9c2c, 0 },
24558	{ "MPS_TRC_FILTER0_MATCH", 0x9c30, 0 },
24559	{ "MPS_TRC_FILTER0_MATCH", 0x9c34, 0 },
24560	{ "MPS_TRC_FILTER0_MATCH", 0x9c38, 0 },
24561	{ "MPS_TRC_FILTER0_MATCH", 0x9c3c, 0 },
24562	{ "MPS_TRC_FILTER0_MATCH", 0x9c40, 0 },
24563	{ "MPS_TRC_FILTER0_MATCH", 0x9c44, 0 },
24564	{ "MPS_TRC_FILTER0_MATCH", 0x9c48, 0 },
24565	{ "MPS_TRC_FILTER0_MATCH", 0x9c4c, 0 },
24566	{ "MPS_TRC_FILTER0_MATCH", 0x9c50, 0 },
24567	{ "MPS_TRC_FILTER0_MATCH", 0x9c54, 0 },
24568	{ "MPS_TRC_FILTER0_MATCH", 0x9c58, 0 },
24569	{ "MPS_TRC_FILTER0_MATCH", 0x9c5c, 0 },
24570	{ "MPS_TRC_FILTER0_MATCH", 0x9c60, 0 },
24571	{ "MPS_TRC_FILTER0_MATCH", 0x9c64, 0 },
24572	{ "MPS_TRC_FILTER0_MATCH", 0x9c68, 0 },
24573	{ "MPS_TRC_FILTER0_MATCH", 0x9c6c, 0 },
24574	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9c80, 0 },
24575	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9c84, 0 },
24576	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9c88, 0 },
24577	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9c8c, 0 },
24578	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9c90, 0 },
24579	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9c94, 0 },
24580	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9c98, 0 },
24581	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9c9c, 0 },
24582	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9ca0, 0 },
24583	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9ca4, 0 },
24584	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9ca8, 0 },
24585	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cac, 0 },
24586	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cb0, 0 },
24587	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cb4, 0 },
24588	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cb8, 0 },
24589	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cbc, 0 },
24590	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cc0, 0 },
24591	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cc4, 0 },
24592	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cc8, 0 },
24593	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9ccc, 0 },
24594	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cd0, 0 },
24595	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cd4, 0 },
24596	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cd8, 0 },
24597	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cdc, 0 },
24598	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9ce0, 0 },
24599	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9ce4, 0 },
24600	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9ce8, 0 },
24601	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cec, 0 },
24602	{ "MPS_TRC_FILTER1_MATCH", 0x9d00, 0 },
24603	{ "MPS_TRC_FILTER1_MATCH", 0x9d04, 0 },
24604	{ "MPS_TRC_FILTER1_MATCH", 0x9d08, 0 },
24605	{ "MPS_TRC_FILTER1_MATCH", 0x9d0c, 0 },
24606	{ "MPS_TRC_FILTER1_MATCH", 0x9d10, 0 },
24607	{ "MPS_TRC_FILTER1_MATCH", 0x9d14, 0 },
24608	{ "MPS_TRC_FILTER1_MATCH", 0x9d18, 0 },
24609	{ "MPS_TRC_FILTER1_MATCH", 0x9d1c, 0 },
24610	{ "MPS_TRC_FILTER1_MATCH", 0x9d20, 0 },
24611	{ "MPS_TRC_FILTER1_MATCH", 0x9d24, 0 },
24612	{ "MPS_TRC_FILTER1_MATCH", 0x9d28, 0 },
24613	{ "MPS_TRC_FILTER1_MATCH", 0x9d2c, 0 },
24614	{ "MPS_TRC_FILTER1_MATCH", 0x9d30, 0 },
24615	{ "MPS_TRC_FILTER1_MATCH", 0x9d34, 0 },
24616	{ "MPS_TRC_FILTER1_MATCH", 0x9d38, 0 },
24617	{ "MPS_TRC_FILTER1_MATCH", 0x9d3c, 0 },
24618	{ "MPS_TRC_FILTER1_MATCH", 0x9d40, 0 },
24619	{ "MPS_TRC_FILTER1_MATCH", 0x9d44, 0 },
24620	{ "MPS_TRC_FILTER1_MATCH", 0x9d48, 0 },
24621	{ "MPS_TRC_FILTER1_MATCH", 0x9d4c, 0 },
24622	{ "MPS_TRC_FILTER1_MATCH", 0x9d50, 0 },
24623	{ "MPS_TRC_FILTER1_MATCH", 0x9d54, 0 },
24624	{ "MPS_TRC_FILTER1_MATCH", 0x9d58, 0 },
24625	{ "MPS_TRC_FILTER1_MATCH", 0x9d5c, 0 },
24626	{ "MPS_TRC_FILTER1_MATCH", 0x9d60, 0 },
24627	{ "MPS_TRC_FILTER1_MATCH", 0x9d64, 0 },
24628	{ "MPS_TRC_FILTER1_MATCH", 0x9d68, 0 },
24629	{ "MPS_TRC_FILTER1_MATCH", 0x9d6c, 0 },
24630	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9d80, 0 },
24631	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9d84, 0 },
24632	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9d88, 0 },
24633	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9d8c, 0 },
24634	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9d90, 0 },
24635	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9d94, 0 },
24636	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9d98, 0 },
24637	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9d9c, 0 },
24638	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9da0, 0 },
24639	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9da4, 0 },
24640	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9da8, 0 },
24641	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dac, 0 },
24642	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9db0, 0 },
24643	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9db4, 0 },
24644	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9db8, 0 },
24645	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dbc, 0 },
24646	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dc0, 0 },
24647	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dc4, 0 },
24648	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dc8, 0 },
24649	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dcc, 0 },
24650	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dd0, 0 },
24651	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dd4, 0 },
24652	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dd8, 0 },
24653	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9ddc, 0 },
24654	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9de0, 0 },
24655	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9de4, 0 },
24656	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9de8, 0 },
24657	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dec, 0 },
24658	{ "MPS_TRC_FILTER2_MATCH", 0x9e00, 0 },
24659	{ "MPS_TRC_FILTER2_MATCH", 0x9e04, 0 },
24660	{ "MPS_TRC_FILTER2_MATCH", 0x9e08, 0 },
24661	{ "MPS_TRC_FILTER2_MATCH", 0x9e0c, 0 },
24662	{ "MPS_TRC_FILTER2_MATCH", 0x9e10, 0 },
24663	{ "MPS_TRC_FILTER2_MATCH", 0x9e14, 0 },
24664	{ "MPS_TRC_FILTER2_MATCH", 0x9e18, 0 },
24665	{ "MPS_TRC_FILTER2_MATCH", 0x9e1c, 0 },
24666	{ "MPS_TRC_FILTER2_MATCH", 0x9e20, 0 },
24667	{ "MPS_TRC_FILTER2_MATCH", 0x9e24, 0 },
24668	{ "MPS_TRC_FILTER2_MATCH", 0x9e28, 0 },
24669	{ "MPS_TRC_FILTER2_MATCH", 0x9e2c, 0 },
24670	{ "MPS_TRC_FILTER2_MATCH", 0x9e30, 0 },
24671	{ "MPS_TRC_FILTER2_MATCH", 0x9e34, 0 },
24672	{ "MPS_TRC_FILTER2_MATCH", 0x9e38, 0 },
24673	{ "MPS_TRC_FILTER2_MATCH", 0x9e3c, 0 },
24674	{ "MPS_TRC_FILTER2_MATCH", 0x9e40, 0 },
24675	{ "MPS_TRC_FILTER2_MATCH", 0x9e44, 0 },
24676	{ "MPS_TRC_FILTER2_MATCH", 0x9e48, 0 },
24677	{ "MPS_TRC_FILTER2_MATCH", 0x9e4c, 0 },
24678	{ "MPS_TRC_FILTER2_MATCH", 0x9e50, 0 },
24679	{ "MPS_TRC_FILTER2_MATCH", 0x9e54, 0 },
24680	{ "MPS_TRC_FILTER2_MATCH", 0x9e58, 0 },
24681	{ "MPS_TRC_FILTER2_MATCH", 0x9e5c, 0 },
24682	{ "MPS_TRC_FILTER2_MATCH", 0x9e60, 0 },
24683	{ "MPS_TRC_FILTER2_MATCH", 0x9e64, 0 },
24684	{ "MPS_TRC_FILTER2_MATCH", 0x9e68, 0 },
24685	{ "MPS_TRC_FILTER2_MATCH", 0x9e6c, 0 },
24686	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9e80, 0 },
24687	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9e84, 0 },
24688	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9e88, 0 },
24689	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9e8c, 0 },
24690	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9e90, 0 },
24691	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9e94, 0 },
24692	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9e98, 0 },
24693	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9e9c, 0 },
24694	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ea0, 0 },
24695	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ea4, 0 },
24696	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ea8, 0 },
24697	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9eac, 0 },
24698	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9eb0, 0 },
24699	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9eb4, 0 },
24700	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9eb8, 0 },
24701	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ebc, 0 },
24702	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ec0, 0 },
24703	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ec4, 0 },
24704	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ec8, 0 },
24705	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ecc, 0 },
24706	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ed0, 0 },
24707	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ed4, 0 },
24708	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ed8, 0 },
24709	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9edc, 0 },
24710	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ee0, 0 },
24711	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ee4, 0 },
24712	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ee8, 0 },
24713	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9eec, 0 },
24714	{ "MPS_TRC_FILTER3_MATCH", 0x9f00, 0 },
24715	{ "MPS_TRC_FILTER3_MATCH", 0x9f04, 0 },
24716	{ "MPS_TRC_FILTER3_MATCH", 0x9f08, 0 },
24717	{ "MPS_TRC_FILTER3_MATCH", 0x9f0c, 0 },
24718	{ "MPS_TRC_FILTER3_MATCH", 0x9f10, 0 },
24719	{ "MPS_TRC_FILTER3_MATCH", 0x9f14, 0 },
24720	{ "MPS_TRC_FILTER3_MATCH", 0x9f18, 0 },
24721	{ "MPS_TRC_FILTER3_MATCH", 0x9f1c, 0 },
24722	{ "MPS_TRC_FILTER3_MATCH", 0x9f20, 0 },
24723	{ "MPS_TRC_FILTER3_MATCH", 0x9f24, 0 },
24724	{ "MPS_TRC_FILTER3_MATCH", 0x9f28, 0 },
24725	{ "MPS_TRC_FILTER3_MATCH", 0x9f2c, 0 },
24726	{ "MPS_TRC_FILTER3_MATCH", 0x9f30, 0 },
24727	{ "MPS_TRC_FILTER3_MATCH", 0x9f34, 0 },
24728	{ "MPS_TRC_FILTER3_MATCH", 0x9f38, 0 },
24729	{ "MPS_TRC_FILTER3_MATCH", 0x9f3c, 0 },
24730	{ "MPS_TRC_FILTER3_MATCH", 0x9f40, 0 },
24731	{ "MPS_TRC_FILTER3_MATCH", 0x9f44, 0 },
24732	{ "MPS_TRC_FILTER3_MATCH", 0x9f48, 0 },
24733	{ "MPS_TRC_FILTER3_MATCH", 0x9f4c, 0 },
24734	{ "MPS_TRC_FILTER3_MATCH", 0x9f50, 0 },
24735	{ "MPS_TRC_FILTER3_MATCH", 0x9f54, 0 },
24736	{ "MPS_TRC_FILTER3_MATCH", 0x9f58, 0 },
24737	{ "MPS_TRC_FILTER3_MATCH", 0x9f5c, 0 },
24738	{ "MPS_TRC_FILTER3_MATCH", 0x9f60, 0 },
24739	{ "MPS_TRC_FILTER3_MATCH", 0x9f64, 0 },
24740	{ "MPS_TRC_FILTER3_MATCH", 0x9f68, 0 },
24741	{ "MPS_TRC_FILTER3_MATCH", 0x9f6c, 0 },
24742	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9f80, 0 },
24743	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9f84, 0 },
24744	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9f88, 0 },
24745	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9f8c, 0 },
24746	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9f90, 0 },
24747	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9f94, 0 },
24748	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9f98, 0 },
24749	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9f9c, 0 },
24750	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fa0, 0 },
24751	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fa4, 0 },
24752	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fa8, 0 },
24753	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fac, 0 },
24754	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fb0, 0 },
24755	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fb4, 0 },
24756	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fb8, 0 },
24757	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fbc, 0 },
24758	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fc0, 0 },
24759	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fc4, 0 },
24760	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fc8, 0 },
24761	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fcc, 0 },
24762	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fd0, 0 },
24763	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fd4, 0 },
24764	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fd8, 0 },
24765	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fdc, 0 },
24766	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fe0, 0 },
24767	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fe4, 0 },
24768	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fe8, 0 },
24769	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fec, 0 },
24770	{ "MPS_STAT_CTL", 0x9600, 0 },
24771		{ "StatStopCtrl", 10, 1 },
24772		{ "StopStat", 9, 1 },
24773		{ "StatWriteCtrl", 8, 1 },
24774		{ "CountLbPF", 7, 1 },
24775		{ "CountLbVF", 6, 1 },
24776		{ "CountPauseMCRx", 5, 1 },
24777		{ "CountPauseStatRx", 4, 1 },
24778		{ "CountPauseMCTx", 3, 1 },
24779		{ "CountPauseStatTx", 2, 1 },
24780		{ "CountVFinPF", 1, 1 },
24781		{ "LpbkErrStat", 0, 1 },
24782	{ "MPS_STAT_INT_ENABLE", 0x9608, 0 },
24783	{ "MPS_STAT_INT_CAUSE", 0x960c, 0 },
24784	{ "MPS_STAT_PERR_INT_ENABLE_SRAM", 0x9610, 0 },
24785		{ "Rxbg", 27, 2 },
24786		{ "Rxpf", 22, 5 },
24787		{ "Txpf", 18, 4 },
24788		{ "Rxport", 11, 7 },
24789		{ "Lbport", 6, 5 },
24790		{ "Txport", 0, 6 },
24791	{ "MPS_STAT_PERR_INT_CAUSE_SRAM", 0x9614, 0 },
24792		{ "Rxbg", 27, 2 },
24793		{ "Rxpf", 22, 5 },
24794		{ "Txpf", 18, 4 },
24795		{ "Rxport", 11, 7 },
24796		{ "Lbport", 6, 5 },
24797		{ "Txport", 0, 6 },
24798	{ "MPS_STAT_PERR_ENABLE_SRAM", 0x9618, 0 },
24799		{ "Rxbg", 27, 2 },
24800		{ "Rxpf", 22, 5 },
24801		{ "Txpf", 18, 4 },
24802		{ "Rxport", 11, 7 },
24803		{ "Lbport", 6, 5 },
24804		{ "Txport", 0, 6 },
24805	{ "MPS_STAT_PERR_INT_ENABLE_TX_FIFO", 0x961c, 0 },
24806		{ "TxCh", 20, 4 },
24807		{ "Tx", 12, 8 },
24808		{ "Pause", 8, 4 },
24809		{ "Drop", 0, 8 },
24810	{ "MPS_STAT_PERR_INT_CAUSE_TX_FIFO", 0x9620, 0 },
24811		{ "TxCh", 20, 4 },
24812		{ "Tx", 12, 8 },
24813		{ "Pause", 8, 4 },
24814		{ "Drop", 0, 8 },
24815	{ "MPS_STAT_PERR_ENABLE_TX_FIFO", 0x9624, 0 },
24816		{ "TxCh", 20, 4 },
24817		{ "Tx", 12, 8 },
24818		{ "Pause", 8, 4 },
24819		{ "Drop", 0, 8 },
24820	{ "MPS_STAT_PERR_INT_ENABLE_RX_FIFO", 0x9628, 0 },
24821		{ "Pause", 20, 4 },
24822		{ "Lpbk", 16, 4 },
24823		{ "Nq", 8, 8 },
24824		{ "PV", 4, 4 },
24825		{ "Mac", 0, 4 },
24826	{ "MPS_STAT_PERR_INT_CAUSE_RX_FIFO", 0x962c, 0 },
24827		{ "Pause", 20, 4 },
24828		{ "Lpbk", 16, 4 },
24829		{ "Nq", 8, 8 },
24830		{ "PV", 4, 4 },
24831		{ "Mac", 0, 4 },
24832	{ "MPS_STAT_PERR_ENABLE_RX_FIFO", 0x9630, 0 },
24833		{ "Pause", 20, 4 },
24834		{ "Lpbk", 16, 4 },
24835		{ "Nq", 8, 8 },
24836		{ "PV", 4, 4 },
24837		{ "Mac", 0, 4 },
24838	{ "MPS_STAT_PERR_INJECT", 0x9634, 0 },
24839		{ "MemSel", 1, 7 },
24840		{ "InjectDataErr", 0, 1 },
24841	{ "MPS_STAT_DEBUG_SUB_SEL", 0x9638, 0 },
24842		{ "SubPrtH", 5, 5 },
24843		{ "SubPrtL", 0, 5 },
24844	{ "MPS_STAT_RX_BG_0_MAC_DROP_FRAME_L", 0x9640, 0 },
24845	{ "MPS_STAT_RX_BG_0_MAC_DROP_FRAME_H", 0x9644, 0 },
24846	{ "MPS_STAT_RX_BG_1_MAC_DROP_FRAME_L", 0x9648, 0 },
24847	{ "MPS_STAT_RX_BG_1_MAC_DROP_FRAME_H", 0x964c, 0 },
24848	{ "MPS_STAT_RX_BG_2_MAC_DROP_FRAME_L", 0x9650, 0 },
24849	{ "MPS_STAT_RX_BG_2_MAC_DROP_FRAME_H", 0x9654, 0 },
24850	{ "MPS_STAT_RX_BG_3_MAC_DROP_FRAME_L", 0x9658, 0 },
24851	{ "MPS_STAT_RX_BG_3_MAC_DROP_FRAME_H", 0x965c, 0 },
24852	{ "MPS_STAT_RX_BG_0_LB_DROP_FRAME_L", 0x9660, 0 },
24853	{ "MPS_STAT_RX_BG_0_LB_DROP_FRAME_H", 0x9664, 0 },
24854	{ "MPS_STAT_RX_BG_1_LB_DROP_FRAME_L", 0x9668, 0 },
24855	{ "MPS_STAT_RX_BG_1_LB_DROP_FRAME_H", 0x966c, 0 },
24856	{ "MPS_STAT_RX_BG_2_LB_DROP_FRAME_L", 0x9670, 0 },
24857	{ "MPS_STAT_RX_BG_2_LB_DROP_FRAME_H", 0x9674, 0 },
24858	{ "MPS_STAT_RX_BG_3_LB_DROP_FRAME_L", 0x9678, 0 },
24859	{ "MPS_STAT_RX_BG_3_LB_DROP_FRAME_H", 0x967c, 0 },
24860	{ "MPS_STAT_RX_BG_0_MAC_TRUNC_FRAME_L", 0x9680, 0 },
24861	{ "MPS_STAT_RX_BG_0_MAC_TRUNC_FRAME_H", 0x9684, 0 },
24862	{ "MPS_STAT_RX_BG_1_MAC_TRUNC_FRAME_L", 0x9688, 0 },
24863	{ "MPS_STAT_RX_BG_1_MAC_TRUNC_FRAME_H", 0x968c, 0 },
24864	{ "MPS_STAT_RX_BG_2_MAC_TRUNC_FRAME_L", 0x9690, 0 },
24865	{ "MPS_STAT_RX_BG_2_MAC_TRUNC_FRAME_H", 0x9694, 0 },
24866	{ "MPS_STAT_RX_BG_3_MAC_TRUNC_FRAME_L", 0x9698, 0 },
24867	{ "MPS_STAT_RX_BG_3_MAC_TRUNC_FRAME_H", 0x969c, 0 },
24868	{ "MPS_STAT_RX_BG_0_LB_TRUNC_FRAME_L", 0x96a0, 0 },
24869	{ "MPS_STAT_RX_BG_0_LB_TRUNC_FRAME_H", 0x96a4, 0 },
24870	{ "MPS_STAT_RX_BG_1_LB_TRUNC_FRAME_L", 0x96a8, 0 },
24871	{ "MPS_STAT_RX_BG_1_LB_TRUNC_FRAME_H", 0x96ac, 0 },
24872	{ "MPS_STAT_RX_BG_2_LB_TRUNC_FRAME_L", 0x96b0, 0 },
24873	{ "MPS_STAT_RX_BG_2_LB_TRUNC_FRAME_H", 0x96b4, 0 },
24874	{ "MPS_STAT_RX_BG_3_LB_TRUNC_FRAME_L", 0x96b8, 0 },
24875	{ "MPS_STAT_RX_BG_3_LB_TRUNC_FRAME_H", 0x96bc, 0 },
24876	{ "MPS_STAT_PERR_INT_ENABLE_SRAM1", 0x96c0, 0 },
24877		{ "Rxvf", 5, 3 },
24878		{ "Txvf", 0, 5 },
24879	{ "MPS_STAT_PERR_INT_CAUSE_SRAM1", 0x96c4, 0 },
24880		{ "Rxvf", 5, 3 },
24881		{ "Txvf", 0, 5 },
24882	{ "MPS_STAT_PERR_ENABLE_SRAM1", 0x96c8, 0 },
24883		{ "Rxvf", 5, 3 },
24884		{ "Txvf", 0, 5 },
24885	{ "MPS_STAT_STOP_UPD_BG", 0x96cc, 0 },
24886	{ "MPS_STAT_STOP_UPD_PORT", 0x96d0, 0 },
24887		{ "PtLpbk", 8, 4 },
24888		{ "PtTx", 4, 4 },
24889		{ "PtRx", 0, 4 },
24890	{ "MPS_STAT_STOP_UPD_PF", 0x96d4, 0 },
24891		{ "PFTx", 8, 8 },
24892		{ "PFRx", 0, 8 },
24893	{ "MPS_STAT_STOP_UPD_TX_VF_0_31", 0x96d8, 0 },
24894	{ "MPS_STAT_STOP_UPD_TX_VF_32_63", 0x96dc, 0 },
24895	{ "MPS_STAT_STOP_UPD_TX_VF_64_95", 0x96e0, 0 },
24896	{ "MPS_STAT_STOP_UPD_TX_VF_96_127", 0x96e4, 0 },
24897	{ "MPS_STAT_STOP_UPD_TX_VF_128_159", 0x9710, 0 },
24898	{ "MPS_STAT_STOP_UPD_TX_VF_160_191", 0x9714, 0 },
24899	{ "MPS_STAT_STOP_UPD_TX_VF_192_223", 0x9718, 0 },
24900	{ "MPS_STAT_STOP_UPD_TX_VF_224_255", 0x971c, 0 },
24901	{ "MPS_STAT_STOP_UPD_RX_VF_0_31", 0x96e8, 0 },
24902	{ "MPS_STAT_STOP_UPD_RX_VF_32_63", 0x96ec, 0 },
24903	{ "MPS_STAT_STOP_UPD_RX_VF_64_95", 0x96f0, 0 },
24904	{ "MPS_STAT_STOP_UPD_RX_VF_96_127", 0x96f4, 0 },
24905	{ "MPS_STAT_STOP_UPD_RX_VF_128_159", 0x96f8, 0 },
24906	{ "MPS_STAT_STOP_UPD_RX_VF_160_191", 0x96fc, 0 },
24907	{ "MPS_STAT_STOP_UPD_RX_VF_192_223", 0x9700, 0 },
24908	{ "MPS_STAT_STOP_UPD_RX_VF_224_255", 0x9704, 0 },
24909	{ "MPS_PORT_STAT_TX_PORT_BYTES_L", 0x30400, 0 },
24910	{ "MPS_PORT_STAT_TX_PORT_BYTES_H", 0x30404, 0 },
24911	{ "MPS_PORT_STAT_TX_PORT_FRAMES_L", 0x30408, 0 },
24912	{ "MPS_PORT_STAT_TX_PORT_FRAMES_H", 0x3040c, 0 },
24913	{ "MPS_PORT_STAT_TX_PORT_BCAST_L", 0x30410, 0 },
24914	{ "MPS_PORT_STAT_TX_PORT_BCAST_H", 0x30414, 0 },
24915	{ "MPS_PORT_STAT_TX_PORT_MCAST_L", 0x30418, 0 },
24916	{ "MPS_PORT_STAT_TX_PORT_MCAST_H", 0x3041c, 0 },
24917	{ "MPS_PORT_STAT_TX_PORT_UCAST_L", 0x30420, 0 },
24918	{ "MPS_PORT_STAT_TX_PORT_UCAST_H", 0x30424, 0 },
24919	{ "MPS_PORT_STAT_TX_PORT_ERROR_L", 0x30428, 0 },
24920	{ "MPS_PORT_STAT_TX_PORT_ERROR_H", 0x3042c, 0 },
24921	{ "MPS_PORT_STAT_TX_PORT_64B_L", 0x30430, 0 },
24922	{ "MPS_PORT_STAT_TX_PORT_64B_H", 0x30434, 0 },
24923	{ "MPS_PORT_STAT_TX_PORT_65B_127B_L", 0x30438, 0 },
24924	{ "MPS_PORT_STAT_TX_PORT_65B_127B_H", 0x3043c, 0 },
24925	{ "MPS_PORT_STAT_TX_PORT_128B_255B_L", 0x30440, 0 },
24926	{ "MPS_PORT_STAT_TX_PORT_128B_255B_H", 0x30444, 0 },
24927	{ "MPS_PORT_STAT_TX_PORT_256B_511B_L", 0x30448, 0 },
24928	{ "MPS_PORT_STAT_TX_PORT_256B_511B_H", 0x3044c, 0 },
24929	{ "MPS_PORT_STAT_TX_PORT_512B_1023B_L", 0x30450, 0 },
24930	{ "MPS_PORT_STAT_TX_PORT_512B_1023B_H", 0x30454, 0 },
24931	{ "MPS_PORT_STAT_TX_PORT_1024B_1518B_L", 0x30458, 0 },
24932	{ "MPS_PORT_STAT_TX_PORT_1024B_1518B_H", 0x3045c, 0 },
24933	{ "MPS_PORT_STAT_TX_PORT_1519B_MAX_L", 0x30460, 0 },
24934	{ "MPS_PORT_STAT_TX_PORT_1519B_MAX_H", 0x30464, 0 },
24935	{ "MPS_PORT_STAT_TX_PORT_DROP_L", 0x30468, 0 },
24936	{ "MPS_PORT_STAT_TX_PORT_DROP_H", 0x3046c, 0 },
24937	{ "MPS_PORT_STAT_TX_PORT_PAUSE_L", 0x30470, 0 },
24938	{ "MPS_PORT_STAT_TX_PORT_PAUSE_H", 0x30474, 0 },
24939	{ "MPS_PORT_STAT_TX_PORT_PPP0_L", 0x30478, 0 },
24940	{ "MPS_PORT_STAT_TX_PORT_PPP0_H", 0x3047c, 0 },
24941	{ "MPS_PORT_STAT_TX_PORT_PPP1_L", 0x30480, 0 },
24942	{ "MPS_PORT_STAT_TX_PORT_PPP1_H", 0x30484, 0 },
24943	{ "MPS_PORT_STAT_TX_PORT_PPP2_L", 0x30488, 0 },
24944	{ "MPS_PORT_STAT_TX_PORT_PPP2_H", 0x3048c, 0 },
24945	{ "MPS_PORT_STAT_TX_PORT_PPP3_L", 0x30490, 0 },
24946	{ "MPS_PORT_STAT_TX_PORT_PPP3_H", 0x30494, 0 },
24947	{ "MPS_PORT_STAT_TX_PORT_PPP4_L", 0x30498, 0 },
24948	{ "MPS_PORT_STAT_TX_PORT_PPP4_H", 0x3049c, 0 },
24949	{ "MPS_PORT_STAT_TX_PORT_PPP5_L", 0x304a0, 0 },
24950	{ "MPS_PORT_STAT_TX_PORT_PPP5_H", 0x304a4, 0 },
24951	{ "MPS_PORT_STAT_TX_PORT_PPP6_L", 0x304a8, 0 },
24952	{ "MPS_PORT_STAT_TX_PORT_PPP6_H", 0x304ac, 0 },
24953	{ "MPS_PORT_STAT_TX_PORT_PPP7_L", 0x304b0, 0 },
24954	{ "MPS_PORT_STAT_TX_PORT_PPP7_H", 0x304b4, 0 },
24955	{ "MPS_PORT_STAT_LB_PORT_BYTES_L", 0x304c0, 0 },
24956	{ "MPS_PORT_STAT_LB_PORT_BYTES_H", 0x304c4, 0 },
24957	{ "MPS_PORT_STAT_LB_PORT_FRAMES_L", 0x304c8, 0 },
24958	{ "MPS_PORT_STAT_LB_PORT_FRAMES_H", 0x304cc, 0 },
24959	{ "MPS_PORT_STAT_LB_PORT_BCAST_L", 0x304d0, 0 },
24960	{ "MPS_PORT_STAT_LB_PORT_BCAST_H", 0x304d4, 0 },
24961	{ "MPS_PORT_STAT_LB_PORT_MCAST_L", 0x304d8, 0 },
24962	{ "MPS_PORT_STAT_LB_PORT_MCAST_H", 0x304dc, 0 },
24963	{ "MPS_PORT_STAT_LB_PORT_UCAST_L", 0x304e0, 0 },
24964	{ "MPS_PORT_STAT_LB_PORT_UCAST_H", 0x304e4, 0 },
24965	{ "MPS_PORT_STAT_LB_PORT_ERROR_L", 0x304e8, 0 },
24966	{ "MPS_PORT_STAT_LB_PORT_ERROR_H", 0x304ec, 0 },
24967	{ "MPS_PORT_STAT_LB_PORT_64B_L", 0x304f0, 0 },
24968	{ "MPS_PORT_STAT_LB_PORT_64B_H", 0x304f4, 0 },
24969	{ "MPS_PORT_STAT_LB_PORT_65B_127B_L", 0x304f8, 0 },
24970	{ "MPS_PORT_STAT_LB_PORT_65B_127B_H", 0x304fc, 0 },
24971	{ "MPS_PORT_STAT_LB_PORT_128B_255B_L", 0x30500, 0 },
24972	{ "MPS_PORT_STAT_LB_PORT_128B_255B_H", 0x30504, 0 },
24973	{ "MPS_PORT_STAT_LB_PORT_256B_511B_L", 0x30508, 0 },
24974	{ "MPS_PORT_STAT_LB_PORT_256B_511B_H", 0x3050c, 0 },
24975	{ "MPS_PORT_STAT_LB_PORT_512B_1023B_L", 0x30510, 0 },
24976	{ "MPS_PORT_STAT_LB_PORT_512B_1023B_H", 0x30514, 0 },
24977	{ "MPS_PORT_STAT_LB_PORT_1024B_1518B_L", 0x30518, 0 },
24978	{ "MPS_PORT_STAT_LB_PORT_1024B_1518B_H", 0x3051c, 0 },
24979	{ "MPS_PORT_STAT_LB_PORT_1519B_MAX_L", 0x30520, 0 },
24980	{ "MPS_PORT_STAT_LB_PORT_1519B_MAX_H", 0x30524, 0 },
24981	{ "MPS_PORT_STAT_LB_PORT_DROP_FRAMES_L", 0x30528, 0 },
24982	{ "MPS_PORT_STAT_LB_PORT_DROP_FRAMES_H", 0x3052c, 0 },
24983	{ "MPS_PORT_STAT_RX_PORT_BYTES_L", 0x30540, 0 },
24984	{ "MPS_PORT_STAT_RX_PORT_BYTES_H", 0x30544, 0 },
24985	{ "MPS_PORT_STAT_RX_PORT_FRAMES_L", 0x30548, 0 },
24986	{ "MPS_PORT_STAT_RX_PORT_FRAMES_H", 0x3054c, 0 },
24987	{ "MPS_PORT_STAT_RX_PORT_BCAST_L", 0x30550, 0 },
24988	{ "MPS_PORT_STAT_RX_PORT_BCAST_H", 0x30554, 0 },
24989	{ "MPS_PORT_STAT_RX_PORT_MCAST_L", 0x30558, 0 },
24990	{ "MPS_PORT_STAT_RX_PORT_MCAST_H", 0x3055c, 0 },
24991	{ "MPS_PORT_STAT_RX_PORT_UCAST_L", 0x30560, 0 },
24992	{ "MPS_PORT_STAT_RX_PORT_UCAST_H", 0x30564, 0 },
24993	{ "MPS_PORT_STAT_RX_PORT_MTU_ERROR_L", 0x30568, 0 },
24994	{ "MPS_PORT_STAT_RX_PORT_MTU_ERROR_H", 0x3056c, 0 },
24995	{ "MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_L", 0x30570, 0 },
24996	{ "MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_H", 0x30574, 0 },
24997	{ "MPS_PORT_STAT_RX_PORT_CRC_ERROR_L", 0x30578, 0 },
24998	{ "MPS_PORT_STAT_RX_PORT_CRC_ERROR_H", 0x3057c, 0 },
24999	{ "MPS_PORT_STAT_RX_PORT_LEN_ERROR_L", 0x30580, 0 },
25000	{ "MPS_PORT_STAT_RX_PORT_LEN_ERROR_H", 0x30584, 0 },
25001	{ "MPS_PORT_STAT_RX_PORT_SYM_ERROR_L", 0x30588, 0 },
25002	{ "MPS_PORT_STAT_RX_PORT_SYM_ERROR_H", 0x3058c, 0 },
25003	{ "MPS_PORT_STAT_RX_PORT_64B_L", 0x30590, 0 },
25004	{ "MPS_PORT_STAT_RX_PORT_64B_H", 0x30594, 0 },
25005	{ "MPS_PORT_STAT_RX_PORT_65B_127B_L", 0x30598, 0 },
25006	{ "MPS_PORT_STAT_RX_PORT_65B_127B_H", 0x3059c, 0 },
25007	{ "MPS_PORT_STAT_RX_PORT_128B_255B_L", 0x305a0, 0 },
25008	{ "MPS_PORT_STAT_RX_PORT_128B_255B_H", 0x305a4, 0 },
25009	{ "MPS_PORT_STAT_RX_PORT_256B_511B_L", 0x305a8, 0 },
25010	{ "MPS_PORT_STAT_RX_PORT_256B_511B_H", 0x305ac, 0 },
25011	{ "MPS_PORT_STAT_RX_PORT_512B_1023B_L", 0x305b0, 0 },
25012	{ "MPS_PORT_STAT_RX_PORT_512B_1023B_H", 0x305b4, 0 },
25013	{ "MPS_PORT_STAT_RX_PORT_1024B_1518B_L", 0x305b8, 0 },
25014	{ "MPS_PORT_STAT_RX_PORT_1024B_1518B_H", 0x305bc, 0 },
25015	{ "MPS_PORT_STAT_RX_PORT_1519B_MAX_L", 0x305c0, 0 },
25016	{ "MPS_PORT_STAT_RX_PORT_1519B_MAX_H", 0x305c4, 0 },
25017	{ "MPS_PORT_STAT_RX_PORT_PAUSE_L", 0x305c8, 0 },
25018	{ "MPS_PORT_STAT_RX_PORT_PAUSE_H", 0x305cc, 0 },
25019	{ "MPS_PORT_STAT_RX_PORT_PPP0_L", 0x305d0, 0 },
25020	{ "MPS_PORT_STAT_RX_PORT_PPP0_H", 0x305d4, 0 },
25021	{ "MPS_PORT_STAT_RX_PORT_PPP1_L", 0x305d8, 0 },
25022	{ "MPS_PORT_STAT_RX_PORT_PPP1_H", 0x305dc, 0 },
25023	{ "MPS_PORT_STAT_RX_PORT_PPP2_L", 0x305e0, 0 },
25024	{ "MPS_PORT_STAT_RX_PORT_PPP2_H", 0x305e4, 0 },
25025	{ "MPS_PORT_STAT_RX_PORT_PPP3_L", 0x305e8, 0 },
25026	{ "MPS_PORT_STAT_RX_PORT_PPP3_H", 0x305ec, 0 },
25027	{ "MPS_PORT_STAT_RX_PORT_PPP4_L", 0x305f0, 0 },
25028	{ "MPS_PORT_STAT_RX_PORT_PPP4_H", 0x305f4, 0 },
25029	{ "MPS_PORT_STAT_RX_PORT_PPP5_L", 0x305f8, 0 },
25030	{ "MPS_PORT_STAT_RX_PORT_PPP5_H", 0x305fc, 0 },
25031	{ "MPS_PORT_STAT_RX_PORT_PPP6_L", 0x30600, 0 },
25032	{ "MPS_PORT_STAT_RX_PORT_PPP6_H", 0x30604, 0 },
25033	{ "MPS_PORT_STAT_RX_PORT_PPP7_L", 0x30608, 0 },
25034	{ "MPS_PORT_STAT_RX_PORT_PPP7_H", 0x3060c, 0 },
25035	{ "MPS_PORT_STAT_RX_PORT_LESS_64B_L", 0x30610, 0 },
25036	{ "MPS_PORT_STAT_RX_PORT_LESS_64B_H", 0x30614, 0 },
25037	{ "MPS_PORT_STAT_RX_PORT_MAC_ERROR_L", 0x30618, 0 },
25038	{ "MPS_PORT_STAT_RX_PORT_MAC_ERROR_H", 0x3061c, 0 },
25039	{ "MPS_PORT_STAT_TX_PORT_BYTES_L", 0x34400, 0 },
25040	{ "MPS_PORT_STAT_TX_PORT_BYTES_H", 0x34404, 0 },
25041	{ "MPS_PORT_STAT_TX_PORT_FRAMES_L", 0x34408, 0 },
25042	{ "MPS_PORT_STAT_TX_PORT_FRAMES_H", 0x3440c, 0 },
25043	{ "MPS_PORT_STAT_TX_PORT_BCAST_L", 0x34410, 0 },
25044	{ "MPS_PORT_STAT_TX_PORT_BCAST_H", 0x34414, 0 },
25045	{ "MPS_PORT_STAT_TX_PORT_MCAST_L", 0x34418, 0 },
25046	{ "MPS_PORT_STAT_TX_PORT_MCAST_H", 0x3441c, 0 },
25047	{ "MPS_PORT_STAT_TX_PORT_UCAST_L", 0x34420, 0 },
25048	{ "MPS_PORT_STAT_TX_PORT_UCAST_H", 0x34424, 0 },
25049	{ "MPS_PORT_STAT_TX_PORT_ERROR_L", 0x34428, 0 },
25050	{ "MPS_PORT_STAT_TX_PORT_ERROR_H", 0x3442c, 0 },
25051	{ "MPS_PORT_STAT_TX_PORT_64B_L", 0x34430, 0 },
25052	{ "MPS_PORT_STAT_TX_PORT_64B_H", 0x34434, 0 },
25053	{ "MPS_PORT_STAT_TX_PORT_65B_127B_L", 0x34438, 0 },
25054	{ "MPS_PORT_STAT_TX_PORT_65B_127B_H", 0x3443c, 0 },
25055	{ "MPS_PORT_STAT_TX_PORT_128B_255B_L", 0x34440, 0 },
25056	{ "MPS_PORT_STAT_TX_PORT_128B_255B_H", 0x34444, 0 },
25057	{ "MPS_PORT_STAT_TX_PORT_256B_511B_L", 0x34448, 0 },
25058	{ "MPS_PORT_STAT_TX_PORT_256B_511B_H", 0x3444c, 0 },
25059	{ "MPS_PORT_STAT_TX_PORT_512B_1023B_L", 0x34450, 0 },
25060	{ "MPS_PORT_STAT_TX_PORT_512B_1023B_H", 0x34454, 0 },
25061	{ "MPS_PORT_STAT_TX_PORT_1024B_1518B_L", 0x34458, 0 },
25062	{ "MPS_PORT_STAT_TX_PORT_1024B_1518B_H", 0x3445c, 0 },
25063	{ "MPS_PORT_STAT_TX_PORT_1519B_MAX_L", 0x34460, 0 },
25064	{ "MPS_PORT_STAT_TX_PORT_1519B_MAX_H", 0x34464, 0 },
25065	{ "MPS_PORT_STAT_TX_PORT_DROP_L", 0x34468, 0 },
25066	{ "MPS_PORT_STAT_TX_PORT_DROP_H", 0x3446c, 0 },
25067	{ "MPS_PORT_STAT_TX_PORT_PAUSE_L", 0x34470, 0 },
25068	{ "MPS_PORT_STAT_TX_PORT_PAUSE_H", 0x34474, 0 },
25069	{ "MPS_PORT_STAT_TX_PORT_PPP0_L", 0x34478, 0 },
25070	{ "MPS_PORT_STAT_TX_PORT_PPP0_H", 0x3447c, 0 },
25071	{ "MPS_PORT_STAT_TX_PORT_PPP1_L", 0x34480, 0 },
25072	{ "MPS_PORT_STAT_TX_PORT_PPP1_H", 0x34484, 0 },
25073	{ "MPS_PORT_STAT_TX_PORT_PPP2_L", 0x34488, 0 },
25074	{ "MPS_PORT_STAT_TX_PORT_PPP2_H", 0x3448c, 0 },
25075	{ "MPS_PORT_STAT_TX_PORT_PPP3_L", 0x34490, 0 },
25076	{ "MPS_PORT_STAT_TX_PORT_PPP3_H", 0x34494, 0 },
25077	{ "MPS_PORT_STAT_TX_PORT_PPP4_L", 0x34498, 0 },
25078	{ "MPS_PORT_STAT_TX_PORT_PPP4_H", 0x3449c, 0 },
25079	{ "MPS_PORT_STAT_TX_PORT_PPP5_L", 0x344a0, 0 },
25080	{ "MPS_PORT_STAT_TX_PORT_PPP5_H", 0x344a4, 0 },
25081	{ "MPS_PORT_STAT_TX_PORT_PPP6_L", 0x344a8, 0 },
25082	{ "MPS_PORT_STAT_TX_PORT_PPP6_H", 0x344ac, 0 },
25083	{ "MPS_PORT_STAT_TX_PORT_PPP7_L", 0x344b0, 0 },
25084	{ "MPS_PORT_STAT_TX_PORT_PPP7_H", 0x344b4, 0 },
25085	{ "MPS_PORT_STAT_LB_PORT_BYTES_L", 0x344c0, 0 },
25086	{ "MPS_PORT_STAT_LB_PORT_BYTES_H", 0x344c4, 0 },
25087	{ "MPS_PORT_STAT_LB_PORT_FRAMES_L", 0x344c8, 0 },
25088	{ "MPS_PORT_STAT_LB_PORT_FRAMES_H", 0x344cc, 0 },
25089	{ "MPS_PORT_STAT_LB_PORT_BCAST_L", 0x344d0, 0 },
25090	{ "MPS_PORT_STAT_LB_PORT_BCAST_H", 0x344d4, 0 },
25091	{ "MPS_PORT_STAT_LB_PORT_MCAST_L", 0x344d8, 0 },
25092	{ "MPS_PORT_STAT_LB_PORT_MCAST_H", 0x344dc, 0 },
25093	{ "MPS_PORT_STAT_LB_PORT_UCAST_L", 0x344e0, 0 },
25094	{ "MPS_PORT_STAT_LB_PORT_UCAST_H", 0x344e4, 0 },
25095	{ "MPS_PORT_STAT_LB_PORT_ERROR_L", 0x344e8, 0 },
25096	{ "MPS_PORT_STAT_LB_PORT_ERROR_H", 0x344ec, 0 },
25097	{ "MPS_PORT_STAT_LB_PORT_64B_L", 0x344f0, 0 },
25098	{ "MPS_PORT_STAT_LB_PORT_64B_H", 0x344f4, 0 },
25099	{ "MPS_PORT_STAT_LB_PORT_65B_127B_L", 0x344f8, 0 },
25100	{ "MPS_PORT_STAT_LB_PORT_65B_127B_H", 0x344fc, 0 },
25101	{ "MPS_PORT_STAT_LB_PORT_128B_255B_L", 0x34500, 0 },
25102	{ "MPS_PORT_STAT_LB_PORT_128B_255B_H", 0x34504, 0 },
25103	{ "MPS_PORT_STAT_LB_PORT_256B_511B_L", 0x34508, 0 },
25104	{ "MPS_PORT_STAT_LB_PORT_256B_511B_H", 0x3450c, 0 },
25105	{ "MPS_PORT_STAT_LB_PORT_512B_1023B_L", 0x34510, 0 },
25106	{ "MPS_PORT_STAT_LB_PORT_512B_1023B_H", 0x34514, 0 },
25107	{ "MPS_PORT_STAT_LB_PORT_1024B_1518B_L", 0x34518, 0 },
25108	{ "MPS_PORT_STAT_LB_PORT_1024B_1518B_H", 0x3451c, 0 },
25109	{ "MPS_PORT_STAT_LB_PORT_1519B_MAX_L", 0x34520, 0 },
25110	{ "MPS_PORT_STAT_LB_PORT_1519B_MAX_H", 0x34524, 0 },
25111	{ "MPS_PORT_STAT_LB_PORT_DROP_FRAMES_L", 0x34528, 0 },
25112	{ "MPS_PORT_STAT_LB_PORT_DROP_FRAMES_H", 0x3452c, 0 },
25113	{ "MPS_PORT_STAT_RX_PORT_BYTES_L", 0x34540, 0 },
25114	{ "MPS_PORT_STAT_RX_PORT_BYTES_H", 0x34544, 0 },
25115	{ "MPS_PORT_STAT_RX_PORT_FRAMES_L", 0x34548, 0 },
25116	{ "MPS_PORT_STAT_RX_PORT_FRAMES_H", 0x3454c, 0 },
25117	{ "MPS_PORT_STAT_RX_PORT_BCAST_L", 0x34550, 0 },
25118	{ "MPS_PORT_STAT_RX_PORT_BCAST_H", 0x34554, 0 },
25119	{ "MPS_PORT_STAT_RX_PORT_MCAST_L", 0x34558, 0 },
25120	{ "MPS_PORT_STAT_RX_PORT_MCAST_H", 0x3455c, 0 },
25121	{ "MPS_PORT_STAT_RX_PORT_UCAST_L", 0x34560, 0 },
25122	{ "MPS_PORT_STAT_RX_PORT_UCAST_H", 0x34564, 0 },
25123	{ "MPS_PORT_STAT_RX_PORT_MTU_ERROR_L", 0x34568, 0 },
25124	{ "MPS_PORT_STAT_RX_PORT_MTU_ERROR_H", 0x3456c, 0 },
25125	{ "MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_L", 0x34570, 0 },
25126	{ "MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_H", 0x34574, 0 },
25127	{ "MPS_PORT_STAT_RX_PORT_CRC_ERROR_L", 0x34578, 0 },
25128	{ "MPS_PORT_STAT_RX_PORT_CRC_ERROR_H", 0x3457c, 0 },
25129	{ "MPS_PORT_STAT_RX_PORT_LEN_ERROR_L", 0x34580, 0 },
25130	{ "MPS_PORT_STAT_RX_PORT_LEN_ERROR_H", 0x34584, 0 },
25131	{ "MPS_PORT_STAT_RX_PORT_SYM_ERROR_L", 0x34588, 0 },
25132	{ "MPS_PORT_STAT_RX_PORT_SYM_ERROR_H", 0x3458c, 0 },
25133	{ "MPS_PORT_STAT_RX_PORT_64B_L", 0x34590, 0 },
25134	{ "MPS_PORT_STAT_RX_PORT_64B_H", 0x34594, 0 },
25135	{ "MPS_PORT_STAT_RX_PORT_65B_127B_L", 0x34598, 0 },
25136	{ "MPS_PORT_STAT_RX_PORT_65B_127B_H", 0x3459c, 0 },
25137	{ "MPS_PORT_STAT_RX_PORT_128B_255B_L", 0x345a0, 0 },
25138	{ "MPS_PORT_STAT_RX_PORT_128B_255B_H", 0x345a4, 0 },
25139	{ "MPS_PORT_STAT_RX_PORT_256B_511B_L", 0x345a8, 0 },
25140	{ "MPS_PORT_STAT_RX_PORT_256B_511B_H", 0x345ac, 0 },
25141	{ "MPS_PORT_STAT_RX_PORT_512B_1023B_L", 0x345b0, 0 },
25142	{ "MPS_PORT_STAT_RX_PORT_512B_1023B_H", 0x345b4, 0 },
25143	{ "MPS_PORT_STAT_RX_PORT_1024B_1518B_L", 0x345b8, 0 },
25144	{ "MPS_PORT_STAT_RX_PORT_1024B_1518B_H", 0x345bc, 0 },
25145	{ "MPS_PORT_STAT_RX_PORT_1519B_MAX_L", 0x345c0, 0 },
25146	{ "MPS_PORT_STAT_RX_PORT_1519B_MAX_H", 0x345c4, 0 },
25147	{ "MPS_PORT_STAT_RX_PORT_PAUSE_L", 0x345c8, 0 },
25148	{ "MPS_PORT_STAT_RX_PORT_PAUSE_H", 0x345cc, 0 },
25149	{ "MPS_PORT_STAT_RX_PORT_PPP0_L", 0x345d0, 0 },
25150	{ "MPS_PORT_STAT_RX_PORT_PPP0_H", 0x345d4, 0 },
25151	{ "MPS_PORT_STAT_RX_PORT_PPP1_L", 0x345d8, 0 },
25152	{ "MPS_PORT_STAT_RX_PORT_PPP1_H", 0x345dc, 0 },
25153	{ "MPS_PORT_STAT_RX_PORT_PPP2_L", 0x345e0, 0 },
25154	{ "MPS_PORT_STAT_RX_PORT_PPP2_H", 0x345e4, 0 },
25155	{ "MPS_PORT_STAT_RX_PORT_PPP3_L", 0x345e8, 0 },
25156	{ "MPS_PORT_STAT_RX_PORT_PPP3_H", 0x345ec, 0 },
25157	{ "MPS_PORT_STAT_RX_PORT_PPP4_L", 0x345f0, 0 },
25158	{ "MPS_PORT_STAT_RX_PORT_PPP4_H", 0x345f4, 0 },
25159	{ "MPS_PORT_STAT_RX_PORT_PPP5_L", 0x345f8, 0 },
25160	{ "MPS_PORT_STAT_RX_PORT_PPP5_H", 0x345fc, 0 },
25161	{ "MPS_PORT_STAT_RX_PORT_PPP6_L", 0x34600, 0 },
25162	{ "MPS_PORT_STAT_RX_PORT_PPP6_H", 0x34604, 0 },
25163	{ "MPS_PORT_STAT_RX_PORT_PPP7_L", 0x34608, 0 },
25164	{ "MPS_PORT_STAT_RX_PORT_PPP7_H", 0x3460c, 0 },
25165	{ "MPS_PORT_STAT_RX_PORT_LESS_64B_L", 0x34610, 0 },
25166	{ "MPS_PORT_STAT_RX_PORT_LESS_64B_H", 0x34614, 0 },
25167	{ "MPS_PORT_STAT_RX_PORT_MAC_ERROR_L", 0x34618, 0 },
25168	{ "MPS_PORT_STAT_RX_PORT_MAC_ERROR_H", 0x3461c, 0 },
25169	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1e300, 0 },
25170	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1e304, 0 },
25171	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1e308, 0 },
25172	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1e30c, 0 },
25173	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1e310, 0 },
25174	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1e314, 0 },
25175	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1e318, 0 },
25176	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1e31c, 0 },
25177	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1e320, 0 },
25178	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1e324, 0 },
25179	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1e328, 0 },
25180	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1e32c, 0 },
25181	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1e330, 0 },
25182	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1e334, 0 },
25183	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1e338, 0 },
25184	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1e33c, 0 },
25185	{ "MPS_PF_STAT_RX_PF_BYTES_L", 0x1e340, 0 },
25186	{ "MPS_PF_STAT_RX_PF_BYTES_H", 0x1e344, 0 },
25187	{ "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1e348, 0 },
25188	{ "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1e34c, 0 },
25189	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1e350, 0 },
25190	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1e354, 0 },
25191	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1e358, 0 },
25192	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1e35c, 0 },
25193	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1e360, 0 },
25194	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1e364, 0 },
25195	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1e368, 0 },
25196	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1e36c, 0 },
25197	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1e370, 0 },
25198	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1e374, 0 },
25199	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1e378, 0 },
25200	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1e37c, 0 },
25201	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1e380, 0 },
25202	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1e384, 0 },
25203	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1e700, 0 },
25204	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1e704, 0 },
25205	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1e708, 0 },
25206	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1e70c, 0 },
25207	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1e710, 0 },
25208	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1e714, 0 },
25209	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1e718, 0 },
25210	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1e71c, 0 },
25211	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1e720, 0 },
25212	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1e724, 0 },
25213	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1e728, 0 },
25214	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1e72c, 0 },
25215	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1e730, 0 },
25216	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1e734, 0 },
25217	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1e738, 0 },
25218	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1e73c, 0 },
25219	{ "MPS_PF_STAT_RX_PF_BYTES_L", 0x1e740, 0 },
25220	{ "MPS_PF_STAT_RX_PF_BYTES_H", 0x1e744, 0 },
25221	{ "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1e748, 0 },
25222	{ "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1e74c, 0 },
25223	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1e750, 0 },
25224	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1e754, 0 },
25225	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1e758, 0 },
25226	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1e75c, 0 },
25227	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1e760, 0 },
25228	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1e764, 0 },
25229	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1e768, 0 },
25230	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1e76c, 0 },
25231	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1e770, 0 },
25232	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1e774, 0 },
25233	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1e778, 0 },
25234	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1e77c, 0 },
25235	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1e780, 0 },
25236	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1e784, 0 },
25237	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1eb00, 0 },
25238	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1eb04, 0 },
25239	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1eb08, 0 },
25240	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1eb0c, 0 },
25241	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1eb10, 0 },
25242	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1eb14, 0 },
25243	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1eb18, 0 },
25244	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1eb1c, 0 },
25245	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1eb20, 0 },
25246	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1eb24, 0 },
25247	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1eb28, 0 },
25248	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1eb2c, 0 },
25249	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1eb30, 0 },
25250	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1eb34, 0 },
25251	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1eb38, 0 },
25252	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1eb3c, 0 },
25253	{ "MPS_PF_STAT_RX_PF_BYTES_L", 0x1eb40, 0 },
25254	{ "MPS_PF_STAT_RX_PF_BYTES_H", 0x1eb44, 0 },
25255	{ "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1eb48, 0 },
25256	{ "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1eb4c, 0 },
25257	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1eb50, 0 },
25258	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1eb54, 0 },
25259	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1eb58, 0 },
25260	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1eb5c, 0 },
25261	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1eb60, 0 },
25262	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1eb64, 0 },
25263	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1eb68, 0 },
25264	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1eb6c, 0 },
25265	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1eb70, 0 },
25266	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1eb74, 0 },
25267	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1eb78, 0 },
25268	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1eb7c, 0 },
25269	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1eb80, 0 },
25270	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1eb84, 0 },
25271	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1ef00, 0 },
25272	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1ef04, 0 },
25273	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1ef08, 0 },
25274	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1ef0c, 0 },
25275	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1ef10, 0 },
25276	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1ef14, 0 },
25277	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1ef18, 0 },
25278	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1ef1c, 0 },
25279	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1ef20, 0 },
25280	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1ef24, 0 },
25281	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1ef28, 0 },
25282	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1ef2c, 0 },
25283	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1ef30, 0 },
25284	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1ef34, 0 },
25285	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1ef38, 0 },
25286	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1ef3c, 0 },
25287	{ "MPS_PF_STAT_RX_PF_BYTES_L", 0x1ef40, 0 },
25288	{ "MPS_PF_STAT_RX_PF_BYTES_H", 0x1ef44, 0 },
25289	{ "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1ef48, 0 },
25290	{ "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1ef4c, 0 },
25291	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1ef50, 0 },
25292	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1ef54, 0 },
25293	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1ef58, 0 },
25294	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1ef5c, 0 },
25295	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1ef60, 0 },
25296	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1ef64, 0 },
25297	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1ef68, 0 },
25298	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1ef6c, 0 },
25299	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1ef70, 0 },
25300	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1ef74, 0 },
25301	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1ef78, 0 },
25302	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1ef7c, 0 },
25303	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1ef80, 0 },
25304	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1ef84, 0 },
25305	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1f300, 0 },
25306	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1f304, 0 },
25307	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1f308, 0 },
25308	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1f30c, 0 },
25309	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1f310, 0 },
25310	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1f314, 0 },
25311	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1f318, 0 },
25312	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1f31c, 0 },
25313	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1f320, 0 },
25314	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1f324, 0 },
25315	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1f328, 0 },
25316	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1f32c, 0 },
25317	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1f330, 0 },
25318	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1f334, 0 },
25319	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1f338, 0 },
25320	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1f33c, 0 },
25321	{ "MPS_PF_STAT_RX_PF_BYTES_L", 0x1f340, 0 },
25322	{ "MPS_PF_STAT_RX_PF_BYTES_H", 0x1f344, 0 },
25323	{ "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1f348, 0 },
25324	{ "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1f34c, 0 },
25325	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1f350, 0 },
25326	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1f354, 0 },
25327	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1f358, 0 },
25328	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1f35c, 0 },
25329	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1f360, 0 },
25330	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1f364, 0 },
25331	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1f368, 0 },
25332	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1f36c, 0 },
25333	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1f370, 0 },
25334	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1f374, 0 },
25335	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1f378, 0 },
25336	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1f37c, 0 },
25337	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1f380, 0 },
25338	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1f384, 0 },
25339	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1f700, 0 },
25340	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1f704, 0 },
25341	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1f708, 0 },
25342	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1f70c, 0 },
25343	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1f710, 0 },
25344	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1f714, 0 },
25345	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1f718, 0 },
25346	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1f71c, 0 },
25347	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1f720, 0 },
25348	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1f724, 0 },
25349	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1f728, 0 },
25350	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1f72c, 0 },
25351	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1f730, 0 },
25352	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1f734, 0 },
25353	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1f738, 0 },
25354	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1f73c, 0 },
25355	{ "MPS_PF_STAT_RX_PF_BYTES_L", 0x1f740, 0 },
25356	{ "MPS_PF_STAT_RX_PF_BYTES_H", 0x1f744, 0 },
25357	{ "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1f748, 0 },
25358	{ "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1f74c, 0 },
25359	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1f750, 0 },
25360	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1f754, 0 },
25361	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1f758, 0 },
25362	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1f75c, 0 },
25363	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1f760, 0 },
25364	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1f764, 0 },
25365	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1f768, 0 },
25366	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1f76c, 0 },
25367	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1f770, 0 },
25368	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1f774, 0 },
25369	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1f778, 0 },
25370	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1f77c, 0 },
25371	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1f780, 0 },
25372	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1f784, 0 },
25373	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1fb00, 0 },
25374	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1fb04, 0 },
25375	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1fb08, 0 },
25376	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1fb0c, 0 },
25377	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1fb10, 0 },
25378	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1fb14, 0 },
25379	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1fb18, 0 },
25380	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1fb1c, 0 },
25381	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1fb20, 0 },
25382	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1fb24, 0 },
25383	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1fb28, 0 },
25384	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1fb2c, 0 },
25385	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1fb30, 0 },
25386	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1fb34, 0 },
25387	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1fb38, 0 },
25388	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1fb3c, 0 },
25389	{ "MPS_PF_STAT_RX_PF_BYTES_L", 0x1fb40, 0 },
25390	{ "MPS_PF_STAT_RX_PF_BYTES_H", 0x1fb44, 0 },
25391	{ "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1fb48, 0 },
25392	{ "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1fb4c, 0 },
25393	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1fb50, 0 },
25394	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1fb54, 0 },
25395	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1fb58, 0 },
25396	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1fb5c, 0 },
25397	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1fb60, 0 },
25398	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1fb64, 0 },
25399	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1fb68, 0 },
25400	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1fb6c, 0 },
25401	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1fb70, 0 },
25402	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1fb74, 0 },
25403	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1fb78, 0 },
25404	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1fb7c, 0 },
25405	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1fb80, 0 },
25406	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1fb84, 0 },
25407	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1ff00, 0 },
25408	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1ff04, 0 },
25409	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1ff08, 0 },
25410	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1ff0c, 0 },
25411	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1ff10, 0 },
25412	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1ff14, 0 },
25413	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1ff18, 0 },
25414	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1ff1c, 0 },
25415	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1ff20, 0 },
25416	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1ff24, 0 },
25417	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1ff28, 0 },
25418	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1ff2c, 0 },
25419	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1ff30, 0 },
25420	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1ff34, 0 },
25421	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1ff38, 0 },
25422	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1ff3c, 0 },
25423	{ "MPS_PF_STAT_RX_PF_BYTES_L", 0x1ff40, 0 },
25424	{ "MPS_PF_STAT_RX_PF_BYTES_H", 0x1ff44, 0 },
25425	{ "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1ff48, 0 },
25426	{ "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1ff4c, 0 },
25427	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1ff50, 0 },
25428	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1ff54, 0 },
25429	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1ff58, 0 },
25430	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1ff5c, 0 },
25431	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1ff60, 0 },
25432	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1ff64, 0 },
25433	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1ff68, 0 },
25434	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1ff6c, 0 },
25435	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1ff70, 0 },
25436	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1ff74, 0 },
25437	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1ff78, 0 },
25438	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1ff7c, 0 },
25439	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1ff80, 0 },
25440	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1ff84, 0 },
25441	{ "MPS_PORT_CLS_HASH_SRAM", 0x30200, 0 },
25442		{ "DisEncapOuterRplct", 23, 1 },
25443		{ "DisEncap", 22, 1 },
25444		{ "Valid", 21, 1 },
25445		{ "PortMap", 17, 4 },
25446		{ "MultiListen", 16, 1 },
25447		{ "Priority", 13, 3 },
25448		{ "Replicate", 12, 1 },
25449		{ "PF", 9, 3 },
25450		{ "VF_Valid", 8, 1 },
25451		{ "VF", 0, 8 },
25452	{ "MPS_PORT_CLS_HASH_SRAM", 0x30204, 0 },
25453		{ "DisEncapOuterRplct", 23, 1 },
25454		{ "DisEncap", 22, 1 },
25455		{ "Valid", 21, 1 },
25456		{ "PortMap", 17, 4 },
25457		{ "MultiListen", 16, 1 },
25458		{ "Priority", 13, 3 },
25459		{ "Replicate", 12, 1 },
25460		{ "PF", 9, 3 },
25461		{ "VF_Valid", 8, 1 },
25462		{ "VF", 0, 8 },
25463	{ "MPS_PORT_CLS_HASH_SRAM", 0x30208, 0 },
25464		{ "DisEncapOuterRplct", 23, 1 },
25465		{ "DisEncap", 22, 1 },
25466		{ "Valid", 21, 1 },
25467		{ "PortMap", 17, 4 },
25468		{ "MultiListen", 16, 1 },
25469		{ "Priority", 13, 3 },
25470		{ "Replicate", 12, 1 },
25471		{ "PF", 9, 3 },
25472		{ "VF_Valid", 8, 1 },
25473		{ "VF", 0, 8 },
25474	{ "MPS_PORT_CLS_HASH_SRAM", 0x3020c, 0 },
25475		{ "DisEncapOuterRplct", 23, 1 },
25476		{ "DisEncap", 22, 1 },
25477		{ "Valid", 21, 1 },
25478		{ "PortMap", 17, 4 },
25479		{ "MultiListen", 16, 1 },
25480		{ "Priority", 13, 3 },
25481		{ "Replicate", 12, 1 },
25482		{ "PF", 9, 3 },
25483		{ "VF_Valid", 8, 1 },
25484		{ "VF", 0, 8 },
25485	{ "MPS_PORT_CLS_HASH_SRAM", 0x30210, 0 },
25486		{ "DisEncapOuterRplct", 23, 1 },
25487		{ "DisEncap", 22, 1 },
25488		{ "Valid", 21, 1 },
25489		{ "PortMap", 17, 4 },
25490		{ "MultiListen", 16, 1 },
25491		{ "Priority", 13, 3 },
25492		{ "Replicate", 12, 1 },
25493		{ "PF", 9, 3 },
25494		{ "VF_Valid", 8, 1 },
25495		{ "VF", 0, 8 },
25496	{ "MPS_PORT_CLS_HASH_SRAM", 0x30214, 0 },
25497		{ "DisEncapOuterRplct", 23, 1 },
25498		{ "DisEncap", 22, 1 },
25499		{ "Valid", 21, 1 },
25500		{ "PortMap", 17, 4 },
25501		{ "MultiListen", 16, 1 },
25502		{ "Priority", 13, 3 },
25503		{ "Replicate", 12, 1 },
25504		{ "PF", 9, 3 },
25505		{ "VF_Valid", 8, 1 },
25506		{ "VF", 0, 8 },
25507	{ "MPS_PORT_CLS_HASH_SRAM", 0x30218, 0 },
25508		{ "DisEncapOuterRplct", 23, 1 },
25509		{ "DisEncap", 22, 1 },
25510		{ "Valid", 21, 1 },
25511		{ "PortMap", 17, 4 },
25512		{ "MultiListen", 16, 1 },
25513		{ "Priority", 13, 3 },
25514		{ "Replicate", 12, 1 },
25515		{ "PF", 9, 3 },
25516		{ "VF_Valid", 8, 1 },
25517		{ "VF", 0, 8 },
25518	{ "MPS_PORT_CLS_HASH_SRAM", 0x3021c, 0 },
25519		{ "DisEncapOuterRplct", 23, 1 },
25520		{ "DisEncap", 22, 1 },
25521		{ "Valid", 21, 1 },
25522		{ "PortMap", 17, 4 },
25523		{ "MultiListen", 16, 1 },
25524		{ "Priority", 13, 3 },
25525		{ "Replicate", 12, 1 },
25526		{ "PF", 9, 3 },
25527		{ "VF_Valid", 8, 1 },
25528		{ "VF", 0, 8 },
25529	{ "MPS_PORT_CLS_HASH_SRAM", 0x30220, 0 },
25530		{ "DisEncapOuterRplct", 23, 1 },
25531		{ "DisEncap", 22, 1 },
25532		{ "Valid", 21, 1 },
25533		{ "PortMap", 17, 4 },
25534		{ "MultiListen", 16, 1 },
25535		{ "Priority", 13, 3 },
25536		{ "Replicate", 12, 1 },
25537		{ "PF", 9, 3 },
25538		{ "VF_Valid", 8, 1 },
25539		{ "VF", 0, 8 },
25540	{ "MPS_PORT_CLS_HASH_SRAM", 0x30224, 0 },
25541		{ "DisEncapOuterRplct", 23, 1 },
25542		{ "DisEncap", 22, 1 },
25543		{ "Valid", 21, 1 },
25544		{ "PortMap", 17, 4 },
25545		{ "MultiListen", 16, 1 },
25546		{ "Priority", 13, 3 },
25547		{ "Replicate", 12, 1 },
25548		{ "PF", 9, 3 },
25549		{ "VF_Valid", 8, 1 },
25550		{ "VF", 0, 8 },
25551	{ "MPS_PORT_CLS_HASH_SRAM", 0x30228, 0 },
25552		{ "DisEncapOuterRplct", 23, 1 },
25553		{ "DisEncap", 22, 1 },
25554		{ "Valid", 21, 1 },
25555		{ "PortMap", 17, 4 },
25556		{ "MultiListen", 16, 1 },
25557		{ "Priority", 13, 3 },
25558		{ "Replicate", 12, 1 },
25559		{ "PF", 9, 3 },
25560		{ "VF_Valid", 8, 1 },
25561		{ "VF", 0, 8 },
25562	{ "MPS_PORT_CLS_HASH_SRAM", 0x3022c, 0 },
25563		{ "DisEncapOuterRplct", 23, 1 },
25564		{ "DisEncap", 22, 1 },
25565		{ "Valid", 21, 1 },
25566		{ "PortMap", 17, 4 },
25567		{ "MultiListen", 16, 1 },
25568		{ "Priority", 13, 3 },
25569		{ "Replicate", 12, 1 },
25570		{ "PF", 9, 3 },
25571		{ "VF_Valid", 8, 1 },
25572		{ "VF", 0, 8 },
25573	{ "MPS_PORT_CLS_HASH_SRAM", 0x30230, 0 },
25574		{ "DisEncapOuterRplct", 23, 1 },
25575		{ "DisEncap", 22, 1 },
25576		{ "Valid", 21, 1 },
25577		{ "PortMap", 17, 4 },
25578		{ "MultiListen", 16, 1 },
25579		{ "Priority", 13, 3 },
25580		{ "Replicate", 12, 1 },
25581		{ "PF", 9, 3 },
25582		{ "VF_Valid", 8, 1 },
25583		{ "VF", 0, 8 },
25584	{ "MPS_PORT_CLS_HASH_SRAM", 0x30234, 0 },
25585		{ "DisEncapOuterRplct", 23, 1 },
25586		{ "DisEncap", 22, 1 },
25587		{ "Valid", 21, 1 },
25588		{ "PortMap", 17, 4 },
25589		{ "MultiListen", 16, 1 },
25590		{ "Priority", 13, 3 },
25591		{ "Replicate", 12, 1 },
25592		{ "PF", 9, 3 },
25593		{ "VF_Valid", 8, 1 },
25594		{ "VF", 0, 8 },
25595	{ "MPS_PORT_CLS_HASH_SRAM", 0x30238, 0 },
25596		{ "DisEncapOuterRplct", 23, 1 },
25597		{ "DisEncap", 22, 1 },
25598		{ "Valid", 21, 1 },
25599		{ "PortMap", 17, 4 },
25600		{ "MultiListen", 16, 1 },
25601		{ "Priority", 13, 3 },
25602		{ "Replicate", 12, 1 },
25603		{ "PF", 9, 3 },
25604		{ "VF_Valid", 8, 1 },
25605		{ "VF", 0, 8 },
25606	{ "MPS_PORT_CLS_HASH_SRAM", 0x3023c, 0 },
25607		{ "DisEncapOuterRplct", 23, 1 },
25608		{ "DisEncap", 22, 1 },
25609		{ "Valid", 21, 1 },
25610		{ "PortMap", 17, 4 },
25611		{ "MultiListen", 16, 1 },
25612		{ "Priority", 13, 3 },
25613		{ "Replicate", 12, 1 },
25614		{ "PF", 9, 3 },
25615		{ "VF_Valid", 8, 1 },
25616		{ "VF", 0, 8 },
25617	{ "MPS_PORT_CLS_HASH_SRAM", 0x30240, 0 },
25618		{ "DisEncapOuterRplct", 23, 1 },
25619		{ "DisEncap", 22, 1 },
25620		{ "Valid", 21, 1 },
25621		{ "PortMap", 17, 4 },
25622		{ "MultiListen", 16, 1 },
25623		{ "Priority", 13, 3 },
25624		{ "Replicate", 12, 1 },
25625		{ "PF", 9, 3 },
25626		{ "VF_Valid", 8, 1 },
25627		{ "VF", 0, 8 },
25628	{ "MPS_PORT_CLS_HASH_SRAM", 0x30244, 0 },
25629		{ "DisEncapOuterRplct", 23, 1 },
25630		{ "DisEncap", 22, 1 },
25631		{ "Valid", 21, 1 },
25632		{ "PortMap", 17, 4 },
25633		{ "MultiListen", 16, 1 },
25634		{ "Priority", 13, 3 },
25635		{ "Replicate", 12, 1 },
25636		{ "PF", 9, 3 },
25637		{ "VF_Valid", 8, 1 },
25638		{ "VF", 0, 8 },
25639	{ "MPS_PORT_CLS_HASH_SRAM", 0x30248, 0 },
25640		{ "DisEncapOuterRplct", 23, 1 },
25641		{ "DisEncap", 22, 1 },
25642		{ "Valid", 21, 1 },
25643		{ "PortMap", 17, 4 },
25644		{ "MultiListen", 16, 1 },
25645		{ "Priority", 13, 3 },
25646		{ "Replicate", 12, 1 },
25647		{ "PF", 9, 3 },
25648		{ "VF_Valid", 8, 1 },
25649		{ "VF", 0, 8 },
25650	{ "MPS_PORT_CLS_HASH_SRAM", 0x3024c, 0 },
25651		{ "DisEncapOuterRplct", 23, 1 },
25652		{ "DisEncap", 22, 1 },
25653		{ "Valid", 21, 1 },
25654		{ "PortMap", 17, 4 },
25655		{ "MultiListen", 16, 1 },
25656		{ "Priority", 13, 3 },
25657		{ "Replicate", 12, 1 },
25658		{ "PF", 9, 3 },
25659		{ "VF_Valid", 8, 1 },
25660		{ "VF", 0, 8 },
25661	{ "MPS_PORT_CLS_HASH_SRAM", 0x30250, 0 },
25662		{ "DisEncapOuterRplct", 23, 1 },
25663		{ "DisEncap", 22, 1 },
25664		{ "Valid", 21, 1 },
25665		{ "PortMap", 17, 4 },
25666		{ "MultiListen", 16, 1 },
25667		{ "Priority", 13, 3 },
25668		{ "Replicate", 12, 1 },
25669		{ "PF", 9, 3 },
25670		{ "VF_Valid", 8, 1 },
25671		{ "VF", 0, 8 },
25672	{ "MPS_PORT_CLS_HASH_SRAM", 0x30254, 0 },
25673		{ "DisEncapOuterRplct", 23, 1 },
25674		{ "DisEncap", 22, 1 },
25675		{ "Valid", 21, 1 },
25676		{ "PortMap", 17, 4 },
25677		{ "MultiListen", 16, 1 },
25678		{ "Priority", 13, 3 },
25679		{ "Replicate", 12, 1 },
25680		{ "PF", 9, 3 },
25681		{ "VF_Valid", 8, 1 },
25682		{ "VF", 0, 8 },
25683	{ "MPS_PORT_CLS_HASH_SRAM", 0x30258, 0 },
25684		{ "DisEncapOuterRplct", 23, 1 },
25685		{ "DisEncap", 22, 1 },
25686		{ "Valid", 21, 1 },
25687		{ "PortMap", 17, 4 },
25688		{ "MultiListen", 16, 1 },
25689		{ "Priority", 13, 3 },
25690		{ "Replicate", 12, 1 },
25691		{ "PF", 9, 3 },
25692		{ "VF_Valid", 8, 1 },
25693		{ "VF", 0, 8 },
25694	{ "MPS_PORT_CLS_HASH_SRAM", 0x3025c, 0 },
25695		{ "DisEncapOuterRplct", 23, 1 },
25696		{ "DisEncap", 22, 1 },
25697		{ "Valid", 21, 1 },
25698		{ "PortMap", 17, 4 },
25699		{ "MultiListen", 16, 1 },
25700		{ "Priority", 13, 3 },
25701		{ "Replicate", 12, 1 },
25702		{ "PF", 9, 3 },
25703		{ "VF_Valid", 8, 1 },
25704		{ "VF", 0, 8 },
25705	{ "MPS_PORT_CLS_HASH_SRAM", 0x30260, 0 },
25706		{ "DisEncapOuterRplct", 23, 1 },
25707		{ "DisEncap", 22, 1 },
25708		{ "Valid", 21, 1 },
25709		{ "PortMap", 17, 4 },
25710		{ "MultiListen", 16, 1 },
25711		{ "Priority", 13, 3 },
25712		{ "Replicate", 12, 1 },
25713		{ "PF", 9, 3 },
25714		{ "VF_Valid", 8, 1 },
25715		{ "VF", 0, 8 },
25716	{ "MPS_PORT_CLS_HASH_SRAM", 0x30264, 0 },
25717		{ "DisEncapOuterRplct", 23, 1 },
25718		{ "DisEncap", 22, 1 },
25719		{ "Valid", 21, 1 },
25720		{ "PortMap", 17, 4 },
25721		{ "MultiListen", 16, 1 },
25722		{ "Priority", 13, 3 },
25723		{ "Replicate", 12, 1 },
25724		{ "PF", 9, 3 },
25725		{ "VF_Valid", 8, 1 },
25726		{ "VF", 0, 8 },
25727	{ "MPS_PORT_CLS_HASH_SRAM", 0x30268, 0 },
25728		{ "DisEncapOuterRplct", 23, 1 },
25729		{ "DisEncap", 22, 1 },
25730		{ "Valid", 21, 1 },
25731		{ "PortMap", 17, 4 },
25732		{ "MultiListen", 16, 1 },
25733		{ "Priority", 13, 3 },
25734		{ "Replicate", 12, 1 },
25735		{ "PF", 9, 3 },
25736		{ "VF_Valid", 8, 1 },
25737		{ "VF", 0, 8 },
25738	{ "MPS_PORT_CLS_HASH_SRAM", 0x3026c, 0 },
25739		{ "DisEncapOuterRplct", 23, 1 },
25740		{ "DisEncap", 22, 1 },
25741		{ "Valid", 21, 1 },
25742		{ "PortMap", 17, 4 },
25743		{ "MultiListen", 16, 1 },
25744		{ "Priority", 13, 3 },
25745		{ "Replicate", 12, 1 },
25746		{ "PF", 9, 3 },
25747		{ "VF_Valid", 8, 1 },
25748		{ "VF", 0, 8 },
25749	{ "MPS_PORT_CLS_HASH_SRAM", 0x30270, 0 },
25750		{ "DisEncapOuterRplct", 23, 1 },
25751		{ "DisEncap", 22, 1 },
25752		{ "Valid", 21, 1 },
25753		{ "PortMap", 17, 4 },
25754		{ "MultiListen", 16, 1 },
25755		{ "Priority", 13, 3 },
25756		{ "Replicate", 12, 1 },
25757		{ "PF", 9, 3 },
25758		{ "VF_Valid", 8, 1 },
25759		{ "VF", 0, 8 },
25760	{ "MPS_PORT_CLS_HASH_SRAM", 0x30274, 0 },
25761		{ "DisEncapOuterRplct", 23, 1 },
25762		{ "DisEncap", 22, 1 },
25763		{ "Valid", 21, 1 },
25764		{ "PortMap", 17, 4 },
25765		{ "MultiListen", 16, 1 },
25766		{ "Priority", 13, 3 },
25767		{ "Replicate", 12, 1 },
25768		{ "PF", 9, 3 },
25769		{ "VF_Valid", 8, 1 },
25770		{ "VF", 0, 8 },
25771	{ "MPS_PORT_CLS_HASH_SRAM", 0x30278, 0 },
25772		{ "DisEncapOuterRplct", 23, 1 },
25773		{ "DisEncap", 22, 1 },
25774		{ "Valid", 21, 1 },
25775		{ "PortMap", 17, 4 },
25776		{ "MultiListen", 16, 1 },
25777		{ "Priority", 13, 3 },
25778		{ "Replicate", 12, 1 },
25779		{ "PF", 9, 3 },
25780		{ "VF_Valid", 8, 1 },
25781		{ "VF", 0, 8 },
25782	{ "MPS_PORT_CLS_HASH_SRAM", 0x3027c, 0 },
25783		{ "DisEncapOuterRplct", 23, 1 },
25784		{ "DisEncap", 22, 1 },
25785		{ "Valid", 21, 1 },
25786		{ "PortMap", 17, 4 },
25787		{ "MultiListen", 16, 1 },
25788		{ "Priority", 13, 3 },
25789		{ "Replicate", 12, 1 },
25790		{ "PF", 9, 3 },
25791		{ "VF_Valid", 8, 1 },
25792		{ "VF", 0, 8 },
25793	{ "MPS_PORT_CLS_HASH_SRAM", 0x30280, 0 },
25794		{ "DisEncapOuterRplct", 23, 1 },
25795		{ "DisEncap", 22, 1 },
25796		{ "Valid", 21, 1 },
25797		{ "PortMap", 17, 4 },
25798		{ "MultiListen", 16, 1 },
25799		{ "Priority", 13, 3 },
25800		{ "Replicate", 12, 1 },
25801		{ "PF", 9, 3 },
25802		{ "VF_Valid", 8, 1 },
25803		{ "VF", 0, 8 },
25804	{ "MPS_PORT_CLS_HASH_SRAM", 0x30284, 0 },
25805		{ "DisEncapOuterRplct", 23, 1 },
25806		{ "DisEncap", 22, 1 },
25807		{ "Valid", 21, 1 },
25808		{ "PortMap", 17, 4 },
25809		{ "MultiListen", 16, 1 },
25810		{ "Priority", 13, 3 },
25811		{ "Replicate", 12, 1 },
25812		{ "PF", 9, 3 },
25813		{ "VF_Valid", 8, 1 },
25814		{ "VF", 0, 8 },
25815	{ "MPS_PORT_CLS_HASH_SRAM", 0x30288, 0 },
25816		{ "DisEncapOuterRplct", 23, 1 },
25817		{ "DisEncap", 22, 1 },
25818		{ "Valid", 21, 1 },
25819		{ "PortMap", 17, 4 },
25820		{ "MultiListen", 16, 1 },
25821		{ "Priority", 13, 3 },
25822		{ "Replicate", 12, 1 },
25823		{ "PF", 9, 3 },
25824		{ "VF_Valid", 8, 1 },
25825		{ "VF", 0, 8 },
25826	{ "MPS_PORT_CLS_HASH_SRAM", 0x3028c, 0 },
25827		{ "DisEncapOuterRplct", 23, 1 },
25828		{ "DisEncap", 22, 1 },
25829		{ "Valid", 21, 1 },
25830		{ "PortMap", 17, 4 },
25831		{ "MultiListen", 16, 1 },
25832		{ "Priority", 13, 3 },
25833		{ "Replicate", 12, 1 },
25834		{ "PF", 9, 3 },
25835		{ "VF_Valid", 8, 1 },
25836		{ "VF", 0, 8 },
25837	{ "MPS_PORT_CLS_HASH_SRAM", 0x30290, 0 },
25838		{ "DisEncapOuterRplct", 23, 1 },
25839		{ "DisEncap", 22, 1 },
25840		{ "Valid", 21, 1 },
25841		{ "PortMap", 17, 4 },
25842		{ "MultiListen", 16, 1 },
25843		{ "Priority", 13, 3 },
25844		{ "Replicate", 12, 1 },
25845		{ "PF", 9, 3 },
25846		{ "VF_Valid", 8, 1 },
25847		{ "VF", 0, 8 },
25848	{ "MPS_PORT_CLS_HASH_SRAM", 0x30294, 0 },
25849		{ "DisEncapOuterRplct", 23, 1 },
25850		{ "DisEncap", 22, 1 },
25851		{ "Valid", 21, 1 },
25852		{ "PortMap", 17, 4 },
25853		{ "MultiListen", 16, 1 },
25854		{ "Priority", 13, 3 },
25855		{ "Replicate", 12, 1 },
25856		{ "PF", 9, 3 },
25857		{ "VF_Valid", 8, 1 },
25858		{ "VF", 0, 8 },
25859	{ "MPS_PORT_CLS_HASH_SRAM", 0x30298, 0 },
25860		{ "DisEncapOuterRplct", 23, 1 },
25861		{ "DisEncap", 22, 1 },
25862		{ "Valid", 21, 1 },
25863		{ "PortMap", 17, 4 },
25864		{ "MultiListen", 16, 1 },
25865		{ "Priority", 13, 3 },
25866		{ "Replicate", 12, 1 },
25867		{ "PF", 9, 3 },
25868		{ "VF_Valid", 8, 1 },
25869		{ "VF", 0, 8 },
25870	{ "MPS_PORT_CLS_HASH_SRAM", 0x3029c, 0 },
25871		{ "DisEncapOuterRplct", 23, 1 },
25872		{ "DisEncap", 22, 1 },
25873		{ "Valid", 21, 1 },
25874		{ "PortMap", 17, 4 },
25875		{ "MultiListen", 16, 1 },
25876		{ "Priority", 13, 3 },
25877		{ "Replicate", 12, 1 },
25878		{ "PF", 9, 3 },
25879		{ "VF_Valid", 8, 1 },
25880		{ "VF", 0, 8 },
25881	{ "MPS_PORT_CLS_HASH_SRAM", 0x302a0, 0 },
25882		{ "DisEncapOuterRplct", 23, 1 },
25883		{ "DisEncap", 22, 1 },
25884		{ "Valid", 21, 1 },
25885		{ "PortMap", 17, 4 },
25886		{ "MultiListen", 16, 1 },
25887		{ "Priority", 13, 3 },
25888		{ "Replicate", 12, 1 },
25889		{ "PF", 9, 3 },
25890		{ "VF_Valid", 8, 1 },
25891		{ "VF", 0, 8 },
25892	{ "MPS_PORT_CLS_HASH_SRAM", 0x302a4, 0 },
25893		{ "DisEncapOuterRplct", 23, 1 },
25894		{ "DisEncap", 22, 1 },
25895		{ "Valid", 21, 1 },
25896		{ "PortMap", 17, 4 },
25897		{ "MultiListen", 16, 1 },
25898		{ "Priority", 13, 3 },
25899		{ "Replicate", 12, 1 },
25900		{ "PF", 9, 3 },
25901		{ "VF_Valid", 8, 1 },
25902		{ "VF", 0, 8 },
25903	{ "MPS_PORT_CLS_HASH_SRAM", 0x302a8, 0 },
25904		{ "DisEncapOuterRplct", 23, 1 },
25905		{ "DisEncap", 22, 1 },
25906		{ "Valid", 21, 1 },
25907		{ "PortMap", 17, 4 },
25908		{ "MultiListen", 16, 1 },
25909		{ "Priority", 13, 3 },
25910		{ "Replicate", 12, 1 },
25911		{ "PF", 9, 3 },
25912		{ "VF_Valid", 8, 1 },
25913		{ "VF", 0, 8 },
25914	{ "MPS_PORT_CLS_HASH_SRAM", 0x302ac, 0 },
25915		{ "DisEncapOuterRplct", 23, 1 },
25916		{ "DisEncap", 22, 1 },
25917		{ "Valid", 21, 1 },
25918		{ "PortMap", 17, 4 },
25919		{ "MultiListen", 16, 1 },
25920		{ "Priority", 13, 3 },
25921		{ "Replicate", 12, 1 },
25922		{ "PF", 9, 3 },
25923		{ "VF_Valid", 8, 1 },
25924		{ "VF", 0, 8 },
25925	{ "MPS_PORT_CLS_HASH_SRAM", 0x302b0, 0 },
25926		{ "DisEncapOuterRplct", 23, 1 },
25927		{ "DisEncap", 22, 1 },
25928		{ "Valid", 21, 1 },
25929		{ "PortMap", 17, 4 },
25930		{ "MultiListen", 16, 1 },
25931		{ "Priority", 13, 3 },
25932		{ "Replicate", 12, 1 },
25933		{ "PF", 9, 3 },
25934		{ "VF_Valid", 8, 1 },
25935		{ "VF", 0, 8 },
25936	{ "MPS_PORT_CLS_HASH_SRAM", 0x302b4, 0 },
25937		{ "DisEncapOuterRplct", 23, 1 },
25938		{ "DisEncap", 22, 1 },
25939		{ "Valid", 21, 1 },
25940		{ "PortMap", 17, 4 },
25941		{ "MultiListen", 16, 1 },
25942		{ "Priority", 13, 3 },
25943		{ "Replicate", 12, 1 },
25944		{ "PF", 9, 3 },
25945		{ "VF_Valid", 8, 1 },
25946		{ "VF", 0, 8 },
25947	{ "MPS_PORT_CLS_HASH_SRAM", 0x302b8, 0 },
25948		{ "DisEncapOuterRplct", 23, 1 },
25949		{ "DisEncap", 22, 1 },
25950		{ "Valid", 21, 1 },
25951		{ "PortMap", 17, 4 },
25952		{ "MultiListen", 16, 1 },
25953		{ "Priority", 13, 3 },
25954		{ "Replicate", 12, 1 },
25955		{ "PF", 9, 3 },
25956		{ "VF_Valid", 8, 1 },
25957		{ "VF", 0, 8 },
25958	{ "MPS_PORT_CLS_HASH_SRAM", 0x302bc, 0 },
25959		{ "DisEncapOuterRplct", 23, 1 },
25960		{ "DisEncap", 22, 1 },
25961		{ "Valid", 21, 1 },
25962		{ "PortMap", 17, 4 },
25963		{ "MultiListen", 16, 1 },
25964		{ "Priority", 13, 3 },
25965		{ "Replicate", 12, 1 },
25966		{ "PF", 9, 3 },
25967		{ "VF_Valid", 8, 1 },
25968		{ "VF", 0, 8 },
25969	{ "MPS_PORT_CLS_HASH_SRAM", 0x302c0, 0 },
25970		{ "DisEncapOuterRplct", 23, 1 },
25971		{ "DisEncap", 22, 1 },
25972		{ "Valid", 21, 1 },
25973		{ "PortMap", 17, 4 },
25974		{ "MultiListen", 16, 1 },
25975		{ "Priority", 13, 3 },
25976		{ "Replicate", 12, 1 },
25977		{ "PF", 9, 3 },
25978		{ "VF_Valid", 8, 1 },
25979		{ "VF", 0, 8 },
25980	{ "MPS_PORT_CLS_HASH_SRAM", 0x302c4, 0 },
25981		{ "DisEncapOuterRplct", 23, 1 },
25982		{ "DisEncap", 22, 1 },
25983		{ "Valid", 21, 1 },
25984		{ "PortMap", 17, 4 },
25985		{ "MultiListen", 16, 1 },
25986		{ "Priority", 13, 3 },
25987		{ "Replicate", 12, 1 },
25988		{ "PF", 9, 3 },
25989		{ "VF_Valid", 8, 1 },
25990		{ "VF", 0, 8 },
25991	{ "MPS_PORT_CLS_HASH_SRAM", 0x302c8, 0 },
25992		{ "DisEncapOuterRplct", 23, 1 },
25993		{ "DisEncap", 22, 1 },
25994		{ "Valid", 21, 1 },
25995		{ "PortMap", 17, 4 },
25996		{ "MultiListen", 16, 1 },
25997		{ "Priority", 13, 3 },
25998		{ "Replicate", 12, 1 },
25999		{ "PF", 9, 3 },
26000		{ "VF_Valid", 8, 1 },
26001		{ "VF", 0, 8 },
26002	{ "MPS_PORT_CLS_HASH_SRAM", 0x302cc, 0 },
26003		{ "DisEncapOuterRplct", 23, 1 },
26004		{ "DisEncap", 22, 1 },
26005		{ "Valid", 21, 1 },
26006		{ "PortMap", 17, 4 },
26007		{ "MultiListen", 16, 1 },
26008		{ "Priority", 13, 3 },
26009		{ "Replicate", 12, 1 },
26010		{ "PF", 9, 3 },
26011		{ "VF_Valid", 8, 1 },
26012		{ "VF", 0, 8 },
26013	{ "MPS_PORT_CLS_HASH_SRAM", 0x302d0, 0 },
26014		{ "DisEncapOuterRplct", 23, 1 },
26015		{ "DisEncap", 22, 1 },
26016		{ "Valid", 21, 1 },
26017		{ "PortMap", 17, 4 },
26018		{ "MultiListen", 16, 1 },
26019		{ "Priority", 13, 3 },
26020		{ "Replicate", 12, 1 },
26021		{ "PF", 9, 3 },
26022		{ "VF_Valid", 8, 1 },
26023		{ "VF", 0, 8 },
26024	{ "MPS_PORT_CLS_HASH_SRAM", 0x302d4, 0 },
26025		{ "DisEncapOuterRplct", 23, 1 },
26026		{ "DisEncap", 22, 1 },
26027		{ "Valid", 21, 1 },
26028		{ "PortMap", 17, 4 },
26029		{ "MultiListen", 16, 1 },
26030		{ "Priority", 13, 3 },
26031		{ "Replicate", 12, 1 },
26032		{ "PF", 9, 3 },
26033		{ "VF_Valid", 8, 1 },
26034		{ "VF", 0, 8 },
26035	{ "MPS_PORT_CLS_HASH_SRAM", 0x302d8, 0 },
26036		{ "DisEncapOuterRplct", 23, 1 },
26037		{ "DisEncap", 22, 1 },
26038		{ "Valid", 21, 1 },
26039		{ "PortMap", 17, 4 },
26040		{ "MultiListen", 16, 1 },
26041		{ "Priority", 13, 3 },
26042		{ "Replicate", 12, 1 },
26043		{ "PF", 9, 3 },
26044		{ "VF_Valid", 8, 1 },
26045		{ "VF", 0, 8 },
26046	{ "MPS_PORT_CLS_HASH_SRAM", 0x302dc, 0 },
26047		{ "DisEncapOuterRplct", 23, 1 },
26048		{ "DisEncap", 22, 1 },
26049		{ "Valid", 21, 1 },
26050		{ "PortMap", 17, 4 },
26051		{ "MultiListen", 16, 1 },
26052		{ "Priority", 13, 3 },
26053		{ "Replicate", 12, 1 },
26054		{ "PF", 9, 3 },
26055		{ "VF_Valid", 8, 1 },
26056		{ "VF", 0, 8 },
26057	{ "MPS_PORT_CLS_HASH_SRAM", 0x302e0, 0 },
26058		{ "DisEncapOuterRplct", 23, 1 },
26059		{ "DisEncap", 22, 1 },
26060		{ "Valid", 21, 1 },
26061		{ "PortMap", 17, 4 },
26062		{ "MultiListen", 16, 1 },
26063		{ "Priority", 13, 3 },
26064		{ "Replicate", 12, 1 },
26065		{ "PF", 9, 3 },
26066		{ "VF_Valid", 8, 1 },
26067		{ "VF", 0, 8 },
26068	{ "MPS_PORT_CLS_HASH_SRAM", 0x302e4, 0 },
26069		{ "DisEncapOuterRplct", 23, 1 },
26070		{ "DisEncap", 22, 1 },
26071		{ "Valid", 21, 1 },
26072		{ "PortMap", 17, 4 },
26073		{ "MultiListen", 16, 1 },
26074		{ "Priority", 13, 3 },
26075		{ "Replicate", 12, 1 },
26076		{ "PF", 9, 3 },
26077		{ "VF_Valid", 8, 1 },
26078		{ "VF", 0, 8 },
26079	{ "MPS_PORT_CLS_HASH_SRAM", 0x302e8, 0 },
26080		{ "DisEncapOuterRplct", 23, 1 },
26081		{ "DisEncap", 22, 1 },
26082		{ "Valid", 21, 1 },
26083		{ "PortMap", 17, 4 },
26084		{ "MultiListen", 16, 1 },
26085		{ "Priority", 13, 3 },
26086		{ "Replicate", 12, 1 },
26087		{ "PF", 9, 3 },
26088		{ "VF_Valid", 8, 1 },
26089		{ "VF", 0, 8 },
26090	{ "MPS_PORT_CLS_HASH_SRAM", 0x302ec, 0 },
26091		{ "DisEncapOuterRplct", 23, 1 },
26092		{ "DisEncap", 22, 1 },
26093		{ "Valid", 21, 1 },
26094		{ "PortMap", 17, 4 },
26095		{ "MultiListen", 16, 1 },
26096		{ "Priority", 13, 3 },
26097		{ "Replicate", 12, 1 },
26098		{ "PF", 9, 3 },
26099		{ "VF_Valid", 8, 1 },
26100		{ "VF", 0, 8 },
26101	{ "MPS_PORT_CLS_HASH_SRAM", 0x302f0, 0 },
26102		{ "DisEncapOuterRplct", 23, 1 },
26103		{ "DisEncap", 22, 1 },
26104		{ "Valid", 21, 1 },
26105		{ "PortMap", 17, 4 },
26106		{ "MultiListen", 16, 1 },
26107		{ "Priority", 13, 3 },
26108		{ "Replicate", 12, 1 },
26109		{ "PF", 9, 3 },
26110		{ "VF_Valid", 8, 1 },
26111		{ "VF", 0, 8 },
26112	{ "MPS_PORT_CLS_HASH_SRAM", 0x302f4, 0 },
26113		{ "DisEncapOuterRplct", 23, 1 },
26114		{ "DisEncap", 22, 1 },
26115		{ "Valid", 21, 1 },
26116		{ "PortMap", 17, 4 },
26117		{ "MultiListen", 16, 1 },
26118		{ "Priority", 13, 3 },
26119		{ "Replicate", 12, 1 },
26120		{ "PF", 9, 3 },
26121		{ "VF_Valid", 8, 1 },
26122		{ "VF", 0, 8 },
26123	{ "MPS_PORT_CLS_HASH_SRAM", 0x302f8, 0 },
26124		{ "DisEncapOuterRplct", 23, 1 },
26125		{ "DisEncap", 22, 1 },
26126		{ "Valid", 21, 1 },
26127		{ "PortMap", 17, 4 },
26128		{ "MultiListen", 16, 1 },
26129		{ "Priority", 13, 3 },
26130		{ "Replicate", 12, 1 },
26131		{ "PF", 9, 3 },
26132		{ "VF_Valid", 8, 1 },
26133		{ "VF", 0, 8 },
26134	{ "MPS_PORT_CLS_HASH_SRAM", 0x302fc, 0 },
26135		{ "DisEncapOuterRplct", 23, 1 },
26136		{ "DisEncap", 22, 1 },
26137		{ "Valid", 21, 1 },
26138		{ "PortMap", 17, 4 },
26139		{ "MultiListen", 16, 1 },
26140		{ "Priority", 13, 3 },
26141		{ "Replicate", 12, 1 },
26142		{ "PF", 9, 3 },
26143		{ "VF_Valid", 8, 1 },
26144		{ "VF", 0, 8 },
26145	{ "MPS_PORT_CLS_HASH_SRAM", 0x30300, 0 },
26146		{ "DisEncapOuterRplct", 23, 1 },
26147		{ "DisEncap", 22, 1 },
26148		{ "Valid", 21, 1 },
26149		{ "PortMap", 17, 4 },
26150		{ "MultiListen", 16, 1 },
26151		{ "Priority", 13, 3 },
26152		{ "Replicate", 12, 1 },
26153		{ "PF", 9, 3 },
26154		{ "VF_Valid", 8, 1 },
26155		{ "VF", 0, 8 },
26156	{ "MPS_PORT_CLS_HASH_SRAM", 0x34200, 0 },
26157		{ "DisEncapOuterRplct", 23, 1 },
26158		{ "DisEncap", 22, 1 },
26159		{ "Valid", 21, 1 },
26160		{ "PortMap", 17, 4 },
26161		{ "MultiListen", 16, 1 },
26162		{ "Priority", 13, 3 },
26163		{ "Replicate", 12, 1 },
26164		{ "PF", 9, 3 },
26165		{ "VF_Valid", 8, 1 },
26166		{ "VF", 0, 8 },
26167	{ "MPS_PORT_CLS_HASH_SRAM", 0x34204, 0 },
26168		{ "DisEncapOuterRplct", 23, 1 },
26169		{ "DisEncap", 22, 1 },
26170		{ "Valid", 21, 1 },
26171		{ "PortMap", 17, 4 },
26172		{ "MultiListen", 16, 1 },
26173		{ "Priority", 13, 3 },
26174		{ "Replicate", 12, 1 },
26175		{ "PF", 9, 3 },
26176		{ "VF_Valid", 8, 1 },
26177		{ "VF", 0, 8 },
26178	{ "MPS_PORT_CLS_HASH_SRAM", 0x34208, 0 },
26179		{ "DisEncapOuterRplct", 23, 1 },
26180		{ "DisEncap", 22, 1 },
26181		{ "Valid", 21, 1 },
26182		{ "PortMap", 17, 4 },
26183		{ "MultiListen", 16, 1 },
26184		{ "Priority", 13, 3 },
26185		{ "Replicate", 12, 1 },
26186		{ "PF", 9, 3 },
26187		{ "VF_Valid", 8, 1 },
26188		{ "VF", 0, 8 },
26189	{ "MPS_PORT_CLS_HASH_SRAM", 0x3420c, 0 },
26190		{ "DisEncapOuterRplct", 23, 1 },
26191		{ "DisEncap", 22, 1 },
26192		{ "Valid", 21, 1 },
26193		{ "PortMap", 17, 4 },
26194		{ "MultiListen", 16, 1 },
26195		{ "Priority", 13, 3 },
26196		{ "Replicate", 12, 1 },
26197		{ "PF", 9, 3 },
26198		{ "VF_Valid", 8, 1 },
26199		{ "VF", 0, 8 },
26200	{ "MPS_PORT_CLS_HASH_SRAM", 0x34210, 0 },
26201		{ "DisEncapOuterRplct", 23, 1 },
26202		{ "DisEncap", 22, 1 },
26203		{ "Valid", 21, 1 },
26204		{ "PortMap", 17, 4 },
26205		{ "MultiListen", 16, 1 },
26206		{ "Priority", 13, 3 },
26207		{ "Replicate", 12, 1 },
26208		{ "PF", 9, 3 },
26209		{ "VF_Valid", 8, 1 },
26210		{ "VF", 0, 8 },
26211	{ "MPS_PORT_CLS_HASH_SRAM", 0x34214, 0 },
26212		{ "DisEncapOuterRplct", 23, 1 },
26213		{ "DisEncap", 22, 1 },
26214		{ "Valid", 21, 1 },
26215		{ "PortMap", 17, 4 },
26216		{ "MultiListen", 16, 1 },
26217		{ "Priority", 13, 3 },
26218		{ "Replicate", 12, 1 },
26219		{ "PF", 9, 3 },
26220		{ "VF_Valid", 8, 1 },
26221		{ "VF", 0, 8 },
26222	{ "MPS_PORT_CLS_HASH_SRAM", 0x34218, 0 },
26223		{ "DisEncapOuterRplct", 23, 1 },
26224		{ "DisEncap", 22, 1 },
26225		{ "Valid", 21, 1 },
26226		{ "PortMap", 17, 4 },
26227		{ "MultiListen", 16, 1 },
26228		{ "Priority", 13, 3 },
26229		{ "Replicate", 12, 1 },
26230		{ "PF", 9, 3 },
26231		{ "VF_Valid", 8, 1 },
26232		{ "VF", 0, 8 },
26233	{ "MPS_PORT_CLS_HASH_SRAM", 0x3421c, 0 },
26234		{ "DisEncapOuterRplct", 23, 1 },
26235		{ "DisEncap", 22, 1 },
26236		{ "Valid", 21, 1 },
26237		{ "PortMap", 17, 4 },
26238		{ "MultiListen", 16, 1 },
26239		{ "Priority", 13, 3 },
26240		{ "Replicate", 12, 1 },
26241		{ "PF", 9, 3 },
26242		{ "VF_Valid", 8, 1 },
26243		{ "VF", 0, 8 },
26244	{ "MPS_PORT_CLS_HASH_SRAM", 0x34220, 0 },
26245		{ "DisEncapOuterRplct", 23, 1 },
26246		{ "DisEncap", 22, 1 },
26247		{ "Valid", 21, 1 },
26248		{ "PortMap", 17, 4 },
26249		{ "MultiListen", 16, 1 },
26250		{ "Priority", 13, 3 },
26251		{ "Replicate", 12, 1 },
26252		{ "PF", 9, 3 },
26253		{ "VF_Valid", 8, 1 },
26254		{ "VF", 0, 8 },
26255	{ "MPS_PORT_CLS_HASH_SRAM", 0x34224, 0 },
26256		{ "DisEncapOuterRplct", 23, 1 },
26257		{ "DisEncap", 22, 1 },
26258		{ "Valid", 21, 1 },
26259		{ "PortMap", 17, 4 },
26260		{ "MultiListen", 16, 1 },
26261		{ "Priority", 13, 3 },
26262		{ "Replicate", 12, 1 },
26263		{ "PF", 9, 3 },
26264		{ "VF_Valid", 8, 1 },
26265		{ "VF", 0, 8 },
26266	{ "MPS_PORT_CLS_HASH_SRAM", 0x34228, 0 },
26267		{ "DisEncapOuterRplct", 23, 1 },
26268		{ "DisEncap", 22, 1 },
26269		{ "Valid", 21, 1 },
26270		{ "PortMap", 17, 4 },
26271		{ "MultiListen", 16, 1 },
26272		{ "Priority", 13, 3 },
26273		{ "Replicate", 12, 1 },
26274		{ "PF", 9, 3 },
26275		{ "VF_Valid", 8, 1 },
26276		{ "VF", 0, 8 },
26277	{ "MPS_PORT_CLS_HASH_SRAM", 0x3422c, 0 },
26278		{ "DisEncapOuterRplct", 23, 1 },
26279		{ "DisEncap", 22, 1 },
26280		{ "Valid", 21, 1 },
26281		{ "PortMap", 17, 4 },
26282		{ "MultiListen", 16, 1 },
26283		{ "Priority", 13, 3 },
26284		{ "Replicate", 12, 1 },
26285		{ "PF", 9, 3 },
26286		{ "VF_Valid", 8, 1 },
26287		{ "VF", 0, 8 },
26288	{ "MPS_PORT_CLS_HASH_SRAM", 0x34230, 0 },
26289		{ "DisEncapOuterRplct", 23, 1 },
26290		{ "DisEncap", 22, 1 },
26291		{ "Valid", 21, 1 },
26292		{ "PortMap", 17, 4 },
26293		{ "MultiListen", 16, 1 },
26294		{ "Priority", 13, 3 },
26295		{ "Replicate", 12, 1 },
26296		{ "PF", 9, 3 },
26297		{ "VF_Valid", 8, 1 },
26298		{ "VF", 0, 8 },
26299	{ "MPS_PORT_CLS_HASH_SRAM", 0x34234, 0 },
26300		{ "DisEncapOuterRplct", 23, 1 },
26301		{ "DisEncap", 22, 1 },
26302		{ "Valid", 21, 1 },
26303		{ "PortMap", 17, 4 },
26304		{ "MultiListen", 16, 1 },
26305		{ "Priority", 13, 3 },
26306		{ "Replicate", 12, 1 },
26307		{ "PF", 9, 3 },
26308		{ "VF_Valid", 8, 1 },
26309		{ "VF", 0, 8 },
26310	{ "MPS_PORT_CLS_HASH_SRAM", 0x34238, 0 },
26311		{ "DisEncapOuterRplct", 23, 1 },
26312		{ "DisEncap", 22, 1 },
26313		{ "Valid", 21, 1 },
26314		{ "PortMap", 17, 4 },
26315		{ "MultiListen", 16, 1 },
26316		{ "Priority", 13, 3 },
26317		{ "Replicate", 12, 1 },
26318		{ "PF", 9, 3 },
26319		{ "VF_Valid", 8, 1 },
26320		{ "VF", 0, 8 },
26321	{ "MPS_PORT_CLS_HASH_SRAM", 0x3423c, 0 },
26322		{ "DisEncapOuterRplct", 23, 1 },
26323		{ "DisEncap", 22, 1 },
26324		{ "Valid", 21, 1 },
26325		{ "PortMap", 17, 4 },
26326		{ "MultiListen", 16, 1 },
26327		{ "Priority", 13, 3 },
26328		{ "Replicate", 12, 1 },
26329		{ "PF", 9, 3 },
26330		{ "VF_Valid", 8, 1 },
26331		{ "VF", 0, 8 },
26332	{ "MPS_PORT_CLS_HASH_SRAM", 0x34240, 0 },
26333		{ "DisEncapOuterRplct", 23, 1 },
26334		{ "DisEncap", 22, 1 },
26335		{ "Valid", 21, 1 },
26336		{ "PortMap", 17, 4 },
26337		{ "MultiListen", 16, 1 },
26338		{ "Priority", 13, 3 },
26339		{ "Replicate", 12, 1 },
26340		{ "PF", 9, 3 },
26341		{ "VF_Valid", 8, 1 },
26342		{ "VF", 0, 8 },
26343	{ "MPS_PORT_CLS_HASH_SRAM", 0x34244, 0 },
26344		{ "DisEncapOuterRplct", 23, 1 },
26345		{ "DisEncap", 22, 1 },
26346		{ "Valid", 21, 1 },
26347		{ "PortMap", 17, 4 },
26348		{ "MultiListen", 16, 1 },
26349		{ "Priority", 13, 3 },
26350		{ "Replicate", 12, 1 },
26351		{ "PF", 9, 3 },
26352		{ "VF_Valid", 8, 1 },
26353		{ "VF", 0, 8 },
26354	{ "MPS_PORT_CLS_HASH_SRAM", 0x34248, 0 },
26355		{ "DisEncapOuterRplct", 23, 1 },
26356		{ "DisEncap", 22, 1 },
26357		{ "Valid", 21, 1 },
26358		{ "PortMap", 17, 4 },
26359		{ "MultiListen", 16, 1 },
26360		{ "Priority", 13, 3 },
26361		{ "Replicate", 12, 1 },
26362		{ "PF", 9, 3 },
26363		{ "VF_Valid", 8, 1 },
26364		{ "VF", 0, 8 },
26365	{ "MPS_PORT_CLS_HASH_SRAM", 0x3424c, 0 },
26366		{ "DisEncapOuterRplct", 23, 1 },
26367		{ "DisEncap", 22, 1 },
26368		{ "Valid", 21, 1 },
26369		{ "PortMap", 17, 4 },
26370		{ "MultiListen", 16, 1 },
26371		{ "Priority", 13, 3 },
26372		{ "Replicate", 12, 1 },
26373		{ "PF", 9, 3 },
26374		{ "VF_Valid", 8, 1 },
26375		{ "VF", 0, 8 },
26376	{ "MPS_PORT_CLS_HASH_SRAM", 0x34250, 0 },
26377		{ "DisEncapOuterRplct", 23, 1 },
26378		{ "DisEncap", 22, 1 },
26379		{ "Valid", 21, 1 },
26380		{ "PortMap", 17, 4 },
26381		{ "MultiListen", 16, 1 },
26382		{ "Priority", 13, 3 },
26383		{ "Replicate", 12, 1 },
26384		{ "PF", 9, 3 },
26385		{ "VF_Valid", 8, 1 },
26386		{ "VF", 0, 8 },
26387	{ "MPS_PORT_CLS_HASH_SRAM", 0x34254, 0 },
26388		{ "DisEncapOuterRplct", 23, 1 },
26389		{ "DisEncap", 22, 1 },
26390		{ "Valid", 21, 1 },
26391		{ "PortMap", 17, 4 },
26392		{ "MultiListen", 16, 1 },
26393		{ "Priority", 13, 3 },
26394		{ "Replicate", 12, 1 },
26395		{ "PF", 9, 3 },
26396		{ "VF_Valid", 8, 1 },
26397		{ "VF", 0, 8 },
26398	{ "MPS_PORT_CLS_HASH_SRAM", 0x34258, 0 },
26399		{ "DisEncapOuterRplct", 23, 1 },
26400		{ "DisEncap", 22, 1 },
26401		{ "Valid", 21, 1 },
26402		{ "PortMap", 17, 4 },
26403		{ "MultiListen", 16, 1 },
26404		{ "Priority", 13, 3 },
26405		{ "Replicate", 12, 1 },
26406		{ "PF", 9, 3 },
26407		{ "VF_Valid", 8, 1 },
26408		{ "VF", 0, 8 },
26409	{ "MPS_PORT_CLS_HASH_SRAM", 0x3425c, 0 },
26410		{ "DisEncapOuterRplct", 23, 1 },
26411		{ "DisEncap", 22, 1 },
26412		{ "Valid", 21, 1 },
26413		{ "PortMap", 17, 4 },
26414		{ "MultiListen", 16, 1 },
26415		{ "Priority", 13, 3 },
26416		{ "Replicate", 12, 1 },
26417		{ "PF", 9, 3 },
26418		{ "VF_Valid", 8, 1 },
26419		{ "VF", 0, 8 },
26420	{ "MPS_PORT_CLS_HASH_SRAM", 0x34260, 0 },
26421		{ "DisEncapOuterRplct", 23, 1 },
26422		{ "DisEncap", 22, 1 },
26423		{ "Valid", 21, 1 },
26424		{ "PortMap", 17, 4 },
26425		{ "MultiListen", 16, 1 },
26426		{ "Priority", 13, 3 },
26427		{ "Replicate", 12, 1 },
26428		{ "PF", 9, 3 },
26429		{ "VF_Valid", 8, 1 },
26430		{ "VF", 0, 8 },
26431	{ "MPS_PORT_CLS_HASH_SRAM", 0x34264, 0 },
26432		{ "DisEncapOuterRplct", 23, 1 },
26433		{ "DisEncap", 22, 1 },
26434		{ "Valid", 21, 1 },
26435		{ "PortMap", 17, 4 },
26436		{ "MultiListen", 16, 1 },
26437		{ "Priority", 13, 3 },
26438		{ "Replicate", 12, 1 },
26439		{ "PF", 9, 3 },
26440		{ "VF_Valid", 8, 1 },
26441		{ "VF", 0, 8 },
26442	{ "MPS_PORT_CLS_HASH_SRAM", 0x34268, 0 },
26443		{ "DisEncapOuterRplct", 23, 1 },
26444		{ "DisEncap", 22, 1 },
26445		{ "Valid", 21, 1 },
26446		{ "PortMap", 17, 4 },
26447		{ "MultiListen", 16, 1 },
26448		{ "Priority", 13, 3 },
26449		{ "Replicate", 12, 1 },
26450		{ "PF", 9, 3 },
26451		{ "VF_Valid", 8, 1 },
26452		{ "VF", 0, 8 },
26453	{ "MPS_PORT_CLS_HASH_SRAM", 0x3426c, 0 },
26454		{ "DisEncapOuterRplct", 23, 1 },
26455		{ "DisEncap", 22, 1 },
26456		{ "Valid", 21, 1 },
26457		{ "PortMap", 17, 4 },
26458		{ "MultiListen", 16, 1 },
26459		{ "Priority", 13, 3 },
26460		{ "Replicate", 12, 1 },
26461		{ "PF", 9, 3 },
26462		{ "VF_Valid", 8, 1 },
26463		{ "VF", 0, 8 },
26464	{ "MPS_PORT_CLS_HASH_SRAM", 0x34270, 0 },
26465		{ "DisEncapOuterRplct", 23, 1 },
26466		{ "DisEncap", 22, 1 },
26467		{ "Valid", 21, 1 },
26468		{ "PortMap", 17, 4 },
26469		{ "MultiListen", 16, 1 },
26470		{ "Priority", 13, 3 },
26471		{ "Replicate", 12, 1 },
26472		{ "PF", 9, 3 },
26473		{ "VF_Valid", 8, 1 },
26474		{ "VF", 0, 8 },
26475	{ "MPS_PORT_CLS_HASH_SRAM", 0x34274, 0 },
26476		{ "DisEncapOuterRplct", 23, 1 },
26477		{ "DisEncap", 22, 1 },
26478		{ "Valid", 21, 1 },
26479		{ "PortMap", 17, 4 },
26480		{ "MultiListen", 16, 1 },
26481		{ "Priority", 13, 3 },
26482		{ "Replicate", 12, 1 },
26483		{ "PF", 9, 3 },
26484		{ "VF_Valid", 8, 1 },
26485		{ "VF", 0, 8 },
26486	{ "MPS_PORT_CLS_HASH_SRAM", 0x34278, 0 },
26487		{ "DisEncapOuterRplct", 23, 1 },
26488		{ "DisEncap", 22, 1 },
26489		{ "Valid", 21, 1 },
26490		{ "PortMap", 17, 4 },
26491		{ "MultiListen", 16, 1 },
26492		{ "Priority", 13, 3 },
26493		{ "Replicate", 12, 1 },
26494		{ "PF", 9, 3 },
26495		{ "VF_Valid", 8, 1 },
26496		{ "VF", 0, 8 },
26497	{ "MPS_PORT_CLS_HASH_SRAM", 0x3427c, 0 },
26498		{ "DisEncapOuterRplct", 23, 1 },
26499		{ "DisEncap", 22, 1 },
26500		{ "Valid", 21, 1 },
26501		{ "PortMap", 17, 4 },
26502		{ "MultiListen", 16, 1 },
26503		{ "Priority", 13, 3 },
26504		{ "Replicate", 12, 1 },
26505		{ "PF", 9, 3 },
26506		{ "VF_Valid", 8, 1 },
26507		{ "VF", 0, 8 },
26508	{ "MPS_PORT_CLS_HASH_SRAM", 0x34280, 0 },
26509		{ "DisEncapOuterRplct", 23, 1 },
26510		{ "DisEncap", 22, 1 },
26511		{ "Valid", 21, 1 },
26512		{ "PortMap", 17, 4 },
26513		{ "MultiListen", 16, 1 },
26514		{ "Priority", 13, 3 },
26515		{ "Replicate", 12, 1 },
26516		{ "PF", 9, 3 },
26517		{ "VF_Valid", 8, 1 },
26518		{ "VF", 0, 8 },
26519	{ "MPS_PORT_CLS_HASH_SRAM", 0x34284, 0 },
26520		{ "DisEncapOuterRplct", 23, 1 },
26521		{ "DisEncap", 22, 1 },
26522		{ "Valid", 21, 1 },
26523		{ "PortMap", 17, 4 },
26524		{ "MultiListen", 16, 1 },
26525		{ "Priority", 13, 3 },
26526		{ "Replicate", 12, 1 },
26527		{ "PF", 9, 3 },
26528		{ "VF_Valid", 8, 1 },
26529		{ "VF", 0, 8 },
26530	{ "MPS_PORT_CLS_HASH_SRAM", 0x34288, 0 },
26531		{ "DisEncapOuterRplct", 23, 1 },
26532		{ "DisEncap", 22, 1 },
26533		{ "Valid", 21, 1 },
26534		{ "PortMap", 17, 4 },
26535		{ "MultiListen", 16, 1 },
26536		{ "Priority", 13, 3 },
26537		{ "Replicate", 12, 1 },
26538		{ "PF", 9, 3 },
26539		{ "VF_Valid", 8, 1 },
26540		{ "VF", 0, 8 },
26541	{ "MPS_PORT_CLS_HASH_SRAM", 0x3428c, 0 },
26542		{ "DisEncapOuterRplct", 23, 1 },
26543		{ "DisEncap", 22, 1 },
26544		{ "Valid", 21, 1 },
26545		{ "PortMap", 17, 4 },
26546		{ "MultiListen", 16, 1 },
26547		{ "Priority", 13, 3 },
26548		{ "Replicate", 12, 1 },
26549		{ "PF", 9, 3 },
26550		{ "VF_Valid", 8, 1 },
26551		{ "VF", 0, 8 },
26552	{ "MPS_PORT_CLS_HASH_SRAM", 0x34290, 0 },
26553		{ "DisEncapOuterRplct", 23, 1 },
26554		{ "DisEncap", 22, 1 },
26555		{ "Valid", 21, 1 },
26556		{ "PortMap", 17, 4 },
26557		{ "MultiListen", 16, 1 },
26558		{ "Priority", 13, 3 },
26559		{ "Replicate", 12, 1 },
26560		{ "PF", 9, 3 },
26561		{ "VF_Valid", 8, 1 },
26562		{ "VF", 0, 8 },
26563	{ "MPS_PORT_CLS_HASH_SRAM", 0x34294, 0 },
26564		{ "DisEncapOuterRplct", 23, 1 },
26565		{ "DisEncap", 22, 1 },
26566		{ "Valid", 21, 1 },
26567		{ "PortMap", 17, 4 },
26568		{ "MultiListen", 16, 1 },
26569		{ "Priority", 13, 3 },
26570		{ "Replicate", 12, 1 },
26571		{ "PF", 9, 3 },
26572		{ "VF_Valid", 8, 1 },
26573		{ "VF", 0, 8 },
26574	{ "MPS_PORT_CLS_HASH_SRAM", 0x34298, 0 },
26575		{ "DisEncapOuterRplct", 23, 1 },
26576		{ "DisEncap", 22, 1 },
26577		{ "Valid", 21, 1 },
26578		{ "PortMap", 17, 4 },
26579		{ "MultiListen", 16, 1 },
26580		{ "Priority", 13, 3 },
26581		{ "Replicate", 12, 1 },
26582		{ "PF", 9, 3 },
26583		{ "VF_Valid", 8, 1 },
26584		{ "VF", 0, 8 },
26585	{ "MPS_PORT_CLS_HASH_SRAM", 0x3429c, 0 },
26586		{ "DisEncapOuterRplct", 23, 1 },
26587		{ "DisEncap", 22, 1 },
26588		{ "Valid", 21, 1 },
26589		{ "PortMap", 17, 4 },
26590		{ "MultiListen", 16, 1 },
26591		{ "Priority", 13, 3 },
26592		{ "Replicate", 12, 1 },
26593		{ "PF", 9, 3 },
26594		{ "VF_Valid", 8, 1 },
26595		{ "VF", 0, 8 },
26596	{ "MPS_PORT_CLS_HASH_SRAM", 0x342a0, 0 },
26597		{ "DisEncapOuterRplct", 23, 1 },
26598		{ "DisEncap", 22, 1 },
26599		{ "Valid", 21, 1 },
26600		{ "PortMap", 17, 4 },
26601		{ "MultiListen", 16, 1 },
26602		{ "Priority", 13, 3 },
26603		{ "Replicate", 12, 1 },
26604		{ "PF", 9, 3 },
26605		{ "VF_Valid", 8, 1 },
26606		{ "VF", 0, 8 },
26607	{ "MPS_PORT_CLS_HASH_SRAM", 0x342a4, 0 },
26608		{ "DisEncapOuterRplct", 23, 1 },
26609		{ "DisEncap", 22, 1 },
26610		{ "Valid", 21, 1 },
26611		{ "PortMap", 17, 4 },
26612		{ "MultiListen", 16, 1 },
26613		{ "Priority", 13, 3 },
26614		{ "Replicate", 12, 1 },
26615		{ "PF", 9, 3 },
26616		{ "VF_Valid", 8, 1 },
26617		{ "VF", 0, 8 },
26618	{ "MPS_PORT_CLS_HASH_SRAM", 0x342a8, 0 },
26619		{ "DisEncapOuterRplct", 23, 1 },
26620		{ "DisEncap", 22, 1 },
26621		{ "Valid", 21, 1 },
26622		{ "PortMap", 17, 4 },
26623		{ "MultiListen", 16, 1 },
26624		{ "Priority", 13, 3 },
26625		{ "Replicate", 12, 1 },
26626		{ "PF", 9, 3 },
26627		{ "VF_Valid", 8, 1 },
26628		{ "VF", 0, 8 },
26629	{ "MPS_PORT_CLS_HASH_SRAM", 0x342ac, 0 },
26630		{ "DisEncapOuterRplct", 23, 1 },
26631		{ "DisEncap", 22, 1 },
26632		{ "Valid", 21, 1 },
26633		{ "PortMap", 17, 4 },
26634		{ "MultiListen", 16, 1 },
26635		{ "Priority", 13, 3 },
26636		{ "Replicate", 12, 1 },
26637		{ "PF", 9, 3 },
26638		{ "VF_Valid", 8, 1 },
26639		{ "VF", 0, 8 },
26640	{ "MPS_PORT_CLS_HASH_SRAM", 0x342b0, 0 },
26641		{ "DisEncapOuterRplct", 23, 1 },
26642		{ "DisEncap", 22, 1 },
26643		{ "Valid", 21, 1 },
26644		{ "PortMap", 17, 4 },
26645		{ "MultiListen", 16, 1 },
26646		{ "Priority", 13, 3 },
26647		{ "Replicate", 12, 1 },
26648		{ "PF", 9, 3 },
26649		{ "VF_Valid", 8, 1 },
26650		{ "VF", 0, 8 },
26651	{ "MPS_PORT_CLS_HASH_SRAM", 0x342b4, 0 },
26652		{ "DisEncapOuterRplct", 23, 1 },
26653		{ "DisEncap", 22, 1 },
26654		{ "Valid", 21, 1 },
26655		{ "PortMap", 17, 4 },
26656		{ "MultiListen", 16, 1 },
26657		{ "Priority", 13, 3 },
26658		{ "Replicate", 12, 1 },
26659		{ "PF", 9, 3 },
26660		{ "VF_Valid", 8, 1 },
26661		{ "VF", 0, 8 },
26662	{ "MPS_PORT_CLS_HASH_SRAM", 0x342b8, 0 },
26663		{ "DisEncapOuterRplct", 23, 1 },
26664		{ "DisEncap", 22, 1 },
26665		{ "Valid", 21, 1 },
26666		{ "PortMap", 17, 4 },
26667		{ "MultiListen", 16, 1 },
26668		{ "Priority", 13, 3 },
26669		{ "Replicate", 12, 1 },
26670		{ "PF", 9, 3 },
26671		{ "VF_Valid", 8, 1 },
26672		{ "VF", 0, 8 },
26673	{ "MPS_PORT_CLS_HASH_SRAM", 0x342bc, 0 },
26674		{ "DisEncapOuterRplct", 23, 1 },
26675		{ "DisEncap", 22, 1 },
26676		{ "Valid", 21, 1 },
26677		{ "PortMap", 17, 4 },
26678		{ "MultiListen", 16, 1 },
26679		{ "Priority", 13, 3 },
26680		{ "Replicate", 12, 1 },
26681		{ "PF", 9, 3 },
26682		{ "VF_Valid", 8, 1 },
26683		{ "VF", 0, 8 },
26684	{ "MPS_PORT_CLS_HASH_SRAM", 0x342c0, 0 },
26685		{ "DisEncapOuterRplct", 23, 1 },
26686		{ "DisEncap", 22, 1 },
26687		{ "Valid", 21, 1 },
26688		{ "PortMap", 17, 4 },
26689		{ "MultiListen", 16, 1 },
26690		{ "Priority", 13, 3 },
26691		{ "Replicate", 12, 1 },
26692		{ "PF", 9, 3 },
26693		{ "VF_Valid", 8, 1 },
26694		{ "VF", 0, 8 },
26695	{ "MPS_PORT_CLS_HASH_SRAM", 0x342c4, 0 },
26696		{ "DisEncapOuterRplct", 23, 1 },
26697		{ "DisEncap", 22, 1 },
26698		{ "Valid", 21, 1 },
26699		{ "PortMap", 17, 4 },
26700		{ "MultiListen", 16, 1 },
26701		{ "Priority", 13, 3 },
26702		{ "Replicate", 12, 1 },
26703		{ "PF", 9, 3 },
26704		{ "VF_Valid", 8, 1 },
26705		{ "VF", 0, 8 },
26706	{ "MPS_PORT_CLS_HASH_SRAM", 0x342c8, 0 },
26707		{ "DisEncapOuterRplct", 23, 1 },
26708		{ "DisEncap", 22, 1 },
26709		{ "Valid", 21, 1 },
26710		{ "PortMap", 17, 4 },
26711		{ "MultiListen", 16, 1 },
26712		{ "Priority", 13, 3 },
26713		{ "Replicate", 12, 1 },
26714		{ "PF", 9, 3 },
26715		{ "VF_Valid", 8, 1 },
26716		{ "VF", 0, 8 },
26717	{ "MPS_PORT_CLS_HASH_SRAM", 0x342cc, 0 },
26718		{ "DisEncapOuterRplct", 23, 1 },
26719		{ "DisEncap", 22, 1 },
26720		{ "Valid", 21, 1 },
26721		{ "PortMap", 17, 4 },
26722		{ "MultiListen", 16, 1 },
26723		{ "Priority", 13, 3 },
26724		{ "Replicate", 12, 1 },
26725		{ "PF", 9, 3 },
26726		{ "VF_Valid", 8, 1 },
26727		{ "VF", 0, 8 },
26728	{ "MPS_PORT_CLS_HASH_SRAM", 0x342d0, 0 },
26729		{ "DisEncapOuterRplct", 23, 1 },
26730		{ "DisEncap", 22, 1 },
26731		{ "Valid", 21, 1 },
26732		{ "PortMap", 17, 4 },
26733		{ "MultiListen", 16, 1 },
26734		{ "Priority", 13, 3 },
26735		{ "Replicate", 12, 1 },
26736		{ "PF", 9, 3 },
26737		{ "VF_Valid", 8, 1 },
26738		{ "VF", 0, 8 },
26739	{ "MPS_PORT_CLS_HASH_SRAM", 0x342d4, 0 },
26740		{ "DisEncapOuterRplct", 23, 1 },
26741		{ "DisEncap", 22, 1 },
26742		{ "Valid", 21, 1 },
26743		{ "PortMap", 17, 4 },
26744		{ "MultiListen", 16, 1 },
26745		{ "Priority", 13, 3 },
26746		{ "Replicate", 12, 1 },
26747		{ "PF", 9, 3 },
26748		{ "VF_Valid", 8, 1 },
26749		{ "VF", 0, 8 },
26750	{ "MPS_PORT_CLS_HASH_SRAM", 0x342d8, 0 },
26751		{ "DisEncapOuterRplct", 23, 1 },
26752		{ "DisEncap", 22, 1 },
26753		{ "Valid", 21, 1 },
26754		{ "PortMap", 17, 4 },
26755		{ "MultiListen", 16, 1 },
26756		{ "Priority", 13, 3 },
26757		{ "Replicate", 12, 1 },
26758		{ "PF", 9, 3 },
26759		{ "VF_Valid", 8, 1 },
26760		{ "VF", 0, 8 },
26761	{ "MPS_PORT_CLS_HASH_SRAM", 0x342dc, 0 },
26762		{ "DisEncapOuterRplct", 23, 1 },
26763		{ "DisEncap", 22, 1 },
26764		{ "Valid", 21, 1 },
26765		{ "PortMap", 17, 4 },
26766		{ "MultiListen", 16, 1 },
26767		{ "Priority", 13, 3 },
26768		{ "Replicate", 12, 1 },
26769		{ "PF", 9, 3 },
26770		{ "VF_Valid", 8, 1 },
26771		{ "VF", 0, 8 },
26772	{ "MPS_PORT_CLS_HASH_SRAM", 0x342e0, 0 },
26773		{ "DisEncapOuterRplct", 23, 1 },
26774		{ "DisEncap", 22, 1 },
26775		{ "Valid", 21, 1 },
26776		{ "PortMap", 17, 4 },
26777		{ "MultiListen", 16, 1 },
26778		{ "Priority", 13, 3 },
26779		{ "Replicate", 12, 1 },
26780		{ "PF", 9, 3 },
26781		{ "VF_Valid", 8, 1 },
26782		{ "VF", 0, 8 },
26783	{ "MPS_PORT_CLS_HASH_SRAM", 0x342e4, 0 },
26784		{ "DisEncapOuterRplct", 23, 1 },
26785		{ "DisEncap", 22, 1 },
26786		{ "Valid", 21, 1 },
26787		{ "PortMap", 17, 4 },
26788		{ "MultiListen", 16, 1 },
26789		{ "Priority", 13, 3 },
26790		{ "Replicate", 12, 1 },
26791		{ "PF", 9, 3 },
26792		{ "VF_Valid", 8, 1 },
26793		{ "VF", 0, 8 },
26794	{ "MPS_PORT_CLS_HASH_SRAM", 0x342e8, 0 },
26795		{ "DisEncapOuterRplct", 23, 1 },
26796		{ "DisEncap", 22, 1 },
26797		{ "Valid", 21, 1 },
26798		{ "PortMap", 17, 4 },
26799		{ "MultiListen", 16, 1 },
26800		{ "Priority", 13, 3 },
26801		{ "Replicate", 12, 1 },
26802		{ "PF", 9, 3 },
26803		{ "VF_Valid", 8, 1 },
26804		{ "VF", 0, 8 },
26805	{ "MPS_PORT_CLS_HASH_SRAM", 0x342ec, 0 },
26806		{ "DisEncapOuterRplct", 23, 1 },
26807		{ "DisEncap", 22, 1 },
26808		{ "Valid", 21, 1 },
26809		{ "PortMap", 17, 4 },
26810		{ "MultiListen", 16, 1 },
26811		{ "Priority", 13, 3 },
26812		{ "Replicate", 12, 1 },
26813		{ "PF", 9, 3 },
26814		{ "VF_Valid", 8, 1 },
26815		{ "VF", 0, 8 },
26816	{ "MPS_PORT_CLS_HASH_SRAM", 0x342f0, 0 },
26817		{ "DisEncapOuterRplct", 23, 1 },
26818		{ "DisEncap", 22, 1 },
26819		{ "Valid", 21, 1 },
26820		{ "PortMap", 17, 4 },
26821		{ "MultiListen", 16, 1 },
26822		{ "Priority", 13, 3 },
26823		{ "Replicate", 12, 1 },
26824		{ "PF", 9, 3 },
26825		{ "VF_Valid", 8, 1 },
26826		{ "VF", 0, 8 },
26827	{ "MPS_PORT_CLS_HASH_SRAM", 0x342f4, 0 },
26828		{ "DisEncapOuterRplct", 23, 1 },
26829		{ "DisEncap", 22, 1 },
26830		{ "Valid", 21, 1 },
26831		{ "PortMap", 17, 4 },
26832		{ "MultiListen", 16, 1 },
26833		{ "Priority", 13, 3 },
26834		{ "Replicate", 12, 1 },
26835		{ "PF", 9, 3 },
26836		{ "VF_Valid", 8, 1 },
26837		{ "VF", 0, 8 },
26838	{ "MPS_PORT_CLS_HASH_SRAM", 0x342f8, 0 },
26839		{ "DisEncapOuterRplct", 23, 1 },
26840		{ "DisEncap", 22, 1 },
26841		{ "Valid", 21, 1 },
26842		{ "PortMap", 17, 4 },
26843		{ "MultiListen", 16, 1 },
26844		{ "Priority", 13, 3 },
26845		{ "Replicate", 12, 1 },
26846		{ "PF", 9, 3 },
26847		{ "VF_Valid", 8, 1 },
26848		{ "VF", 0, 8 },
26849	{ "MPS_PORT_CLS_HASH_SRAM", 0x342fc, 0 },
26850		{ "DisEncapOuterRplct", 23, 1 },
26851		{ "DisEncap", 22, 1 },
26852		{ "Valid", 21, 1 },
26853		{ "PortMap", 17, 4 },
26854		{ "MultiListen", 16, 1 },
26855		{ "Priority", 13, 3 },
26856		{ "Replicate", 12, 1 },
26857		{ "PF", 9, 3 },
26858		{ "VF_Valid", 8, 1 },
26859		{ "VF", 0, 8 },
26860	{ "MPS_PORT_CLS_HASH_SRAM", 0x34300, 0 },
26861		{ "DisEncapOuterRplct", 23, 1 },
26862		{ "DisEncap", 22, 1 },
26863		{ "Valid", 21, 1 },
26864		{ "PortMap", 17, 4 },
26865		{ "MultiListen", 16, 1 },
26866		{ "Priority", 13, 3 },
26867		{ "Replicate", 12, 1 },
26868		{ "PF", 9, 3 },
26869		{ "VF_Valid", 8, 1 },
26870		{ "VF", 0, 8 },
26871	{ "MPS_PORT_CLS_HASH_CTL", 0x30304, 0 },
26872		{ "UnicastEnable", 31, 1 },
26873	{ "MPS_PORT_CLS_PROMISCUOUS_CTL", 0x30308, 0 },
26874		{ "Enable", 31, 1 },
26875		{ "MultiListen", 16, 1 },
26876		{ "Priority", 13, 3 },
26877		{ "Replicate", 12, 1 },
26878		{ "PF", 9, 3 },
26879		{ "VF_Valid", 8, 1 },
26880		{ "VF", 0, 8 },
26881	{ "MPS_PORT_CLS_BMC_MAC_ADDR_L", 0x3030c, 0 },
26882	{ "MPS_PORT_CLS_BMC_MAC_ADDR_H", 0x30310, 0 },
26883		{ "MatchAll", 18, 1 },
26884		{ "MatchBoth", 17, 1 },
26885		{ "Valid", 16, 1 },
26886		{ "DA", 0, 16 },
26887	{ "MPS_PORT_CLS_BMC_VLAN", 0x30314, 0 },
26888		{ "BMC_VLAN_SEL", 13, 1 },
26889		{ "Valid", 12, 1 },
26890		{ "VLAN_ID", 0, 12 },
26891	{ "MPS_PORT_CLS_CTL", 0x30318, 0 },
26892		{ "LPBK_TCAM1_HIT_PRIORITY", 14, 1 },
26893		{ "LPBK_TCAM0_HIT_PRIORITY", 13, 1 },
26894		{ "LPBK_TCAM_PRIORITY", 12, 1 },
26895		{ "LPBK_SMAC_TCAM_SEL", 10, 2 },
26896		{ "LPBK_DMAC_TCAM_SEL", 8, 2 },
26897		{ "TCAM1_HIT_PRIORITY", 7, 1 },
26898		{ "TCAM0_HIT_PRIORITY", 6, 1 },
26899		{ "TCAM_PRIORITY", 5, 1 },
26900		{ "SMAC_TCAM_SEL", 3, 2 },
26901		{ "DMAC_TCAM_SEL", 1, 2 },
26902		{ "PF_VLAN_SEL", 0, 1 },
26903	{ "MPS_PORT_CLS_NCSI_ETH_TYPE", 0x3031c, 0 },
26904		{ "EthType1", 16, 16 },
26905		{ "EthType2", 0, 16 },
26906	{ "MPS_PORT_CLS_NCSI_ETH_TYPE_EN", 0x30320, 0 },
26907		{ "EN1", 1, 1 },
26908		{ "EN2", 0, 1 },
26909	{ "MPS_PORT_CLS_HASH_CTL", 0x34304, 0 },
26910		{ "UnicastEnable", 31, 1 },
26911	{ "MPS_PORT_CLS_PROMISCUOUS_CTL", 0x34308, 0 },
26912		{ "Enable", 31, 1 },
26913		{ "MultiListen", 16, 1 },
26914		{ "Priority", 13, 3 },
26915		{ "Replicate", 12, 1 },
26916		{ "PF", 9, 3 },
26917		{ "VF_Valid", 8, 1 },
26918		{ "VF", 0, 8 },
26919	{ "MPS_PORT_CLS_BMC_MAC_ADDR_L", 0x3430c, 0 },
26920	{ "MPS_PORT_CLS_BMC_MAC_ADDR_H", 0x34310, 0 },
26921		{ "MatchAll", 18, 1 },
26922		{ "MatchBoth", 17, 1 },
26923		{ "Valid", 16, 1 },
26924		{ "DA", 0, 16 },
26925	{ "MPS_PORT_CLS_BMC_VLAN", 0x34314, 0 },
26926		{ "BMC_VLAN_SEL", 13, 1 },
26927		{ "Valid", 12, 1 },
26928		{ "VLAN_ID", 0, 12 },
26929	{ "MPS_PORT_CLS_CTL", 0x34318, 0 },
26930		{ "LPBK_TCAM1_HIT_PRIORITY", 14, 1 },
26931		{ "LPBK_TCAM0_HIT_PRIORITY", 13, 1 },
26932		{ "LPBK_TCAM_PRIORITY", 12, 1 },
26933		{ "LPBK_SMAC_TCAM_SEL", 10, 2 },
26934		{ "LPBK_DMAC_TCAM_SEL", 8, 2 },
26935		{ "TCAM1_HIT_PRIORITY", 7, 1 },
26936		{ "TCAM0_HIT_PRIORITY", 6, 1 },
26937		{ "TCAM_PRIORITY", 5, 1 },
26938		{ "SMAC_TCAM_SEL", 3, 2 },
26939		{ "DMAC_TCAM_SEL", 1, 2 },
26940		{ "PF_VLAN_SEL", 0, 1 },
26941	{ "MPS_PORT_CLS_NCSI_ETH_TYPE", 0x3431c, 0 },
26942		{ "EthType1", 16, 16 },
26943		{ "EthType2", 0, 16 },
26944	{ "MPS_PORT_CLS_NCSI_ETH_TYPE_EN", 0x34320, 0 },
26945		{ "EN1", 1, 1 },
26946		{ "EN2", 0, 1 },
26947	{ "MPS_CLS_CTL", 0xd000, 0 },
26948		{ "VlanClsEn_in", 7, 1 },
26949		{ "DisTcamParChk", 6, 1 },
26950		{ "VlanLkpEn", 5, 1 },
26951		{ "MemWriteFault", 4, 1 },
26952		{ "MemWriteWaiting", 3, 1 },
26953		{ "CimNoPromiscuous", 2, 1 },
26954		{ "HypervisorOnly", 1, 1 },
26955		{ "VlanClsEn", 0, 1 },
26956	{ "MPS_CLS_ARB_WEIGHT", 0xd004, 0 },
26957		{ "PlWeight", 16, 5 },
26958		{ "CimWeight", 8, 5 },
26959		{ "LpbkWeight", 0, 5 },
26960	{ "MPS_CLS_NCSI_ETH_TYPE", 0xd008, 0 },
26961		{ "EthType1", 16, 16 },
26962		{ "EthType2", 0, 16 },
26963	{ "MPS_CLS_NCSI_ETH_TYPE_EN", 0xd00c, 0 },
26964		{ "EN1", 1, 1 },
26965		{ "EN2", 0, 1 },
26966	{ "MPS_CLS_BMC_MAC_ADDR_L", 0xd010, 0 },
26967	{ "MPS_CLS_BMC_MAC_ADDR_H", 0xd014, 0 },
26968		{ "MatchAll", 18, 1 },
26969		{ "MatchBoth", 17, 1 },
26970		{ "Valid", 16, 1 },
26971		{ "DA", 0, 16 },
26972	{ "MPS_CLS_BMC_VLAN", 0xd018, 0 },
26973		{ "Valid", 12, 1 },
26974		{ "VLAN_ID", 0, 12 },
26975	{ "MPS_CLS_PERR_INJECT", 0xd01c, 0 },
26976		{ "MemSel", 1, 2 },
26977		{ "InjectDataErr", 0, 1 },
26978	{ "MPS_CLS_PERR_ENABLE", 0xd020, 0 },
26979		{ "HashSRAM", 2, 1 },
26980		{ "MatchTCAM", 1, 1 },
26981		{ "MatchSRAM", 0, 1 },
26982	{ "MPS_CLS_INT_ENABLE", 0xd024, 0 },
26983		{ "PLErrEnb", 3, 1 },
26984		{ "HashSRAM", 2, 1 },
26985		{ "MatchTCAM", 1, 1 },
26986		{ "MatchSRAM", 0, 1 },
26987	{ "MPS_CLS_INT_CAUSE", 0xd028, 0 },
26988		{ "PLErrEnb", 3, 1 },
26989		{ "HashSRAM", 2, 1 },
26990		{ "MatchTCAM", 1, 1 },
26991		{ "MatchSRAM", 0, 1 },
26992	{ "MPS_CLS_PL_TEST_DATA_L", 0xd02c, 0 },
26993	{ "MPS_CLS_PL_TEST_DATA_H", 0xd030, 0 },
26994	{ "MPS_CLS_PL_TEST_RES_DATA", 0xd034, 0 },
26995		{ "Cls_Spare", 28, 4 },
26996		{ "Cls_Priority", 25, 3 },
26997		{ "Cls_Replicate", 24, 1 },
26998		{ "Cls_Index", 15, 9 },
26999		{ "Cls_VF", 7, 8 },
27000		{ "Cls_VF_Vld", 6, 1 },
27001		{ "Cls_PF", 3, 3 },
27002		{ "Cls_Match", 0, 3 },
27003	{ "MPS_CLS_PL_TEST_CTL", 0xd038, 0 },
27004	{ "MPS_CLS_PORT_BMC_CTL", 0xd03c, 0 },
27005	{ "MPS_CLS_MATCH_CNT_TCAM", 0xd100, 0 },
27006	{ "MPS_CLS_MATCH_CNT_HASH", 0xd104, 0 },
27007	{ "MPS_CLS_MATCH_CNT_BCAST", 0xd108, 0 },
27008	{ "MPS_CLS_MATCH_CNT_BMC", 0xd10c, 0 },
27009	{ "MPS_CLS_MATCH_CNT_PROM", 0xd110, 0 },
27010	{ "MPS_CLS_MATCH_CNT_HPROM", 0xd114, 0 },
27011	{ "MPS_CLS_MISS_CNT", 0xd118, 0 },
27012	{ "MPS_CLS_REQUEST_TRACE_MAC_DA_L", 0xd200, 0 },
27013	{ "MPS_CLS_REQUEST_TRACE_MAC_DA_L", 0xd220, 0 },
27014	{ "MPS_CLS_REQUEST_TRACE_MAC_DA_L", 0xd240, 0 },
27015	{ "MPS_CLS_REQUEST_TRACE_MAC_DA_L", 0xd260, 0 },
27016	{ "MPS_CLS_REQUEST_TRACE_MAC_DA_L", 0xd280, 0 },
27017	{ "MPS_CLS_REQUEST_TRACE_MAC_DA_L", 0xd2a0, 0 },
27018	{ "MPS_CLS_REQUEST_TRACE_MAC_DA_L", 0xd2c0, 0 },
27019	{ "MPS_CLS_REQUEST_TRACE_MAC_DA_L", 0xd2e0, 0 },
27020	{ "MPS_CLS_REQUEST_TRACE_MAC_DA_H", 0xd204, 0 },
27021	{ "MPS_CLS_REQUEST_TRACE_MAC_DA_H", 0xd224, 0 },
27022	{ "MPS_CLS_REQUEST_TRACE_MAC_DA_H", 0xd244, 0 },
27023	{ "MPS_CLS_REQUEST_TRACE_MAC_DA_H", 0xd264, 0 },
27024	{ "MPS_CLS_REQUEST_TRACE_MAC_DA_H", 0xd284, 0 },
27025	{ "MPS_CLS_REQUEST_TRACE_MAC_DA_H", 0xd2a4, 0 },
27026	{ "MPS_CLS_REQUEST_TRACE_MAC_DA_H", 0xd2c4, 0 },
27027	{ "MPS_CLS_REQUEST_TRACE_MAC_DA_H", 0xd2e4, 0 },
27028	{ "MPS_CLS_REQUEST_TRACE_MAC_SA_L", 0xd208, 0 },
27029	{ "MPS_CLS_REQUEST_TRACE_MAC_SA_L", 0xd228, 0 },
27030	{ "MPS_CLS_REQUEST_TRACE_MAC_SA_L", 0xd248, 0 },
27031	{ "MPS_CLS_REQUEST_TRACE_MAC_SA_L", 0xd268, 0 },
27032	{ "MPS_CLS_REQUEST_TRACE_MAC_SA_L", 0xd288, 0 },
27033	{ "MPS_CLS_REQUEST_TRACE_MAC_SA_L", 0xd2a8, 0 },
27034	{ "MPS_CLS_REQUEST_TRACE_MAC_SA_L", 0xd2c8, 0 },
27035	{ "MPS_CLS_REQUEST_TRACE_MAC_SA_L", 0xd2e8, 0 },
27036	{ "MPS_CLS_REQUEST_TRACE_MAC_SA_H", 0xd20c, 0 },
27037	{ "MPS_CLS_REQUEST_TRACE_MAC_SA_H", 0xd22c, 0 },
27038	{ "MPS_CLS_REQUEST_TRACE_MAC_SA_H", 0xd24c, 0 },
27039	{ "MPS_CLS_REQUEST_TRACE_MAC_SA_H", 0xd26c, 0 },
27040	{ "MPS_CLS_REQUEST_TRACE_MAC_SA_H", 0xd28c, 0 },
27041	{ "MPS_CLS_REQUEST_TRACE_MAC_SA_H", 0xd2ac, 0 },
27042	{ "MPS_CLS_REQUEST_TRACE_MAC_SA_H", 0xd2cc, 0 },
27043	{ "MPS_CLS_REQUEST_TRACE_MAC_SA_H", 0xd2ec, 0 },
27044	{ "MPS_CLS_REQUEST_TRACE_PORT_VLAN", 0xd210, 0 },
27045		{ "ClsTrcVlanVld", 31, 1 },
27046		{ "ClsTrcVlanId", 16, 12 },
27047		{ "ClsTrcReqPort", 0, 4 },
27048	{ "MPS_CLS_REQUEST_TRACE_PORT_VLAN", 0xd230, 0 },
27049		{ "ClsTrcVlanVld", 31, 1 },
27050		{ "ClsTrcVlanId", 16, 12 },
27051		{ "ClsTrcReqPort", 0, 4 },
27052	{ "MPS_CLS_REQUEST_TRACE_PORT_VLAN", 0xd250, 0 },
27053		{ "ClsTrcVlanVld", 31, 1 },
27054		{ "ClsTrcVlanId", 16, 12 },
27055		{ "ClsTrcReqPort", 0, 4 },
27056	{ "MPS_CLS_REQUEST_TRACE_PORT_VLAN", 0xd270, 0 },
27057		{ "ClsTrcVlanVld", 31, 1 },
27058		{ "ClsTrcVlanId", 16, 12 },
27059		{ "ClsTrcReqPort", 0, 4 },
27060	{ "MPS_CLS_REQUEST_TRACE_PORT_VLAN", 0xd290, 0 },
27061		{ "ClsTrcVlanVld", 31, 1 },
27062		{ "ClsTrcVlanId", 16, 12 },
27063		{ "ClsTrcReqPort", 0, 4 },
27064	{ "MPS_CLS_REQUEST_TRACE_PORT_VLAN", 0xd2b0, 0 },
27065		{ "ClsTrcVlanVld", 31, 1 },
27066		{ "ClsTrcVlanId", 16, 12 },
27067		{ "ClsTrcReqPort", 0, 4 },
27068	{ "MPS_CLS_REQUEST_TRACE_PORT_VLAN", 0xd2d0, 0 },
27069		{ "ClsTrcVlanVld", 31, 1 },
27070		{ "ClsTrcVlanId", 16, 12 },
27071		{ "ClsTrcReqPort", 0, 4 },
27072	{ "MPS_CLS_REQUEST_TRACE_PORT_VLAN", 0xd2f0, 0 },
27073		{ "ClsTrcVlanVld", 31, 1 },
27074		{ "ClsTrcVlanId", 16, 12 },
27075		{ "ClsTrcReqPort", 0, 4 },
27076	{ "MPS_CLS_REQUEST_TRACE_ENCAP", 0xd214, 0 },
27077		{ "ClsTrcLkpType", 31, 1 },
27078		{ "ClsTrcDIPHit", 30, 1 },
27079		{ "ClsTrcVNI", 0, 24 },
27080	{ "MPS_CLS_REQUEST_TRACE_ENCAP", 0xd234, 0 },
27081		{ "ClsTrcLkpType", 31, 1 },
27082		{ "ClsTrcDIPHit", 30, 1 },
27083		{ "ClsTrcVNI", 0, 24 },
27084	{ "MPS_CLS_REQUEST_TRACE_ENCAP", 0xd254, 0 },
27085		{ "ClsTrcLkpType", 31, 1 },
27086		{ "ClsTrcDIPHit", 30, 1 },
27087		{ "ClsTrcVNI", 0, 24 },
27088	{ "MPS_CLS_REQUEST_TRACE_ENCAP", 0xd274, 0 },
27089		{ "ClsTrcLkpType", 31, 1 },
27090		{ "ClsTrcDIPHit", 30, 1 },
27091		{ "ClsTrcVNI", 0, 24 },
27092	{ "MPS_CLS_REQUEST_TRACE_ENCAP", 0xd294, 0 },
27093		{ "ClsTrcLkpType", 31, 1 },
27094		{ "ClsTrcDIPHit", 30, 1 },
27095		{ "ClsTrcVNI", 0, 24 },
27096	{ "MPS_CLS_REQUEST_TRACE_ENCAP", 0xd2b4, 0 },
27097		{ "ClsTrcLkpType", 31, 1 },
27098		{ "ClsTrcDIPHit", 30, 1 },
27099		{ "ClsTrcVNI", 0, 24 },
27100	{ "MPS_CLS_REQUEST_TRACE_ENCAP", 0xd2d4, 0 },
27101		{ "ClsTrcLkpType", 31, 1 },
27102		{ "ClsTrcDIPHit", 30, 1 },
27103		{ "ClsTrcVNI", 0, 24 },
27104	{ "MPS_CLS_REQUEST_TRACE_ENCAP", 0xd2f4, 0 },
27105		{ "ClsTrcLkpType", 31, 1 },
27106		{ "ClsTrcDIPHit", 30, 1 },
27107		{ "ClsTrcVNI", 0, 24 },
27108	{ "MPS_CLS_RESULT_TRACE", 0xd300, 0 },
27109		{ "ClsTrcPortNum", 31, 1 },
27110		{ "ClsTrcPriority", 28, 3 },
27111		{ "ClsTrcMultiListen", 27, 1 },
27112		{ "ClsTrcReplicate", 26, 1 },
27113		{ "ClsTrcPortMap", 24, 2 },
27114		{ "ClsTrcMatch", 21, 3 },
27115		{ "ClsTrcIndex", 12, 9 },
27116		{ "ClsTrcVF_Vld", 11, 1 },
27117		{ "ClsTrcPF", 3, 8 },
27118		{ "ClsTrcVF", 0, 3 },
27119	{ "MPS_CLS_RESULT_TRACE", 0xd304, 0 },
27120		{ "ClsTrcPortNum", 31, 1 },
27121		{ "ClsTrcPriority", 28, 3 },
27122		{ "ClsTrcMultiListen", 27, 1 },
27123		{ "ClsTrcReplicate", 26, 1 },
27124		{ "ClsTrcPortMap", 24, 2 },
27125		{ "ClsTrcMatch", 21, 3 },
27126		{ "ClsTrcIndex", 12, 9 },
27127		{ "ClsTrcVF_Vld", 11, 1 },
27128		{ "ClsTrcPF", 3, 8 },
27129		{ "ClsTrcVF", 0, 3 },
27130	{ "MPS_CLS_RESULT_TRACE", 0xd308, 0 },
27131		{ "ClsTrcPortNum", 31, 1 },
27132		{ "ClsTrcPriority", 28, 3 },
27133		{ "ClsTrcMultiListen", 27, 1 },
27134		{ "ClsTrcReplicate", 26, 1 },
27135		{ "ClsTrcPortMap", 24, 2 },
27136		{ "ClsTrcMatch", 21, 3 },
27137		{ "ClsTrcIndex", 12, 9 },
27138		{ "ClsTrcVF_Vld", 11, 1 },
27139		{ "ClsTrcPF", 3, 8 },
27140		{ "ClsTrcVF", 0, 3 },
27141	{ "MPS_CLS_RESULT_TRACE", 0xd30c, 0 },
27142		{ "ClsTrcPortNum", 31, 1 },
27143		{ "ClsTrcPriority", 28, 3 },
27144		{ "ClsTrcMultiListen", 27, 1 },
27145		{ "ClsTrcReplicate", 26, 1 },
27146		{ "ClsTrcPortMap", 24, 2 },
27147		{ "ClsTrcMatch", 21, 3 },
27148		{ "ClsTrcIndex", 12, 9 },
27149		{ "ClsTrcVF_Vld", 11, 1 },
27150		{ "ClsTrcPF", 3, 8 },
27151		{ "ClsTrcVF", 0, 3 },
27152	{ "MPS_CLS_RESULT_TRACE", 0xd310, 0 },
27153		{ "ClsTrcPortNum", 31, 1 },
27154		{ "ClsTrcPriority", 28, 3 },
27155		{ "ClsTrcMultiListen", 27, 1 },
27156		{ "ClsTrcReplicate", 26, 1 },
27157		{ "ClsTrcPortMap", 24, 2 },
27158		{ "ClsTrcMatch", 21, 3 },
27159		{ "ClsTrcIndex", 12, 9 },
27160		{ "ClsTrcVF_Vld", 11, 1 },
27161		{ "ClsTrcPF", 3, 8 },
27162		{ "ClsTrcVF", 0, 3 },
27163	{ "MPS_CLS_RESULT_TRACE", 0xd314, 0 },
27164		{ "ClsTrcPortNum", 31, 1 },
27165		{ "ClsTrcPriority", 28, 3 },
27166		{ "ClsTrcMultiListen", 27, 1 },
27167		{ "ClsTrcReplicate", 26, 1 },
27168		{ "ClsTrcPortMap", 24, 2 },
27169		{ "ClsTrcMatch", 21, 3 },
27170		{ "ClsTrcIndex", 12, 9 },
27171		{ "ClsTrcVF_Vld", 11, 1 },
27172		{ "ClsTrcPF", 3, 8 },
27173		{ "ClsTrcVF", 0, 3 },
27174	{ "MPS_CLS_RESULT_TRACE", 0xd318, 0 },
27175		{ "ClsTrcPortNum", 31, 1 },
27176		{ "ClsTrcPriority", 28, 3 },
27177		{ "ClsTrcMultiListen", 27, 1 },
27178		{ "ClsTrcReplicate", 26, 1 },
27179		{ "ClsTrcPortMap", 24, 2 },
27180		{ "ClsTrcMatch", 21, 3 },
27181		{ "ClsTrcIndex", 12, 9 },
27182		{ "ClsTrcVF_Vld", 11, 1 },
27183		{ "ClsTrcPF", 3, 8 },
27184		{ "ClsTrcVF", 0, 3 },
27185	{ "MPS_CLS_RESULT_TRACE", 0xd31c, 0 },
27186		{ "ClsTrcPortNum", 31, 1 },
27187		{ "ClsTrcPriority", 28, 3 },
27188		{ "ClsTrcMultiListen", 27, 1 },
27189		{ "ClsTrcReplicate", 26, 1 },
27190		{ "ClsTrcPortMap", 24, 2 },
27191		{ "ClsTrcMatch", 21, 3 },
27192		{ "ClsTrcIndex", 12, 9 },
27193		{ "ClsTrcVF_Vld", 11, 1 },
27194		{ "ClsTrcPF", 3, 8 },
27195		{ "ClsTrcVF", 0, 3 },
27196	{ "MPS_CLS_VLAN_TABLE", 0xdfc0, 0 },
27197		{ "VLAN_Mask", 16, 12 },
27198		{ "PF", 13, 3 },
27199		{ "VLAN_Valid", 12, 1 },
27200		{ "VLAN_ID", 0, 12 },
27201	{ "MPS_CLS_VLAN_TABLE", 0xdfc4, 0 },
27202		{ "VLAN_Mask", 16, 12 },
27203		{ "PF", 13, 3 },
27204		{ "VLAN_Valid", 12, 1 },
27205		{ "VLAN_ID", 0, 12 },
27206	{ "MPS_CLS_VLAN_TABLE", 0xdfc8, 0 },
27207		{ "VLAN_Mask", 16, 12 },
27208		{ "PF", 13, 3 },
27209		{ "VLAN_Valid", 12, 1 },
27210		{ "VLAN_ID", 0, 12 },
27211	{ "MPS_CLS_VLAN_TABLE", 0xdfcc, 0 },
27212		{ "VLAN_Mask", 16, 12 },
27213		{ "PF", 13, 3 },
27214		{ "VLAN_Valid", 12, 1 },
27215		{ "VLAN_ID", 0, 12 },
27216	{ "MPS_CLS_VLAN_TABLE", 0xdfd0, 0 },
27217		{ "VLAN_Mask", 16, 12 },
27218		{ "PF", 13, 3 },
27219		{ "VLAN_Valid", 12, 1 },
27220		{ "VLAN_ID", 0, 12 },
27221	{ "MPS_CLS_VLAN_TABLE", 0xdfd4, 0 },
27222		{ "VLAN_Mask", 16, 12 },
27223		{ "PF", 13, 3 },
27224		{ "VLAN_Valid", 12, 1 },
27225		{ "VLAN_ID", 0, 12 },
27226	{ "MPS_CLS_VLAN_TABLE", 0xdfd8, 0 },
27227		{ "VLAN_Mask", 16, 12 },
27228		{ "PF", 13, 3 },
27229		{ "VLAN_Valid", 12, 1 },
27230		{ "VLAN_ID", 0, 12 },
27231	{ "MPS_CLS_VLAN_TABLE", 0xdfdc, 0 },
27232		{ "VLAN_Mask", 16, 12 },
27233		{ "PF", 13, 3 },
27234		{ "VLAN_Valid", 12, 1 },
27235		{ "VLAN_ID", 0, 12 },
27236	{ "MPS_CLS_VLAN_TABLE", 0xdfe0, 0 },
27237		{ "VLAN_Mask", 16, 12 },
27238		{ "PF", 13, 3 },
27239		{ "VLAN_Valid", 12, 1 },
27240		{ "VLAN_ID", 0, 12 },
27241	{ "MPS_CLS_DIPIPV4_ID_TABLE", 0x12000, 0 },
27242	{ "MPS_CLS_DIPIPV4_ID_TABLE", 0x12008, 0 },
27243	{ "MPS_CLS_DIPIPV4_ID_TABLE", 0x12010, 0 },
27244	{ "MPS_CLS_DIPIPV4_ID_TABLE", 0x12018, 0 },
27245	{ "MPS_CLS_DIPIPV4_MASK_TABLE", 0x12004, 0 },
27246	{ "MPS_CLS_DIPIPV4_MASK_TABLE", 0x1200c, 0 },
27247	{ "MPS_CLS_DIPIPV4_MASK_TABLE", 0x12014, 0 },
27248	{ "MPS_CLS_DIPIPV4_MASK_TABLE", 0x1201c, 0 },
27249	{ "MPS_CLS_DIPIPV6ID_0_TABLE", 0x12020, 0 },
27250	{ "MPS_CLS_DIPIPV6ID_0_TABLE", 0x12040, 0 },
27251	{ "MPS_CLS_DIPIPV6ID_1_TABLE", 0x12024, 0 },
27252	{ "MPS_CLS_DIPIPV6ID_1_TABLE", 0x12044, 0 },
27253	{ "MPS_CLS_DIPIPV6ID_2_TABLE", 0x12028, 0 },
27254	{ "MPS_CLS_DIPIPV6ID_2_TABLE", 0x12048, 0 },
27255	{ "MPS_CLS_DIPIPV6ID_3_TABLE", 0x1202c, 0 },
27256	{ "MPS_CLS_DIPIPV6ID_3_TABLE", 0x1204c, 0 },
27257	{ "MPS_CLS_DIPIPV6MASK_0_TABLE", 0x12030, 0 },
27258	{ "MPS_CLS_DIPIPV6MASK_0_TABLE", 0x12050, 0 },
27259	{ "MPS_CLS_DIPIPV6MASK_1_TABLE", 0x12034, 0 },
27260	{ "MPS_CLS_DIPIPV6MASK_1_TABLE", 0x12054, 0 },
27261	{ "MPS_CLS_DIPIPV6MASK_2_TABLE", 0x12038, 0 },
27262	{ "MPS_CLS_DIPIPV6MASK_2_TABLE", 0x12058, 0 },
27263	{ "MPS_CLS_DIPIPV6MASK_3_TABLE", 0x1203c, 0 },
27264	{ "MPS_CLS_DIPIPV6MASK_3_TABLE", 0x1205c, 0 },
27265	{ "MPS_RX_HASH_LKP_TABLE", 0x12060, 0 },
27266	{ "MPS_RX_HASH_LKP_TABLE", 0x12064, 0 },
27267	{ "MPS_RX_HASH_LKP_TABLE", 0x12068, 0 },
27268	{ "MPS_RX_HASH_LKP_TABLE", 0x1206c, 0 },
27269	{ "MPS_CLS_SRAM_L", 0xe000, 0 },
27270		{ "DisEncapOuterRplct", 31, 1 },
27271		{ "DisEncap", 30, 1 },
27272		{ "MultiListen3", 29, 1 },
27273		{ "MultiListen2", 28, 1 },
27274		{ "MultiListen1", 27, 1 },
27275		{ "MultiListen0", 26, 1 },
27276		{ "Priority3", 23, 3 },
27277		{ "Priority2", 20, 3 },
27278		{ "Priority1", 17, 3 },
27279		{ "Priority0", 14, 3 },
27280		{ "Valid", 13, 1 },
27281		{ "Replicate", 12, 1 },
27282		{ "PF", 9, 3 },
27283		{ "VF_Valid", 8, 1 },
27284		{ "VF", 0, 8 },
27285	{ "MPS_CLS_SRAM_L", 0xe008, 0 },
27286		{ "DisEncapOuterRplct", 31, 1 },
27287		{ "DisEncap", 30, 1 },
27288		{ "MultiListen3", 29, 1 },
27289		{ "MultiListen2", 28, 1 },
27290		{ "MultiListen1", 27, 1 },
27291		{ "MultiListen0", 26, 1 },
27292		{ "Priority3", 23, 3 },
27293		{ "Priority2", 20, 3 },
27294		{ "Priority1", 17, 3 },
27295		{ "Priority0", 14, 3 },
27296		{ "Valid", 13, 1 },
27297		{ "Replicate", 12, 1 },
27298		{ "PF", 9, 3 },
27299		{ "VF_Valid", 8, 1 },
27300		{ "VF", 0, 8 },
27301	{ "MPS_CLS_SRAM_L", 0xe010, 0 },
27302		{ "DisEncapOuterRplct", 31, 1 },
27303		{ "DisEncap", 30, 1 },
27304		{ "MultiListen3", 29, 1 },
27305		{ "MultiListen2", 28, 1 },
27306		{ "MultiListen1", 27, 1 },
27307		{ "MultiListen0", 26, 1 },
27308		{ "Priority3", 23, 3 },
27309		{ "Priority2", 20, 3 },
27310		{ "Priority1", 17, 3 },
27311		{ "Priority0", 14, 3 },
27312		{ "Valid", 13, 1 },
27313		{ "Replicate", 12, 1 },
27314		{ "PF", 9, 3 },
27315		{ "VF_Valid", 8, 1 },
27316		{ "VF", 0, 8 },
27317	{ "MPS_CLS_SRAM_L", 0xe018, 0 },
27318		{ "DisEncapOuterRplct", 31, 1 },
27319		{ "DisEncap", 30, 1 },
27320		{ "MultiListen3", 29, 1 },
27321		{ "MultiListen2", 28, 1 },
27322		{ "MultiListen1", 27, 1 },
27323		{ "MultiListen0", 26, 1 },
27324		{ "Priority3", 23, 3 },
27325		{ "Priority2", 20, 3 },
27326		{ "Priority1", 17, 3 },
27327		{ "Priority0", 14, 3 },
27328		{ "Valid", 13, 1 },
27329		{ "Replicate", 12, 1 },
27330		{ "PF", 9, 3 },
27331		{ "VF_Valid", 8, 1 },
27332		{ "VF", 0, 8 },
27333	{ "MPS_CLS_SRAM_L", 0xe020, 0 },
27334		{ "DisEncapOuterRplct", 31, 1 },
27335		{ "DisEncap", 30, 1 },
27336		{ "MultiListen3", 29, 1 },
27337		{ "MultiListen2", 28, 1 },
27338		{ "MultiListen1", 27, 1 },
27339		{ "MultiListen0", 26, 1 },
27340		{ "Priority3", 23, 3 },
27341		{ "Priority2", 20, 3 },
27342		{ "Priority1", 17, 3 },
27343		{ "Priority0", 14, 3 },
27344		{ "Valid", 13, 1 },
27345		{ "Replicate", 12, 1 },
27346		{ "PF", 9, 3 },
27347		{ "VF_Valid", 8, 1 },
27348		{ "VF", 0, 8 },
27349	{ "MPS_CLS_SRAM_L", 0xe028, 0 },
27350		{ "DisEncapOuterRplct", 31, 1 },
27351		{ "DisEncap", 30, 1 },
27352		{ "MultiListen3", 29, 1 },
27353		{ "MultiListen2", 28, 1 },
27354		{ "MultiListen1", 27, 1 },
27355		{ "MultiListen0", 26, 1 },
27356		{ "Priority3", 23, 3 },
27357		{ "Priority2", 20, 3 },
27358		{ "Priority1", 17, 3 },
27359		{ "Priority0", 14, 3 },
27360		{ "Valid", 13, 1 },
27361		{ "Replicate", 12, 1 },
27362		{ "PF", 9, 3 },
27363		{ "VF_Valid", 8, 1 },
27364		{ "VF", 0, 8 },
27365	{ "MPS_CLS_SRAM_L", 0xe030, 0 },
27366		{ "DisEncapOuterRplct", 31, 1 },
27367		{ "DisEncap", 30, 1 },
27368		{ "MultiListen3", 29, 1 },
27369		{ "MultiListen2", 28, 1 },
27370		{ "MultiListen1", 27, 1 },
27371		{ "MultiListen0", 26, 1 },
27372		{ "Priority3", 23, 3 },
27373		{ "Priority2", 20, 3 },
27374		{ "Priority1", 17, 3 },
27375		{ "Priority0", 14, 3 },
27376		{ "Valid", 13, 1 },
27377		{ "Replicate", 12, 1 },
27378		{ "PF", 9, 3 },
27379		{ "VF_Valid", 8, 1 },
27380		{ "VF", 0, 8 },
27381	{ "MPS_CLS_SRAM_L", 0xe038, 0 },
27382		{ "DisEncapOuterRplct", 31, 1 },
27383		{ "DisEncap", 30, 1 },
27384		{ "MultiListen3", 29, 1 },
27385		{ "MultiListen2", 28, 1 },
27386		{ "MultiListen1", 27, 1 },
27387		{ "MultiListen0", 26, 1 },
27388		{ "Priority3", 23, 3 },
27389		{ "Priority2", 20, 3 },
27390		{ "Priority1", 17, 3 },
27391		{ "Priority0", 14, 3 },
27392		{ "Valid", 13, 1 },
27393		{ "Replicate", 12, 1 },
27394		{ "PF", 9, 3 },
27395		{ "VF_Valid", 8, 1 },
27396		{ "VF", 0, 8 },
27397	{ "MPS_CLS_SRAM_L", 0xe040, 0 },
27398		{ "DisEncapOuterRplct", 31, 1 },
27399		{ "DisEncap", 30, 1 },
27400		{ "MultiListen3", 29, 1 },
27401		{ "MultiListen2", 28, 1 },
27402		{ "MultiListen1", 27, 1 },
27403		{ "MultiListen0", 26, 1 },
27404		{ "Priority3", 23, 3 },
27405		{ "Priority2", 20, 3 },
27406		{ "Priority1", 17, 3 },
27407		{ "Priority0", 14, 3 },
27408		{ "Valid", 13, 1 },
27409		{ "Replicate", 12, 1 },
27410		{ "PF", 9, 3 },
27411		{ "VF_Valid", 8, 1 },
27412		{ "VF", 0, 8 },
27413	{ "MPS_CLS_SRAM_L", 0xe048, 0 },
27414		{ "DisEncapOuterRplct", 31, 1 },
27415		{ "DisEncap", 30, 1 },
27416		{ "MultiListen3", 29, 1 },
27417		{ "MultiListen2", 28, 1 },
27418		{ "MultiListen1", 27, 1 },
27419		{ "MultiListen0", 26, 1 },
27420		{ "Priority3", 23, 3 },
27421		{ "Priority2", 20, 3 },
27422		{ "Priority1", 17, 3 },
27423		{ "Priority0", 14, 3 },
27424		{ "Valid", 13, 1 },
27425		{ "Replicate", 12, 1 },
27426		{ "PF", 9, 3 },
27427		{ "VF_Valid", 8, 1 },
27428		{ "VF", 0, 8 },
27429	{ "MPS_CLS_SRAM_L", 0xe050, 0 },
27430		{ "DisEncapOuterRplct", 31, 1 },
27431		{ "DisEncap", 30, 1 },
27432		{ "MultiListen3", 29, 1 },
27433		{ "MultiListen2", 28, 1 },
27434		{ "MultiListen1", 27, 1 },
27435		{ "MultiListen0", 26, 1 },
27436		{ "Priority3", 23, 3 },
27437		{ "Priority2", 20, 3 },
27438		{ "Priority1", 17, 3 },
27439		{ "Priority0", 14, 3 },
27440		{ "Valid", 13, 1 },
27441		{ "Replicate", 12, 1 },
27442		{ "PF", 9, 3 },
27443		{ "VF_Valid", 8, 1 },
27444		{ "VF", 0, 8 },
27445	{ "MPS_CLS_SRAM_L", 0xe058, 0 },
27446		{ "DisEncapOuterRplct", 31, 1 },
27447		{ "DisEncap", 30, 1 },
27448		{ "MultiListen3", 29, 1 },
27449		{ "MultiListen2", 28, 1 },
27450		{ "MultiListen1", 27, 1 },
27451		{ "MultiListen0", 26, 1 },
27452		{ "Priority3", 23, 3 },
27453		{ "Priority2", 20, 3 },
27454		{ "Priority1", 17, 3 },
27455		{ "Priority0", 14, 3 },
27456		{ "Valid", 13, 1 },
27457		{ "Replicate", 12, 1 },
27458		{ "PF", 9, 3 },
27459		{ "VF_Valid", 8, 1 },
27460		{ "VF", 0, 8 },
27461	{ "MPS_CLS_SRAM_L", 0xe060, 0 },
27462		{ "DisEncapOuterRplct", 31, 1 },
27463		{ "DisEncap", 30, 1 },
27464		{ "MultiListen3", 29, 1 },
27465		{ "MultiListen2", 28, 1 },
27466		{ "MultiListen1", 27, 1 },
27467		{ "MultiListen0", 26, 1 },
27468		{ "Priority3", 23, 3 },
27469		{ "Priority2", 20, 3 },
27470		{ "Priority1", 17, 3 },
27471		{ "Priority0", 14, 3 },
27472		{ "Valid", 13, 1 },
27473		{ "Replicate", 12, 1 },
27474		{ "PF", 9, 3 },
27475		{ "VF_Valid", 8, 1 },
27476		{ "VF", 0, 8 },
27477	{ "MPS_CLS_SRAM_L", 0xe068, 0 },
27478		{ "DisEncapOuterRplct", 31, 1 },
27479		{ "DisEncap", 30, 1 },
27480		{ "MultiListen3", 29, 1 },
27481		{ "MultiListen2", 28, 1 },
27482		{ "MultiListen1", 27, 1 },
27483		{ "MultiListen0", 26, 1 },
27484		{ "Priority3", 23, 3 },
27485		{ "Priority2", 20, 3 },
27486		{ "Priority1", 17, 3 },
27487		{ "Priority0", 14, 3 },
27488		{ "Valid", 13, 1 },
27489		{ "Replicate", 12, 1 },
27490		{ "PF", 9, 3 },
27491		{ "VF_Valid", 8, 1 },
27492		{ "VF", 0, 8 },
27493	{ "MPS_CLS_SRAM_L", 0xe070, 0 },
27494		{ "DisEncapOuterRplct", 31, 1 },
27495		{ "DisEncap", 30, 1 },
27496		{ "MultiListen3", 29, 1 },
27497		{ "MultiListen2", 28, 1 },
27498		{ "MultiListen1", 27, 1 },
27499		{ "MultiListen0", 26, 1 },
27500		{ "Priority3", 23, 3 },
27501		{ "Priority2", 20, 3 },
27502		{ "Priority1", 17, 3 },
27503		{ "Priority0", 14, 3 },
27504		{ "Valid", 13, 1 },
27505		{ "Replicate", 12, 1 },
27506		{ "PF", 9, 3 },
27507		{ "VF_Valid", 8, 1 },
27508		{ "VF", 0, 8 },
27509	{ "MPS_CLS_SRAM_L", 0xe078, 0 },
27510		{ "DisEncapOuterRplct", 31, 1 },
27511		{ "DisEncap", 30, 1 },
27512		{ "MultiListen3", 29, 1 },
27513		{ "MultiListen2", 28, 1 },
27514		{ "MultiListen1", 27, 1 },
27515		{ "MultiListen0", 26, 1 },
27516		{ "Priority3", 23, 3 },
27517		{ "Priority2", 20, 3 },
27518		{ "Priority1", 17, 3 },
27519		{ "Priority0", 14, 3 },
27520		{ "Valid", 13, 1 },
27521		{ "Replicate", 12, 1 },
27522		{ "PF", 9, 3 },
27523		{ "VF_Valid", 8, 1 },
27524		{ "VF", 0, 8 },
27525	{ "MPS_CLS_SRAM_L", 0xe080, 0 },
27526		{ "DisEncapOuterRplct", 31, 1 },
27527		{ "DisEncap", 30, 1 },
27528		{ "MultiListen3", 29, 1 },
27529		{ "MultiListen2", 28, 1 },
27530		{ "MultiListen1", 27, 1 },
27531		{ "MultiListen0", 26, 1 },
27532		{ "Priority3", 23, 3 },
27533		{ "Priority2", 20, 3 },
27534		{ "Priority1", 17, 3 },
27535		{ "Priority0", 14, 3 },
27536		{ "Valid", 13, 1 },
27537		{ "Replicate", 12, 1 },
27538		{ "PF", 9, 3 },
27539		{ "VF_Valid", 8, 1 },
27540		{ "VF", 0, 8 },
27541	{ "MPS_CLS_SRAM_L", 0xe088, 0 },
27542		{ "DisEncapOuterRplct", 31, 1 },
27543		{ "DisEncap", 30, 1 },
27544		{ "MultiListen3", 29, 1 },
27545		{ "MultiListen2", 28, 1 },
27546		{ "MultiListen1", 27, 1 },
27547		{ "MultiListen0", 26, 1 },
27548		{ "Priority3", 23, 3 },
27549		{ "Priority2", 20, 3 },
27550		{ "Priority1", 17, 3 },
27551		{ "Priority0", 14, 3 },
27552		{ "Valid", 13, 1 },
27553		{ "Replicate", 12, 1 },
27554		{ "PF", 9, 3 },
27555		{ "VF_Valid", 8, 1 },
27556		{ "VF", 0, 8 },
27557	{ "MPS_CLS_SRAM_L", 0xe090, 0 },
27558		{ "DisEncapOuterRplct", 31, 1 },
27559		{ "DisEncap", 30, 1 },
27560		{ "MultiListen3", 29, 1 },
27561		{ "MultiListen2", 28, 1 },
27562		{ "MultiListen1", 27, 1 },
27563		{ "MultiListen0", 26, 1 },
27564		{ "Priority3", 23, 3 },
27565		{ "Priority2", 20, 3 },
27566		{ "Priority1", 17, 3 },
27567		{ "Priority0", 14, 3 },
27568		{ "Valid", 13, 1 },
27569		{ "Replicate", 12, 1 },
27570		{ "PF", 9, 3 },
27571		{ "VF_Valid", 8, 1 },
27572		{ "VF", 0, 8 },
27573	{ "MPS_CLS_SRAM_L", 0xe098, 0 },
27574		{ "DisEncapOuterRplct", 31, 1 },
27575		{ "DisEncap", 30, 1 },
27576		{ "MultiListen3", 29, 1 },
27577		{ "MultiListen2", 28, 1 },
27578		{ "MultiListen1", 27, 1 },
27579		{ "MultiListen0", 26, 1 },
27580		{ "Priority3", 23, 3 },
27581		{ "Priority2", 20, 3 },
27582		{ "Priority1", 17, 3 },
27583		{ "Priority0", 14, 3 },
27584		{ "Valid", 13, 1 },
27585		{ "Replicate", 12, 1 },
27586		{ "PF", 9, 3 },
27587		{ "VF_Valid", 8, 1 },
27588		{ "VF", 0, 8 },
27589	{ "MPS_CLS_SRAM_L", 0xe0a0, 0 },
27590		{ "DisEncapOuterRplct", 31, 1 },
27591		{ "DisEncap", 30, 1 },
27592		{ "MultiListen3", 29, 1 },
27593		{ "MultiListen2", 28, 1 },
27594		{ "MultiListen1", 27, 1 },
27595		{ "MultiListen0", 26, 1 },
27596		{ "Priority3", 23, 3 },
27597		{ "Priority2", 20, 3 },
27598		{ "Priority1", 17, 3 },
27599		{ "Priority0", 14, 3 },
27600		{ "Valid", 13, 1 },
27601		{ "Replicate", 12, 1 },
27602		{ "PF", 9, 3 },
27603		{ "VF_Valid", 8, 1 },
27604		{ "VF", 0, 8 },
27605	{ "MPS_CLS_SRAM_L", 0xe0a8, 0 },
27606		{ "DisEncapOuterRplct", 31, 1 },
27607		{ "DisEncap", 30, 1 },
27608		{ "MultiListen3", 29, 1 },
27609		{ "MultiListen2", 28, 1 },
27610		{ "MultiListen1", 27, 1 },
27611		{ "MultiListen0", 26, 1 },
27612		{ "Priority3", 23, 3 },
27613		{ "Priority2", 20, 3 },
27614		{ "Priority1", 17, 3 },
27615		{ "Priority0", 14, 3 },
27616		{ "Valid", 13, 1 },
27617		{ "Replicate", 12, 1 },
27618		{ "PF", 9, 3 },
27619		{ "VF_Valid", 8, 1 },
27620		{ "VF", 0, 8 },
27621	{ "MPS_CLS_SRAM_L", 0xe0b0, 0 },
27622		{ "DisEncapOuterRplct", 31, 1 },
27623		{ "DisEncap", 30, 1 },
27624		{ "MultiListen3", 29, 1 },
27625		{ "MultiListen2", 28, 1 },
27626		{ "MultiListen1", 27, 1 },
27627		{ "MultiListen0", 26, 1 },
27628		{ "Priority3", 23, 3 },
27629		{ "Priority2", 20, 3 },
27630		{ "Priority1", 17, 3 },
27631		{ "Priority0", 14, 3 },
27632		{ "Valid", 13, 1 },
27633		{ "Replicate", 12, 1 },
27634		{ "PF", 9, 3 },
27635		{ "VF_Valid", 8, 1 },
27636		{ "VF", 0, 8 },
27637	{ "MPS_CLS_SRAM_L", 0xe0b8, 0 },
27638		{ "DisEncapOuterRplct", 31, 1 },
27639		{ "DisEncap", 30, 1 },
27640		{ "MultiListen3", 29, 1 },
27641		{ "MultiListen2", 28, 1 },
27642		{ "MultiListen1", 27, 1 },
27643		{ "MultiListen0", 26, 1 },
27644		{ "Priority3", 23, 3 },
27645		{ "Priority2", 20, 3 },
27646		{ "Priority1", 17, 3 },
27647		{ "Priority0", 14, 3 },
27648		{ "Valid", 13, 1 },
27649		{ "Replicate", 12, 1 },
27650		{ "PF", 9, 3 },
27651		{ "VF_Valid", 8, 1 },
27652		{ "VF", 0, 8 },
27653	{ "MPS_CLS_SRAM_L", 0xe0c0, 0 },
27654		{ "DisEncapOuterRplct", 31, 1 },
27655		{ "DisEncap", 30, 1 },
27656		{ "MultiListen3", 29, 1 },
27657		{ "MultiListen2", 28, 1 },
27658		{ "MultiListen1", 27, 1 },
27659		{ "MultiListen0", 26, 1 },
27660		{ "Priority3", 23, 3 },
27661		{ "Priority2", 20, 3 },
27662		{ "Priority1", 17, 3 },
27663		{ "Priority0", 14, 3 },
27664		{ "Valid", 13, 1 },
27665		{ "Replicate", 12, 1 },
27666		{ "PF", 9, 3 },
27667		{ "VF_Valid", 8, 1 },
27668		{ "VF", 0, 8 },
27669	{ "MPS_CLS_SRAM_L", 0xe0c8, 0 },
27670		{ "DisEncapOuterRplct", 31, 1 },
27671		{ "DisEncap", 30, 1 },
27672		{ "MultiListen3", 29, 1 },
27673		{ "MultiListen2", 28, 1 },
27674		{ "MultiListen1", 27, 1 },
27675		{ "MultiListen0", 26, 1 },
27676		{ "Priority3", 23, 3 },
27677		{ "Priority2", 20, 3 },
27678		{ "Priority1", 17, 3 },
27679		{ "Priority0", 14, 3 },
27680		{ "Valid", 13, 1 },
27681		{ "Replicate", 12, 1 },
27682		{ "PF", 9, 3 },
27683		{ "VF_Valid", 8, 1 },
27684		{ "VF", 0, 8 },
27685	{ "MPS_CLS_SRAM_L", 0xe0d0, 0 },
27686		{ "DisEncapOuterRplct", 31, 1 },
27687		{ "DisEncap", 30, 1 },
27688		{ "MultiListen3", 29, 1 },
27689		{ "MultiListen2", 28, 1 },
27690		{ "MultiListen1", 27, 1 },
27691		{ "MultiListen0", 26, 1 },
27692		{ "Priority3", 23, 3 },
27693		{ "Priority2", 20, 3 },
27694		{ "Priority1", 17, 3 },
27695		{ "Priority0", 14, 3 },
27696		{ "Valid", 13, 1 },
27697		{ "Replicate", 12, 1 },
27698		{ "PF", 9, 3 },
27699		{ "VF_Valid", 8, 1 },
27700		{ "VF", 0, 8 },
27701	{ "MPS_CLS_SRAM_L", 0xe0d8, 0 },
27702		{ "DisEncapOuterRplct", 31, 1 },
27703		{ "DisEncap", 30, 1 },
27704		{ "MultiListen3", 29, 1 },
27705		{ "MultiListen2", 28, 1 },
27706		{ "MultiListen1", 27, 1 },
27707		{ "MultiListen0", 26, 1 },
27708		{ "Priority3", 23, 3 },
27709		{ "Priority2", 20, 3 },
27710		{ "Priority1", 17, 3 },
27711		{ "Priority0", 14, 3 },
27712		{ "Valid", 13, 1 },
27713		{ "Replicate", 12, 1 },
27714		{ "PF", 9, 3 },
27715		{ "VF_Valid", 8, 1 },
27716		{ "VF", 0, 8 },
27717	{ "MPS_CLS_SRAM_L", 0xe0e0, 0 },
27718		{ "DisEncapOuterRplct", 31, 1 },
27719		{ "DisEncap", 30, 1 },
27720		{ "MultiListen3", 29, 1 },
27721		{ "MultiListen2", 28, 1 },
27722		{ "MultiListen1", 27, 1 },
27723		{ "MultiListen0", 26, 1 },
27724		{ "Priority3", 23, 3 },
27725		{ "Priority2", 20, 3 },
27726		{ "Priority1", 17, 3 },
27727		{ "Priority0", 14, 3 },
27728		{ "Valid", 13, 1 },
27729		{ "Replicate", 12, 1 },
27730		{ "PF", 9, 3 },
27731		{ "VF_Valid", 8, 1 },
27732		{ "VF", 0, 8 },
27733	{ "MPS_CLS_SRAM_L", 0xe0e8, 0 },
27734		{ "DisEncapOuterRplct", 31, 1 },
27735		{ "DisEncap", 30, 1 },
27736		{ "MultiListen3", 29, 1 },
27737		{ "MultiListen2", 28, 1 },
27738		{ "MultiListen1", 27, 1 },
27739		{ "MultiListen0", 26, 1 },
27740		{ "Priority3", 23, 3 },
27741		{ "Priority2", 20, 3 },
27742		{ "Priority1", 17, 3 },
27743		{ "Priority0", 14, 3 },
27744		{ "Valid", 13, 1 },
27745		{ "Replicate", 12, 1 },
27746		{ "PF", 9, 3 },
27747		{ "VF_Valid", 8, 1 },
27748		{ "VF", 0, 8 },
27749	{ "MPS_CLS_SRAM_L", 0xe0f0, 0 },
27750		{ "DisEncapOuterRplct", 31, 1 },
27751		{ "DisEncap", 30, 1 },
27752		{ "MultiListen3", 29, 1 },
27753		{ "MultiListen2", 28, 1 },
27754		{ "MultiListen1", 27, 1 },
27755		{ "MultiListen0", 26, 1 },
27756		{ "Priority3", 23, 3 },
27757		{ "Priority2", 20, 3 },
27758		{ "Priority1", 17, 3 },
27759		{ "Priority0", 14, 3 },
27760		{ "Valid", 13, 1 },
27761		{ "Replicate", 12, 1 },
27762		{ "PF", 9, 3 },
27763		{ "VF_Valid", 8, 1 },
27764		{ "VF", 0, 8 },
27765	{ "MPS_CLS_SRAM_L", 0xe0f8, 0 },
27766		{ "DisEncapOuterRplct", 31, 1 },
27767		{ "DisEncap", 30, 1 },
27768		{ "MultiListen3", 29, 1 },
27769		{ "MultiListen2", 28, 1 },
27770		{ "MultiListen1", 27, 1 },
27771		{ "MultiListen0", 26, 1 },
27772		{ "Priority3", 23, 3 },
27773		{ "Priority2", 20, 3 },
27774		{ "Priority1", 17, 3 },
27775		{ "Priority0", 14, 3 },
27776		{ "Valid", 13, 1 },
27777		{ "Replicate", 12, 1 },
27778		{ "PF", 9, 3 },
27779		{ "VF_Valid", 8, 1 },
27780		{ "VF", 0, 8 },
27781	{ "MPS_CLS_SRAM_L", 0xe100, 0 },
27782		{ "DisEncapOuterRplct", 31, 1 },
27783		{ "DisEncap", 30, 1 },
27784		{ "MultiListen3", 29, 1 },
27785		{ "MultiListen2", 28, 1 },
27786		{ "MultiListen1", 27, 1 },
27787		{ "MultiListen0", 26, 1 },
27788		{ "Priority3", 23, 3 },
27789		{ "Priority2", 20, 3 },
27790		{ "Priority1", 17, 3 },
27791		{ "Priority0", 14, 3 },
27792		{ "Valid", 13, 1 },
27793		{ "Replicate", 12, 1 },
27794		{ "PF", 9, 3 },
27795		{ "VF_Valid", 8, 1 },
27796		{ "VF", 0, 8 },
27797	{ "MPS_CLS_SRAM_L", 0xe108, 0 },
27798		{ "DisEncapOuterRplct", 31, 1 },
27799		{ "DisEncap", 30, 1 },
27800		{ "MultiListen3", 29, 1 },
27801		{ "MultiListen2", 28, 1 },
27802		{ "MultiListen1", 27, 1 },
27803		{ "MultiListen0", 26, 1 },
27804		{ "Priority3", 23, 3 },
27805		{ "Priority2", 20, 3 },
27806		{ "Priority1", 17, 3 },
27807		{ "Priority0", 14, 3 },
27808		{ "Valid", 13, 1 },
27809		{ "Replicate", 12, 1 },
27810		{ "PF", 9, 3 },
27811		{ "VF_Valid", 8, 1 },
27812		{ "VF", 0, 8 },
27813	{ "MPS_CLS_SRAM_L", 0xe110, 0 },
27814		{ "DisEncapOuterRplct", 31, 1 },
27815		{ "DisEncap", 30, 1 },
27816		{ "MultiListen3", 29, 1 },
27817		{ "MultiListen2", 28, 1 },
27818		{ "MultiListen1", 27, 1 },
27819		{ "MultiListen0", 26, 1 },
27820		{ "Priority3", 23, 3 },
27821		{ "Priority2", 20, 3 },
27822		{ "Priority1", 17, 3 },
27823		{ "Priority0", 14, 3 },
27824		{ "Valid", 13, 1 },
27825		{ "Replicate", 12, 1 },
27826		{ "PF", 9, 3 },
27827		{ "VF_Valid", 8, 1 },
27828		{ "VF", 0, 8 },
27829	{ "MPS_CLS_SRAM_L", 0xe118, 0 },
27830		{ "DisEncapOuterRplct", 31, 1 },
27831		{ "DisEncap", 30, 1 },
27832		{ "MultiListen3", 29, 1 },
27833		{ "MultiListen2", 28, 1 },
27834		{ "MultiListen1", 27, 1 },
27835		{ "MultiListen0", 26, 1 },
27836		{ "Priority3", 23, 3 },
27837		{ "Priority2", 20, 3 },
27838		{ "Priority1", 17, 3 },
27839		{ "Priority0", 14, 3 },
27840		{ "Valid", 13, 1 },
27841		{ "Replicate", 12, 1 },
27842		{ "PF", 9, 3 },
27843		{ "VF_Valid", 8, 1 },
27844		{ "VF", 0, 8 },
27845	{ "MPS_CLS_SRAM_L", 0xe120, 0 },
27846		{ "DisEncapOuterRplct", 31, 1 },
27847		{ "DisEncap", 30, 1 },
27848		{ "MultiListen3", 29, 1 },
27849		{ "MultiListen2", 28, 1 },
27850		{ "MultiListen1", 27, 1 },
27851		{ "MultiListen0", 26, 1 },
27852		{ "Priority3", 23, 3 },
27853		{ "Priority2", 20, 3 },
27854		{ "Priority1", 17, 3 },
27855		{ "Priority0", 14, 3 },
27856		{ "Valid", 13, 1 },
27857		{ "Replicate", 12, 1 },
27858		{ "PF", 9, 3 },
27859		{ "VF_Valid", 8, 1 },
27860		{ "VF", 0, 8 },
27861	{ "MPS_CLS_SRAM_L", 0xe128, 0 },
27862		{ "DisEncapOuterRplct", 31, 1 },
27863		{ "DisEncap", 30, 1 },
27864		{ "MultiListen3", 29, 1 },
27865		{ "MultiListen2", 28, 1 },
27866		{ "MultiListen1", 27, 1 },
27867		{ "MultiListen0", 26, 1 },
27868		{ "Priority3", 23, 3 },
27869		{ "Priority2", 20, 3 },
27870		{ "Priority1", 17, 3 },
27871		{ "Priority0", 14, 3 },
27872		{ "Valid", 13, 1 },
27873		{ "Replicate", 12, 1 },
27874		{ "PF", 9, 3 },
27875		{ "VF_Valid", 8, 1 },
27876		{ "VF", 0, 8 },
27877	{ "MPS_CLS_SRAM_L", 0xe130, 0 },
27878		{ "DisEncapOuterRplct", 31, 1 },
27879		{ "DisEncap", 30, 1 },
27880		{ "MultiListen3", 29, 1 },
27881		{ "MultiListen2", 28, 1 },
27882		{ "MultiListen1", 27, 1 },
27883		{ "MultiListen0", 26, 1 },
27884		{ "Priority3", 23, 3 },
27885		{ "Priority2", 20, 3 },
27886		{ "Priority1", 17, 3 },
27887		{ "Priority0", 14, 3 },
27888		{ "Valid", 13, 1 },
27889		{ "Replicate", 12, 1 },
27890		{ "PF", 9, 3 },
27891		{ "VF_Valid", 8, 1 },
27892		{ "VF", 0, 8 },
27893	{ "MPS_CLS_SRAM_L", 0xe138, 0 },
27894		{ "DisEncapOuterRplct", 31, 1 },
27895		{ "DisEncap", 30, 1 },
27896		{ "MultiListen3", 29, 1 },
27897		{ "MultiListen2", 28, 1 },
27898		{ "MultiListen1", 27, 1 },
27899		{ "MultiListen0", 26, 1 },
27900		{ "Priority3", 23, 3 },
27901		{ "Priority2", 20, 3 },
27902		{ "Priority1", 17, 3 },
27903		{ "Priority0", 14, 3 },
27904		{ "Valid", 13, 1 },
27905		{ "Replicate", 12, 1 },
27906		{ "PF", 9, 3 },
27907		{ "VF_Valid", 8, 1 },
27908		{ "VF", 0, 8 },
27909	{ "MPS_CLS_SRAM_L", 0xe140, 0 },
27910		{ "DisEncapOuterRplct", 31, 1 },
27911		{ "DisEncap", 30, 1 },
27912		{ "MultiListen3", 29, 1 },
27913		{ "MultiListen2", 28, 1 },
27914		{ "MultiListen1", 27, 1 },
27915		{ "MultiListen0", 26, 1 },
27916		{ "Priority3", 23, 3 },
27917		{ "Priority2", 20, 3 },
27918		{ "Priority1", 17, 3 },
27919		{ "Priority0", 14, 3 },
27920		{ "Valid", 13, 1 },
27921		{ "Replicate", 12, 1 },
27922		{ "PF", 9, 3 },
27923		{ "VF_Valid", 8, 1 },
27924		{ "VF", 0, 8 },
27925	{ "MPS_CLS_SRAM_L", 0xe148, 0 },
27926		{ "DisEncapOuterRplct", 31, 1 },
27927		{ "DisEncap", 30, 1 },
27928		{ "MultiListen3", 29, 1 },
27929		{ "MultiListen2", 28, 1 },
27930		{ "MultiListen1", 27, 1 },
27931		{ "MultiListen0", 26, 1 },
27932		{ "Priority3", 23, 3 },
27933		{ "Priority2", 20, 3 },
27934		{ "Priority1", 17, 3 },
27935		{ "Priority0", 14, 3 },
27936		{ "Valid", 13, 1 },
27937		{ "Replicate", 12, 1 },
27938		{ "PF", 9, 3 },
27939		{ "VF_Valid", 8, 1 },
27940		{ "VF", 0, 8 },
27941	{ "MPS_CLS_SRAM_L", 0xe150, 0 },
27942		{ "DisEncapOuterRplct", 31, 1 },
27943		{ "DisEncap", 30, 1 },
27944		{ "MultiListen3", 29, 1 },
27945		{ "MultiListen2", 28, 1 },
27946		{ "MultiListen1", 27, 1 },
27947		{ "MultiListen0", 26, 1 },
27948		{ "Priority3", 23, 3 },
27949		{ "Priority2", 20, 3 },
27950		{ "Priority1", 17, 3 },
27951		{ "Priority0", 14, 3 },
27952		{ "Valid", 13, 1 },
27953		{ "Replicate", 12, 1 },
27954		{ "PF", 9, 3 },
27955		{ "VF_Valid", 8, 1 },
27956		{ "VF", 0, 8 },
27957	{ "MPS_CLS_SRAM_L", 0xe158, 0 },
27958		{ "DisEncapOuterRplct", 31, 1 },
27959		{ "DisEncap", 30, 1 },
27960		{ "MultiListen3", 29, 1 },
27961		{ "MultiListen2", 28, 1 },
27962		{ "MultiListen1", 27, 1 },
27963		{ "MultiListen0", 26, 1 },
27964		{ "Priority3", 23, 3 },
27965		{ "Priority2", 20, 3 },
27966		{ "Priority1", 17, 3 },
27967		{ "Priority0", 14, 3 },
27968		{ "Valid", 13, 1 },
27969		{ "Replicate", 12, 1 },
27970		{ "PF", 9, 3 },
27971		{ "VF_Valid", 8, 1 },
27972		{ "VF", 0, 8 },
27973	{ "MPS_CLS_SRAM_L", 0xe160, 0 },
27974		{ "DisEncapOuterRplct", 31, 1 },
27975		{ "DisEncap", 30, 1 },
27976		{ "MultiListen3", 29, 1 },
27977		{ "MultiListen2", 28, 1 },
27978		{ "MultiListen1", 27, 1 },
27979		{ "MultiListen0", 26, 1 },
27980		{ "Priority3", 23, 3 },
27981		{ "Priority2", 20, 3 },
27982		{ "Priority1", 17, 3 },
27983		{ "Priority0", 14, 3 },
27984		{ "Valid", 13, 1 },
27985		{ "Replicate", 12, 1 },
27986		{ "PF", 9, 3 },
27987		{ "VF_Valid", 8, 1 },
27988		{ "VF", 0, 8 },
27989	{ "MPS_CLS_SRAM_L", 0xe168, 0 },
27990		{ "DisEncapOuterRplct", 31, 1 },
27991		{ "DisEncap", 30, 1 },
27992		{ "MultiListen3", 29, 1 },
27993		{ "MultiListen2", 28, 1 },
27994		{ "MultiListen1", 27, 1 },
27995		{ "MultiListen0", 26, 1 },
27996		{ "Priority3", 23, 3 },
27997		{ "Priority2", 20, 3 },
27998		{ "Priority1", 17, 3 },
27999		{ "Priority0", 14, 3 },
28000		{ "Valid", 13, 1 },
28001		{ "Replicate", 12, 1 },
28002		{ "PF", 9, 3 },
28003		{ "VF_Valid", 8, 1 },
28004		{ "VF", 0, 8 },
28005	{ "MPS_CLS_SRAM_L", 0xe170, 0 },
28006		{ "DisEncapOuterRplct", 31, 1 },
28007		{ "DisEncap", 30, 1 },
28008		{ "MultiListen3", 29, 1 },
28009		{ "MultiListen2", 28, 1 },
28010		{ "MultiListen1", 27, 1 },
28011		{ "MultiListen0", 26, 1 },
28012		{ "Priority3", 23, 3 },
28013		{ "Priority2", 20, 3 },
28014		{ "Priority1", 17, 3 },
28015		{ "Priority0", 14, 3 },
28016		{ "Valid", 13, 1 },
28017		{ "Replicate", 12, 1 },
28018		{ "PF", 9, 3 },
28019		{ "VF_Valid", 8, 1 },
28020		{ "VF", 0, 8 },
28021	{ "MPS_CLS_SRAM_L", 0xe178, 0 },
28022		{ "DisEncapOuterRplct", 31, 1 },
28023		{ "DisEncap", 30, 1 },
28024		{ "MultiListen3", 29, 1 },
28025		{ "MultiListen2", 28, 1 },
28026		{ "MultiListen1", 27, 1 },
28027		{ "MultiListen0", 26, 1 },
28028		{ "Priority3", 23, 3 },
28029		{ "Priority2", 20, 3 },
28030		{ "Priority1", 17, 3 },
28031		{ "Priority0", 14, 3 },
28032		{ "Valid", 13, 1 },
28033		{ "Replicate", 12, 1 },
28034		{ "PF", 9, 3 },
28035		{ "VF_Valid", 8, 1 },
28036		{ "VF", 0, 8 },
28037	{ "MPS_CLS_SRAM_L", 0xe180, 0 },
28038		{ "DisEncapOuterRplct", 31, 1 },
28039		{ "DisEncap", 30, 1 },
28040		{ "MultiListen3", 29, 1 },
28041		{ "MultiListen2", 28, 1 },
28042		{ "MultiListen1", 27, 1 },
28043		{ "MultiListen0", 26, 1 },
28044		{ "Priority3", 23, 3 },
28045		{ "Priority2", 20, 3 },
28046		{ "Priority1", 17, 3 },
28047		{ "Priority0", 14, 3 },
28048		{ "Valid", 13, 1 },
28049		{ "Replicate", 12, 1 },
28050		{ "PF", 9, 3 },
28051		{ "VF_Valid", 8, 1 },
28052		{ "VF", 0, 8 },
28053	{ "MPS_CLS_SRAM_L", 0xe188, 0 },
28054		{ "DisEncapOuterRplct", 31, 1 },
28055		{ "DisEncap", 30, 1 },
28056		{ "MultiListen3", 29, 1 },
28057		{ "MultiListen2", 28, 1 },
28058		{ "MultiListen1", 27, 1 },
28059		{ "MultiListen0", 26, 1 },
28060		{ "Priority3", 23, 3 },
28061		{ "Priority2", 20, 3 },
28062		{ "Priority1", 17, 3 },
28063		{ "Priority0", 14, 3 },
28064		{ "Valid", 13, 1 },
28065		{ "Replicate", 12, 1 },
28066		{ "PF", 9, 3 },
28067		{ "VF_Valid", 8, 1 },
28068		{ "VF", 0, 8 },
28069	{ "MPS_CLS_SRAM_L", 0xe190, 0 },
28070		{ "DisEncapOuterRplct", 31, 1 },
28071		{ "DisEncap", 30, 1 },
28072		{ "MultiListen3", 29, 1 },
28073		{ "MultiListen2", 28, 1 },
28074		{ "MultiListen1", 27, 1 },
28075		{ "MultiListen0", 26, 1 },
28076		{ "Priority3", 23, 3 },
28077		{ "Priority2", 20, 3 },
28078		{ "Priority1", 17, 3 },
28079		{ "Priority0", 14, 3 },
28080		{ "Valid", 13, 1 },
28081		{ "Replicate", 12, 1 },
28082		{ "PF", 9, 3 },
28083		{ "VF_Valid", 8, 1 },
28084		{ "VF", 0, 8 },
28085	{ "MPS_CLS_SRAM_L", 0xe198, 0 },
28086		{ "DisEncapOuterRplct", 31, 1 },
28087		{ "DisEncap", 30, 1 },
28088		{ "MultiListen3", 29, 1 },
28089		{ "MultiListen2", 28, 1 },
28090		{ "MultiListen1", 27, 1 },
28091		{ "MultiListen0", 26, 1 },
28092		{ "Priority3", 23, 3 },
28093		{ "Priority2", 20, 3 },
28094		{ "Priority1", 17, 3 },
28095		{ "Priority0", 14, 3 },
28096		{ "Valid", 13, 1 },
28097		{ "Replicate", 12, 1 },
28098		{ "PF", 9, 3 },
28099		{ "VF_Valid", 8, 1 },
28100		{ "VF", 0, 8 },
28101	{ "MPS_CLS_SRAM_L", 0xe1a0, 0 },
28102		{ "DisEncapOuterRplct", 31, 1 },
28103		{ "DisEncap", 30, 1 },
28104		{ "MultiListen3", 29, 1 },
28105		{ "MultiListen2", 28, 1 },
28106		{ "MultiListen1", 27, 1 },
28107		{ "MultiListen0", 26, 1 },
28108		{ "Priority3", 23, 3 },
28109		{ "Priority2", 20, 3 },
28110		{ "Priority1", 17, 3 },
28111		{ "Priority0", 14, 3 },
28112		{ "Valid", 13, 1 },
28113		{ "Replicate", 12, 1 },
28114		{ "PF", 9, 3 },
28115		{ "VF_Valid", 8, 1 },
28116		{ "VF", 0, 8 },
28117	{ "MPS_CLS_SRAM_L", 0xe1a8, 0 },
28118		{ "DisEncapOuterRplct", 31, 1 },
28119		{ "DisEncap", 30, 1 },
28120		{ "MultiListen3", 29, 1 },
28121		{ "MultiListen2", 28, 1 },
28122		{ "MultiListen1", 27, 1 },
28123		{ "MultiListen0", 26, 1 },
28124		{ "Priority3", 23, 3 },
28125		{ "Priority2", 20, 3 },
28126		{ "Priority1", 17, 3 },
28127		{ "Priority0", 14, 3 },
28128		{ "Valid", 13, 1 },
28129		{ "Replicate", 12, 1 },
28130		{ "PF", 9, 3 },
28131		{ "VF_Valid", 8, 1 },
28132		{ "VF", 0, 8 },
28133	{ "MPS_CLS_SRAM_L", 0xe1b0, 0 },
28134		{ "DisEncapOuterRplct", 31, 1 },
28135		{ "DisEncap", 30, 1 },
28136		{ "MultiListen3", 29, 1 },
28137		{ "MultiListen2", 28, 1 },
28138		{ "MultiListen1", 27, 1 },
28139		{ "MultiListen0", 26, 1 },
28140		{ "Priority3", 23, 3 },
28141		{ "Priority2", 20, 3 },
28142		{ "Priority1", 17, 3 },
28143		{ "Priority0", 14, 3 },
28144		{ "Valid", 13, 1 },
28145		{ "Replicate", 12, 1 },
28146		{ "PF", 9, 3 },
28147		{ "VF_Valid", 8, 1 },
28148		{ "VF", 0, 8 },
28149	{ "MPS_CLS_SRAM_L", 0xe1b8, 0 },
28150		{ "DisEncapOuterRplct", 31, 1 },
28151		{ "DisEncap", 30, 1 },
28152		{ "MultiListen3", 29, 1 },
28153		{ "MultiListen2", 28, 1 },
28154		{ "MultiListen1", 27, 1 },
28155		{ "MultiListen0", 26, 1 },
28156		{ "Priority3", 23, 3 },
28157		{ "Priority2", 20, 3 },
28158		{ "Priority1", 17, 3 },
28159		{ "Priority0", 14, 3 },
28160		{ "Valid", 13, 1 },
28161		{ "Replicate", 12, 1 },
28162		{ "PF", 9, 3 },
28163		{ "VF_Valid", 8, 1 },
28164		{ "VF", 0, 8 },
28165	{ "MPS_CLS_SRAM_L", 0xe1c0, 0 },
28166		{ "DisEncapOuterRplct", 31, 1 },
28167		{ "DisEncap", 30, 1 },
28168		{ "MultiListen3", 29, 1 },
28169		{ "MultiListen2", 28, 1 },
28170		{ "MultiListen1", 27, 1 },
28171		{ "MultiListen0", 26, 1 },
28172		{ "Priority3", 23, 3 },
28173		{ "Priority2", 20, 3 },
28174		{ "Priority1", 17, 3 },
28175		{ "Priority0", 14, 3 },
28176		{ "Valid", 13, 1 },
28177		{ "Replicate", 12, 1 },
28178		{ "PF", 9, 3 },
28179		{ "VF_Valid", 8, 1 },
28180		{ "VF", 0, 8 },
28181	{ "MPS_CLS_SRAM_L", 0xe1c8, 0 },
28182		{ "DisEncapOuterRplct", 31, 1 },
28183		{ "DisEncap", 30, 1 },
28184		{ "MultiListen3", 29, 1 },
28185		{ "MultiListen2", 28, 1 },
28186		{ "MultiListen1", 27, 1 },
28187		{ "MultiListen0", 26, 1 },
28188		{ "Priority3", 23, 3 },
28189		{ "Priority2", 20, 3 },
28190		{ "Priority1", 17, 3 },
28191		{ "Priority0", 14, 3 },
28192		{ "Valid", 13, 1 },
28193		{ "Replicate", 12, 1 },
28194		{ "PF", 9, 3 },
28195		{ "VF_Valid", 8, 1 },
28196		{ "VF", 0, 8 },
28197	{ "MPS_CLS_SRAM_L", 0xe1d0, 0 },
28198		{ "DisEncapOuterRplct", 31, 1 },
28199		{ "DisEncap", 30, 1 },
28200		{ "MultiListen3", 29, 1 },
28201		{ "MultiListen2", 28, 1 },
28202		{ "MultiListen1", 27, 1 },
28203		{ "MultiListen0", 26, 1 },
28204		{ "Priority3", 23, 3 },
28205		{ "Priority2", 20, 3 },
28206		{ "Priority1", 17, 3 },
28207		{ "Priority0", 14, 3 },
28208		{ "Valid", 13, 1 },
28209		{ "Replicate", 12, 1 },
28210		{ "PF", 9, 3 },
28211		{ "VF_Valid", 8, 1 },
28212		{ "VF", 0, 8 },
28213	{ "MPS_CLS_SRAM_L", 0xe1d8, 0 },
28214		{ "DisEncapOuterRplct", 31, 1 },
28215		{ "DisEncap", 30, 1 },
28216		{ "MultiListen3", 29, 1 },
28217		{ "MultiListen2", 28, 1 },
28218		{ "MultiListen1", 27, 1 },
28219		{ "MultiListen0", 26, 1 },
28220		{ "Priority3", 23, 3 },
28221		{ "Priority2", 20, 3 },
28222		{ "Priority1", 17, 3 },
28223		{ "Priority0", 14, 3 },
28224		{ "Valid", 13, 1 },
28225		{ "Replicate", 12, 1 },
28226		{ "PF", 9, 3 },
28227		{ "VF_Valid", 8, 1 },
28228		{ "VF", 0, 8 },
28229	{ "MPS_CLS_SRAM_L", 0xe1e0, 0 },
28230		{ "DisEncapOuterRplct", 31, 1 },
28231		{ "DisEncap", 30, 1 },
28232		{ "MultiListen3", 29, 1 },
28233		{ "MultiListen2", 28, 1 },
28234		{ "MultiListen1", 27, 1 },
28235		{ "MultiListen0", 26, 1 },
28236		{ "Priority3", 23, 3 },
28237		{ "Priority2", 20, 3 },
28238		{ "Priority1", 17, 3 },
28239		{ "Priority0", 14, 3 },
28240		{ "Valid", 13, 1 },
28241		{ "Replicate", 12, 1 },
28242		{ "PF", 9, 3 },
28243		{ "VF_Valid", 8, 1 },
28244		{ "VF", 0, 8 },
28245	{ "MPS_CLS_SRAM_L", 0xe1e8, 0 },
28246		{ "DisEncapOuterRplct", 31, 1 },
28247		{ "DisEncap", 30, 1 },
28248		{ "MultiListen3", 29, 1 },
28249		{ "MultiListen2", 28, 1 },
28250		{ "MultiListen1", 27, 1 },
28251		{ "MultiListen0", 26, 1 },
28252		{ "Priority3", 23, 3 },
28253		{ "Priority2", 20, 3 },
28254		{ "Priority1", 17, 3 },
28255		{ "Priority0", 14, 3 },
28256		{ "Valid", 13, 1 },
28257		{ "Replicate", 12, 1 },
28258		{ "PF", 9, 3 },
28259		{ "VF_Valid", 8, 1 },
28260		{ "VF", 0, 8 },
28261	{ "MPS_CLS_SRAM_L", 0xe1f0, 0 },
28262		{ "DisEncapOuterRplct", 31, 1 },
28263		{ "DisEncap", 30, 1 },
28264		{ "MultiListen3", 29, 1 },
28265		{ "MultiListen2", 28, 1 },
28266		{ "MultiListen1", 27, 1 },
28267		{ "MultiListen0", 26, 1 },
28268		{ "Priority3", 23, 3 },
28269		{ "Priority2", 20, 3 },
28270		{ "Priority1", 17, 3 },
28271		{ "Priority0", 14, 3 },
28272		{ "Valid", 13, 1 },
28273		{ "Replicate", 12, 1 },
28274		{ "PF", 9, 3 },
28275		{ "VF_Valid", 8, 1 },
28276		{ "VF", 0, 8 },
28277	{ "MPS_CLS_SRAM_L", 0xe1f8, 0 },
28278		{ "DisEncapOuterRplct", 31, 1 },
28279		{ "DisEncap", 30, 1 },
28280		{ "MultiListen3", 29, 1 },
28281		{ "MultiListen2", 28, 1 },
28282		{ "MultiListen1", 27, 1 },
28283		{ "MultiListen0", 26, 1 },
28284		{ "Priority3", 23, 3 },
28285		{ "Priority2", 20, 3 },
28286		{ "Priority1", 17, 3 },
28287		{ "Priority0", 14, 3 },
28288		{ "Valid", 13, 1 },
28289		{ "Replicate", 12, 1 },
28290		{ "PF", 9, 3 },
28291		{ "VF_Valid", 8, 1 },
28292		{ "VF", 0, 8 },
28293	{ "MPS_CLS_SRAM_L", 0xe200, 0 },
28294		{ "DisEncapOuterRplct", 31, 1 },
28295		{ "DisEncap", 30, 1 },
28296		{ "MultiListen3", 29, 1 },
28297		{ "MultiListen2", 28, 1 },
28298		{ "MultiListen1", 27, 1 },
28299		{ "MultiListen0", 26, 1 },
28300		{ "Priority3", 23, 3 },
28301		{ "Priority2", 20, 3 },
28302		{ "Priority1", 17, 3 },
28303		{ "Priority0", 14, 3 },
28304		{ "Valid", 13, 1 },
28305		{ "Replicate", 12, 1 },
28306		{ "PF", 9, 3 },
28307		{ "VF_Valid", 8, 1 },
28308		{ "VF", 0, 8 },
28309	{ "MPS_CLS_SRAM_L", 0xe208, 0 },
28310		{ "DisEncapOuterRplct", 31, 1 },
28311		{ "DisEncap", 30, 1 },
28312		{ "MultiListen3", 29, 1 },
28313		{ "MultiListen2", 28, 1 },
28314		{ "MultiListen1", 27, 1 },
28315		{ "MultiListen0", 26, 1 },
28316		{ "Priority3", 23, 3 },
28317		{ "Priority2", 20, 3 },
28318		{ "Priority1", 17, 3 },
28319		{ "Priority0", 14, 3 },
28320		{ "Valid", 13, 1 },
28321		{ "Replicate", 12, 1 },
28322		{ "PF", 9, 3 },
28323		{ "VF_Valid", 8, 1 },
28324		{ "VF", 0, 8 },
28325	{ "MPS_CLS_SRAM_L", 0xe210, 0 },
28326		{ "DisEncapOuterRplct", 31, 1 },
28327		{ "DisEncap", 30, 1 },
28328		{ "MultiListen3", 29, 1 },
28329		{ "MultiListen2", 28, 1 },
28330		{ "MultiListen1", 27, 1 },
28331		{ "MultiListen0", 26, 1 },
28332		{ "Priority3", 23, 3 },
28333		{ "Priority2", 20, 3 },
28334		{ "Priority1", 17, 3 },
28335		{ "Priority0", 14, 3 },
28336		{ "Valid", 13, 1 },
28337		{ "Replicate", 12, 1 },
28338		{ "PF", 9, 3 },
28339		{ "VF_Valid", 8, 1 },
28340		{ "VF", 0, 8 },
28341	{ "MPS_CLS_SRAM_L", 0xe218, 0 },
28342		{ "DisEncapOuterRplct", 31, 1 },
28343		{ "DisEncap", 30, 1 },
28344		{ "MultiListen3", 29, 1 },
28345		{ "MultiListen2", 28, 1 },
28346		{ "MultiListen1", 27, 1 },
28347		{ "MultiListen0", 26, 1 },
28348		{ "Priority3", 23, 3 },
28349		{ "Priority2", 20, 3 },
28350		{ "Priority1", 17, 3 },
28351		{ "Priority0", 14, 3 },
28352		{ "Valid", 13, 1 },
28353		{ "Replicate", 12, 1 },
28354		{ "PF", 9, 3 },
28355		{ "VF_Valid", 8, 1 },
28356		{ "VF", 0, 8 },
28357	{ "MPS_CLS_SRAM_L", 0xe220, 0 },
28358		{ "DisEncapOuterRplct", 31, 1 },
28359		{ "DisEncap", 30, 1 },
28360		{ "MultiListen3", 29, 1 },
28361		{ "MultiListen2", 28, 1 },
28362		{ "MultiListen1", 27, 1 },
28363		{ "MultiListen0", 26, 1 },
28364		{ "Priority3", 23, 3 },
28365		{ "Priority2", 20, 3 },
28366		{ "Priority1", 17, 3 },
28367		{ "Priority0", 14, 3 },
28368		{ "Valid", 13, 1 },
28369		{ "Replicate", 12, 1 },
28370		{ "PF", 9, 3 },
28371		{ "VF_Valid", 8, 1 },
28372		{ "VF", 0, 8 },
28373	{ "MPS_CLS_SRAM_L", 0xe228, 0 },
28374		{ "DisEncapOuterRplct", 31, 1 },
28375		{ "DisEncap", 30, 1 },
28376		{ "MultiListen3", 29, 1 },
28377		{ "MultiListen2", 28, 1 },
28378		{ "MultiListen1", 27, 1 },
28379		{ "MultiListen0", 26, 1 },
28380		{ "Priority3", 23, 3 },
28381		{ "Priority2", 20, 3 },
28382		{ "Priority1", 17, 3 },
28383		{ "Priority0", 14, 3 },
28384		{ "Valid", 13, 1 },
28385		{ "Replicate", 12, 1 },
28386		{ "PF", 9, 3 },
28387		{ "VF_Valid", 8, 1 },
28388		{ "VF", 0, 8 },
28389	{ "MPS_CLS_SRAM_L", 0xe230, 0 },
28390		{ "DisEncapOuterRplct", 31, 1 },
28391		{ "DisEncap", 30, 1 },
28392		{ "MultiListen3", 29, 1 },
28393		{ "MultiListen2", 28, 1 },
28394		{ "MultiListen1", 27, 1 },
28395		{ "MultiListen0", 26, 1 },
28396		{ "Priority3", 23, 3 },
28397		{ "Priority2", 20, 3 },
28398		{ "Priority1", 17, 3 },
28399		{ "Priority0", 14, 3 },
28400		{ "Valid", 13, 1 },
28401		{ "Replicate", 12, 1 },
28402		{ "PF", 9, 3 },
28403		{ "VF_Valid", 8, 1 },
28404		{ "VF", 0, 8 },
28405	{ "MPS_CLS_SRAM_L", 0xe238, 0 },
28406		{ "DisEncapOuterRplct", 31, 1 },
28407		{ "DisEncap", 30, 1 },
28408		{ "MultiListen3", 29, 1 },
28409		{ "MultiListen2", 28, 1 },
28410		{ "MultiListen1", 27, 1 },
28411		{ "MultiListen0", 26, 1 },
28412		{ "Priority3", 23, 3 },
28413		{ "Priority2", 20, 3 },
28414		{ "Priority1", 17, 3 },
28415		{ "Priority0", 14, 3 },
28416		{ "Valid", 13, 1 },
28417		{ "Replicate", 12, 1 },
28418		{ "PF", 9, 3 },
28419		{ "VF_Valid", 8, 1 },
28420		{ "VF", 0, 8 },
28421	{ "MPS_CLS_SRAM_L", 0xe240, 0 },
28422		{ "DisEncapOuterRplct", 31, 1 },
28423		{ "DisEncap", 30, 1 },
28424		{ "MultiListen3", 29, 1 },
28425		{ "MultiListen2", 28, 1 },
28426		{ "MultiListen1", 27, 1 },
28427		{ "MultiListen0", 26, 1 },
28428		{ "Priority3", 23, 3 },
28429		{ "Priority2", 20, 3 },
28430		{ "Priority1", 17, 3 },
28431		{ "Priority0", 14, 3 },
28432		{ "Valid", 13, 1 },
28433		{ "Replicate", 12, 1 },
28434		{ "PF", 9, 3 },
28435		{ "VF_Valid", 8, 1 },
28436		{ "VF", 0, 8 },
28437	{ "MPS_CLS_SRAM_L", 0xe248, 0 },
28438		{ "DisEncapOuterRplct", 31, 1 },
28439		{ "DisEncap", 30, 1 },
28440		{ "MultiListen3", 29, 1 },
28441		{ "MultiListen2", 28, 1 },
28442		{ "MultiListen1", 27, 1 },
28443		{ "MultiListen0", 26, 1 },
28444		{ "Priority3", 23, 3 },
28445		{ "Priority2", 20, 3 },
28446		{ "Priority1", 17, 3 },
28447		{ "Priority0", 14, 3 },
28448		{ "Valid", 13, 1 },
28449		{ "Replicate", 12, 1 },
28450		{ "PF", 9, 3 },
28451		{ "VF_Valid", 8, 1 },
28452		{ "VF", 0, 8 },
28453	{ "MPS_CLS_SRAM_L", 0xe250, 0 },
28454		{ "DisEncapOuterRplct", 31, 1 },
28455		{ "DisEncap", 30, 1 },
28456		{ "MultiListen3", 29, 1 },
28457		{ "MultiListen2", 28, 1 },
28458		{ "MultiListen1", 27, 1 },
28459		{ "MultiListen0", 26, 1 },
28460		{ "Priority3", 23, 3 },
28461		{ "Priority2", 20, 3 },
28462		{ "Priority1", 17, 3 },
28463		{ "Priority0", 14, 3 },
28464		{ "Valid", 13, 1 },
28465		{ "Replicate", 12, 1 },
28466		{ "PF", 9, 3 },
28467		{ "VF_Valid", 8, 1 },
28468		{ "VF", 0, 8 },
28469	{ "MPS_CLS_SRAM_L", 0xe258, 0 },
28470		{ "DisEncapOuterRplct", 31, 1 },
28471		{ "DisEncap", 30, 1 },
28472		{ "MultiListen3", 29, 1 },
28473		{ "MultiListen2", 28, 1 },
28474		{ "MultiListen1", 27, 1 },
28475		{ "MultiListen0", 26, 1 },
28476		{ "Priority3", 23, 3 },
28477		{ "Priority2", 20, 3 },
28478		{ "Priority1", 17, 3 },
28479		{ "Priority0", 14, 3 },
28480		{ "Valid", 13, 1 },
28481		{ "Replicate", 12, 1 },
28482		{ "PF", 9, 3 },
28483		{ "VF_Valid", 8, 1 },
28484		{ "VF", 0, 8 },
28485	{ "MPS_CLS_SRAM_L", 0xe260, 0 },
28486		{ "DisEncapOuterRplct", 31, 1 },
28487		{ "DisEncap", 30, 1 },
28488		{ "MultiListen3", 29, 1 },
28489		{ "MultiListen2", 28, 1 },
28490		{ "MultiListen1", 27, 1 },
28491		{ "MultiListen0", 26, 1 },
28492		{ "Priority3", 23, 3 },
28493		{ "Priority2", 20, 3 },
28494		{ "Priority1", 17, 3 },
28495		{ "Priority0", 14, 3 },
28496		{ "Valid", 13, 1 },
28497		{ "Replicate", 12, 1 },
28498		{ "PF", 9, 3 },
28499		{ "VF_Valid", 8, 1 },
28500		{ "VF", 0, 8 },
28501	{ "MPS_CLS_SRAM_L", 0xe268, 0 },
28502		{ "DisEncapOuterRplct", 31, 1 },
28503		{ "DisEncap", 30, 1 },
28504		{ "MultiListen3", 29, 1 },
28505		{ "MultiListen2", 28, 1 },
28506		{ "MultiListen1", 27, 1 },
28507		{ "MultiListen0", 26, 1 },
28508		{ "Priority3", 23, 3 },
28509		{ "Priority2", 20, 3 },
28510		{ "Priority1", 17, 3 },
28511		{ "Priority0", 14, 3 },
28512		{ "Valid", 13, 1 },
28513		{ "Replicate", 12, 1 },
28514		{ "PF", 9, 3 },
28515		{ "VF_Valid", 8, 1 },
28516		{ "VF", 0, 8 },
28517	{ "MPS_CLS_SRAM_L", 0xe270, 0 },
28518		{ "DisEncapOuterRplct", 31, 1 },
28519		{ "DisEncap", 30, 1 },
28520		{ "MultiListen3", 29, 1 },
28521		{ "MultiListen2", 28, 1 },
28522		{ "MultiListen1", 27, 1 },
28523		{ "MultiListen0", 26, 1 },
28524		{ "Priority3", 23, 3 },
28525		{ "Priority2", 20, 3 },
28526		{ "Priority1", 17, 3 },
28527		{ "Priority0", 14, 3 },
28528		{ "Valid", 13, 1 },
28529		{ "Replicate", 12, 1 },
28530		{ "PF", 9, 3 },
28531		{ "VF_Valid", 8, 1 },
28532		{ "VF", 0, 8 },
28533	{ "MPS_CLS_SRAM_L", 0xe278, 0 },
28534		{ "DisEncapOuterRplct", 31, 1 },
28535		{ "DisEncap", 30, 1 },
28536		{ "MultiListen3", 29, 1 },
28537		{ "MultiListen2", 28, 1 },
28538		{ "MultiListen1", 27, 1 },
28539		{ "MultiListen0", 26, 1 },
28540		{ "Priority3", 23, 3 },
28541		{ "Priority2", 20, 3 },
28542		{ "Priority1", 17, 3 },
28543		{ "Priority0", 14, 3 },
28544		{ "Valid", 13, 1 },
28545		{ "Replicate", 12, 1 },
28546		{ "PF", 9, 3 },
28547		{ "VF_Valid", 8, 1 },
28548		{ "VF", 0, 8 },
28549	{ "MPS_CLS_SRAM_L", 0xe280, 0 },
28550		{ "DisEncapOuterRplct", 31, 1 },
28551		{ "DisEncap", 30, 1 },
28552		{ "MultiListen3", 29, 1 },
28553		{ "MultiListen2", 28, 1 },
28554		{ "MultiListen1", 27, 1 },
28555		{ "MultiListen0", 26, 1 },
28556		{ "Priority3", 23, 3 },
28557		{ "Priority2", 20, 3 },
28558		{ "Priority1", 17, 3 },
28559		{ "Priority0", 14, 3 },
28560		{ "Valid", 13, 1 },
28561		{ "Replicate", 12, 1 },
28562		{ "PF", 9, 3 },
28563		{ "VF_Valid", 8, 1 },
28564		{ "VF", 0, 8 },
28565	{ "MPS_CLS_SRAM_L", 0xe288, 0 },
28566		{ "DisEncapOuterRplct", 31, 1 },
28567		{ "DisEncap", 30, 1 },
28568		{ "MultiListen3", 29, 1 },
28569		{ "MultiListen2", 28, 1 },
28570		{ "MultiListen1", 27, 1 },
28571		{ "MultiListen0", 26, 1 },
28572		{ "Priority3", 23, 3 },
28573		{ "Priority2", 20, 3 },
28574		{ "Priority1", 17, 3 },
28575		{ "Priority0", 14, 3 },
28576		{ "Valid", 13, 1 },
28577		{ "Replicate", 12, 1 },
28578		{ "PF", 9, 3 },
28579		{ "VF_Valid", 8, 1 },
28580		{ "VF", 0, 8 },
28581	{ "MPS_CLS_SRAM_L", 0xe290, 0 },
28582		{ "DisEncapOuterRplct", 31, 1 },
28583		{ "DisEncap", 30, 1 },
28584		{ "MultiListen3", 29, 1 },
28585		{ "MultiListen2", 28, 1 },
28586		{ "MultiListen1", 27, 1 },
28587		{ "MultiListen0", 26, 1 },
28588		{ "Priority3", 23, 3 },
28589		{ "Priority2", 20, 3 },
28590		{ "Priority1", 17, 3 },
28591		{ "Priority0", 14, 3 },
28592		{ "Valid", 13, 1 },
28593		{ "Replicate", 12, 1 },
28594		{ "PF", 9, 3 },
28595		{ "VF_Valid", 8, 1 },
28596		{ "VF", 0, 8 },
28597	{ "MPS_CLS_SRAM_L", 0xe298, 0 },
28598		{ "DisEncapOuterRplct", 31, 1 },
28599		{ "DisEncap", 30, 1 },
28600		{ "MultiListen3", 29, 1 },
28601		{ "MultiListen2", 28, 1 },
28602		{ "MultiListen1", 27, 1 },
28603		{ "MultiListen0", 26, 1 },
28604		{ "Priority3", 23, 3 },
28605		{ "Priority2", 20, 3 },
28606		{ "Priority1", 17, 3 },
28607		{ "Priority0", 14, 3 },
28608		{ "Valid", 13, 1 },
28609		{ "Replicate", 12, 1 },
28610		{ "PF", 9, 3 },
28611		{ "VF_Valid", 8, 1 },
28612		{ "VF", 0, 8 },
28613	{ "MPS_CLS_SRAM_L", 0xe2a0, 0 },
28614		{ "DisEncapOuterRplct", 31, 1 },
28615		{ "DisEncap", 30, 1 },
28616		{ "MultiListen3", 29, 1 },
28617		{ "MultiListen2", 28, 1 },
28618		{ "MultiListen1", 27, 1 },
28619		{ "MultiListen0", 26, 1 },
28620		{ "Priority3", 23, 3 },
28621		{ "Priority2", 20, 3 },
28622		{ "Priority1", 17, 3 },
28623		{ "Priority0", 14, 3 },
28624		{ "Valid", 13, 1 },
28625		{ "Replicate", 12, 1 },
28626		{ "PF", 9, 3 },
28627		{ "VF_Valid", 8, 1 },
28628		{ "VF", 0, 8 },
28629	{ "MPS_CLS_SRAM_L", 0xe2a8, 0 },
28630		{ "DisEncapOuterRplct", 31, 1 },
28631		{ "DisEncap", 30, 1 },
28632		{ "MultiListen3", 29, 1 },
28633		{ "MultiListen2", 28, 1 },
28634		{ "MultiListen1", 27, 1 },
28635		{ "MultiListen0", 26, 1 },
28636		{ "Priority3", 23, 3 },
28637		{ "Priority2", 20, 3 },
28638		{ "Priority1", 17, 3 },
28639		{ "Priority0", 14, 3 },
28640		{ "Valid", 13, 1 },
28641		{ "Replicate", 12, 1 },
28642		{ "PF", 9, 3 },
28643		{ "VF_Valid", 8, 1 },
28644		{ "VF", 0, 8 },
28645	{ "MPS_CLS_SRAM_L", 0xe2b0, 0 },
28646		{ "DisEncapOuterRplct", 31, 1 },
28647		{ "DisEncap", 30, 1 },
28648		{ "MultiListen3", 29, 1 },
28649		{ "MultiListen2", 28, 1 },
28650		{ "MultiListen1", 27, 1 },
28651		{ "MultiListen0", 26, 1 },
28652		{ "Priority3", 23, 3 },
28653		{ "Priority2", 20, 3 },
28654		{ "Priority1", 17, 3 },
28655		{ "Priority0", 14, 3 },
28656		{ "Valid", 13, 1 },
28657		{ "Replicate", 12, 1 },
28658		{ "PF", 9, 3 },
28659		{ "VF_Valid", 8, 1 },
28660		{ "VF", 0, 8 },
28661	{ "MPS_CLS_SRAM_L", 0xe2b8, 0 },
28662		{ "DisEncapOuterRplct", 31, 1 },
28663		{ "DisEncap", 30, 1 },
28664		{ "MultiListen3", 29, 1 },
28665		{ "MultiListen2", 28, 1 },
28666		{ "MultiListen1", 27, 1 },
28667		{ "MultiListen0", 26, 1 },
28668		{ "Priority3", 23, 3 },
28669		{ "Priority2", 20, 3 },
28670		{ "Priority1", 17, 3 },
28671		{ "Priority0", 14, 3 },
28672		{ "Valid", 13, 1 },
28673		{ "Replicate", 12, 1 },
28674		{ "PF", 9, 3 },
28675		{ "VF_Valid", 8, 1 },
28676		{ "VF", 0, 8 },
28677	{ "MPS_CLS_SRAM_L", 0xe2c0, 0 },
28678		{ "DisEncapOuterRplct", 31, 1 },
28679		{ "DisEncap", 30, 1 },
28680		{ "MultiListen3", 29, 1 },
28681		{ "MultiListen2", 28, 1 },
28682		{ "MultiListen1", 27, 1 },
28683		{ "MultiListen0", 26, 1 },
28684		{ "Priority3", 23, 3 },
28685		{ "Priority2", 20, 3 },
28686		{ "Priority1", 17, 3 },
28687		{ "Priority0", 14, 3 },
28688		{ "Valid", 13, 1 },
28689		{ "Replicate", 12, 1 },
28690		{ "PF", 9, 3 },
28691		{ "VF_Valid", 8, 1 },
28692		{ "VF", 0, 8 },
28693	{ "MPS_CLS_SRAM_L", 0xe2c8, 0 },
28694		{ "DisEncapOuterRplct", 31, 1 },
28695		{ "DisEncap", 30, 1 },
28696		{ "MultiListen3", 29, 1 },
28697		{ "MultiListen2", 28, 1 },
28698		{ "MultiListen1", 27, 1 },
28699		{ "MultiListen0", 26, 1 },
28700		{ "Priority3", 23, 3 },
28701		{ "Priority2", 20, 3 },
28702		{ "Priority1", 17, 3 },
28703		{ "Priority0", 14, 3 },
28704		{ "Valid", 13, 1 },
28705		{ "Replicate", 12, 1 },
28706		{ "PF", 9, 3 },
28707		{ "VF_Valid", 8, 1 },
28708		{ "VF", 0, 8 },
28709	{ "MPS_CLS_SRAM_L", 0xe2d0, 0 },
28710		{ "DisEncapOuterRplct", 31, 1 },
28711		{ "DisEncap", 30, 1 },
28712		{ "MultiListen3", 29, 1 },
28713		{ "MultiListen2", 28, 1 },
28714		{ "MultiListen1", 27, 1 },
28715		{ "MultiListen0", 26, 1 },
28716		{ "Priority3", 23, 3 },
28717		{ "Priority2", 20, 3 },
28718		{ "Priority1", 17, 3 },
28719		{ "Priority0", 14, 3 },
28720		{ "Valid", 13, 1 },
28721		{ "Replicate", 12, 1 },
28722		{ "PF", 9, 3 },
28723		{ "VF_Valid", 8, 1 },
28724		{ "VF", 0, 8 },
28725	{ "MPS_CLS_SRAM_L", 0xe2d8, 0 },
28726		{ "DisEncapOuterRplct", 31, 1 },
28727		{ "DisEncap", 30, 1 },
28728		{ "MultiListen3", 29, 1 },
28729		{ "MultiListen2", 28, 1 },
28730		{ "MultiListen1", 27, 1 },
28731		{ "MultiListen0", 26, 1 },
28732		{ "Priority3", 23, 3 },
28733		{ "Priority2", 20, 3 },
28734		{ "Priority1", 17, 3 },
28735		{ "Priority0", 14, 3 },
28736		{ "Valid", 13, 1 },
28737		{ "Replicate", 12, 1 },
28738		{ "PF", 9, 3 },
28739		{ "VF_Valid", 8, 1 },
28740		{ "VF", 0, 8 },
28741	{ "MPS_CLS_SRAM_L", 0xe2e0, 0 },
28742		{ "DisEncapOuterRplct", 31, 1 },
28743		{ "DisEncap", 30, 1 },
28744		{ "MultiListen3", 29, 1 },
28745		{ "MultiListen2", 28, 1 },
28746		{ "MultiListen1", 27, 1 },
28747		{ "MultiListen0", 26, 1 },
28748		{ "Priority3", 23, 3 },
28749		{ "Priority2", 20, 3 },
28750		{ "Priority1", 17, 3 },
28751		{ "Priority0", 14, 3 },
28752		{ "Valid", 13, 1 },
28753		{ "Replicate", 12, 1 },
28754		{ "PF", 9, 3 },
28755		{ "VF_Valid", 8, 1 },
28756		{ "VF", 0, 8 },
28757	{ "MPS_CLS_SRAM_L", 0xe2e8, 0 },
28758		{ "DisEncapOuterRplct", 31, 1 },
28759		{ "DisEncap", 30, 1 },
28760		{ "MultiListen3", 29, 1 },
28761		{ "MultiListen2", 28, 1 },
28762		{ "MultiListen1", 27, 1 },
28763		{ "MultiListen0", 26, 1 },
28764		{ "Priority3", 23, 3 },
28765		{ "Priority2", 20, 3 },
28766		{ "Priority1", 17, 3 },
28767		{ "Priority0", 14, 3 },
28768		{ "Valid", 13, 1 },
28769		{ "Replicate", 12, 1 },
28770		{ "PF", 9, 3 },
28771		{ "VF_Valid", 8, 1 },
28772		{ "VF", 0, 8 },
28773	{ "MPS_CLS_SRAM_L", 0xe2f0, 0 },
28774		{ "DisEncapOuterRplct", 31, 1 },
28775		{ "DisEncap", 30, 1 },
28776		{ "MultiListen3", 29, 1 },
28777		{ "MultiListen2", 28, 1 },
28778		{ "MultiListen1", 27, 1 },
28779		{ "MultiListen0", 26, 1 },
28780		{ "Priority3", 23, 3 },
28781		{ "Priority2", 20, 3 },
28782		{ "Priority1", 17, 3 },
28783		{ "Priority0", 14, 3 },
28784		{ "Valid", 13, 1 },
28785		{ "Replicate", 12, 1 },
28786		{ "PF", 9, 3 },
28787		{ "VF_Valid", 8, 1 },
28788		{ "VF", 0, 8 },
28789	{ "MPS_CLS_SRAM_L", 0xe2f8, 0 },
28790		{ "DisEncapOuterRplct", 31, 1 },
28791		{ "DisEncap", 30, 1 },
28792		{ "MultiListen3", 29, 1 },
28793		{ "MultiListen2", 28, 1 },
28794		{ "MultiListen1", 27, 1 },
28795		{ "MultiListen0", 26, 1 },
28796		{ "Priority3", 23, 3 },
28797		{ "Priority2", 20, 3 },
28798		{ "Priority1", 17, 3 },
28799		{ "Priority0", 14, 3 },
28800		{ "Valid", 13, 1 },
28801		{ "Replicate", 12, 1 },
28802		{ "PF", 9, 3 },
28803		{ "VF_Valid", 8, 1 },
28804		{ "VF", 0, 8 },
28805	{ "MPS_CLS_SRAM_L", 0xe300, 0 },
28806		{ "DisEncapOuterRplct", 31, 1 },
28807		{ "DisEncap", 30, 1 },
28808		{ "MultiListen3", 29, 1 },
28809		{ "MultiListen2", 28, 1 },
28810		{ "MultiListen1", 27, 1 },
28811		{ "MultiListen0", 26, 1 },
28812		{ "Priority3", 23, 3 },
28813		{ "Priority2", 20, 3 },
28814		{ "Priority1", 17, 3 },
28815		{ "Priority0", 14, 3 },
28816		{ "Valid", 13, 1 },
28817		{ "Replicate", 12, 1 },
28818		{ "PF", 9, 3 },
28819		{ "VF_Valid", 8, 1 },
28820		{ "VF", 0, 8 },
28821	{ "MPS_CLS_SRAM_L", 0xe308, 0 },
28822		{ "DisEncapOuterRplct", 31, 1 },
28823		{ "DisEncap", 30, 1 },
28824		{ "MultiListen3", 29, 1 },
28825		{ "MultiListen2", 28, 1 },
28826		{ "MultiListen1", 27, 1 },
28827		{ "MultiListen0", 26, 1 },
28828		{ "Priority3", 23, 3 },
28829		{ "Priority2", 20, 3 },
28830		{ "Priority1", 17, 3 },
28831		{ "Priority0", 14, 3 },
28832		{ "Valid", 13, 1 },
28833		{ "Replicate", 12, 1 },
28834		{ "PF", 9, 3 },
28835		{ "VF_Valid", 8, 1 },
28836		{ "VF", 0, 8 },
28837	{ "MPS_CLS_SRAM_L", 0xe310, 0 },
28838		{ "DisEncapOuterRplct", 31, 1 },
28839		{ "DisEncap", 30, 1 },
28840		{ "MultiListen3", 29, 1 },
28841		{ "MultiListen2", 28, 1 },
28842		{ "MultiListen1", 27, 1 },
28843		{ "MultiListen0", 26, 1 },
28844		{ "Priority3", 23, 3 },
28845		{ "Priority2", 20, 3 },
28846		{ "Priority1", 17, 3 },
28847		{ "Priority0", 14, 3 },
28848		{ "Valid", 13, 1 },
28849		{ "Replicate", 12, 1 },
28850		{ "PF", 9, 3 },
28851		{ "VF_Valid", 8, 1 },
28852		{ "VF", 0, 8 },
28853	{ "MPS_CLS_SRAM_L", 0xe318, 0 },
28854		{ "DisEncapOuterRplct", 31, 1 },
28855		{ "DisEncap", 30, 1 },
28856		{ "MultiListen3", 29, 1 },
28857		{ "MultiListen2", 28, 1 },
28858		{ "MultiListen1", 27, 1 },
28859		{ "MultiListen0", 26, 1 },
28860		{ "Priority3", 23, 3 },
28861		{ "Priority2", 20, 3 },
28862		{ "Priority1", 17, 3 },
28863		{ "Priority0", 14, 3 },
28864		{ "Valid", 13, 1 },
28865		{ "Replicate", 12, 1 },
28866		{ "PF", 9, 3 },
28867		{ "VF_Valid", 8, 1 },
28868		{ "VF", 0, 8 },
28869	{ "MPS_CLS_SRAM_L", 0xe320, 0 },
28870		{ "DisEncapOuterRplct", 31, 1 },
28871		{ "DisEncap", 30, 1 },
28872		{ "MultiListen3", 29, 1 },
28873		{ "MultiListen2", 28, 1 },
28874		{ "MultiListen1", 27, 1 },
28875		{ "MultiListen0", 26, 1 },
28876		{ "Priority3", 23, 3 },
28877		{ "Priority2", 20, 3 },
28878		{ "Priority1", 17, 3 },
28879		{ "Priority0", 14, 3 },
28880		{ "Valid", 13, 1 },
28881		{ "Replicate", 12, 1 },
28882		{ "PF", 9, 3 },
28883		{ "VF_Valid", 8, 1 },
28884		{ "VF", 0, 8 },
28885	{ "MPS_CLS_SRAM_L", 0xe328, 0 },
28886		{ "DisEncapOuterRplct", 31, 1 },
28887		{ "DisEncap", 30, 1 },
28888		{ "MultiListen3", 29, 1 },
28889		{ "MultiListen2", 28, 1 },
28890		{ "MultiListen1", 27, 1 },
28891		{ "MultiListen0", 26, 1 },
28892		{ "Priority3", 23, 3 },
28893		{ "Priority2", 20, 3 },
28894		{ "Priority1", 17, 3 },
28895		{ "Priority0", 14, 3 },
28896		{ "Valid", 13, 1 },
28897		{ "Replicate", 12, 1 },
28898		{ "PF", 9, 3 },
28899		{ "VF_Valid", 8, 1 },
28900		{ "VF", 0, 8 },
28901	{ "MPS_CLS_SRAM_L", 0xe330, 0 },
28902		{ "DisEncapOuterRplct", 31, 1 },
28903		{ "DisEncap", 30, 1 },
28904		{ "MultiListen3", 29, 1 },
28905		{ "MultiListen2", 28, 1 },
28906		{ "MultiListen1", 27, 1 },
28907		{ "MultiListen0", 26, 1 },
28908		{ "Priority3", 23, 3 },
28909		{ "Priority2", 20, 3 },
28910		{ "Priority1", 17, 3 },
28911		{ "Priority0", 14, 3 },
28912		{ "Valid", 13, 1 },
28913		{ "Replicate", 12, 1 },
28914		{ "PF", 9, 3 },
28915		{ "VF_Valid", 8, 1 },
28916		{ "VF", 0, 8 },
28917	{ "MPS_CLS_SRAM_L", 0xe338, 0 },
28918		{ "DisEncapOuterRplct", 31, 1 },
28919		{ "DisEncap", 30, 1 },
28920		{ "MultiListen3", 29, 1 },
28921		{ "MultiListen2", 28, 1 },
28922		{ "MultiListen1", 27, 1 },
28923		{ "MultiListen0", 26, 1 },
28924		{ "Priority3", 23, 3 },
28925		{ "Priority2", 20, 3 },
28926		{ "Priority1", 17, 3 },
28927		{ "Priority0", 14, 3 },
28928		{ "Valid", 13, 1 },
28929		{ "Replicate", 12, 1 },
28930		{ "PF", 9, 3 },
28931		{ "VF_Valid", 8, 1 },
28932		{ "VF", 0, 8 },
28933	{ "MPS_CLS_SRAM_L", 0xe340, 0 },
28934		{ "DisEncapOuterRplct", 31, 1 },
28935		{ "DisEncap", 30, 1 },
28936		{ "MultiListen3", 29, 1 },
28937		{ "MultiListen2", 28, 1 },
28938		{ "MultiListen1", 27, 1 },
28939		{ "MultiListen0", 26, 1 },
28940		{ "Priority3", 23, 3 },
28941		{ "Priority2", 20, 3 },
28942		{ "Priority1", 17, 3 },
28943		{ "Priority0", 14, 3 },
28944		{ "Valid", 13, 1 },
28945		{ "Replicate", 12, 1 },
28946		{ "PF", 9, 3 },
28947		{ "VF_Valid", 8, 1 },
28948		{ "VF", 0, 8 },
28949	{ "MPS_CLS_SRAM_L", 0xe348, 0 },
28950		{ "DisEncapOuterRplct", 31, 1 },
28951		{ "DisEncap", 30, 1 },
28952		{ "MultiListen3", 29, 1 },
28953		{ "MultiListen2", 28, 1 },
28954		{ "MultiListen1", 27, 1 },
28955		{ "MultiListen0", 26, 1 },
28956		{ "Priority3", 23, 3 },
28957		{ "Priority2", 20, 3 },
28958		{ "Priority1", 17, 3 },
28959		{ "Priority0", 14, 3 },
28960		{ "Valid", 13, 1 },
28961		{ "Replicate", 12, 1 },
28962		{ "PF", 9, 3 },
28963		{ "VF_Valid", 8, 1 },
28964		{ "VF", 0, 8 },
28965	{ "MPS_CLS_SRAM_L", 0xe350, 0 },
28966		{ "DisEncapOuterRplct", 31, 1 },
28967		{ "DisEncap", 30, 1 },
28968		{ "MultiListen3", 29, 1 },
28969		{ "MultiListen2", 28, 1 },
28970		{ "MultiListen1", 27, 1 },
28971		{ "MultiListen0", 26, 1 },
28972		{ "Priority3", 23, 3 },
28973		{ "Priority2", 20, 3 },
28974		{ "Priority1", 17, 3 },
28975		{ "Priority0", 14, 3 },
28976		{ "Valid", 13, 1 },
28977		{ "Replicate", 12, 1 },
28978		{ "PF", 9, 3 },
28979		{ "VF_Valid", 8, 1 },
28980		{ "VF", 0, 8 },
28981	{ "MPS_CLS_SRAM_L", 0xe358, 0 },
28982		{ "DisEncapOuterRplct", 31, 1 },
28983		{ "DisEncap", 30, 1 },
28984		{ "MultiListen3", 29, 1 },
28985		{ "MultiListen2", 28, 1 },
28986		{ "MultiListen1", 27, 1 },
28987		{ "MultiListen0", 26, 1 },
28988		{ "Priority3", 23, 3 },
28989		{ "Priority2", 20, 3 },
28990		{ "Priority1", 17, 3 },
28991		{ "Priority0", 14, 3 },
28992		{ "Valid", 13, 1 },
28993		{ "Replicate", 12, 1 },
28994		{ "PF", 9, 3 },
28995		{ "VF_Valid", 8, 1 },
28996		{ "VF", 0, 8 },
28997	{ "MPS_CLS_SRAM_L", 0xe360, 0 },
28998		{ "DisEncapOuterRplct", 31, 1 },
28999		{ "DisEncap", 30, 1 },
29000		{ "MultiListen3", 29, 1 },
29001		{ "MultiListen2", 28, 1 },
29002		{ "MultiListen1", 27, 1 },
29003		{ "MultiListen0", 26, 1 },
29004		{ "Priority3", 23, 3 },
29005		{ "Priority2", 20, 3 },
29006		{ "Priority1", 17, 3 },
29007		{ "Priority0", 14, 3 },
29008		{ "Valid", 13, 1 },
29009		{ "Replicate", 12, 1 },
29010		{ "PF", 9, 3 },
29011		{ "VF_Valid", 8, 1 },
29012		{ "VF", 0, 8 },
29013	{ "MPS_CLS_SRAM_L", 0xe368, 0 },
29014		{ "DisEncapOuterRplct", 31, 1 },
29015		{ "DisEncap", 30, 1 },
29016		{ "MultiListen3", 29, 1 },
29017		{ "MultiListen2", 28, 1 },
29018		{ "MultiListen1", 27, 1 },
29019		{ "MultiListen0", 26, 1 },
29020		{ "Priority3", 23, 3 },
29021		{ "Priority2", 20, 3 },
29022		{ "Priority1", 17, 3 },
29023		{ "Priority0", 14, 3 },
29024		{ "Valid", 13, 1 },
29025		{ "Replicate", 12, 1 },
29026		{ "PF", 9, 3 },
29027		{ "VF_Valid", 8, 1 },
29028		{ "VF", 0, 8 },
29029	{ "MPS_CLS_SRAM_L", 0xe370, 0 },
29030		{ "DisEncapOuterRplct", 31, 1 },
29031		{ "DisEncap", 30, 1 },
29032		{ "MultiListen3", 29, 1 },
29033		{ "MultiListen2", 28, 1 },
29034		{ "MultiListen1", 27, 1 },
29035		{ "MultiListen0", 26, 1 },
29036		{ "Priority3", 23, 3 },
29037		{ "Priority2", 20, 3 },
29038		{ "Priority1", 17, 3 },
29039		{ "Priority0", 14, 3 },
29040		{ "Valid", 13, 1 },
29041		{ "Replicate", 12, 1 },
29042		{ "PF", 9, 3 },
29043		{ "VF_Valid", 8, 1 },
29044		{ "VF", 0, 8 },
29045	{ "MPS_CLS_SRAM_L", 0xe378, 0 },
29046		{ "DisEncapOuterRplct", 31, 1 },
29047		{ "DisEncap", 30, 1 },
29048		{ "MultiListen3", 29, 1 },
29049		{ "MultiListen2", 28, 1 },
29050		{ "MultiListen1", 27, 1 },
29051		{ "MultiListen0", 26, 1 },
29052		{ "Priority3", 23, 3 },
29053		{ "Priority2", 20, 3 },
29054		{ "Priority1", 17, 3 },
29055		{ "Priority0", 14, 3 },
29056		{ "Valid", 13, 1 },
29057		{ "Replicate", 12, 1 },
29058		{ "PF", 9, 3 },
29059		{ "VF_Valid", 8, 1 },
29060		{ "VF", 0, 8 },
29061	{ "MPS_CLS_SRAM_L", 0xe380, 0 },
29062		{ "DisEncapOuterRplct", 31, 1 },
29063		{ "DisEncap", 30, 1 },
29064		{ "MultiListen3", 29, 1 },
29065		{ "MultiListen2", 28, 1 },
29066		{ "MultiListen1", 27, 1 },
29067		{ "MultiListen0", 26, 1 },
29068		{ "Priority3", 23, 3 },
29069		{ "Priority2", 20, 3 },
29070		{ "Priority1", 17, 3 },
29071		{ "Priority0", 14, 3 },
29072		{ "Valid", 13, 1 },
29073		{ "Replicate", 12, 1 },
29074		{ "PF", 9, 3 },
29075		{ "VF_Valid", 8, 1 },
29076		{ "VF", 0, 8 },
29077	{ "MPS_CLS_SRAM_L", 0xe388, 0 },
29078		{ "DisEncapOuterRplct", 31, 1 },
29079		{ "DisEncap", 30, 1 },
29080		{ "MultiListen3", 29, 1 },
29081		{ "MultiListen2", 28, 1 },
29082		{ "MultiListen1", 27, 1 },
29083		{ "MultiListen0", 26, 1 },
29084		{ "Priority3", 23, 3 },
29085		{ "Priority2", 20, 3 },
29086		{ "Priority1", 17, 3 },
29087		{ "Priority0", 14, 3 },
29088		{ "Valid", 13, 1 },
29089		{ "Replicate", 12, 1 },
29090		{ "PF", 9, 3 },
29091		{ "VF_Valid", 8, 1 },
29092		{ "VF", 0, 8 },
29093	{ "MPS_CLS_SRAM_L", 0xe390, 0 },
29094		{ "DisEncapOuterRplct", 31, 1 },
29095		{ "DisEncap", 30, 1 },
29096		{ "MultiListen3", 29, 1 },
29097		{ "MultiListen2", 28, 1 },
29098		{ "MultiListen1", 27, 1 },
29099		{ "MultiListen0", 26, 1 },
29100		{ "Priority3", 23, 3 },
29101		{ "Priority2", 20, 3 },
29102		{ "Priority1", 17, 3 },
29103		{ "Priority0", 14, 3 },
29104		{ "Valid", 13, 1 },
29105		{ "Replicate", 12, 1 },
29106		{ "PF", 9, 3 },
29107		{ "VF_Valid", 8, 1 },
29108		{ "VF", 0, 8 },
29109	{ "MPS_CLS_SRAM_L", 0xe398, 0 },
29110		{ "DisEncapOuterRplct", 31, 1 },
29111		{ "DisEncap", 30, 1 },
29112		{ "MultiListen3", 29, 1 },
29113		{ "MultiListen2", 28, 1 },
29114		{ "MultiListen1", 27, 1 },
29115		{ "MultiListen0", 26, 1 },
29116		{ "Priority3", 23, 3 },
29117		{ "Priority2", 20, 3 },
29118		{ "Priority1", 17, 3 },
29119		{ "Priority0", 14, 3 },
29120		{ "Valid", 13, 1 },
29121		{ "Replicate", 12, 1 },
29122		{ "PF", 9, 3 },
29123		{ "VF_Valid", 8, 1 },
29124		{ "VF", 0, 8 },
29125	{ "MPS_CLS_SRAM_L", 0xe3a0, 0 },
29126		{ "DisEncapOuterRplct", 31, 1 },
29127		{ "DisEncap", 30, 1 },
29128		{ "MultiListen3", 29, 1 },
29129		{ "MultiListen2", 28, 1 },
29130		{ "MultiListen1", 27, 1 },
29131		{ "MultiListen0", 26, 1 },
29132		{ "Priority3", 23, 3 },
29133		{ "Priority2", 20, 3 },
29134		{ "Priority1", 17, 3 },
29135		{ "Priority0", 14, 3 },
29136		{ "Valid", 13, 1 },
29137		{ "Replicate", 12, 1 },
29138		{ "PF", 9, 3 },
29139		{ "VF_Valid", 8, 1 },
29140		{ "VF", 0, 8 },
29141	{ "MPS_CLS_SRAM_L", 0xe3a8, 0 },
29142		{ "DisEncapOuterRplct", 31, 1 },
29143		{ "DisEncap", 30, 1 },
29144		{ "MultiListen3", 29, 1 },
29145		{ "MultiListen2", 28, 1 },
29146		{ "MultiListen1", 27, 1 },
29147		{ "MultiListen0", 26, 1 },
29148		{ "Priority3", 23, 3 },
29149		{ "Priority2", 20, 3 },
29150		{ "Priority1", 17, 3 },
29151		{ "Priority0", 14, 3 },
29152		{ "Valid", 13, 1 },
29153		{ "Replicate", 12, 1 },
29154		{ "PF", 9, 3 },
29155		{ "VF_Valid", 8, 1 },
29156		{ "VF", 0, 8 },
29157	{ "MPS_CLS_SRAM_L", 0xe3b0, 0 },
29158		{ "DisEncapOuterRplct", 31, 1 },
29159		{ "DisEncap", 30, 1 },
29160		{ "MultiListen3", 29, 1 },
29161		{ "MultiListen2", 28, 1 },
29162		{ "MultiListen1", 27, 1 },
29163		{ "MultiListen0", 26, 1 },
29164		{ "Priority3", 23, 3 },
29165		{ "Priority2", 20, 3 },
29166		{ "Priority1", 17, 3 },
29167		{ "Priority0", 14, 3 },
29168		{ "Valid", 13, 1 },
29169		{ "Replicate", 12, 1 },
29170		{ "PF", 9, 3 },
29171		{ "VF_Valid", 8, 1 },
29172		{ "VF", 0, 8 },
29173	{ "MPS_CLS_SRAM_L", 0xe3b8, 0 },
29174		{ "DisEncapOuterRplct", 31, 1 },
29175		{ "DisEncap", 30, 1 },
29176		{ "MultiListen3", 29, 1 },
29177		{ "MultiListen2", 28, 1 },
29178		{ "MultiListen1", 27, 1 },
29179		{ "MultiListen0", 26, 1 },
29180		{ "Priority3", 23, 3 },
29181		{ "Priority2", 20, 3 },
29182		{ "Priority1", 17, 3 },
29183		{ "Priority0", 14, 3 },
29184		{ "Valid", 13, 1 },
29185		{ "Replicate", 12, 1 },
29186		{ "PF", 9, 3 },
29187		{ "VF_Valid", 8, 1 },
29188		{ "VF", 0, 8 },
29189	{ "MPS_CLS_SRAM_L", 0xe3c0, 0 },
29190		{ "DisEncapOuterRplct", 31, 1 },
29191		{ "DisEncap", 30, 1 },
29192		{ "MultiListen3", 29, 1 },
29193		{ "MultiListen2", 28, 1 },
29194		{ "MultiListen1", 27, 1 },
29195		{ "MultiListen0", 26, 1 },
29196		{ "Priority3", 23, 3 },
29197		{ "Priority2", 20, 3 },
29198		{ "Priority1", 17, 3 },
29199		{ "Priority0", 14, 3 },
29200		{ "Valid", 13, 1 },
29201		{ "Replicate", 12, 1 },
29202		{ "PF", 9, 3 },
29203		{ "VF_Valid", 8, 1 },
29204		{ "VF", 0, 8 },
29205	{ "MPS_CLS_SRAM_L", 0xe3c8, 0 },
29206		{ "DisEncapOuterRplct", 31, 1 },
29207		{ "DisEncap", 30, 1 },
29208		{ "MultiListen3", 29, 1 },
29209		{ "MultiListen2", 28, 1 },
29210		{ "MultiListen1", 27, 1 },
29211		{ "MultiListen0", 26, 1 },
29212		{ "Priority3", 23, 3 },
29213		{ "Priority2", 20, 3 },
29214		{ "Priority1", 17, 3 },
29215		{ "Priority0", 14, 3 },
29216		{ "Valid", 13, 1 },
29217		{ "Replicate", 12, 1 },
29218		{ "PF", 9, 3 },
29219		{ "VF_Valid", 8, 1 },
29220		{ "VF", 0, 8 },
29221	{ "MPS_CLS_SRAM_L", 0xe3d0, 0 },
29222		{ "DisEncapOuterRplct", 31, 1 },
29223		{ "DisEncap", 30, 1 },
29224		{ "MultiListen3", 29, 1 },
29225		{ "MultiListen2", 28, 1 },
29226		{ "MultiListen1", 27, 1 },
29227		{ "MultiListen0", 26, 1 },
29228		{ "Priority3", 23, 3 },
29229		{ "Priority2", 20, 3 },
29230		{ "Priority1", 17, 3 },
29231		{ "Priority0", 14, 3 },
29232		{ "Valid", 13, 1 },
29233		{ "Replicate", 12, 1 },
29234		{ "PF", 9, 3 },
29235		{ "VF_Valid", 8, 1 },
29236		{ "VF", 0, 8 },
29237	{ "MPS_CLS_SRAM_L", 0xe3d8, 0 },
29238		{ "DisEncapOuterRplct", 31, 1 },
29239		{ "DisEncap", 30, 1 },
29240		{ "MultiListen3", 29, 1 },
29241		{ "MultiListen2", 28, 1 },
29242		{ "MultiListen1", 27, 1 },
29243		{ "MultiListen0", 26, 1 },
29244		{ "Priority3", 23, 3 },
29245		{ "Priority2", 20, 3 },
29246		{ "Priority1", 17, 3 },
29247		{ "Priority0", 14, 3 },
29248		{ "Valid", 13, 1 },
29249		{ "Replicate", 12, 1 },
29250		{ "PF", 9, 3 },
29251		{ "VF_Valid", 8, 1 },
29252		{ "VF", 0, 8 },
29253	{ "MPS_CLS_SRAM_L", 0xe3e0, 0 },
29254		{ "DisEncapOuterRplct", 31, 1 },
29255		{ "DisEncap", 30, 1 },
29256		{ "MultiListen3", 29, 1 },
29257		{ "MultiListen2", 28, 1 },
29258		{ "MultiListen1", 27, 1 },
29259		{ "MultiListen0", 26, 1 },
29260		{ "Priority3", 23, 3 },
29261		{ "Priority2", 20, 3 },
29262		{ "Priority1", 17, 3 },
29263		{ "Priority0", 14, 3 },
29264		{ "Valid", 13, 1 },
29265		{ "Replicate", 12, 1 },
29266		{ "PF", 9, 3 },
29267		{ "VF_Valid", 8, 1 },
29268		{ "VF", 0, 8 },
29269	{ "MPS_CLS_SRAM_L", 0xe3e8, 0 },
29270		{ "DisEncapOuterRplct", 31, 1 },
29271		{ "DisEncap", 30, 1 },
29272		{ "MultiListen3", 29, 1 },
29273		{ "MultiListen2", 28, 1 },
29274		{ "MultiListen1", 27, 1 },
29275		{ "MultiListen0", 26, 1 },
29276		{ "Priority3", 23, 3 },
29277		{ "Priority2", 20, 3 },
29278		{ "Priority1", 17, 3 },
29279		{ "Priority0", 14, 3 },
29280		{ "Valid", 13, 1 },
29281		{ "Replicate", 12, 1 },
29282		{ "PF", 9, 3 },
29283		{ "VF_Valid", 8, 1 },
29284		{ "VF", 0, 8 },
29285	{ "MPS_CLS_SRAM_L", 0xe3f0, 0 },
29286		{ "DisEncapOuterRplct", 31, 1 },
29287		{ "DisEncap", 30, 1 },
29288		{ "MultiListen3", 29, 1 },
29289		{ "MultiListen2", 28, 1 },
29290		{ "MultiListen1", 27, 1 },
29291		{ "MultiListen0", 26, 1 },
29292		{ "Priority3", 23, 3 },
29293		{ "Priority2", 20, 3 },
29294		{ "Priority1", 17, 3 },
29295		{ "Priority0", 14, 3 },
29296		{ "Valid", 13, 1 },
29297		{ "Replicate", 12, 1 },
29298		{ "PF", 9, 3 },
29299		{ "VF_Valid", 8, 1 },
29300		{ "VF", 0, 8 },
29301	{ "MPS_CLS_SRAM_L", 0xe3f8, 0 },
29302		{ "DisEncapOuterRplct", 31, 1 },
29303		{ "DisEncap", 30, 1 },
29304		{ "MultiListen3", 29, 1 },
29305		{ "MultiListen2", 28, 1 },
29306		{ "MultiListen1", 27, 1 },
29307		{ "MultiListen0", 26, 1 },
29308		{ "Priority3", 23, 3 },
29309		{ "Priority2", 20, 3 },
29310		{ "Priority1", 17, 3 },
29311		{ "Priority0", 14, 3 },
29312		{ "Valid", 13, 1 },
29313		{ "Replicate", 12, 1 },
29314		{ "PF", 9, 3 },
29315		{ "VF_Valid", 8, 1 },
29316		{ "VF", 0, 8 },
29317	{ "MPS_CLS_SRAM_L", 0xe400, 0 },
29318		{ "DisEncapOuterRplct", 31, 1 },
29319		{ "DisEncap", 30, 1 },
29320		{ "MultiListen3", 29, 1 },
29321		{ "MultiListen2", 28, 1 },
29322		{ "MultiListen1", 27, 1 },
29323		{ "MultiListen0", 26, 1 },
29324		{ "Priority3", 23, 3 },
29325		{ "Priority2", 20, 3 },
29326		{ "Priority1", 17, 3 },
29327		{ "Priority0", 14, 3 },
29328		{ "Valid", 13, 1 },
29329		{ "Replicate", 12, 1 },
29330		{ "PF", 9, 3 },
29331		{ "VF_Valid", 8, 1 },
29332		{ "VF", 0, 8 },
29333	{ "MPS_CLS_SRAM_L", 0xe408, 0 },
29334		{ "DisEncapOuterRplct", 31, 1 },
29335		{ "DisEncap", 30, 1 },
29336		{ "MultiListen3", 29, 1 },
29337		{ "MultiListen2", 28, 1 },
29338		{ "MultiListen1", 27, 1 },
29339		{ "MultiListen0", 26, 1 },
29340		{ "Priority3", 23, 3 },
29341		{ "Priority2", 20, 3 },
29342		{ "Priority1", 17, 3 },
29343		{ "Priority0", 14, 3 },
29344		{ "Valid", 13, 1 },
29345		{ "Replicate", 12, 1 },
29346		{ "PF", 9, 3 },
29347		{ "VF_Valid", 8, 1 },
29348		{ "VF", 0, 8 },
29349	{ "MPS_CLS_SRAM_L", 0xe410, 0 },
29350		{ "DisEncapOuterRplct", 31, 1 },
29351		{ "DisEncap", 30, 1 },
29352		{ "MultiListen3", 29, 1 },
29353		{ "MultiListen2", 28, 1 },
29354		{ "MultiListen1", 27, 1 },
29355		{ "MultiListen0", 26, 1 },
29356		{ "Priority3", 23, 3 },
29357		{ "Priority2", 20, 3 },
29358		{ "Priority1", 17, 3 },
29359		{ "Priority0", 14, 3 },
29360		{ "Valid", 13, 1 },
29361		{ "Replicate", 12, 1 },
29362		{ "PF", 9, 3 },
29363		{ "VF_Valid", 8, 1 },
29364		{ "VF", 0, 8 },
29365	{ "MPS_CLS_SRAM_L", 0xe418, 0 },
29366		{ "DisEncapOuterRplct", 31, 1 },
29367		{ "DisEncap", 30, 1 },
29368		{ "MultiListen3", 29, 1 },
29369		{ "MultiListen2", 28, 1 },
29370		{ "MultiListen1", 27, 1 },
29371		{ "MultiListen0", 26, 1 },
29372		{ "Priority3", 23, 3 },
29373		{ "Priority2", 20, 3 },
29374		{ "Priority1", 17, 3 },
29375		{ "Priority0", 14, 3 },
29376		{ "Valid", 13, 1 },
29377		{ "Replicate", 12, 1 },
29378		{ "PF", 9, 3 },
29379		{ "VF_Valid", 8, 1 },
29380		{ "VF", 0, 8 },
29381	{ "MPS_CLS_SRAM_L", 0xe420, 0 },
29382		{ "DisEncapOuterRplct", 31, 1 },
29383		{ "DisEncap", 30, 1 },
29384		{ "MultiListen3", 29, 1 },
29385		{ "MultiListen2", 28, 1 },
29386		{ "MultiListen1", 27, 1 },
29387		{ "MultiListen0", 26, 1 },
29388		{ "Priority3", 23, 3 },
29389		{ "Priority2", 20, 3 },
29390		{ "Priority1", 17, 3 },
29391		{ "Priority0", 14, 3 },
29392		{ "Valid", 13, 1 },
29393		{ "Replicate", 12, 1 },
29394		{ "PF", 9, 3 },
29395		{ "VF_Valid", 8, 1 },
29396		{ "VF", 0, 8 },
29397	{ "MPS_CLS_SRAM_L", 0xe428, 0 },
29398		{ "DisEncapOuterRplct", 31, 1 },
29399		{ "DisEncap", 30, 1 },
29400		{ "MultiListen3", 29, 1 },
29401		{ "MultiListen2", 28, 1 },
29402		{ "MultiListen1", 27, 1 },
29403		{ "MultiListen0", 26, 1 },
29404		{ "Priority3", 23, 3 },
29405		{ "Priority2", 20, 3 },
29406		{ "Priority1", 17, 3 },
29407		{ "Priority0", 14, 3 },
29408		{ "Valid", 13, 1 },
29409		{ "Replicate", 12, 1 },
29410		{ "PF", 9, 3 },
29411		{ "VF_Valid", 8, 1 },
29412		{ "VF", 0, 8 },
29413	{ "MPS_CLS_SRAM_L", 0xe430, 0 },
29414		{ "DisEncapOuterRplct", 31, 1 },
29415		{ "DisEncap", 30, 1 },
29416		{ "MultiListen3", 29, 1 },
29417		{ "MultiListen2", 28, 1 },
29418		{ "MultiListen1", 27, 1 },
29419		{ "MultiListen0", 26, 1 },
29420		{ "Priority3", 23, 3 },
29421		{ "Priority2", 20, 3 },
29422		{ "Priority1", 17, 3 },
29423		{ "Priority0", 14, 3 },
29424		{ "Valid", 13, 1 },
29425		{ "Replicate", 12, 1 },
29426		{ "PF", 9, 3 },
29427		{ "VF_Valid", 8, 1 },
29428		{ "VF", 0, 8 },
29429	{ "MPS_CLS_SRAM_L", 0xe438, 0 },
29430		{ "DisEncapOuterRplct", 31, 1 },
29431		{ "DisEncap", 30, 1 },
29432		{ "MultiListen3", 29, 1 },
29433		{ "MultiListen2", 28, 1 },
29434		{ "MultiListen1", 27, 1 },
29435		{ "MultiListen0", 26, 1 },
29436		{ "Priority3", 23, 3 },
29437		{ "Priority2", 20, 3 },
29438		{ "Priority1", 17, 3 },
29439		{ "Priority0", 14, 3 },
29440		{ "Valid", 13, 1 },
29441		{ "Replicate", 12, 1 },
29442		{ "PF", 9, 3 },
29443		{ "VF_Valid", 8, 1 },
29444		{ "VF", 0, 8 },
29445	{ "MPS_CLS_SRAM_L", 0xe440, 0 },
29446		{ "DisEncapOuterRplct", 31, 1 },
29447		{ "DisEncap", 30, 1 },
29448		{ "MultiListen3", 29, 1 },
29449		{ "MultiListen2", 28, 1 },
29450		{ "MultiListen1", 27, 1 },
29451		{ "MultiListen0", 26, 1 },
29452		{ "Priority3", 23, 3 },
29453		{ "Priority2", 20, 3 },
29454		{ "Priority1", 17, 3 },
29455		{ "Priority0", 14, 3 },
29456		{ "Valid", 13, 1 },
29457		{ "Replicate", 12, 1 },
29458		{ "PF", 9, 3 },
29459		{ "VF_Valid", 8, 1 },
29460		{ "VF", 0, 8 },
29461	{ "MPS_CLS_SRAM_L", 0xe448, 0 },
29462		{ "DisEncapOuterRplct", 31, 1 },
29463		{ "DisEncap", 30, 1 },
29464		{ "MultiListen3", 29, 1 },
29465		{ "MultiListen2", 28, 1 },
29466		{ "MultiListen1", 27, 1 },
29467		{ "MultiListen0", 26, 1 },
29468		{ "Priority3", 23, 3 },
29469		{ "Priority2", 20, 3 },
29470		{ "Priority1", 17, 3 },
29471		{ "Priority0", 14, 3 },
29472		{ "Valid", 13, 1 },
29473		{ "Replicate", 12, 1 },
29474		{ "PF", 9, 3 },
29475		{ "VF_Valid", 8, 1 },
29476		{ "VF", 0, 8 },
29477	{ "MPS_CLS_SRAM_L", 0xe450, 0 },
29478		{ "DisEncapOuterRplct", 31, 1 },
29479		{ "DisEncap", 30, 1 },
29480		{ "MultiListen3", 29, 1 },
29481		{ "MultiListen2", 28, 1 },
29482		{ "MultiListen1", 27, 1 },
29483		{ "MultiListen0", 26, 1 },
29484		{ "Priority3", 23, 3 },
29485		{ "Priority2", 20, 3 },
29486		{ "Priority1", 17, 3 },
29487		{ "Priority0", 14, 3 },
29488		{ "Valid", 13, 1 },
29489		{ "Replicate", 12, 1 },
29490		{ "PF", 9, 3 },
29491		{ "VF_Valid", 8, 1 },
29492		{ "VF", 0, 8 },
29493	{ "MPS_CLS_SRAM_L", 0xe458, 0 },
29494		{ "DisEncapOuterRplct", 31, 1 },
29495		{ "DisEncap", 30, 1 },
29496		{ "MultiListen3", 29, 1 },
29497		{ "MultiListen2", 28, 1 },
29498		{ "MultiListen1", 27, 1 },
29499		{ "MultiListen0", 26, 1 },
29500		{ "Priority3", 23, 3 },
29501		{ "Priority2", 20, 3 },
29502		{ "Priority1", 17, 3 },
29503		{ "Priority0", 14, 3 },
29504		{ "Valid", 13, 1 },
29505		{ "Replicate", 12, 1 },
29506		{ "PF", 9, 3 },
29507		{ "VF_Valid", 8, 1 },
29508		{ "VF", 0, 8 },
29509	{ "MPS_CLS_SRAM_L", 0xe460, 0 },
29510		{ "DisEncapOuterRplct", 31, 1 },
29511		{ "DisEncap", 30, 1 },
29512		{ "MultiListen3", 29, 1 },
29513		{ "MultiListen2", 28, 1 },
29514		{ "MultiListen1", 27, 1 },
29515		{ "MultiListen0", 26, 1 },
29516		{ "Priority3", 23, 3 },
29517		{ "Priority2", 20, 3 },
29518		{ "Priority1", 17, 3 },
29519		{ "Priority0", 14, 3 },
29520		{ "Valid", 13, 1 },
29521		{ "Replicate", 12, 1 },
29522		{ "PF", 9, 3 },
29523		{ "VF_Valid", 8, 1 },
29524		{ "VF", 0, 8 },
29525	{ "MPS_CLS_SRAM_L", 0xe468, 0 },
29526		{ "DisEncapOuterRplct", 31, 1 },
29527		{ "DisEncap", 30, 1 },
29528		{ "MultiListen3", 29, 1 },
29529		{ "MultiListen2", 28, 1 },
29530		{ "MultiListen1", 27, 1 },
29531		{ "MultiListen0", 26, 1 },
29532		{ "Priority3", 23, 3 },
29533		{ "Priority2", 20, 3 },
29534		{ "Priority1", 17, 3 },
29535		{ "Priority0", 14, 3 },
29536		{ "Valid", 13, 1 },
29537		{ "Replicate", 12, 1 },
29538		{ "PF", 9, 3 },
29539		{ "VF_Valid", 8, 1 },
29540		{ "VF", 0, 8 },
29541	{ "MPS_CLS_SRAM_L", 0xe470, 0 },
29542		{ "DisEncapOuterRplct", 31, 1 },
29543		{ "DisEncap", 30, 1 },
29544		{ "MultiListen3", 29, 1 },
29545		{ "MultiListen2", 28, 1 },
29546		{ "MultiListen1", 27, 1 },
29547		{ "MultiListen0", 26, 1 },
29548		{ "Priority3", 23, 3 },
29549		{ "Priority2", 20, 3 },
29550		{ "Priority1", 17, 3 },
29551		{ "Priority0", 14, 3 },
29552		{ "Valid", 13, 1 },
29553		{ "Replicate", 12, 1 },
29554		{ "PF", 9, 3 },
29555		{ "VF_Valid", 8, 1 },
29556		{ "VF", 0, 8 },
29557	{ "MPS_CLS_SRAM_L", 0xe478, 0 },
29558		{ "DisEncapOuterRplct", 31, 1 },
29559		{ "DisEncap", 30, 1 },
29560		{ "MultiListen3", 29, 1 },
29561		{ "MultiListen2", 28, 1 },
29562		{ "MultiListen1", 27, 1 },
29563		{ "MultiListen0", 26, 1 },
29564		{ "Priority3", 23, 3 },
29565		{ "Priority2", 20, 3 },
29566		{ "Priority1", 17, 3 },
29567		{ "Priority0", 14, 3 },
29568		{ "Valid", 13, 1 },
29569		{ "Replicate", 12, 1 },
29570		{ "PF", 9, 3 },
29571		{ "VF_Valid", 8, 1 },
29572		{ "VF", 0, 8 },
29573	{ "MPS_CLS_SRAM_L", 0xe480, 0 },
29574		{ "DisEncapOuterRplct", 31, 1 },
29575		{ "DisEncap", 30, 1 },
29576		{ "MultiListen3", 29, 1 },
29577		{ "MultiListen2", 28, 1 },
29578		{ "MultiListen1", 27, 1 },
29579		{ "MultiListen0", 26, 1 },
29580		{ "Priority3", 23, 3 },
29581		{ "Priority2", 20, 3 },
29582		{ "Priority1", 17, 3 },
29583		{ "Priority0", 14, 3 },
29584		{ "Valid", 13, 1 },
29585		{ "Replicate", 12, 1 },
29586		{ "PF", 9, 3 },
29587		{ "VF_Valid", 8, 1 },
29588		{ "VF", 0, 8 },
29589	{ "MPS_CLS_SRAM_L", 0xe488, 0 },
29590		{ "DisEncapOuterRplct", 31, 1 },
29591		{ "DisEncap", 30, 1 },
29592		{ "MultiListen3", 29, 1 },
29593		{ "MultiListen2", 28, 1 },
29594		{ "MultiListen1", 27, 1 },
29595		{ "MultiListen0", 26, 1 },
29596		{ "Priority3", 23, 3 },
29597		{ "Priority2", 20, 3 },
29598		{ "Priority1", 17, 3 },
29599		{ "Priority0", 14, 3 },
29600		{ "Valid", 13, 1 },
29601		{ "Replicate", 12, 1 },
29602		{ "PF", 9, 3 },
29603		{ "VF_Valid", 8, 1 },
29604		{ "VF", 0, 8 },
29605	{ "MPS_CLS_SRAM_L", 0xe490, 0 },
29606		{ "DisEncapOuterRplct", 31, 1 },
29607		{ "DisEncap", 30, 1 },
29608		{ "MultiListen3", 29, 1 },
29609		{ "MultiListen2", 28, 1 },
29610		{ "MultiListen1", 27, 1 },
29611		{ "MultiListen0", 26, 1 },
29612		{ "Priority3", 23, 3 },
29613		{ "Priority2", 20, 3 },
29614		{ "Priority1", 17, 3 },
29615		{ "Priority0", 14, 3 },
29616		{ "Valid", 13, 1 },
29617		{ "Replicate", 12, 1 },
29618		{ "PF", 9, 3 },
29619		{ "VF_Valid", 8, 1 },
29620		{ "VF", 0, 8 },
29621	{ "MPS_CLS_SRAM_L", 0xe498, 0 },
29622		{ "DisEncapOuterRplct", 31, 1 },
29623		{ "DisEncap", 30, 1 },
29624		{ "MultiListen3", 29, 1 },
29625		{ "MultiListen2", 28, 1 },
29626		{ "MultiListen1", 27, 1 },
29627		{ "MultiListen0", 26, 1 },
29628		{ "Priority3", 23, 3 },
29629		{ "Priority2", 20, 3 },
29630		{ "Priority1", 17, 3 },
29631		{ "Priority0", 14, 3 },
29632		{ "Valid", 13, 1 },
29633		{ "Replicate", 12, 1 },
29634		{ "PF", 9, 3 },
29635		{ "VF_Valid", 8, 1 },
29636		{ "VF", 0, 8 },
29637	{ "MPS_CLS_SRAM_L", 0xe4a0, 0 },
29638		{ "DisEncapOuterRplct", 31, 1 },
29639		{ "DisEncap", 30, 1 },
29640		{ "MultiListen3", 29, 1 },
29641		{ "MultiListen2", 28, 1 },
29642		{ "MultiListen1", 27, 1 },
29643		{ "MultiListen0", 26, 1 },
29644		{ "Priority3", 23, 3 },
29645		{ "Priority2", 20, 3 },
29646		{ "Priority1", 17, 3 },
29647		{ "Priority0", 14, 3 },
29648		{ "Valid", 13, 1 },
29649		{ "Replicate", 12, 1 },
29650		{ "PF", 9, 3 },
29651		{ "VF_Valid", 8, 1 },
29652		{ "VF", 0, 8 },
29653	{ "MPS_CLS_SRAM_L", 0xe4a8, 0 },
29654		{ "DisEncapOuterRplct", 31, 1 },
29655		{ "DisEncap", 30, 1 },
29656		{ "MultiListen3", 29, 1 },
29657		{ "MultiListen2", 28, 1 },
29658		{ "MultiListen1", 27, 1 },
29659		{ "MultiListen0", 26, 1 },
29660		{ "Priority3", 23, 3 },
29661		{ "Priority2", 20, 3 },
29662		{ "Priority1", 17, 3 },
29663		{ "Priority0", 14, 3 },
29664		{ "Valid", 13, 1 },
29665		{ "Replicate", 12, 1 },
29666		{ "PF", 9, 3 },
29667		{ "VF_Valid", 8, 1 },
29668		{ "VF", 0, 8 },
29669	{ "MPS_CLS_SRAM_L", 0xe4b0, 0 },
29670		{ "DisEncapOuterRplct", 31, 1 },
29671		{ "DisEncap", 30, 1 },
29672		{ "MultiListen3", 29, 1 },
29673		{ "MultiListen2", 28, 1 },
29674		{ "MultiListen1", 27, 1 },
29675		{ "MultiListen0", 26, 1 },
29676		{ "Priority3", 23, 3 },
29677		{ "Priority2", 20, 3 },
29678		{ "Priority1", 17, 3 },
29679		{ "Priority0", 14, 3 },
29680		{ "Valid", 13, 1 },
29681		{ "Replicate", 12, 1 },
29682		{ "PF", 9, 3 },
29683		{ "VF_Valid", 8, 1 },
29684		{ "VF", 0, 8 },
29685	{ "MPS_CLS_SRAM_L", 0xe4b8, 0 },
29686		{ "DisEncapOuterRplct", 31, 1 },
29687		{ "DisEncap", 30, 1 },
29688		{ "MultiListen3", 29, 1 },
29689		{ "MultiListen2", 28, 1 },
29690		{ "MultiListen1", 27, 1 },
29691		{ "MultiListen0", 26, 1 },
29692		{ "Priority3", 23, 3 },
29693		{ "Priority2", 20, 3 },
29694		{ "Priority1", 17, 3 },
29695		{ "Priority0", 14, 3 },
29696		{ "Valid", 13, 1 },
29697		{ "Replicate", 12, 1 },
29698		{ "PF", 9, 3 },
29699		{ "VF_Valid", 8, 1 },
29700		{ "VF", 0, 8 },
29701	{ "MPS_CLS_SRAM_L", 0xe4c0, 0 },
29702		{ "DisEncapOuterRplct", 31, 1 },
29703		{ "DisEncap", 30, 1 },
29704		{ "MultiListen3", 29, 1 },
29705		{ "MultiListen2", 28, 1 },
29706		{ "MultiListen1", 27, 1 },
29707		{ "MultiListen0", 26, 1 },
29708		{ "Priority3", 23, 3 },
29709		{ "Priority2", 20, 3 },
29710		{ "Priority1", 17, 3 },
29711		{ "Priority0", 14, 3 },
29712		{ "Valid", 13, 1 },
29713		{ "Replicate", 12, 1 },
29714		{ "PF", 9, 3 },
29715		{ "VF_Valid", 8, 1 },
29716		{ "VF", 0, 8 },
29717	{ "MPS_CLS_SRAM_L", 0xe4c8, 0 },
29718		{ "DisEncapOuterRplct", 31, 1 },
29719		{ "DisEncap", 30, 1 },
29720		{ "MultiListen3", 29, 1 },
29721		{ "MultiListen2", 28, 1 },
29722		{ "MultiListen1", 27, 1 },
29723		{ "MultiListen0", 26, 1 },
29724		{ "Priority3", 23, 3 },
29725		{ "Priority2", 20, 3 },
29726		{ "Priority1", 17, 3 },
29727		{ "Priority0", 14, 3 },
29728		{ "Valid", 13, 1 },
29729		{ "Replicate", 12, 1 },
29730		{ "PF", 9, 3 },
29731		{ "VF_Valid", 8, 1 },
29732		{ "VF", 0, 8 },
29733	{ "MPS_CLS_SRAM_L", 0xe4d0, 0 },
29734		{ "DisEncapOuterRplct", 31, 1 },
29735		{ "DisEncap", 30, 1 },
29736		{ "MultiListen3", 29, 1 },
29737		{ "MultiListen2", 28, 1 },
29738		{ "MultiListen1", 27, 1 },
29739		{ "MultiListen0", 26, 1 },
29740		{ "Priority3", 23, 3 },
29741		{ "Priority2", 20, 3 },
29742		{ "Priority1", 17, 3 },
29743		{ "Priority0", 14, 3 },
29744		{ "Valid", 13, 1 },
29745		{ "Replicate", 12, 1 },
29746		{ "PF", 9, 3 },
29747		{ "VF_Valid", 8, 1 },
29748		{ "VF", 0, 8 },
29749	{ "MPS_CLS_SRAM_L", 0xe4d8, 0 },
29750		{ "DisEncapOuterRplct", 31, 1 },
29751		{ "DisEncap", 30, 1 },
29752		{ "MultiListen3", 29, 1 },
29753		{ "MultiListen2", 28, 1 },
29754		{ "MultiListen1", 27, 1 },
29755		{ "MultiListen0", 26, 1 },
29756		{ "Priority3", 23, 3 },
29757		{ "Priority2", 20, 3 },
29758		{ "Priority1", 17, 3 },
29759		{ "Priority0", 14, 3 },
29760		{ "Valid", 13, 1 },
29761		{ "Replicate", 12, 1 },
29762		{ "PF", 9, 3 },
29763		{ "VF_Valid", 8, 1 },
29764		{ "VF", 0, 8 },
29765	{ "MPS_CLS_SRAM_L", 0xe4e0, 0 },
29766		{ "DisEncapOuterRplct", 31, 1 },
29767		{ "DisEncap", 30, 1 },
29768		{ "MultiListen3", 29, 1 },
29769		{ "MultiListen2", 28, 1 },
29770		{ "MultiListen1", 27, 1 },
29771		{ "MultiListen0", 26, 1 },
29772		{ "Priority3", 23, 3 },
29773		{ "Priority2", 20, 3 },
29774		{ "Priority1", 17, 3 },
29775		{ "Priority0", 14, 3 },
29776		{ "Valid", 13, 1 },
29777		{ "Replicate", 12, 1 },
29778		{ "PF", 9, 3 },
29779		{ "VF_Valid", 8, 1 },
29780		{ "VF", 0, 8 },
29781	{ "MPS_CLS_SRAM_L", 0xe4e8, 0 },
29782		{ "DisEncapOuterRplct", 31, 1 },
29783		{ "DisEncap", 30, 1 },
29784		{ "MultiListen3", 29, 1 },
29785		{ "MultiListen2", 28, 1 },
29786		{ "MultiListen1", 27, 1 },
29787		{ "MultiListen0", 26, 1 },
29788		{ "Priority3", 23, 3 },
29789		{ "Priority2", 20, 3 },
29790		{ "Priority1", 17, 3 },
29791		{ "Priority0", 14, 3 },
29792		{ "Valid", 13, 1 },
29793		{ "Replicate", 12, 1 },
29794		{ "PF", 9, 3 },
29795		{ "VF_Valid", 8, 1 },
29796		{ "VF", 0, 8 },
29797	{ "MPS_CLS_SRAM_L", 0xe4f0, 0 },
29798		{ "DisEncapOuterRplct", 31, 1 },
29799		{ "DisEncap", 30, 1 },
29800		{ "MultiListen3", 29, 1 },
29801		{ "MultiListen2", 28, 1 },
29802		{ "MultiListen1", 27, 1 },
29803		{ "MultiListen0", 26, 1 },
29804		{ "Priority3", 23, 3 },
29805		{ "Priority2", 20, 3 },
29806		{ "Priority1", 17, 3 },
29807		{ "Priority0", 14, 3 },
29808		{ "Valid", 13, 1 },
29809		{ "Replicate", 12, 1 },
29810		{ "PF", 9, 3 },
29811		{ "VF_Valid", 8, 1 },
29812		{ "VF", 0, 8 },
29813	{ "MPS_CLS_SRAM_L", 0xe4f8, 0 },
29814		{ "DisEncapOuterRplct", 31, 1 },
29815		{ "DisEncap", 30, 1 },
29816		{ "MultiListen3", 29, 1 },
29817		{ "MultiListen2", 28, 1 },
29818		{ "MultiListen1", 27, 1 },
29819		{ "MultiListen0", 26, 1 },
29820		{ "Priority3", 23, 3 },
29821		{ "Priority2", 20, 3 },
29822		{ "Priority1", 17, 3 },
29823		{ "Priority0", 14, 3 },
29824		{ "Valid", 13, 1 },
29825		{ "Replicate", 12, 1 },
29826		{ "PF", 9, 3 },
29827		{ "VF_Valid", 8, 1 },
29828		{ "VF", 0, 8 },
29829	{ "MPS_CLS_SRAM_L", 0xe500, 0 },
29830		{ "DisEncapOuterRplct", 31, 1 },
29831		{ "DisEncap", 30, 1 },
29832		{ "MultiListen3", 29, 1 },
29833		{ "MultiListen2", 28, 1 },
29834		{ "MultiListen1", 27, 1 },
29835		{ "MultiListen0", 26, 1 },
29836		{ "Priority3", 23, 3 },
29837		{ "Priority2", 20, 3 },
29838		{ "Priority1", 17, 3 },
29839		{ "Priority0", 14, 3 },
29840		{ "Valid", 13, 1 },
29841		{ "Replicate", 12, 1 },
29842		{ "PF", 9, 3 },
29843		{ "VF_Valid", 8, 1 },
29844		{ "VF", 0, 8 },
29845	{ "MPS_CLS_SRAM_L", 0xe508, 0 },
29846		{ "DisEncapOuterRplct", 31, 1 },
29847		{ "DisEncap", 30, 1 },
29848		{ "MultiListen3", 29, 1 },
29849		{ "MultiListen2", 28, 1 },
29850		{ "MultiListen1", 27, 1 },
29851		{ "MultiListen0", 26, 1 },
29852		{ "Priority3", 23, 3 },
29853		{ "Priority2", 20, 3 },
29854		{ "Priority1", 17, 3 },
29855		{ "Priority0", 14, 3 },
29856		{ "Valid", 13, 1 },
29857		{ "Replicate", 12, 1 },
29858		{ "PF", 9, 3 },
29859		{ "VF_Valid", 8, 1 },
29860		{ "VF", 0, 8 },
29861	{ "MPS_CLS_SRAM_L", 0xe510, 0 },
29862		{ "DisEncapOuterRplct", 31, 1 },
29863		{ "DisEncap", 30, 1 },
29864		{ "MultiListen3", 29, 1 },
29865		{ "MultiListen2", 28, 1 },
29866		{ "MultiListen1", 27, 1 },
29867		{ "MultiListen0", 26, 1 },
29868		{ "Priority3", 23, 3 },
29869		{ "Priority2", 20, 3 },
29870		{ "Priority1", 17, 3 },
29871		{ "Priority0", 14, 3 },
29872		{ "Valid", 13, 1 },
29873		{ "Replicate", 12, 1 },
29874		{ "PF", 9, 3 },
29875		{ "VF_Valid", 8, 1 },
29876		{ "VF", 0, 8 },
29877	{ "MPS_CLS_SRAM_L", 0xe518, 0 },
29878		{ "DisEncapOuterRplct", 31, 1 },
29879		{ "DisEncap", 30, 1 },
29880		{ "MultiListen3", 29, 1 },
29881		{ "MultiListen2", 28, 1 },
29882		{ "MultiListen1", 27, 1 },
29883		{ "MultiListen0", 26, 1 },
29884		{ "Priority3", 23, 3 },
29885		{ "Priority2", 20, 3 },
29886		{ "Priority1", 17, 3 },
29887		{ "Priority0", 14, 3 },
29888		{ "Valid", 13, 1 },
29889		{ "Replicate", 12, 1 },
29890		{ "PF", 9, 3 },
29891		{ "VF_Valid", 8, 1 },
29892		{ "VF", 0, 8 },
29893	{ "MPS_CLS_SRAM_L", 0xe520, 0 },
29894		{ "DisEncapOuterRplct", 31, 1 },
29895		{ "DisEncap", 30, 1 },
29896		{ "MultiListen3", 29, 1 },
29897		{ "MultiListen2", 28, 1 },
29898		{ "MultiListen1", 27, 1 },
29899		{ "MultiListen0", 26, 1 },
29900		{ "Priority3", 23, 3 },
29901		{ "Priority2", 20, 3 },
29902		{ "Priority1", 17, 3 },
29903		{ "Priority0", 14, 3 },
29904		{ "Valid", 13, 1 },
29905		{ "Replicate", 12, 1 },
29906		{ "PF", 9, 3 },
29907		{ "VF_Valid", 8, 1 },
29908		{ "VF", 0, 8 },
29909	{ "MPS_CLS_SRAM_L", 0xe528, 0 },
29910		{ "DisEncapOuterRplct", 31, 1 },
29911		{ "DisEncap", 30, 1 },
29912		{ "MultiListen3", 29, 1 },
29913		{ "MultiListen2", 28, 1 },
29914		{ "MultiListen1", 27, 1 },
29915		{ "MultiListen0", 26, 1 },
29916		{ "Priority3", 23, 3 },
29917		{ "Priority2", 20, 3 },
29918		{ "Priority1", 17, 3 },
29919		{ "Priority0", 14, 3 },
29920		{ "Valid", 13, 1 },
29921		{ "Replicate", 12, 1 },
29922		{ "PF", 9, 3 },
29923		{ "VF_Valid", 8, 1 },
29924		{ "VF", 0, 8 },
29925	{ "MPS_CLS_SRAM_L", 0xe530, 0 },
29926		{ "DisEncapOuterRplct", 31, 1 },
29927		{ "DisEncap", 30, 1 },
29928		{ "MultiListen3", 29, 1 },
29929		{ "MultiListen2", 28, 1 },
29930		{ "MultiListen1", 27, 1 },
29931		{ "MultiListen0", 26, 1 },
29932		{ "Priority3", 23, 3 },
29933		{ "Priority2", 20, 3 },
29934		{ "Priority1", 17, 3 },
29935		{ "Priority0", 14, 3 },
29936		{ "Valid", 13, 1 },
29937		{ "Replicate", 12, 1 },
29938		{ "PF", 9, 3 },
29939		{ "VF_Valid", 8, 1 },
29940		{ "VF", 0, 8 },
29941	{ "MPS_CLS_SRAM_L", 0xe538, 0 },
29942		{ "DisEncapOuterRplct", 31, 1 },
29943		{ "DisEncap", 30, 1 },
29944		{ "MultiListen3", 29, 1 },
29945		{ "MultiListen2", 28, 1 },
29946		{ "MultiListen1", 27, 1 },
29947		{ "MultiListen0", 26, 1 },
29948		{ "Priority3", 23, 3 },
29949		{ "Priority2", 20, 3 },
29950		{ "Priority1", 17, 3 },
29951		{ "Priority0", 14, 3 },
29952		{ "Valid", 13, 1 },
29953		{ "Replicate", 12, 1 },
29954		{ "PF", 9, 3 },
29955		{ "VF_Valid", 8, 1 },
29956		{ "VF", 0, 8 },
29957	{ "MPS_CLS_SRAM_L", 0xe540, 0 },
29958		{ "DisEncapOuterRplct", 31, 1 },
29959		{ "DisEncap", 30, 1 },
29960		{ "MultiListen3", 29, 1 },
29961		{ "MultiListen2", 28, 1 },
29962		{ "MultiListen1", 27, 1 },
29963		{ "MultiListen0", 26, 1 },
29964		{ "Priority3", 23, 3 },
29965		{ "Priority2", 20, 3 },
29966		{ "Priority1", 17, 3 },
29967		{ "Priority0", 14, 3 },
29968		{ "Valid", 13, 1 },
29969		{ "Replicate", 12, 1 },
29970		{ "PF", 9, 3 },
29971		{ "VF_Valid", 8, 1 },
29972		{ "VF", 0, 8 },
29973	{ "MPS_CLS_SRAM_L", 0xe548, 0 },
29974		{ "DisEncapOuterRplct", 31, 1 },
29975		{ "DisEncap", 30, 1 },
29976		{ "MultiListen3", 29, 1 },
29977		{ "MultiListen2", 28, 1 },
29978		{ "MultiListen1", 27, 1 },
29979		{ "MultiListen0", 26, 1 },
29980		{ "Priority3", 23, 3 },
29981		{ "Priority2", 20, 3 },
29982		{ "Priority1", 17, 3 },
29983		{ "Priority0", 14, 3 },
29984		{ "Valid", 13, 1 },
29985		{ "Replicate", 12, 1 },
29986		{ "PF", 9, 3 },
29987		{ "VF_Valid", 8, 1 },
29988		{ "VF", 0, 8 },
29989	{ "MPS_CLS_SRAM_L", 0xe550, 0 },
29990		{ "DisEncapOuterRplct", 31, 1 },
29991		{ "DisEncap", 30, 1 },
29992		{ "MultiListen3", 29, 1 },
29993		{ "MultiListen2", 28, 1 },
29994		{ "MultiListen1", 27, 1 },
29995		{ "MultiListen0", 26, 1 },
29996		{ "Priority3", 23, 3 },
29997		{ "Priority2", 20, 3 },
29998		{ "Priority1", 17, 3 },
29999		{ "Priority0", 14, 3 },
30000		{ "Valid", 13, 1 },
30001		{ "Replicate", 12, 1 },
30002		{ "PF", 9, 3 },
30003		{ "VF_Valid", 8, 1 },
30004		{ "VF", 0, 8 },
30005	{ "MPS_CLS_SRAM_L", 0xe558, 0 },
30006		{ "DisEncapOuterRplct", 31, 1 },
30007		{ "DisEncap", 30, 1 },
30008		{ "MultiListen3", 29, 1 },
30009		{ "MultiListen2", 28, 1 },
30010		{ "MultiListen1", 27, 1 },
30011		{ "MultiListen0", 26, 1 },
30012		{ "Priority3", 23, 3 },
30013		{ "Priority2", 20, 3 },
30014		{ "Priority1", 17, 3 },
30015		{ "Priority0", 14, 3 },
30016		{ "Valid", 13, 1 },
30017		{ "Replicate", 12, 1 },
30018		{ "PF", 9, 3 },
30019		{ "VF_Valid", 8, 1 },
30020		{ "VF", 0, 8 },
30021	{ "MPS_CLS_SRAM_L", 0xe560, 0 },
30022		{ "DisEncapOuterRplct", 31, 1 },
30023		{ "DisEncap", 30, 1 },
30024		{ "MultiListen3", 29, 1 },
30025		{ "MultiListen2", 28, 1 },
30026		{ "MultiListen1", 27, 1 },
30027		{ "MultiListen0", 26, 1 },
30028		{ "Priority3", 23, 3 },
30029		{ "Priority2", 20, 3 },
30030		{ "Priority1", 17, 3 },
30031		{ "Priority0", 14, 3 },
30032		{ "Valid", 13, 1 },
30033		{ "Replicate", 12, 1 },
30034		{ "PF", 9, 3 },
30035		{ "VF_Valid", 8, 1 },
30036		{ "VF", 0, 8 },
30037	{ "MPS_CLS_SRAM_L", 0xe568, 0 },
30038		{ "DisEncapOuterRplct", 31, 1 },
30039		{ "DisEncap", 30, 1 },
30040		{ "MultiListen3", 29, 1 },
30041		{ "MultiListen2", 28, 1 },
30042		{ "MultiListen1", 27, 1 },
30043		{ "MultiListen0", 26, 1 },
30044		{ "Priority3", 23, 3 },
30045		{ "Priority2", 20, 3 },
30046		{ "Priority1", 17, 3 },
30047		{ "Priority0", 14, 3 },
30048		{ "Valid", 13, 1 },
30049		{ "Replicate", 12, 1 },
30050		{ "PF", 9, 3 },
30051		{ "VF_Valid", 8, 1 },
30052		{ "VF", 0, 8 },
30053	{ "MPS_CLS_SRAM_L", 0xe570, 0 },
30054		{ "DisEncapOuterRplct", 31, 1 },
30055		{ "DisEncap", 30, 1 },
30056		{ "MultiListen3", 29, 1 },
30057		{ "MultiListen2", 28, 1 },
30058		{ "MultiListen1", 27, 1 },
30059		{ "MultiListen0", 26, 1 },
30060		{ "Priority3", 23, 3 },
30061		{ "Priority2", 20, 3 },
30062		{ "Priority1", 17, 3 },
30063		{ "Priority0", 14, 3 },
30064		{ "Valid", 13, 1 },
30065		{ "Replicate", 12, 1 },
30066		{ "PF", 9, 3 },
30067		{ "VF_Valid", 8, 1 },
30068		{ "VF", 0, 8 },
30069	{ "MPS_CLS_SRAM_L", 0xe578, 0 },
30070		{ "DisEncapOuterRplct", 31, 1 },
30071		{ "DisEncap", 30, 1 },
30072		{ "MultiListen3", 29, 1 },
30073		{ "MultiListen2", 28, 1 },
30074		{ "MultiListen1", 27, 1 },
30075		{ "MultiListen0", 26, 1 },
30076		{ "Priority3", 23, 3 },
30077		{ "Priority2", 20, 3 },
30078		{ "Priority1", 17, 3 },
30079		{ "Priority0", 14, 3 },
30080		{ "Valid", 13, 1 },
30081		{ "Replicate", 12, 1 },
30082		{ "PF", 9, 3 },
30083		{ "VF_Valid", 8, 1 },
30084		{ "VF", 0, 8 },
30085	{ "MPS_CLS_SRAM_L", 0xe580, 0 },
30086		{ "DisEncapOuterRplct", 31, 1 },
30087		{ "DisEncap", 30, 1 },
30088		{ "MultiListen3", 29, 1 },
30089		{ "MultiListen2", 28, 1 },
30090		{ "MultiListen1", 27, 1 },
30091		{ "MultiListen0", 26, 1 },
30092		{ "Priority3", 23, 3 },
30093		{ "Priority2", 20, 3 },
30094		{ "Priority1", 17, 3 },
30095		{ "Priority0", 14, 3 },
30096		{ "Valid", 13, 1 },
30097		{ "Replicate", 12, 1 },
30098		{ "PF", 9, 3 },
30099		{ "VF_Valid", 8, 1 },
30100		{ "VF", 0, 8 },
30101	{ "MPS_CLS_SRAM_L", 0xe588, 0 },
30102		{ "DisEncapOuterRplct", 31, 1 },
30103		{ "DisEncap", 30, 1 },
30104		{ "MultiListen3", 29, 1 },
30105		{ "MultiListen2", 28, 1 },
30106		{ "MultiListen1", 27, 1 },
30107		{ "MultiListen0", 26, 1 },
30108		{ "Priority3", 23, 3 },
30109		{ "Priority2", 20, 3 },
30110		{ "Priority1", 17, 3 },
30111		{ "Priority0", 14, 3 },
30112		{ "Valid", 13, 1 },
30113		{ "Replicate", 12, 1 },
30114		{ "PF", 9, 3 },
30115		{ "VF_Valid", 8, 1 },
30116		{ "VF", 0, 8 },
30117	{ "MPS_CLS_SRAM_L", 0xe590, 0 },
30118		{ "DisEncapOuterRplct", 31, 1 },
30119		{ "DisEncap", 30, 1 },
30120		{ "MultiListen3", 29, 1 },
30121		{ "MultiListen2", 28, 1 },
30122		{ "MultiListen1", 27, 1 },
30123		{ "MultiListen0", 26, 1 },
30124		{ "Priority3", 23, 3 },
30125		{ "Priority2", 20, 3 },
30126		{ "Priority1", 17, 3 },
30127		{ "Priority0", 14, 3 },
30128		{ "Valid", 13, 1 },
30129		{ "Replicate", 12, 1 },
30130		{ "PF", 9, 3 },
30131		{ "VF_Valid", 8, 1 },
30132		{ "VF", 0, 8 },
30133	{ "MPS_CLS_SRAM_L", 0xe598, 0 },
30134		{ "DisEncapOuterRplct", 31, 1 },
30135		{ "DisEncap", 30, 1 },
30136		{ "MultiListen3", 29, 1 },
30137		{ "MultiListen2", 28, 1 },
30138		{ "MultiListen1", 27, 1 },
30139		{ "MultiListen0", 26, 1 },
30140		{ "Priority3", 23, 3 },
30141		{ "Priority2", 20, 3 },
30142		{ "Priority1", 17, 3 },
30143		{ "Priority0", 14, 3 },
30144		{ "Valid", 13, 1 },
30145		{ "Replicate", 12, 1 },
30146		{ "PF", 9, 3 },
30147		{ "VF_Valid", 8, 1 },
30148		{ "VF", 0, 8 },
30149	{ "MPS_CLS_SRAM_L", 0xe5a0, 0 },
30150		{ "DisEncapOuterRplct", 31, 1 },
30151		{ "DisEncap", 30, 1 },
30152		{ "MultiListen3", 29, 1 },
30153		{ "MultiListen2", 28, 1 },
30154		{ "MultiListen1", 27, 1 },
30155		{ "MultiListen0", 26, 1 },
30156		{ "Priority3", 23, 3 },
30157		{ "Priority2", 20, 3 },
30158		{ "Priority1", 17, 3 },
30159		{ "Priority0", 14, 3 },
30160		{ "Valid", 13, 1 },
30161		{ "Replicate", 12, 1 },
30162		{ "PF", 9, 3 },
30163		{ "VF_Valid", 8, 1 },
30164		{ "VF", 0, 8 },
30165	{ "MPS_CLS_SRAM_L", 0xe5a8, 0 },
30166		{ "DisEncapOuterRplct", 31, 1 },
30167		{ "DisEncap", 30, 1 },
30168		{ "MultiListen3", 29, 1 },
30169		{ "MultiListen2", 28, 1 },
30170		{ "MultiListen1", 27, 1 },
30171		{ "MultiListen0", 26, 1 },
30172		{ "Priority3", 23, 3 },
30173		{ "Priority2", 20, 3 },
30174		{ "Priority1", 17, 3 },
30175		{ "Priority0", 14, 3 },
30176		{ "Valid", 13, 1 },
30177		{ "Replicate", 12, 1 },
30178		{ "PF", 9, 3 },
30179		{ "VF_Valid", 8, 1 },
30180		{ "VF", 0, 8 },
30181	{ "MPS_CLS_SRAM_L", 0xe5b0, 0 },
30182		{ "DisEncapOuterRplct", 31, 1 },
30183		{ "DisEncap", 30, 1 },
30184		{ "MultiListen3", 29, 1 },
30185		{ "MultiListen2", 28, 1 },
30186		{ "MultiListen1", 27, 1 },
30187		{ "MultiListen0", 26, 1 },
30188		{ "Priority3", 23, 3 },
30189		{ "Priority2", 20, 3 },
30190		{ "Priority1", 17, 3 },
30191		{ "Priority0", 14, 3 },
30192		{ "Valid", 13, 1 },
30193		{ "Replicate", 12, 1 },
30194		{ "PF", 9, 3 },
30195		{ "VF_Valid", 8, 1 },
30196		{ "VF", 0, 8 },
30197	{ "MPS_CLS_SRAM_L", 0xe5b8, 0 },
30198		{ "DisEncapOuterRplct", 31, 1 },
30199		{ "DisEncap", 30, 1 },
30200		{ "MultiListen3", 29, 1 },
30201		{ "MultiListen2", 28, 1 },
30202		{ "MultiListen1", 27, 1 },
30203		{ "MultiListen0", 26, 1 },
30204		{ "Priority3", 23, 3 },
30205		{ "Priority2", 20, 3 },
30206		{ "Priority1", 17, 3 },
30207		{ "Priority0", 14, 3 },
30208		{ "Valid", 13, 1 },
30209		{ "Replicate", 12, 1 },
30210		{ "PF", 9, 3 },
30211		{ "VF_Valid", 8, 1 },
30212		{ "VF", 0, 8 },
30213	{ "MPS_CLS_SRAM_L", 0xe5c0, 0 },
30214		{ "DisEncapOuterRplct", 31, 1 },
30215		{ "DisEncap", 30, 1 },
30216		{ "MultiListen3", 29, 1 },
30217		{ "MultiListen2", 28, 1 },
30218		{ "MultiListen1", 27, 1 },
30219		{ "MultiListen0", 26, 1 },
30220		{ "Priority3", 23, 3 },
30221		{ "Priority2", 20, 3 },
30222		{ "Priority1", 17, 3 },
30223		{ "Priority0", 14, 3 },
30224		{ "Valid", 13, 1 },
30225		{ "Replicate", 12, 1 },
30226		{ "PF", 9, 3 },
30227		{ "VF_Valid", 8, 1 },
30228		{ "VF", 0, 8 },
30229	{ "MPS_CLS_SRAM_L", 0xe5c8, 0 },
30230		{ "DisEncapOuterRplct", 31, 1 },
30231		{ "DisEncap", 30, 1 },
30232		{ "MultiListen3", 29, 1 },
30233		{ "MultiListen2", 28, 1 },
30234		{ "MultiListen1", 27, 1 },
30235		{ "MultiListen0", 26, 1 },
30236		{ "Priority3", 23, 3 },
30237		{ "Priority2", 20, 3 },
30238		{ "Priority1", 17, 3 },
30239		{ "Priority0", 14, 3 },
30240		{ "Valid", 13, 1 },
30241		{ "Replicate", 12, 1 },
30242		{ "PF", 9, 3 },
30243		{ "VF_Valid", 8, 1 },
30244		{ "VF", 0, 8 },
30245	{ "MPS_CLS_SRAM_L", 0xe5d0, 0 },
30246		{ "DisEncapOuterRplct", 31, 1 },
30247		{ "DisEncap", 30, 1 },
30248		{ "MultiListen3", 29, 1 },
30249		{ "MultiListen2", 28, 1 },
30250		{ "MultiListen1", 27, 1 },
30251		{ "MultiListen0", 26, 1 },
30252		{ "Priority3", 23, 3 },
30253		{ "Priority2", 20, 3 },
30254		{ "Priority1", 17, 3 },
30255		{ "Priority0", 14, 3 },
30256		{ "Valid", 13, 1 },
30257		{ "Replicate", 12, 1 },
30258		{ "PF", 9, 3 },
30259		{ "VF_Valid", 8, 1 },
30260		{ "VF", 0, 8 },
30261	{ "MPS_CLS_SRAM_L", 0xe5d8, 0 },
30262		{ "DisEncapOuterRplct", 31, 1 },
30263		{ "DisEncap", 30, 1 },
30264		{ "MultiListen3", 29, 1 },
30265		{ "MultiListen2", 28, 1 },
30266		{ "MultiListen1", 27, 1 },
30267		{ "MultiListen0", 26, 1 },
30268		{ "Priority3", 23, 3 },
30269		{ "Priority2", 20, 3 },
30270		{ "Priority1", 17, 3 },
30271		{ "Priority0", 14, 3 },
30272		{ "Valid", 13, 1 },
30273		{ "Replicate", 12, 1 },
30274		{ "PF", 9, 3 },
30275		{ "VF_Valid", 8, 1 },
30276		{ "VF", 0, 8 },
30277	{ "MPS_CLS_SRAM_L", 0xe5e0, 0 },
30278		{ "DisEncapOuterRplct", 31, 1 },
30279		{ "DisEncap", 30, 1 },
30280		{ "MultiListen3", 29, 1 },
30281		{ "MultiListen2", 28, 1 },
30282		{ "MultiListen1", 27, 1 },
30283		{ "MultiListen0", 26, 1 },
30284		{ "Priority3", 23, 3 },
30285		{ "Priority2", 20, 3 },
30286		{ "Priority1", 17, 3 },
30287		{ "Priority0", 14, 3 },
30288		{ "Valid", 13, 1 },
30289		{ "Replicate", 12, 1 },
30290		{ "PF", 9, 3 },
30291		{ "VF_Valid", 8, 1 },
30292		{ "VF", 0, 8 },
30293	{ "MPS_CLS_SRAM_L", 0xe5e8, 0 },
30294		{ "DisEncapOuterRplct", 31, 1 },
30295		{ "DisEncap", 30, 1 },
30296		{ "MultiListen3", 29, 1 },
30297		{ "MultiListen2", 28, 1 },
30298		{ "MultiListen1", 27, 1 },
30299		{ "MultiListen0", 26, 1 },
30300		{ "Priority3", 23, 3 },
30301		{ "Priority2", 20, 3 },
30302		{ "Priority1", 17, 3 },
30303		{ "Priority0", 14, 3 },
30304		{ "Valid", 13, 1 },
30305		{ "Replicate", 12, 1 },
30306		{ "PF", 9, 3 },
30307		{ "VF_Valid", 8, 1 },
30308		{ "VF", 0, 8 },
30309	{ "MPS_CLS_SRAM_L", 0xe5f0, 0 },
30310		{ "DisEncapOuterRplct", 31, 1 },
30311		{ "DisEncap", 30, 1 },
30312		{ "MultiListen3", 29, 1 },
30313		{ "MultiListen2", 28, 1 },
30314		{ "MultiListen1", 27, 1 },
30315		{ "MultiListen0", 26, 1 },
30316		{ "Priority3", 23, 3 },
30317		{ "Priority2", 20, 3 },
30318		{ "Priority1", 17, 3 },
30319		{ "Priority0", 14, 3 },
30320		{ "Valid", 13, 1 },
30321		{ "Replicate", 12, 1 },
30322		{ "PF", 9, 3 },
30323		{ "VF_Valid", 8, 1 },
30324		{ "VF", 0, 8 },
30325	{ "MPS_CLS_SRAM_L", 0xe5f8, 0 },
30326		{ "DisEncapOuterRplct", 31, 1 },
30327		{ "DisEncap", 30, 1 },
30328		{ "MultiListen3", 29, 1 },
30329		{ "MultiListen2", 28, 1 },
30330		{ "MultiListen1", 27, 1 },
30331		{ "MultiListen0", 26, 1 },
30332		{ "Priority3", 23, 3 },
30333		{ "Priority2", 20, 3 },
30334		{ "Priority1", 17, 3 },
30335		{ "Priority0", 14, 3 },
30336		{ "Valid", 13, 1 },
30337		{ "Replicate", 12, 1 },
30338		{ "PF", 9, 3 },
30339		{ "VF_Valid", 8, 1 },
30340		{ "VF", 0, 8 },
30341	{ "MPS_CLS_SRAM_L", 0xe600, 0 },
30342		{ "DisEncapOuterRplct", 31, 1 },
30343		{ "DisEncap", 30, 1 },
30344		{ "MultiListen3", 29, 1 },
30345		{ "MultiListen2", 28, 1 },
30346		{ "MultiListen1", 27, 1 },
30347		{ "MultiListen0", 26, 1 },
30348		{ "Priority3", 23, 3 },
30349		{ "Priority2", 20, 3 },
30350		{ "Priority1", 17, 3 },
30351		{ "Priority0", 14, 3 },
30352		{ "Valid", 13, 1 },
30353		{ "Replicate", 12, 1 },
30354		{ "PF", 9, 3 },
30355		{ "VF_Valid", 8, 1 },
30356		{ "VF", 0, 8 },
30357	{ "MPS_CLS_SRAM_L", 0xe608, 0 },
30358		{ "DisEncapOuterRplct", 31, 1 },
30359		{ "DisEncap", 30, 1 },
30360		{ "MultiListen3", 29, 1 },
30361		{ "MultiListen2", 28, 1 },
30362		{ "MultiListen1", 27, 1 },
30363		{ "MultiListen0", 26, 1 },
30364		{ "Priority3", 23, 3 },
30365		{ "Priority2", 20, 3 },
30366		{ "Priority1", 17, 3 },
30367		{ "Priority0", 14, 3 },
30368		{ "Valid", 13, 1 },
30369		{ "Replicate", 12, 1 },
30370		{ "PF", 9, 3 },
30371		{ "VF_Valid", 8, 1 },
30372		{ "VF", 0, 8 },
30373	{ "MPS_CLS_SRAM_L", 0xe610, 0 },
30374		{ "DisEncapOuterRplct", 31, 1 },
30375		{ "DisEncap", 30, 1 },
30376		{ "MultiListen3", 29, 1 },
30377		{ "MultiListen2", 28, 1 },
30378		{ "MultiListen1", 27, 1 },
30379		{ "MultiListen0", 26, 1 },
30380		{ "Priority3", 23, 3 },
30381		{ "Priority2", 20, 3 },
30382		{ "Priority1", 17, 3 },
30383		{ "Priority0", 14, 3 },
30384		{ "Valid", 13, 1 },
30385		{ "Replicate", 12, 1 },
30386		{ "PF", 9, 3 },
30387		{ "VF_Valid", 8, 1 },
30388		{ "VF", 0, 8 },
30389	{ "MPS_CLS_SRAM_L", 0xe618, 0 },
30390		{ "DisEncapOuterRplct", 31, 1 },
30391		{ "DisEncap", 30, 1 },
30392		{ "MultiListen3", 29, 1 },
30393		{ "MultiListen2", 28, 1 },
30394		{ "MultiListen1", 27, 1 },
30395		{ "MultiListen0", 26, 1 },
30396		{ "Priority3", 23, 3 },
30397		{ "Priority2", 20, 3 },
30398		{ "Priority1", 17, 3 },
30399		{ "Priority0", 14, 3 },
30400		{ "Valid", 13, 1 },
30401		{ "Replicate", 12, 1 },
30402		{ "PF", 9, 3 },
30403		{ "VF_Valid", 8, 1 },
30404		{ "VF", 0, 8 },
30405	{ "MPS_CLS_SRAM_L", 0xe620, 0 },
30406		{ "DisEncapOuterRplct", 31, 1 },
30407		{ "DisEncap", 30, 1 },
30408		{ "MultiListen3", 29, 1 },
30409		{ "MultiListen2", 28, 1 },
30410		{ "MultiListen1", 27, 1 },
30411		{ "MultiListen0", 26, 1 },
30412		{ "Priority3", 23, 3 },
30413		{ "Priority2", 20, 3 },
30414		{ "Priority1", 17, 3 },
30415		{ "Priority0", 14, 3 },
30416		{ "Valid", 13, 1 },
30417		{ "Replicate", 12, 1 },
30418		{ "PF", 9, 3 },
30419		{ "VF_Valid", 8, 1 },
30420		{ "VF", 0, 8 },
30421	{ "MPS_CLS_SRAM_L", 0xe628, 0 },
30422		{ "DisEncapOuterRplct", 31, 1 },
30423		{ "DisEncap", 30, 1 },
30424		{ "MultiListen3", 29, 1 },
30425		{ "MultiListen2", 28, 1 },
30426		{ "MultiListen1", 27, 1 },
30427		{ "MultiListen0", 26, 1 },
30428		{ "Priority3", 23, 3 },
30429		{ "Priority2", 20, 3 },
30430		{ "Priority1", 17, 3 },
30431		{ "Priority0", 14, 3 },
30432		{ "Valid", 13, 1 },
30433		{ "Replicate", 12, 1 },
30434		{ "PF", 9, 3 },
30435		{ "VF_Valid", 8, 1 },
30436		{ "VF", 0, 8 },
30437	{ "MPS_CLS_SRAM_L", 0xe630, 0 },
30438		{ "DisEncapOuterRplct", 31, 1 },
30439		{ "DisEncap", 30, 1 },
30440		{ "MultiListen3", 29, 1 },
30441		{ "MultiListen2", 28, 1 },
30442		{ "MultiListen1", 27, 1 },
30443		{ "MultiListen0", 26, 1 },
30444		{ "Priority3", 23, 3 },
30445		{ "Priority2", 20, 3 },
30446		{ "Priority1", 17, 3 },
30447		{ "Priority0", 14, 3 },
30448		{ "Valid", 13, 1 },
30449		{ "Replicate", 12, 1 },
30450		{ "PF", 9, 3 },
30451		{ "VF_Valid", 8, 1 },
30452		{ "VF", 0, 8 },
30453	{ "MPS_CLS_SRAM_L", 0xe638, 0 },
30454		{ "DisEncapOuterRplct", 31, 1 },
30455		{ "DisEncap", 30, 1 },
30456		{ "MultiListen3", 29, 1 },
30457		{ "MultiListen2", 28, 1 },
30458		{ "MultiListen1", 27, 1 },
30459		{ "MultiListen0", 26, 1 },
30460		{ "Priority3", 23, 3 },
30461		{ "Priority2", 20, 3 },
30462		{ "Priority1", 17, 3 },
30463		{ "Priority0", 14, 3 },
30464		{ "Valid", 13, 1 },
30465		{ "Replicate", 12, 1 },
30466		{ "PF", 9, 3 },
30467		{ "VF_Valid", 8, 1 },
30468		{ "VF", 0, 8 },
30469	{ "MPS_CLS_SRAM_L", 0xe640, 0 },
30470		{ "DisEncapOuterRplct", 31, 1 },
30471		{ "DisEncap", 30, 1 },
30472		{ "MultiListen3", 29, 1 },
30473		{ "MultiListen2", 28, 1 },
30474		{ "MultiListen1", 27, 1 },
30475		{ "MultiListen0", 26, 1 },
30476		{ "Priority3", 23, 3 },
30477		{ "Priority2", 20, 3 },
30478		{ "Priority1", 17, 3 },
30479		{ "Priority0", 14, 3 },
30480		{ "Valid", 13, 1 },
30481		{ "Replicate", 12, 1 },
30482		{ "PF", 9, 3 },
30483		{ "VF_Valid", 8, 1 },
30484		{ "VF", 0, 8 },
30485	{ "MPS_CLS_SRAM_L", 0xe648, 0 },
30486		{ "DisEncapOuterRplct", 31, 1 },
30487		{ "DisEncap", 30, 1 },
30488		{ "MultiListen3", 29, 1 },
30489		{ "MultiListen2", 28, 1 },
30490		{ "MultiListen1", 27, 1 },
30491		{ "MultiListen0", 26, 1 },
30492		{ "Priority3", 23, 3 },
30493		{ "Priority2", 20, 3 },
30494		{ "Priority1", 17, 3 },
30495		{ "Priority0", 14, 3 },
30496		{ "Valid", 13, 1 },
30497		{ "Replicate", 12, 1 },
30498		{ "PF", 9, 3 },
30499		{ "VF_Valid", 8, 1 },
30500		{ "VF", 0, 8 },
30501	{ "MPS_CLS_SRAM_L", 0xe650, 0 },
30502		{ "DisEncapOuterRplct", 31, 1 },
30503		{ "DisEncap", 30, 1 },
30504		{ "MultiListen3", 29, 1 },
30505		{ "MultiListen2", 28, 1 },
30506		{ "MultiListen1", 27, 1 },
30507		{ "MultiListen0", 26, 1 },
30508		{ "Priority3", 23, 3 },
30509		{ "Priority2", 20, 3 },
30510		{ "Priority1", 17, 3 },
30511		{ "Priority0", 14, 3 },
30512		{ "Valid", 13, 1 },
30513		{ "Replicate", 12, 1 },
30514		{ "PF", 9, 3 },
30515		{ "VF_Valid", 8, 1 },
30516		{ "VF", 0, 8 },
30517	{ "MPS_CLS_SRAM_L", 0xe658, 0 },
30518		{ "DisEncapOuterRplct", 31, 1 },
30519		{ "DisEncap", 30, 1 },
30520		{ "MultiListen3", 29, 1 },
30521		{ "MultiListen2", 28, 1 },
30522		{ "MultiListen1", 27, 1 },
30523		{ "MultiListen0", 26, 1 },
30524		{ "Priority3", 23, 3 },
30525		{ "Priority2", 20, 3 },
30526		{ "Priority1", 17, 3 },
30527		{ "Priority0", 14, 3 },
30528		{ "Valid", 13, 1 },
30529		{ "Replicate", 12, 1 },
30530		{ "PF", 9, 3 },
30531		{ "VF_Valid", 8, 1 },
30532		{ "VF", 0, 8 },
30533	{ "MPS_CLS_SRAM_L", 0xe660, 0 },
30534		{ "DisEncapOuterRplct", 31, 1 },
30535		{ "DisEncap", 30, 1 },
30536		{ "MultiListen3", 29, 1 },
30537		{ "MultiListen2", 28, 1 },
30538		{ "MultiListen1", 27, 1 },
30539		{ "MultiListen0", 26, 1 },
30540		{ "Priority3", 23, 3 },
30541		{ "Priority2", 20, 3 },
30542		{ "Priority1", 17, 3 },
30543		{ "Priority0", 14, 3 },
30544		{ "Valid", 13, 1 },
30545		{ "Replicate", 12, 1 },
30546		{ "PF", 9, 3 },
30547		{ "VF_Valid", 8, 1 },
30548		{ "VF", 0, 8 },
30549	{ "MPS_CLS_SRAM_L", 0xe668, 0 },
30550		{ "DisEncapOuterRplct", 31, 1 },
30551		{ "DisEncap", 30, 1 },
30552		{ "MultiListen3", 29, 1 },
30553		{ "MultiListen2", 28, 1 },
30554		{ "MultiListen1", 27, 1 },
30555		{ "MultiListen0", 26, 1 },
30556		{ "Priority3", 23, 3 },
30557		{ "Priority2", 20, 3 },
30558		{ "Priority1", 17, 3 },
30559		{ "Priority0", 14, 3 },
30560		{ "Valid", 13, 1 },
30561		{ "Replicate", 12, 1 },
30562		{ "PF", 9, 3 },
30563		{ "VF_Valid", 8, 1 },
30564		{ "VF", 0, 8 },
30565	{ "MPS_CLS_SRAM_L", 0xe670, 0 },
30566		{ "DisEncapOuterRplct", 31, 1 },
30567		{ "DisEncap", 30, 1 },
30568		{ "MultiListen3", 29, 1 },
30569		{ "MultiListen2", 28, 1 },
30570		{ "MultiListen1", 27, 1 },
30571		{ "MultiListen0", 26, 1 },
30572		{ "Priority3", 23, 3 },
30573		{ "Priority2", 20, 3 },
30574		{ "Priority1", 17, 3 },
30575		{ "Priority0", 14, 3 },
30576		{ "Valid", 13, 1 },
30577		{ "Replicate", 12, 1 },
30578		{ "PF", 9, 3 },
30579		{ "VF_Valid", 8, 1 },
30580		{ "VF", 0, 8 },
30581	{ "MPS_CLS_SRAM_L", 0xe678, 0 },
30582		{ "DisEncapOuterRplct", 31, 1 },
30583		{ "DisEncap", 30, 1 },
30584		{ "MultiListen3", 29, 1 },
30585		{ "MultiListen2", 28, 1 },
30586		{ "MultiListen1", 27, 1 },
30587		{ "MultiListen0", 26, 1 },
30588		{ "Priority3", 23, 3 },
30589		{ "Priority2", 20, 3 },
30590		{ "Priority1", 17, 3 },
30591		{ "Priority0", 14, 3 },
30592		{ "Valid", 13, 1 },
30593		{ "Replicate", 12, 1 },
30594		{ "PF", 9, 3 },
30595		{ "VF_Valid", 8, 1 },
30596		{ "VF", 0, 8 },
30597	{ "MPS_CLS_SRAM_L", 0xe680, 0 },
30598		{ "DisEncapOuterRplct", 31, 1 },
30599		{ "DisEncap", 30, 1 },
30600		{ "MultiListen3", 29, 1 },
30601		{ "MultiListen2", 28, 1 },
30602		{ "MultiListen1", 27, 1 },
30603		{ "MultiListen0", 26, 1 },
30604		{ "Priority3", 23, 3 },
30605		{ "Priority2", 20, 3 },
30606		{ "Priority1", 17, 3 },
30607		{ "Priority0", 14, 3 },
30608		{ "Valid", 13, 1 },
30609		{ "Replicate", 12, 1 },
30610		{ "PF", 9, 3 },
30611		{ "VF_Valid", 8, 1 },
30612		{ "VF", 0, 8 },
30613	{ "MPS_CLS_SRAM_L", 0xe688, 0 },
30614		{ "DisEncapOuterRplct", 31, 1 },
30615		{ "DisEncap", 30, 1 },
30616		{ "MultiListen3", 29, 1 },
30617		{ "MultiListen2", 28, 1 },
30618		{ "MultiListen1", 27, 1 },
30619		{ "MultiListen0", 26, 1 },
30620		{ "Priority3", 23, 3 },
30621		{ "Priority2", 20, 3 },
30622		{ "Priority1", 17, 3 },
30623		{ "Priority0", 14, 3 },
30624		{ "Valid", 13, 1 },
30625		{ "Replicate", 12, 1 },
30626		{ "PF", 9, 3 },
30627		{ "VF_Valid", 8, 1 },
30628		{ "VF", 0, 8 },
30629	{ "MPS_CLS_SRAM_L", 0xe690, 0 },
30630		{ "DisEncapOuterRplct", 31, 1 },
30631		{ "DisEncap", 30, 1 },
30632		{ "MultiListen3", 29, 1 },
30633		{ "MultiListen2", 28, 1 },
30634		{ "MultiListen1", 27, 1 },
30635		{ "MultiListen0", 26, 1 },
30636		{ "Priority3", 23, 3 },
30637		{ "Priority2", 20, 3 },
30638		{ "Priority1", 17, 3 },
30639		{ "Priority0", 14, 3 },
30640		{ "Valid", 13, 1 },
30641		{ "Replicate", 12, 1 },
30642		{ "PF", 9, 3 },
30643		{ "VF_Valid", 8, 1 },
30644		{ "VF", 0, 8 },
30645	{ "MPS_CLS_SRAM_L", 0xe698, 0 },
30646		{ "DisEncapOuterRplct", 31, 1 },
30647		{ "DisEncap", 30, 1 },
30648		{ "MultiListen3", 29, 1 },
30649		{ "MultiListen2", 28, 1 },
30650		{ "MultiListen1", 27, 1 },
30651		{ "MultiListen0", 26, 1 },
30652		{ "Priority3", 23, 3 },
30653		{ "Priority2", 20, 3 },
30654		{ "Priority1", 17, 3 },
30655		{ "Priority0", 14, 3 },
30656		{ "Valid", 13, 1 },
30657		{ "Replicate", 12, 1 },
30658		{ "PF", 9, 3 },
30659		{ "VF_Valid", 8, 1 },
30660		{ "VF", 0, 8 },
30661	{ "MPS_CLS_SRAM_L", 0xe6a0, 0 },
30662		{ "DisEncapOuterRplct", 31, 1 },
30663		{ "DisEncap", 30, 1 },
30664		{ "MultiListen3", 29, 1 },
30665		{ "MultiListen2", 28, 1 },
30666		{ "MultiListen1", 27, 1 },
30667		{ "MultiListen0", 26, 1 },
30668		{ "Priority3", 23, 3 },
30669		{ "Priority2", 20, 3 },
30670		{ "Priority1", 17, 3 },
30671		{ "Priority0", 14, 3 },
30672		{ "Valid", 13, 1 },
30673		{ "Replicate", 12, 1 },
30674		{ "PF", 9, 3 },
30675		{ "VF_Valid", 8, 1 },
30676		{ "VF", 0, 8 },
30677	{ "MPS_CLS_SRAM_L", 0xe6a8, 0 },
30678		{ "DisEncapOuterRplct", 31, 1 },
30679		{ "DisEncap", 30, 1 },
30680		{ "MultiListen3", 29, 1 },
30681		{ "MultiListen2", 28, 1 },
30682		{ "MultiListen1", 27, 1 },
30683		{ "MultiListen0", 26, 1 },
30684		{ "Priority3", 23, 3 },
30685		{ "Priority2", 20, 3 },
30686		{ "Priority1", 17, 3 },
30687		{ "Priority0", 14, 3 },
30688		{ "Valid", 13, 1 },
30689		{ "Replicate", 12, 1 },
30690		{ "PF", 9, 3 },
30691		{ "VF_Valid", 8, 1 },
30692		{ "VF", 0, 8 },
30693	{ "MPS_CLS_SRAM_L", 0xe6b0, 0 },
30694		{ "DisEncapOuterRplct", 31, 1 },
30695		{ "DisEncap", 30, 1 },
30696		{ "MultiListen3", 29, 1 },
30697		{ "MultiListen2", 28, 1 },
30698		{ "MultiListen1", 27, 1 },
30699		{ "MultiListen0", 26, 1 },
30700		{ "Priority3", 23, 3 },
30701		{ "Priority2", 20, 3 },
30702		{ "Priority1", 17, 3 },
30703		{ "Priority0", 14, 3 },
30704		{ "Valid", 13, 1 },
30705		{ "Replicate", 12, 1 },
30706		{ "PF", 9, 3 },
30707		{ "VF_Valid", 8, 1 },
30708		{ "VF", 0, 8 },
30709	{ "MPS_CLS_SRAM_L", 0xe6b8, 0 },
30710		{ "DisEncapOuterRplct", 31, 1 },
30711		{ "DisEncap", 30, 1 },
30712		{ "MultiListen3", 29, 1 },
30713		{ "MultiListen2", 28, 1 },
30714		{ "MultiListen1", 27, 1 },
30715		{ "MultiListen0", 26, 1 },
30716		{ "Priority3", 23, 3 },
30717		{ "Priority2", 20, 3 },
30718		{ "Priority1", 17, 3 },
30719		{ "Priority0", 14, 3 },
30720		{ "Valid", 13, 1 },
30721		{ "Replicate", 12, 1 },
30722		{ "PF", 9, 3 },
30723		{ "VF_Valid", 8, 1 },
30724		{ "VF", 0, 8 },
30725	{ "MPS_CLS_SRAM_L", 0xe6c0, 0 },
30726		{ "DisEncapOuterRplct", 31, 1 },
30727		{ "DisEncap", 30, 1 },
30728		{ "MultiListen3", 29, 1 },
30729		{ "MultiListen2", 28, 1 },
30730		{ "MultiListen1", 27, 1 },
30731		{ "MultiListen0", 26, 1 },
30732		{ "Priority3", 23, 3 },
30733		{ "Priority2", 20, 3 },
30734		{ "Priority1", 17, 3 },
30735		{ "Priority0", 14, 3 },
30736		{ "Valid", 13, 1 },
30737		{ "Replicate", 12, 1 },
30738		{ "PF", 9, 3 },
30739		{ "VF_Valid", 8, 1 },
30740		{ "VF", 0, 8 },
30741	{ "MPS_CLS_SRAM_L", 0xe6c8, 0 },
30742		{ "DisEncapOuterRplct", 31, 1 },
30743		{ "DisEncap", 30, 1 },
30744		{ "MultiListen3", 29, 1 },
30745		{ "MultiListen2", 28, 1 },
30746		{ "MultiListen1", 27, 1 },
30747		{ "MultiListen0", 26, 1 },
30748		{ "Priority3", 23, 3 },
30749		{ "Priority2", 20, 3 },
30750		{ "Priority1", 17, 3 },
30751		{ "Priority0", 14, 3 },
30752		{ "Valid", 13, 1 },
30753		{ "Replicate", 12, 1 },
30754		{ "PF", 9, 3 },
30755		{ "VF_Valid", 8, 1 },
30756		{ "VF", 0, 8 },
30757	{ "MPS_CLS_SRAM_L", 0xe6d0, 0 },
30758		{ "DisEncapOuterRplct", 31, 1 },
30759		{ "DisEncap", 30, 1 },
30760		{ "MultiListen3", 29, 1 },
30761		{ "MultiListen2", 28, 1 },
30762		{ "MultiListen1", 27, 1 },
30763		{ "MultiListen0", 26, 1 },
30764		{ "Priority3", 23, 3 },
30765		{ "Priority2", 20, 3 },
30766		{ "Priority1", 17, 3 },
30767		{ "Priority0", 14, 3 },
30768		{ "Valid", 13, 1 },
30769		{ "Replicate", 12, 1 },
30770		{ "PF", 9, 3 },
30771		{ "VF_Valid", 8, 1 },
30772		{ "VF", 0, 8 },
30773	{ "MPS_CLS_SRAM_L", 0xe6d8, 0 },
30774		{ "DisEncapOuterRplct", 31, 1 },
30775		{ "DisEncap", 30, 1 },
30776		{ "MultiListen3", 29, 1 },
30777		{ "MultiListen2", 28, 1 },
30778		{ "MultiListen1", 27, 1 },
30779		{ "MultiListen0", 26, 1 },
30780		{ "Priority3", 23, 3 },
30781		{ "Priority2", 20, 3 },
30782		{ "Priority1", 17, 3 },
30783		{ "Priority0", 14, 3 },
30784		{ "Valid", 13, 1 },
30785		{ "Replicate", 12, 1 },
30786		{ "PF", 9, 3 },
30787		{ "VF_Valid", 8, 1 },
30788		{ "VF", 0, 8 },
30789	{ "MPS_CLS_SRAM_L", 0xe6e0, 0 },
30790		{ "DisEncapOuterRplct", 31, 1 },
30791		{ "DisEncap", 30, 1 },
30792		{ "MultiListen3", 29, 1 },
30793		{ "MultiListen2", 28, 1 },
30794		{ "MultiListen1", 27, 1 },
30795		{ "MultiListen0", 26, 1 },
30796		{ "Priority3", 23, 3 },
30797		{ "Priority2", 20, 3 },
30798		{ "Priority1", 17, 3 },
30799		{ "Priority0", 14, 3 },
30800		{ "Valid", 13, 1 },
30801		{ "Replicate", 12, 1 },
30802		{ "PF", 9, 3 },
30803		{ "VF_Valid", 8, 1 },
30804		{ "VF", 0, 8 },
30805	{ "MPS_CLS_SRAM_L", 0xe6e8, 0 },
30806		{ "DisEncapOuterRplct", 31, 1 },
30807		{ "DisEncap", 30, 1 },
30808		{ "MultiListen3", 29, 1 },
30809		{ "MultiListen2", 28, 1 },
30810		{ "MultiListen1", 27, 1 },
30811		{ "MultiListen0", 26, 1 },
30812		{ "Priority3", 23, 3 },
30813		{ "Priority2", 20, 3 },
30814		{ "Priority1", 17, 3 },
30815		{ "Priority0", 14, 3 },
30816		{ "Valid", 13, 1 },
30817		{ "Replicate", 12, 1 },
30818		{ "PF", 9, 3 },
30819		{ "VF_Valid", 8, 1 },
30820		{ "VF", 0, 8 },
30821	{ "MPS_CLS_SRAM_L", 0xe6f0, 0 },
30822		{ "DisEncapOuterRplct", 31, 1 },
30823		{ "DisEncap", 30, 1 },
30824		{ "MultiListen3", 29, 1 },
30825		{ "MultiListen2", 28, 1 },
30826		{ "MultiListen1", 27, 1 },
30827		{ "MultiListen0", 26, 1 },
30828		{ "Priority3", 23, 3 },
30829		{ "Priority2", 20, 3 },
30830		{ "Priority1", 17, 3 },
30831		{ "Priority0", 14, 3 },
30832		{ "Valid", 13, 1 },
30833		{ "Replicate", 12, 1 },
30834		{ "PF", 9, 3 },
30835		{ "VF_Valid", 8, 1 },
30836		{ "VF", 0, 8 },
30837	{ "MPS_CLS_SRAM_L", 0xe6f8, 0 },
30838		{ "DisEncapOuterRplct", 31, 1 },
30839		{ "DisEncap", 30, 1 },
30840		{ "MultiListen3", 29, 1 },
30841		{ "MultiListen2", 28, 1 },
30842		{ "MultiListen1", 27, 1 },
30843		{ "MultiListen0", 26, 1 },
30844		{ "Priority3", 23, 3 },
30845		{ "Priority2", 20, 3 },
30846		{ "Priority1", 17, 3 },
30847		{ "Priority0", 14, 3 },
30848		{ "Valid", 13, 1 },
30849		{ "Replicate", 12, 1 },
30850		{ "PF", 9, 3 },
30851		{ "VF_Valid", 8, 1 },
30852		{ "VF", 0, 8 },
30853	{ "MPS_CLS_SRAM_L", 0xe700, 0 },
30854		{ "DisEncapOuterRplct", 31, 1 },
30855		{ "DisEncap", 30, 1 },
30856		{ "MultiListen3", 29, 1 },
30857		{ "MultiListen2", 28, 1 },
30858		{ "MultiListen1", 27, 1 },
30859		{ "MultiListen0", 26, 1 },
30860		{ "Priority3", 23, 3 },
30861		{ "Priority2", 20, 3 },
30862		{ "Priority1", 17, 3 },
30863		{ "Priority0", 14, 3 },
30864		{ "Valid", 13, 1 },
30865		{ "Replicate", 12, 1 },
30866		{ "PF", 9, 3 },
30867		{ "VF_Valid", 8, 1 },
30868		{ "VF", 0, 8 },
30869	{ "MPS_CLS_SRAM_L", 0xe708, 0 },
30870		{ "DisEncapOuterRplct", 31, 1 },
30871		{ "DisEncap", 30, 1 },
30872		{ "MultiListen3", 29, 1 },
30873		{ "MultiListen2", 28, 1 },
30874		{ "MultiListen1", 27, 1 },
30875		{ "MultiListen0", 26, 1 },
30876		{ "Priority3", 23, 3 },
30877		{ "Priority2", 20, 3 },
30878		{ "Priority1", 17, 3 },
30879		{ "Priority0", 14, 3 },
30880		{ "Valid", 13, 1 },
30881		{ "Replicate", 12, 1 },
30882		{ "PF", 9, 3 },
30883		{ "VF_Valid", 8, 1 },
30884		{ "VF", 0, 8 },
30885	{ "MPS_CLS_SRAM_L", 0xe710, 0 },
30886		{ "DisEncapOuterRplct", 31, 1 },
30887		{ "DisEncap", 30, 1 },
30888		{ "MultiListen3", 29, 1 },
30889		{ "MultiListen2", 28, 1 },
30890		{ "MultiListen1", 27, 1 },
30891		{ "MultiListen0", 26, 1 },
30892		{ "Priority3", 23, 3 },
30893		{ "Priority2", 20, 3 },
30894		{ "Priority1", 17, 3 },
30895		{ "Priority0", 14, 3 },
30896		{ "Valid", 13, 1 },
30897		{ "Replicate", 12, 1 },
30898		{ "PF", 9, 3 },
30899		{ "VF_Valid", 8, 1 },
30900		{ "VF", 0, 8 },
30901	{ "MPS_CLS_SRAM_L", 0xe718, 0 },
30902		{ "DisEncapOuterRplct", 31, 1 },
30903		{ "DisEncap", 30, 1 },
30904		{ "MultiListen3", 29, 1 },
30905		{ "MultiListen2", 28, 1 },
30906		{ "MultiListen1", 27, 1 },
30907		{ "MultiListen0", 26, 1 },
30908		{ "Priority3", 23, 3 },
30909		{ "Priority2", 20, 3 },
30910		{ "Priority1", 17, 3 },
30911		{ "Priority0", 14, 3 },
30912		{ "Valid", 13, 1 },
30913		{ "Replicate", 12, 1 },
30914		{ "PF", 9, 3 },
30915		{ "VF_Valid", 8, 1 },
30916		{ "VF", 0, 8 },
30917	{ "MPS_CLS_SRAM_L", 0xe720, 0 },
30918		{ "DisEncapOuterRplct", 31, 1 },
30919		{ "DisEncap", 30, 1 },
30920		{ "MultiListen3", 29, 1 },
30921		{ "MultiListen2", 28, 1 },
30922		{ "MultiListen1", 27, 1 },
30923		{ "MultiListen0", 26, 1 },
30924		{ "Priority3", 23, 3 },
30925		{ "Priority2", 20, 3 },
30926		{ "Priority1", 17, 3 },
30927		{ "Priority0", 14, 3 },
30928		{ "Valid", 13, 1 },
30929		{ "Replicate", 12, 1 },
30930		{ "PF", 9, 3 },
30931		{ "VF_Valid", 8, 1 },
30932		{ "VF", 0, 8 },
30933	{ "MPS_CLS_SRAM_L", 0xe728, 0 },
30934		{ "DisEncapOuterRplct", 31, 1 },
30935		{ "DisEncap", 30, 1 },
30936		{ "MultiListen3", 29, 1 },
30937		{ "MultiListen2", 28, 1 },
30938		{ "MultiListen1", 27, 1 },
30939		{ "MultiListen0", 26, 1 },
30940		{ "Priority3", 23, 3 },
30941		{ "Priority2", 20, 3 },
30942		{ "Priority1", 17, 3 },
30943		{ "Priority0", 14, 3 },
30944		{ "Valid", 13, 1 },
30945		{ "Replicate", 12, 1 },
30946		{ "PF", 9, 3 },
30947		{ "VF_Valid", 8, 1 },
30948		{ "VF", 0, 8 },
30949	{ "MPS_CLS_SRAM_L", 0xe730, 0 },
30950		{ "DisEncapOuterRplct", 31, 1 },
30951		{ "DisEncap", 30, 1 },
30952		{ "MultiListen3", 29, 1 },
30953		{ "MultiListen2", 28, 1 },
30954		{ "MultiListen1", 27, 1 },
30955		{ "MultiListen0", 26, 1 },
30956		{ "Priority3", 23, 3 },
30957		{ "Priority2", 20, 3 },
30958		{ "Priority1", 17, 3 },
30959		{ "Priority0", 14, 3 },
30960		{ "Valid", 13, 1 },
30961		{ "Replicate", 12, 1 },
30962		{ "PF", 9, 3 },
30963		{ "VF_Valid", 8, 1 },
30964		{ "VF", 0, 8 },
30965	{ "MPS_CLS_SRAM_L", 0xe738, 0 },
30966		{ "DisEncapOuterRplct", 31, 1 },
30967		{ "DisEncap", 30, 1 },
30968		{ "MultiListen3", 29, 1 },
30969		{ "MultiListen2", 28, 1 },
30970		{ "MultiListen1", 27, 1 },
30971		{ "MultiListen0", 26, 1 },
30972		{ "Priority3", 23, 3 },
30973		{ "Priority2", 20, 3 },
30974		{ "Priority1", 17, 3 },
30975		{ "Priority0", 14, 3 },
30976		{ "Valid", 13, 1 },
30977		{ "Replicate", 12, 1 },
30978		{ "PF", 9, 3 },
30979		{ "VF_Valid", 8, 1 },
30980		{ "VF", 0, 8 },
30981	{ "MPS_CLS_SRAM_L", 0xe740, 0 },
30982		{ "DisEncapOuterRplct", 31, 1 },
30983		{ "DisEncap", 30, 1 },
30984		{ "MultiListen3", 29, 1 },
30985		{ "MultiListen2", 28, 1 },
30986		{ "MultiListen1", 27, 1 },
30987		{ "MultiListen0", 26, 1 },
30988		{ "Priority3", 23, 3 },
30989		{ "Priority2", 20, 3 },
30990		{ "Priority1", 17, 3 },
30991		{ "Priority0", 14, 3 },
30992		{ "Valid", 13, 1 },
30993		{ "Replicate", 12, 1 },
30994		{ "PF", 9, 3 },
30995		{ "VF_Valid", 8, 1 },
30996		{ "VF", 0, 8 },
30997	{ "MPS_CLS_SRAM_L", 0xe748, 0 },
30998		{ "DisEncapOuterRplct", 31, 1 },
30999		{ "DisEncap", 30, 1 },
31000		{ "MultiListen3", 29, 1 },
31001		{ "MultiListen2", 28, 1 },
31002		{ "MultiListen1", 27, 1 },
31003		{ "MultiListen0", 26, 1 },
31004		{ "Priority3", 23, 3 },
31005		{ "Priority2", 20, 3 },
31006		{ "Priority1", 17, 3 },
31007		{ "Priority0", 14, 3 },
31008		{ "Valid", 13, 1 },
31009		{ "Replicate", 12, 1 },
31010		{ "PF", 9, 3 },
31011		{ "VF_Valid", 8, 1 },
31012		{ "VF", 0, 8 },
31013	{ "MPS_CLS_SRAM_L", 0xe750, 0 },
31014		{ "DisEncapOuterRplct", 31, 1 },
31015		{ "DisEncap", 30, 1 },
31016		{ "MultiListen3", 29, 1 },
31017		{ "MultiListen2", 28, 1 },
31018		{ "MultiListen1", 27, 1 },
31019		{ "MultiListen0", 26, 1 },
31020		{ "Priority3", 23, 3 },
31021		{ "Priority2", 20, 3 },
31022		{ "Priority1", 17, 3 },
31023		{ "Priority0", 14, 3 },
31024		{ "Valid", 13, 1 },
31025		{ "Replicate", 12, 1 },
31026		{ "PF", 9, 3 },
31027		{ "VF_Valid", 8, 1 },
31028		{ "VF", 0, 8 },
31029	{ "MPS_CLS_SRAM_L", 0xe758, 0 },
31030		{ "DisEncapOuterRplct", 31, 1 },
31031		{ "DisEncap", 30, 1 },
31032		{ "MultiListen3", 29, 1 },
31033		{ "MultiListen2", 28, 1 },
31034		{ "MultiListen1", 27, 1 },
31035		{ "MultiListen0", 26, 1 },
31036		{ "Priority3", 23, 3 },
31037		{ "Priority2", 20, 3 },
31038		{ "Priority1", 17, 3 },
31039		{ "Priority0", 14, 3 },
31040		{ "Valid", 13, 1 },
31041		{ "Replicate", 12, 1 },
31042		{ "PF", 9, 3 },
31043		{ "VF_Valid", 8, 1 },
31044		{ "VF", 0, 8 },
31045	{ "MPS_CLS_SRAM_L", 0xe760, 0 },
31046		{ "DisEncapOuterRplct", 31, 1 },
31047		{ "DisEncap", 30, 1 },
31048		{ "MultiListen3", 29, 1 },
31049		{ "MultiListen2", 28, 1 },
31050		{ "MultiListen1", 27, 1 },
31051		{ "MultiListen0", 26, 1 },
31052		{ "Priority3", 23, 3 },
31053		{ "Priority2", 20, 3 },
31054		{ "Priority1", 17, 3 },
31055		{ "Priority0", 14, 3 },
31056		{ "Valid", 13, 1 },
31057		{ "Replicate", 12, 1 },
31058		{ "PF", 9, 3 },
31059		{ "VF_Valid", 8, 1 },
31060		{ "VF", 0, 8 },
31061	{ "MPS_CLS_SRAM_L", 0xe768, 0 },
31062		{ "DisEncapOuterRplct", 31, 1 },
31063		{ "DisEncap", 30, 1 },
31064		{ "MultiListen3", 29, 1 },
31065		{ "MultiListen2", 28, 1 },
31066		{ "MultiListen1", 27, 1 },
31067		{ "MultiListen0", 26, 1 },
31068		{ "Priority3", 23, 3 },
31069		{ "Priority2", 20, 3 },
31070		{ "Priority1", 17, 3 },
31071		{ "Priority0", 14, 3 },
31072		{ "Valid", 13, 1 },
31073		{ "Replicate", 12, 1 },
31074		{ "PF", 9, 3 },
31075		{ "VF_Valid", 8, 1 },
31076		{ "VF", 0, 8 },
31077	{ "MPS_CLS_SRAM_L", 0xe770, 0 },
31078		{ "DisEncapOuterRplct", 31, 1 },
31079		{ "DisEncap", 30, 1 },
31080		{ "MultiListen3", 29, 1 },
31081		{ "MultiListen2", 28, 1 },
31082		{ "MultiListen1", 27, 1 },
31083		{ "MultiListen0", 26, 1 },
31084		{ "Priority3", 23, 3 },
31085		{ "Priority2", 20, 3 },
31086		{ "Priority1", 17, 3 },
31087		{ "Priority0", 14, 3 },
31088		{ "Valid", 13, 1 },
31089		{ "Replicate", 12, 1 },
31090		{ "PF", 9, 3 },
31091		{ "VF_Valid", 8, 1 },
31092		{ "VF", 0, 8 },
31093	{ "MPS_CLS_SRAM_L", 0xe778, 0 },
31094		{ "DisEncapOuterRplct", 31, 1 },
31095		{ "DisEncap", 30, 1 },
31096		{ "MultiListen3", 29, 1 },
31097		{ "MultiListen2", 28, 1 },
31098		{ "MultiListen1", 27, 1 },
31099		{ "MultiListen0", 26, 1 },
31100		{ "Priority3", 23, 3 },
31101		{ "Priority2", 20, 3 },
31102		{ "Priority1", 17, 3 },
31103		{ "Priority0", 14, 3 },
31104		{ "Valid", 13, 1 },
31105		{ "Replicate", 12, 1 },
31106		{ "PF", 9, 3 },
31107		{ "VF_Valid", 8, 1 },
31108		{ "VF", 0, 8 },
31109	{ "MPS_CLS_SRAM_L", 0xe780, 0 },
31110		{ "DisEncapOuterRplct", 31, 1 },
31111		{ "DisEncap", 30, 1 },
31112		{ "MultiListen3", 29, 1 },
31113		{ "MultiListen2", 28, 1 },
31114		{ "MultiListen1", 27, 1 },
31115		{ "MultiListen0", 26, 1 },
31116		{ "Priority3", 23, 3 },
31117		{ "Priority2", 20, 3 },
31118		{ "Priority1", 17, 3 },
31119		{ "Priority0", 14, 3 },
31120		{ "Valid", 13, 1 },
31121		{ "Replicate", 12, 1 },
31122		{ "PF", 9, 3 },
31123		{ "VF_Valid", 8, 1 },
31124		{ "VF", 0, 8 },
31125	{ "MPS_CLS_SRAM_L", 0xe788, 0 },
31126		{ "DisEncapOuterRplct", 31, 1 },
31127		{ "DisEncap", 30, 1 },
31128		{ "MultiListen3", 29, 1 },
31129		{ "MultiListen2", 28, 1 },
31130		{ "MultiListen1", 27, 1 },
31131		{ "MultiListen0", 26, 1 },
31132		{ "Priority3", 23, 3 },
31133		{ "Priority2", 20, 3 },
31134		{ "Priority1", 17, 3 },
31135		{ "Priority0", 14, 3 },
31136		{ "Valid", 13, 1 },
31137		{ "Replicate", 12, 1 },
31138		{ "PF", 9, 3 },
31139		{ "VF_Valid", 8, 1 },
31140		{ "VF", 0, 8 },
31141	{ "MPS_CLS_SRAM_L", 0xe790, 0 },
31142		{ "DisEncapOuterRplct", 31, 1 },
31143		{ "DisEncap", 30, 1 },
31144		{ "MultiListen3", 29, 1 },
31145		{ "MultiListen2", 28, 1 },
31146		{ "MultiListen1", 27, 1 },
31147		{ "MultiListen0", 26, 1 },
31148		{ "Priority3", 23, 3 },
31149		{ "Priority2", 20, 3 },
31150		{ "Priority1", 17, 3 },
31151		{ "Priority0", 14, 3 },
31152		{ "Valid", 13, 1 },
31153		{ "Replicate", 12, 1 },
31154		{ "PF", 9, 3 },
31155		{ "VF_Valid", 8, 1 },
31156		{ "VF", 0, 8 },
31157	{ "MPS_CLS_SRAM_L", 0xe798, 0 },
31158		{ "DisEncapOuterRplct", 31, 1 },
31159		{ "DisEncap", 30, 1 },
31160		{ "MultiListen3", 29, 1 },
31161		{ "MultiListen2", 28, 1 },
31162		{ "MultiListen1", 27, 1 },
31163		{ "MultiListen0", 26, 1 },
31164		{ "Priority3", 23, 3 },
31165		{ "Priority2", 20, 3 },
31166		{ "Priority1", 17, 3 },
31167		{ "Priority0", 14, 3 },
31168		{ "Valid", 13, 1 },
31169		{ "Replicate", 12, 1 },
31170		{ "PF", 9, 3 },
31171		{ "VF_Valid", 8, 1 },
31172		{ "VF", 0, 8 },
31173	{ "MPS_CLS_SRAM_L", 0xe7a0, 0 },
31174		{ "DisEncapOuterRplct", 31, 1 },
31175		{ "DisEncap", 30, 1 },
31176		{ "MultiListen3", 29, 1 },
31177		{ "MultiListen2", 28, 1 },
31178		{ "MultiListen1", 27, 1 },
31179		{ "MultiListen0", 26, 1 },
31180		{ "Priority3", 23, 3 },
31181		{ "Priority2", 20, 3 },
31182		{ "Priority1", 17, 3 },
31183		{ "Priority0", 14, 3 },
31184		{ "Valid", 13, 1 },
31185		{ "Replicate", 12, 1 },
31186		{ "PF", 9, 3 },
31187		{ "VF_Valid", 8, 1 },
31188		{ "VF", 0, 8 },
31189	{ "MPS_CLS_SRAM_L", 0xe7a8, 0 },
31190		{ "DisEncapOuterRplct", 31, 1 },
31191		{ "DisEncap", 30, 1 },
31192		{ "MultiListen3", 29, 1 },
31193		{ "MultiListen2", 28, 1 },
31194		{ "MultiListen1", 27, 1 },
31195		{ "MultiListen0", 26, 1 },
31196		{ "Priority3", 23, 3 },
31197		{ "Priority2", 20, 3 },
31198		{ "Priority1", 17, 3 },
31199		{ "Priority0", 14, 3 },
31200		{ "Valid", 13, 1 },
31201		{ "Replicate", 12, 1 },
31202		{ "PF", 9, 3 },
31203		{ "VF_Valid", 8, 1 },
31204		{ "VF", 0, 8 },
31205	{ "MPS_CLS_SRAM_L", 0xe7b0, 0 },
31206		{ "DisEncapOuterRplct", 31, 1 },
31207		{ "DisEncap", 30, 1 },
31208		{ "MultiListen3", 29, 1 },
31209		{ "MultiListen2", 28, 1 },
31210		{ "MultiListen1", 27, 1 },
31211		{ "MultiListen0", 26, 1 },
31212		{ "Priority3", 23, 3 },
31213		{ "Priority2", 20, 3 },
31214		{ "Priority1", 17, 3 },
31215		{ "Priority0", 14, 3 },
31216		{ "Valid", 13, 1 },
31217		{ "Replicate", 12, 1 },
31218		{ "PF", 9, 3 },
31219		{ "VF_Valid", 8, 1 },
31220		{ "VF", 0, 8 },
31221	{ "MPS_CLS_SRAM_L", 0xe7b8, 0 },
31222		{ "DisEncapOuterRplct", 31, 1 },
31223		{ "DisEncap", 30, 1 },
31224		{ "MultiListen3", 29, 1 },
31225		{ "MultiListen2", 28, 1 },
31226		{ "MultiListen1", 27, 1 },
31227		{ "MultiListen0", 26, 1 },
31228		{ "Priority3", 23, 3 },
31229		{ "Priority2", 20, 3 },
31230		{ "Priority1", 17, 3 },
31231		{ "Priority0", 14, 3 },
31232		{ "Valid", 13, 1 },
31233		{ "Replicate", 12, 1 },
31234		{ "PF", 9, 3 },
31235		{ "VF_Valid", 8, 1 },
31236		{ "VF", 0, 8 },
31237	{ "MPS_CLS_SRAM_L", 0xe7c0, 0 },
31238		{ "DisEncapOuterRplct", 31, 1 },
31239		{ "DisEncap", 30, 1 },
31240		{ "MultiListen3", 29, 1 },
31241		{ "MultiListen2", 28, 1 },
31242		{ "MultiListen1", 27, 1 },
31243		{ "MultiListen0", 26, 1 },
31244		{ "Priority3", 23, 3 },
31245		{ "Priority2", 20, 3 },
31246		{ "Priority1", 17, 3 },
31247		{ "Priority0", 14, 3 },
31248		{ "Valid", 13, 1 },
31249		{ "Replicate", 12, 1 },
31250		{ "PF", 9, 3 },
31251		{ "VF_Valid", 8, 1 },
31252		{ "VF", 0, 8 },
31253	{ "MPS_CLS_SRAM_L", 0xe7c8, 0 },
31254		{ "DisEncapOuterRplct", 31, 1 },
31255		{ "DisEncap", 30, 1 },
31256		{ "MultiListen3", 29, 1 },
31257		{ "MultiListen2", 28, 1 },
31258		{ "MultiListen1", 27, 1 },
31259		{ "MultiListen0", 26, 1 },
31260		{ "Priority3", 23, 3 },
31261		{ "Priority2", 20, 3 },
31262		{ "Priority1", 17, 3 },
31263		{ "Priority0", 14, 3 },
31264		{ "Valid", 13, 1 },
31265		{ "Replicate", 12, 1 },
31266		{ "PF", 9, 3 },
31267		{ "VF_Valid", 8, 1 },
31268		{ "VF", 0, 8 },
31269	{ "MPS_CLS_SRAM_L", 0xe7d0, 0 },
31270		{ "DisEncapOuterRplct", 31, 1 },
31271		{ "DisEncap", 30, 1 },
31272		{ "MultiListen3", 29, 1 },
31273		{ "MultiListen2", 28, 1 },
31274		{ "MultiListen1", 27, 1 },
31275		{ "MultiListen0", 26, 1 },
31276		{ "Priority3", 23, 3 },
31277		{ "Priority2", 20, 3 },
31278		{ "Priority1", 17, 3 },
31279		{ "Priority0", 14, 3 },
31280		{ "Valid", 13, 1 },
31281		{ "Replicate", 12, 1 },
31282		{ "PF", 9, 3 },
31283		{ "VF_Valid", 8, 1 },
31284		{ "VF", 0, 8 },
31285	{ "MPS_CLS_SRAM_L", 0xe7d8, 0 },
31286		{ "DisEncapOuterRplct", 31, 1 },
31287		{ "DisEncap", 30, 1 },
31288		{ "MultiListen3", 29, 1 },
31289		{ "MultiListen2", 28, 1 },
31290		{ "MultiListen1", 27, 1 },
31291		{ "MultiListen0", 26, 1 },
31292		{ "Priority3", 23, 3 },
31293		{ "Priority2", 20, 3 },
31294		{ "Priority1", 17, 3 },
31295		{ "Priority0", 14, 3 },
31296		{ "Valid", 13, 1 },
31297		{ "Replicate", 12, 1 },
31298		{ "PF", 9, 3 },
31299		{ "VF_Valid", 8, 1 },
31300		{ "VF", 0, 8 },
31301	{ "MPS_CLS_SRAM_L", 0xe7e0, 0 },
31302		{ "DisEncapOuterRplct", 31, 1 },
31303		{ "DisEncap", 30, 1 },
31304		{ "MultiListen3", 29, 1 },
31305		{ "MultiListen2", 28, 1 },
31306		{ "MultiListen1", 27, 1 },
31307		{ "MultiListen0", 26, 1 },
31308		{ "Priority3", 23, 3 },
31309		{ "Priority2", 20, 3 },
31310		{ "Priority1", 17, 3 },
31311		{ "Priority0", 14, 3 },
31312		{ "Valid", 13, 1 },
31313		{ "Replicate", 12, 1 },
31314		{ "PF", 9, 3 },
31315		{ "VF_Valid", 8, 1 },
31316		{ "VF", 0, 8 },
31317	{ "MPS_CLS_SRAM_L", 0xe7e8, 0 },
31318		{ "DisEncapOuterRplct", 31, 1 },
31319		{ "DisEncap", 30, 1 },
31320		{ "MultiListen3", 29, 1 },
31321		{ "MultiListen2", 28, 1 },
31322		{ "MultiListen1", 27, 1 },
31323		{ "MultiListen0", 26, 1 },
31324		{ "Priority3", 23, 3 },
31325		{ "Priority2", 20, 3 },
31326		{ "Priority1", 17, 3 },
31327		{ "Priority0", 14, 3 },
31328		{ "Valid", 13, 1 },
31329		{ "Replicate", 12, 1 },
31330		{ "PF", 9, 3 },
31331		{ "VF_Valid", 8, 1 },
31332		{ "VF", 0, 8 },
31333	{ "MPS_CLS_SRAM_L", 0xe7f0, 0 },
31334		{ "DisEncapOuterRplct", 31, 1 },
31335		{ "DisEncap", 30, 1 },
31336		{ "MultiListen3", 29, 1 },
31337		{ "MultiListen2", 28, 1 },
31338		{ "MultiListen1", 27, 1 },
31339		{ "MultiListen0", 26, 1 },
31340		{ "Priority3", 23, 3 },
31341		{ "Priority2", 20, 3 },
31342		{ "Priority1", 17, 3 },
31343		{ "Priority0", 14, 3 },
31344		{ "Valid", 13, 1 },
31345		{ "Replicate", 12, 1 },
31346		{ "PF", 9, 3 },
31347		{ "VF_Valid", 8, 1 },
31348		{ "VF", 0, 8 },
31349	{ "MPS_CLS_SRAM_L", 0xe7f8, 0 },
31350		{ "DisEncapOuterRplct", 31, 1 },
31351		{ "DisEncap", 30, 1 },
31352		{ "MultiListen3", 29, 1 },
31353		{ "MultiListen2", 28, 1 },
31354		{ "MultiListen1", 27, 1 },
31355		{ "MultiListen0", 26, 1 },
31356		{ "Priority3", 23, 3 },
31357		{ "Priority2", 20, 3 },
31358		{ "Priority1", 17, 3 },
31359		{ "Priority0", 14, 3 },
31360		{ "Valid", 13, 1 },
31361		{ "Replicate", 12, 1 },
31362		{ "PF", 9, 3 },
31363		{ "VF_Valid", 8, 1 },
31364		{ "VF", 0, 8 },
31365	{ "MPS_CLS_SRAM_L", 0xe800, 0 },
31366		{ "DisEncapOuterRplct", 31, 1 },
31367		{ "DisEncap", 30, 1 },
31368		{ "MultiListen3", 29, 1 },
31369		{ "MultiListen2", 28, 1 },
31370		{ "MultiListen1", 27, 1 },
31371		{ "MultiListen0", 26, 1 },
31372		{ "Priority3", 23, 3 },
31373		{ "Priority2", 20, 3 },
31374		{ "Priority1", 17, 3 },
31375		{ "Priority0", 14, 3 },
31376		{ "Valid", 13, 1 },
31377		{ "Replicate", 12, 1 },
31378		{ "PF", 9, 3 },
31379		{ "VF_Valid", 8, 1 },
31380		{ "VF", 0, 8 },
31381	{ "MPS_CLS_SRAM_L", 0xe808, 0 },
31382		{ "DisEncapOuterRplct", 31, 1 },
31383		{ "DisEncap", 30, 1 },
31384		{ "MultiListen3", 29, 1 },
31385		{ "MultiListen2", 28, 1 },
31386		{ "MultiListen1", 27, 1 },
31387		{ "MultiListen0", 26, 1 },
31388		{ "Priority3", 23, 3 },
31389		{ "Priority2", 20, 3 },
31390		{ "Priority1", 17, 3 },
31391		{ "Priority0", 14, 3 },
31392		{ "Valid", 13, 1 },
31393		{ "Replicate", 12, 1 },
31394		{ "PF", 9, 3 },
31395		{ "VF_Valid", 8, 1 },
31396		{ "VF", 0, 8 },
31397	{ "MPS_CLS_SRAM_L", 0xe810, 0 },
31398		{ "DisEncapOuterRplct", 31, 1 },
31399		{ "DisEncap", 30, 1 },
31400		{ "MultiListen3", 29, 1 },
31401		{ "MultiListen2", 28, 1 },
31402		{ "MultiListen1", 27, 1 },
31403		{ "MultiListen0", 26, 1 },
31404		{ "Priority3", 23, 3 },
31405		{ "Priority2", 20, 3 },
31406		{ "Priority1", 17, 3 },
31407		{ "Priority0", 14, 3 },
31408		{ "Valid", 13, 1 },
31409		{ "Replicate", 12, 1 },
31410		{ "PF", 9, 3 },
31411		{ "VF_Valid", 8, 1 },
31412		{ "VF", 0, 8 },
31413	{ "MPS_CLS_SRAM_L", 0xe818, 0 },
31414		{ "DisEncapOuterRplct", 31, 1 },
31415		{ "DisEncap", 30, 1 },
31416		{ "MultiListen3", 29, 1 },
31417		{ "MultiListen2", 28, 1 },
31418		{ "MultiListen1", 27, 1 },
31419		{ "MultiListen0", 26, 1 },
31420		{ "Priority3", 23, 3 },
31421		{ "Priority2", 20, 3 },
31422		{ "Priority1", 17, 3 },
31423		{ "Priority0", 14, 3 },
31424		{ "Valid", 13, 1 },
31425		{ "Replicate", 12, 1 },
31426		{ "PF", 9, 3 },
31427		{ "VF_Valid", 8, 1 },
31428		{ "VF", 0, 8 },
31429	{ "MPS_CLS_SRAM_L", 0xe820, 0 },
31430		{ "DisEncapOuterRplct", 31, 1 },
31431		{ "DisEncap", 30, 1 },
31432		{ "MultiListen3", 29, 1 },
31433		{ "MultiListen2", 28, 1 },
31434		{ "MultiListen1", 27, 1 },
31435		{ "MultiListen0", 26, 1 },
31436		{ "Priority3", 23, 3 },
31437		{ "Priority2", 20, 3 },
31438		{ "Priority1", 17, 3 },
31439		{ "Priority0", 14, 3 },
31440		{ "Valid", 13, 1 },
31441		{ "Replicate", 12, 1 },
31442		{ "PF", 9, 3 },
31443		{ "VF_Valid", 8, 1 },
31444		{ "VF", 0, 8 },
31445	{ "MPS_CLS_SRAM_L", 0xe828, 0 },
31446		{ "DisEncapOuterRplct", 31, 1 },
31447		{ "DisEncap", 30, 1 },
31448		{ "MultiListen3", 29, 1 },
31449		{ "MultiListen2", 28, 1 },
31450		{ "MultiListen1", 27, 1 },
31451		{ "MultiListen0", 26, 1 },
31452		{ "Priority3", 23, 3 },
31453		{ "Priority2", 20, 3 },
31454		{ "Priority1", 17, 3 },
31455		{ "Priority0", 14, 3 },
31456		{ "Valid", 13, 1 },
31457		{ "Replicate", 12, 1 },
31458		{ "PF", 9, 3 },
31459		{ "VF_Valid", 8, 1 },
31460		{ "VF", 0, 8 },
31461	{ "MPS_CLS_SRAM_L", 0xe830, 0 },
31462		{ "DisEncapOuterRplct", 31, 1 },
31463		{ "DisEncap", 30, 1 },
31464		{ "MultiListen3", 29, 1 },
31465		{ "MultiListen2", 28, 1 },
31466		{ "MultiListen1", 27, 1 },
31467		{ "MultiListen0", 26, 1 },
31468		{ "Priority3", 23, 3 },
31469		{ "Priority2", 20, 3 },
31470		{ "Priority1", 17, 3 },
31471		{ "Priority0", 14, 3 },
31472		{ "Valid", 13, 1 },
31473		{ "Replicate", 12, 1 },
31474		{ "PF", 9, 3 },
31475		{ "VF_Valid", 8, 1 },
31476		{ "VF", 0, 8 },
31477	{ "MPS_CLS_SRAM_L", 0xe838, 0 },
31478		{ "DisEncapOuterRplct", 31, 1 },
31479		{ "DisEncap", 30, 1 },
31480		{ "MultiListen3", 29, 1 },
31481		{ "MultiListen2", 28, 1 },
31482		{ "MultiListen1", 27, 1 },
31483		{ "MultiListen0", 26, 1 },
31484		{ "Priority3", 23, 3 },
31485		{ "Priority2", 20, 3 },
31486		{ "Priority1", 17, 3 },
31487		{ "Priority0", 14, 3 },
31488		{ "Valid", 13, 1 },
31489		{ "Replicate", 12, 1 },
31490		{ "PF", 9, 3 },
31491		{ "VF_Valid", 8, 1 },
31492		{ "VF", 0, 8 },
31493	{ "MPS_CLS_SRAM_L", 0xe840, 0 },
31494		{ "DisEncapOuterRplct", 31, 1 },
31495		{ "DisEncap", 30, 1 },
31496		{ "MultiListen3", 29, 1 },
31497		{ "MultiListen2", 28, 1 },
31498		{ "MultiListen1", 27, 1 },
31499		{ "MultiListen0", 26, 1 },
31500		{ "Priority3", 23, 3 },
31501		{ "Priority2", 20, 3 },
31502		{ "Priority1", 17, 3 },
31503		{ "Priority0", 14, 3 },
31504		{ "Valid", 13, 1 },
31505		{ "Replicate", 12, 1 },
31506		{ "PF", 9, 3 },
31507		{ "VF_Valid", 8, 1 },
31508		{ "VF", 0, 8 },
31509	{ "MPS_CLS_SRAM_L", 0xe848, 0 },
31510		{ "DisEncapOuterRplct", 31, 1 },
31511		{ "DisEncap", 30, 1 },
31512		{ "MultiListen3", 29, 1 },
31513		{ "MultiListen2", 28, 1 },
31514		{ "MultiListen1", 27, 1 },
31515		{ "MultiListen0", 26, 1 },
31516		{ "Priority3", 23, 3 },
31517		{ "Priority2", 20, 3 },
31518		{ "Priority1", 17, 3 },
31519		{ "Priority0", 14, 3 },
31520		{ "Valid", 13, 1 },
31521		{ "Replicate", 12, 1 },
31522		{ "PF", 9, 3 },
31523		{ "VF_Valid", 8, 1 },
31524		{ "VF", 0, 8 },
31525	{ "MPS_CLS_SRAM_L", 0xe850, 0 },
31526		{ "DisEncapOuterRplct", 31, 1 },
31527		{ "DisEncap", 30, 1 },
31528		{ "MultiListen3", 29, 1 },
31529		{ "MultiListen2", 28, 1 },
31530		{ "MultiListen1", 27, 1 },
31531		{ "MultiListen0", 26, 1 },
31532		{ "Priority3", 23, 3 },
31533		{ "Priority2", 20, 3 },
31534		{ "Priority1", 17, 3 },
31535		{ "Priority0", 14, 3 },
31536		{ "Valid", 13, 1 },
31537		{ "Replicate", 12, 1 },
31538		{ "PF", 9, 3 },
31539		{ "VF_Valid", 8, 1 },
31540		{ "VF", 0, 8 },
31541	{ "MPS_CLS_SRAM_L", 0xe858, 0 },
31542		{ "DisEncapOuterRplct", 31, 1 },
31543		{ "DisEncap", 30, 1 },
31544		{ "MultiListen3", 29, 1 },
31545		{ "MultiListen2", 28, 1 },
31546		{ "MultiListen1", 27, 1 },
31547		{ "MultiListen0", 26, 1 },
31548		{ "Priority3", 23, 3 },
31549		{ "Priority2", 20, 3 },
31550		{ "Priority1", 17, 3 },
31551		{ "Priority0", 14, 3 },
31552		{ "Valid", 13, 1 },
31553		{ "Replicate", 12, 1 },
31554		{ "PF", 9, 3 },
31555		{ "VF_Valid", 8, 1 },
31556		{ "VF", 0, 8 },
31557	{ "MPS_CLS_SRAM_L", 0xe860, 0 },
31558		{ "DisEncapOuterRplct", 31, 1 },
31559		{ "DisEncap", 30, 1 },
31560		{ "MultiListen3", 29, 1 },
31561		{ "MultiListen2", 28, 1 },
31562		{ "MultiListen1", 27, 1 },
31563		{ "MultiListen0", 26, 1 },
31564		{ "Priority3", 23, 3 },
31565		{ "Priority2", 20, 3 },
31566		{ "Priority1", 17, 3 },
31567		{ "Priority0", 14, 3 },
31568		{ "Valid", 13, 1 },
31569		{ "Replicate", 12, 1 },
31570		{ "PF", 9, 3 },
31571		{ "VF_Valid", 8, 1 },
31572		{ "VF", 0, 8 },
31573	{ "MPS_CLS_SRAM_L", 0xe868, 0 },
31574		{ "DisEncapOuterRplct", 31, 1 },
31575		{ "DisEncap", 30, 1 },
31576		{ "MultiListen3", 29, 1 },
31577		{ "MultiListen2", 28, 1 },
31578		{ "MultiListen1", 27, 1 },
31579		{ "MultiListen0", 26, 1 },
31580		{ "Priority3", 23, 3 },
31581		{ "Priority2", 20, 3 },
31582		{ "Priority1", 17, 3 },
31583		{ "Priority0", 14, 3 },
31584		{ "Valid", 13, 1 },
31585		{ "Replicate", 12, 1 },
31586		{ "PF", 9, 3 },
31587		{ "VF_Valid", 8, 1 },
31588		{ "VF", 0, 8 },
31589	{ "MPS_CLS_SRAM_L", 0xe870, 0 },
31590		{ "DisEncapOuterRplct", 31, 1 },
31591		{ "DisEncap", 30, 1 },
31592		{ "MultiListen3", 29, 1 },
31593		{ "MultiListen2", 28, 1 },
31594		{ "MultiListen1", 27, 1 },
31595		{ "MultiListen0", 26, 1 },
31596		{ "Priority3", 23, 3 },
31597		{ "Priority2", 20, 3 },
31598		{ "Priority1", 17, 3 },
31599		{ "Priority0", 14, 3 },
31600		{ "Valid", 13, 1 },
31601		{ "Replicate", 12, 1 },
31602		{ "PF", 9, 3 },
31603		{ "VF_Valid", 8, 1 },
31604		{ "VF", 0, 8 },
31605	{ "MPS_CLS_SRAM_L", 0xe878, 0 },
31606		{ "DisEncapOuterRplct", 31, 1 },
31607		{ "DisEncap", 30, 1 },
31608		{ "MultiListen3", 29, 1 },
31609		{ "MultiListen2", 28, 1 },
31610		{ "MultiListen1", 27, 1 },
31611		{ "MultiListen0", 26, 1 },
31612		{ "Priority3", 23, 3 },
31613		{ "Priority2", 20, 3 },
31614		{ "Priority1", 17, 3 },
31615		{ "Priority0", 14, 3 },
31616		{ "Valid", 13, 1 },
31617		{ "Replicate", 12, 1 },
31618		{ "PF", 9, 3 },
31619		{ "VF_Valid", 8, 1 },
31620		{ "VF", 0, 8 },
31621	{ "MPS_CLS_SRAM_L", 0xe880, 0 },
31622		{ "DisEncapOuterRplct", 31, 1 },
31623		{ "DisEncap", 30, 1 },
31624		{ "MultiListen3", 29, 1 },
31625		{ "MultiListen2", 28, 1 },
31626		{ "MultiListen1", 27, 1 },
31627		{ "MultiListen0", 26, 1 },
31628		{ "Priority3", 23, 3 },
31629		{ "Priority2", 20, 3 },
31630		{ "Priority1", 17, 3 },
31631		{ "Priority0", 14, 3 },
31632		{ "Valid", 13, 1 },
31633		{ "Replicate", 12, 1 },
31634		{ "PF", 9, 3 },
31635		{ "VF_Valid", 8, 1 },
31636		{ "VF", 0, 8 },
31637	{ "MPS_CLS_SRAM_L", 0xe888, 0 },
31638		{ "DisEncapOuterRplct", 31, 1 },
31639		{ "DisEncap", 30, 1 },
31640		{ "MultiListen3", 29, 1 },
31641		{ "MultiListen2", 28, 1 },
31642		{ "MultiListen1", 27, 1 },
31643		{ "MultiListen0", 26, 1 },
31644		{ "Priority3", 23, 3 },
31645		{ "Priority2", 20, 3 },
31646		{ "Priority1", 17, 3 },
31647		{ "Priority0", 14, 3 },
31648		{ "Valid", 13, 1 },
31649		{ "Replicate", 12, 1 },
31650		{ "PF", 9, 3 },
31651		{ "VF_Valid", 8, 1 },
31652		{ "VF", 0, 8 },
31653	{ "MPS_CLS_SRAM_L", 0xe890, 0 },
31654		{ "DisEncapOuterRplct", 31, 1 },
31655		{ "DisEncap", 30, 1 },
31656		{ "MultiListen3", 29, 1 },
31657		{ "MultiListen2", 28, 1 },
31658		{ "MultiListen1", 27, 1 },
31659		{ "MultiListen0", 26, 1 },
31660		{ "Priority3", 23, 3 },
31661		{ "Priority2", 20, 3 },
31662		{ "Priority1", 17, 3 },
31663		{ "Priority0", 14, 3 },
31664		{ "Valid", 13, 1 },
31665		{ "Replicate", 12, 1 },
31666		{ "PF", 9, 3 },
31667		{ "VF_Valid", 8, 1 },
31668		{ "VF", 0, 8 },
31669	{ "MPS_CLS_SRAM_L", 0xe898, 0 },
31670		{ "DisEncapOuterRplct", 31, 1 },
31671		{ "DisEncap", 30, 1 },
31672		{ "MultiListen3", 29, 1 },
31673		{ "MultiListen2", 28, 1 },
31674		{ "MultiListen1", 27, 1 },
31675		{ "MultiListen0", 26, 1 },
31676		{ "Priority3", 23, 3 },
31677		{ "Priority2", 20, 3 },
31678		{ "Priority1", 17, 3 },
31679		{ "Priority0", 14, 3 },
31680		{ "Valid", 13, 1 },
31681		{ "Replicate", 12, 1 },
31682		{ "PF", 9, 3 },
31683		{ "VF_Valid", 8, 1 },
31684		{ "VF", 0, 8 },
31685	{ "MPS_CLS_SRAM_L", 0xe8a0, 0 },
31686		{ "DisEncapOuterRplct", 31, 1 },
31687		{ "DisEncap", 30, 1 },
31688		{ "MultiListen3", 29, 1 },
31689		{ "MultiListen2", 28, 1 },
31690		{ "MultiListen1", 27, 1 },
31691		{ "MultiListen0", 26, 1 },
31692		{ "Priority3", 23, 3 },
31693		{ "Priority2", 20, 3 },
31694		{ "Priority1", 17, 3 },
31695		{ "Priority0", 14, 3 },
31696		{ "Valid", 13, 1 },
31697		{ "Replicate", 12, 1 },
31698		{ "PF", 9, 3 },
31699		{ "VF_Valid", 8, 1 },
31700		{ "VF", 0, 8 },
31701	{ "MPS_CLS_SRAM_L", 0xe8a8, 0 },
31702		{ "DisEncapOuterRplct", 31, 1 },
31703		{ "DisEncap", 30, 1 },
31704		{ "MultiListen3", 29, 1 },
31705		{ "MultiListen2", 28, 1 },
31706		{ "MultiListen1", 27, 1 },
31707		{ "MultiListen0", 26, 1 },
31708		{ "Priority3", 23, 3 },
31709		{ "Priority2", 20, 3 },
31710		{ "Priority1", 17, 3 },
31711		{ "Priority0", 14, 3 },
31712		{ "Valid", 13, 1 },
31713		{ "Replicate", 12, 1 },
31714		{ "PF", 9, 3 },
31715		{ "VF_Valid", 8, 1 },
31716		{ "VF", 0, 8 },
31717	{ "MPS_CLS_SRAM_L", 0xe8b0, 0 },
31718		{ "DisEncapOuterRplct", 31, 1 },
31719		{ "DisEncap", 30, 1 },
31720		{ "MultiListen3", 29, 1 },
31721		{ "MultiListen2", 28, 1 },
31722		{ "MultiListen1", 27, 1 },
31723		{ "MultiListen0", 26, 1 },
31724		{ "Priority3", 23, 3 },
31725		{ "Priority2", 20, 3 },
31726		{ "Priority1", 17, 3 },
31727		{ "Priority0", 14, 3 },
31728		{ "Valid", 13, 1 },
31729		{ "Replicate", 12, 1 },
31730		{ "PF", 9, 3 },
31731		{ "VF_Valid", 8, 1 },
31732		{ "VF", 0, 8 },
31733	{ "MPS_CLS_SRAM_L", 0xe8b8, 0 },
31734		{ "DisEncapOuterRplct", 31, 1 },
31735		{ "DisEncap", 30, 1 },
31736		{ "MultiListen3", 29, 1 },
31737		{ "MultiListen2", 28, 1 },
31738		{ "MultiListen1", 27, 1 },
31739		{ "MultiListen0", 26, 1 },
31740		{ "Priority3", 23, 3 },
31741		{ "Priority2", 20, 3 },
31742		{ "Priority1", 17, 3 },
31743		{ "Priority0", 14, 3 },
31744		{ "Valid", 13, 1 },
31745		{ "Replicate", 12, 1 },
31746		{ "PF", 9, 3 },
31747		{ "VF_Valid", 8, 1 },
31748		{ "VF", 0, 8 },
31749	{ "MPS_CLS_SRAM_L", 0xe8c0, 0 },
31750		{ "DisEncapOuterRplct", 31, 1 },
31751		{ "DisEncap", 30, 1 },
31752		{ "MultiListen3", 29, 1 },
31753		{ "MultiListen2", 28, 1 },
31754		{ "MultiListen1", 27, 1 },
31755		{ "MultiListen0", 26, 1 },
31756		{ "Priority3", 23, 3 },
31757		{ "Priority2", 20, 3 },
31758		{ "Priority1", 17, 3 },
31759		{ "Priority0", 14, 3 },
31760		{ "Valid", 13, 1 },
31761		{ "Replicate", 12, 1 },
31762		{ "PF", 9, 3 },
31763		{ "VF_Valid", 8, 1 },
31764		{ "VF", 0, 8 },
31765	{ "MPS_CLS_SRAM_L", 0xe8c8, 0 },
31766		{ "DisEncapOuterRplct", 31, 1 },
31767		{ "DisEncap", 30, 1 },
31768		{ "MultiListen3", 29, 1 },
31769		{ "MultiListen2", 28, 1 },
31770		{ "MultiListen1", 27, 1 },
31771		{ "MultiListen0", 26, 1 },
31772		{ "Priority3", 23, 3 },
31773		{ "Priority2", 20, 3 },
31774		{ "Priority1", 17, 3 },
31775		{ "Priority0", 14, 3 },
31776		{ "Valid", 13, 1 },
31777		{ "Replicate", 12, 1 },
31778		{ "PF", 9, 3 },
31779		{ "VF_Valid", 8, 1 },
31780		{ "VF", 0, 8 },
31781	{ "MPS_CLS_SRAM_L", 0xe8d0, 0 },
31782		{ "DisEncapOuterRplct", 31, 1 },
31783		{ "DisEncap", 30, 1 },
31784		{ "MultiListen3", 29, 1 },
31785		{ "MultiListen2", 28, 1 },
31786		{ "MultiListen1", 27, 1 },
31787		{ "MultiListen0", 26, 1 },
31788		{ "Priority3", 23, 3 },
31789		{ "Priority2", 20, 3 },
31790		{ "Priority1", 17, 3 },
31791		{ "Priority0", 14, 3 },
31792		{ "Valid", 13, 1 },
31793		{ "Replicate", 12, 1 },
31794		{ "PF", 9, 3 },
31795		{ "VF_Valid", 8, 1 },
31796		{ "VF", 0, 8 },
31797	{ "MPS_CLS_SRAM_L", 0xe8d8, 0 },
31798		{ "DisEncapOuterRplct", 31, 1 },
31799		{ "DisEncap", 30, 1 },
31800		{ "MultiListen3", 29, 1 },
31801		{ "MultiListen2", 28, 1 },
31802		{ "MultiListen1", 27, 1 },
31803		{ "MultiListen0", 26, 1 },
31804		{ "Priority3", 23, 3 },
31805		{ "Priority2", 20, 3 },
31806		{ "Priority1", 17, 3 },
31807		{ "Priority0", 14, 3 },
31808		{ "Valid", 13, 1 },
31809		{ "Replicate", 12, 1 },
31810		{ "PF", 9, 3 },
31811		{ "VF_Valid", 8, 1 },
31812		{ "VF", 0, 8 },
31813	{ "MPS_CLS_SRAM_L", 0xe8e0, 0 },
31814		{ "DisEncapOuterRplct", 31, 1 },
31815		{ "DisEncap", 30, 1 },
31816		{ "MultiListen3", 29, 1 },
31817		{ "MultiListen2", 28, 1 },
31818		{ "MultiListen1", 27, 1 },
31819		{ "MultiListen0", 26, 1 },
31820		{ "Priority3", 23, 3 },
31821		{ "Priority2", 20, 3 },
31822		{ "Priority1", 17, 3 },
31823		{ "Priority0", 14, 3 },
31824		{ "Valid", 13, 1 },
31825		{ "Replicate", 12, 1 },
31826		{ "PF", 9, 3 },
31827		{ "VF_Valid", 8, 1 },
31828		{ "VF", 0, 8 },
31829	{ "MPS_CLS_SRAM_L", 0xe8e8, 0 },
31830		{ "DisEncapOuterRplct", 31, 1 },
31831		{ "DisEncap", 30, 1 },
31832		{ "MultiListen3", 29, 1 },
31833		{ "MultiListen2", 28, 1 },
31834		{ "MultiListen1", 27, 1 },
31835		{ "MultiListen0", 26, 1 },
31836		{ "Priority3", 23, 3 },
31837		{ "Priority2", 20, 3 },
31838		{ "Priority1", 17, 3 },
31839		{ "Priority0", 14, 3 },
31840		{ "Valid", 13, 1 },
31841		{ "Replicate", 12, 1 },
31842		{ "PF", 9, 3 },
31843		{ "VF_Valid", 8, 1 },
31844		{ "VF", 0, 8 },
31845	{ "MPS_CLS_SRAM_L", 0xe8f0, 0 },
31846		{ "DisEncapOuterRplct", 31, 1 },
31847		{ "DisEncap", 30, 1 },
31848		{ "MultiListen3", 29, 1 },
31849		{ "MultiListen2", 28, 1 },
31850		{ "MultiListen1", 27, 1 },
31851		{ "MultiListen0", 26, 1 },
31852		{ "Priority3", 23, 3 },
31853		{ "Priority2", 20, 3 },
31854		{ "Priority1", 17, 3 },
31855		{ "Priority0", 14, 3 },
31856		{ "Valid", 13, 1 },
31857		{ "Replicate", 12, 1 },
31858		{ "PF", 9, 3 },
31859		{ "VF_Valid", 8, 1 },
31860		{ "VF", 0, 8 },
31861	{ "MPS_CLS_SRAM_L", 0xe8f8, 0 },
31862		{ "DisEncapOuterRplct", 31, 1 },
31863		{ "DisEncap", 30, 1 },
31864		{ "MultiListen3", 29, 1 },
31865		{ "MultiListen2", 28, 1 },
31866		{ "MultiListen1", 27, 1 },
31867		{ "MultiListen0", 26, 1 },
31868		{ "Priority3", 23, 3 },
31869		{ "Priority2", 20, 3 },
31870		{ "Priority1", 17, 3 },
31871		{ "Priority0", 14, 3 },
31872		{ "Valid", 13, 1 },
31873		{ "Replicate", 12, 1 },
31874		{ "PF", 9, 3 },
31875		{ "VF_Valid", 8, 1 },
31876		{ "VF", 0, 8 },
31877	{ "MPS_CLS_SRAM_L", 0xe900, 0 },
31878		{ "DisEncapOuterRplct", 31, 1 },
31879		{ "DisEncap", 30, 1 },
31880		{ "MultiListen3", 29, 1 },
31881		{ "MultiListen2", 28, 1 },
31882		{ "MultiListen1", 27, 1 },
31883		{ "MultiListen0", 26, 1 },
31884		{ "Priority3", 23, 3 },
31885		{ "Priority2", 20, 3 },
31886		{ "Priority1", 17, 3 },
31887		{ "Priority0", 14, 3 },
31888		{ "Valid", 13, 1 },
31889		{ "Replicate", 12, 1 },
31890		{ "PF", 9, 3 },
31891		{ "VF_Valid", 8, 1 },
31892		{ "VF", 0, 8 },
31893	{ "MPS_CLS_SRAM_L", 0xe908, 0 },
31894		{ "DisEncapOuterRplct", 31, 1 },
31895		{ "DisEncap", 30, 1 },
31896		{ "MultiListen3", 29, 1 },
31897		{ "MultiListen2", 28, 1 },
31898		{ "MultiListen1", 27, 1 },
31899		{ "MultiListen0", 26, 1 },
31900		{ "Priority3", 23, 3 },
31901		{ "Priority2", 20, 3 },
31902		{ "Priority1", 17, 3 },
31903		{ "Priority0", 14, 3 },
31904		{ "Valid", 13, 1 },
31905		{ "Replicate", 12, 1 },
31906		{ "PF", 9, 3 },
31907		{ "VF_Valid", 8, 1 },
31908		{ "VF", 0, 8 },
31909	{ "MPS_CLS_SRAM_L", 0xe910, 0 },
31910		{ "DisEncapOuterRplct", 31, 1 },
31911		{ "DisEncap", 30, 1 },
31912		{ "MultiListen3", 29, 1 },
31913		{ "MultiListen2", 28, 1 },
31914		{ "MultiListen1", 27, 1 },
31915		{ "MultiListen0", 26, 1 },
31916		{ "Priority3", 23, 3 },
31917		{ "Priority2", 20, 3 },
31918		{ "Priority1", 17, 3 },
31919		{ "Priority0", 14, 3 },
31920		{ "Valid", 13, 1 },
31921		{ "Replicate", 12, 1 },
31922		{ "PF", 9, 3 },
31923		{ "VF_Valid", 8, 1 },
31924		{ "VF", 0, 8 },
31925	{ "MPS_CLS_SRAM_L", 0xe918, 0 },
31926		{ "DisEncapOuterRplct", 31, 1 },
31927		{ "DisEncap", 30, 1 },
31928		{ "MultiListen3", 29, 1 },
31929		{ "MultiListen2", 28, 1 },
31930		{ "MultiListen1", 27, 1 },
31931		{ "MultiListen0", 26, 1 },
31932		{ "Priority3", 23, 3 },
31933		{ "Priority2", 20, 3 },
31934		{ "Priority1", 17, 3 },
31935		{ "Priority0", 14, 3 },
31936		{ "Valid", 13, 1 },
31937		{ "Replicate", 12, 1 },
31938		{ "PF", 9, 3 },
31939		{ "VF_Valid", 8, 1 },
31940		{ "VF", 0, 8 },
31941	{ "MPS_CLS_SRAM_L", 0xe920, 0 },
31942		{ "DisEncapOuterRplct", 31, 1 },
31943		{ "DisEncap", 30, 1 },
31944		{ "MultiListen3", 29, 1 },
31945		{ "MultiListen2", 28, 1 },
31946		{ "MultiListen1", 27, 1 },
31947		{ "MultiListen0", 26, 1 },
31948		{ "Priority3", 23, 3 },
31949		{ "Priority2", 20, 3 },
31950		{ "Priority1", 17, 3 },
31951		{ "Priority0", 14, 3 },
31952		{ "Valid", 13, 1 },
31953		{ "Replicate", 12, 1 },
31954		{ "PF", 9, 3 },
31955		{ "VF_Valid", 8, 1 },
31956		{ "VF", 0, 8 },
31957	{ "MPS_CLS_SRAM_L", 0xe928, 0 },
31958		{ "DisEncapOuterRplct", 31, 1 },
31959		{ "DisEncap", 30, 1 },
31960		{ "MultiListen3", 29, 1 },
31961		{ "MultiListen2", 28, 1 },
31962		{ "MultiListen1", 27, 1 },
31963		{ "MultiListen0", 26, 1 },
31964		{ "Priority3", 23, 3 },
31965		{ "Priority2", 20, 3 },
31966		{ "Priority1", 17, 3 },
31967		{ "Priority0", 14, 3 },
31968		{ "Valid", 13, 1 },
31969		{ "Replicate", 12, 1 },
31970		{ "PF", 9, 3 },
31971		{ "VF_Valid", 8, 1 },
31972		{ "VF", 0, 8 },
31973	{ "MPS_CLS_SRAM_L", 0xe930, 0 },
31974		{ "DisEncapOuterRplct", 31, 1 },
31975		{ "DisEncap", 30, 1 },
31976		{ "MultiListen3", 29, 1 },
31977		{ "MultiListen2", 28, 1 },
31978		{ "MultiListen1", 27, 1 },
31979		{ "MultiListen0", 26, 1 },
31980		{ "Priority3", 23, 3 },
31981		{ "Priority2", 20, 3 },
31982		{ "Priority1", 17, 3 },
31983		{ "Priority0", 14, 3 },
31984		{ "Valid", 13, 1 },
31985		{ "Replicate", 12, 1 },
31986		{ "PF", 9, 3 },
31987		{ "VF_Valid", 8, 1 },
31988		{ "VF", 0, 8 },
31989	{ "MPS_CLS_SRAM_L", 0xe938, 0 },
31990		{ "DisEncapOuterRplct", 31, 1 },
31991		{ "DisEncap", 30, 1 },
31992		{ "MultiListen3", 29, 1 },
31993		{ "MultiListen2", 28, 1 },
31994		{ "MultiListen1", 27, 1 },
31995		{ "MultiListen0", 26, 1 },
31996		{ "Priority3", 23, 3 },
31997		{ "Priority2", 20, 3 },
31998		{ "Priority1", 17, 3 },
31999		{ "Priority0", 14, 3 },
32000		{ "Valid", 13, 1 },
32001		{ "Replicate", 12, 1 },
32002		{ "PF", 9, 3 },
32003		{ "VF_Valid", 8, 1 },
32004		{ "VF", 0, 8 },
32005	{ "MPS_CLS_SRAM_L", 0xe940, 0 },
32006		{ "DisEncapOuterRplct", 31, 1 },
32007		{ "DisEncap", 30, 1 },
32008		{ "MultiListen3", 29, 1 },
32009		{ "MultiListen2", 28, 1 },
32010		{ "MultiListen1", 27, 1 },
32011		{ "MultiListen0", 26, 1 },
32012		{ "Priority3", 23, 3 },
32013		{ "Priority2", 20, 3 },
32014		{ "Priority1", 17, 3 },
32015		{ "Priority0", 14, 3 },
32016		{ "Valid", 13, 1 },
32017		{ "Replicate", 12, 1 },
32018		{ "PF", 9, 3 },
32019		{ "VF_Valid", 8, 1 },
32020		{ "VF", 0, 8 },
32021	{ "MPS_CLS_SRAM_L", 0xe948, 0 },
32022		{ "DisEncapOuterRplct", 31, 1 },
32023		{ "DisEncap", 30, 1 },
32024		{ "MultiListen3", 29, 1 },
32025		{ "MultiListen2", 28, 1 },
32026		{ "MultiListen1", 27, 1 },
32027		{ "MultiListen0", 26, 1 },
32028		{ "Priority3", 23, 3 },
32029		{ "Priority2", 20, 3 },
32030		{ "Priority1", 17, 3 },
32031		{ "Priority0", 14, 3 },
32032		{ "Valid", 13, 1 },
32033		{ "Replicate", 12, 1 },
32034		{ "PF", 9, 3 },
32035		{ "VF_Valid", 8, 1 },
32036		{ "VF", 0, 8 },
32037	{ "MPS_CLS_SRAM_L", 0xe950, 0 },
32038		{ "DisEncapOuterRplct", 31, 1 },
32039		{ "DisEncap", 30, 1 },
32040		{ "MultiListen3", 29, 1 },
32041		{ "MultiListen2", 28, 1 },
32042		{ "MultiListen1", 27, 1 },
32043		{ "MultiListen0", 26, 1 },
32044		{ "Priority3", 23, 3 },
32045		{ "Priority2", 20, 3 },
32046		{ "Priority1", 17, 3 },
32047		{ "Priority0", 14, 3 },
32048		{ "Valid", 13, 1 },
32049		{ "Replicate", 12, 1 },
32050		{ "PF", 9, 3 },
32051		{ "VF_Valid", 8, 1 },
32052		{ "VF", 0, 8 },
32053	{ "MPS_CLS_SRAM_L", 0xe958, 0 },
32054		{ "DisEncapOuterRplct", 31, 1 },
32055		{ "DisEncap", 30, 1 },
32056		{ "MultiListen3", 29, 1 },
32057		{ "MultiListen2", 28, 1 },
32058		{ "MultiListen1", 27, 1 },
32059		{ "MultiListen0", 26, 1 },
32060		{ "Priority3", 23, 3 },
32061		{ "Priority2", 20, 3 },
32062		{ "Priority1", 17, 3 },
32063		{ "Priority0", 14, 3 },
32064		{ "Valid", 13, 1 },
32065		{ "Replicate", 12, 1 },
32066		{ "PF", 9, 3 },
32067		{ "VF_Valid", 8, 1 },
32068		{ "VF", 0, 8 },
32069	{ "MPS_CLS_SRAM_L", 0xe960, 0 },
32070		{ "DisEncapOuterRplct", 31, 1 },
32071		{ "DisEncap", 30, 1 },
32072		{ "MultiListen3", 29, 1 },
32073		{ "MultiListen2", 28, 1 },
32074		{ "MultiListen1", 27, 1 },
32075		{ "MultiListen0", 26, 1 },
32076		{ "Priority3", 23, 3 },
32077		{ "Priority2", 20, 3 },
32078		{ "Priority1", 17, 3 },
32079		{ "Priority0", 14, 3 },
32080		{ "Valid", 13, 1 },
32081		{ "Replicate", 12, 1 },
32082		{ "PF", 9, 3 },
32083		{ "VF_Valid", 8, 1 },
32084		{ "VF", 0, 8 },
32085	{ "MPS_CLS_SRAM_L", 0xe968, 0 },
32086		{ "DisEncapOuterRplct", 31, 1 },
32087		{ "DisEncap", 30, 1 },
32088		{ "MultiListen3", 29, 1 },
32089		{ "MultiListen2", 28, 1 },
32090		{ "MultiListen1", 27, 1 },
32091		{ "MultiListen0", 26, 1 },
32092		{ "Priority3", 23, 3 },
32093		{ "Priority2", 20, 3 },
32094		{ "Priority1", 17, 3 },
32095		{ "Priority0", 14, 3 },
32096		{ "Valid", 13, 1 },
32097		{ "Replicate", 12, 1 },
32098		{ "PF", 9, 3 },
32099		{ "VF_Valid", 8, 1 },
32100		{ "VF", 0, 8 },
32101	{ "MPS_CLS_SRAM_L", 0xe970, 0 },
32102		{ "DisEncapOuterRplct", 31, 1 },
32103		{ "DisEncap", 30, 1 },
32104		{ "MultiListen3", 29, 1 },
32105		{ "MultiListen2", 28, 1 },
32106		{ "MultiListen1", 27, 1 },
32107		{ "MultiListen0", 26, 1 },
32108		{ "Priority3", 23, 3 },
32109		{ "Priority2", 20, 3 },
32110		{ "Priority1", 17, 3 },
32111		{ "Priority0", 14, 3 },
32112		{ "Valid", 13, 1 },
32113		{ "Replicate", 12, 1 },
32114		{ "PF", 9, 3 },
32115		{ "VF_Valid", 8, 1 },
32116		{ "VF", 0, 8 },
32117	{ "MPS_CLS_SRAM_L", 0xe978, 0 },
32118		{ "DisEncapOuterRplct", 31, 1 },
32119		{ "DisEncap", 30, 1 },
32120		{ "MultiListen3", 29, 1 },
32121		{ "MultiListen2", 28, 1 },
32122		{ "MultiListen1", 27, 1 },
32123		{ "MultiListen0", 26, 1 },
32124		{ "Priority3", 23, 3 },
32125		{ "Priority2", 20, 3 },
32126		{ "Priority1", 17, 3 },
32127		{ "Priority0", 14, 3 },
32128		{ "Valid", 13, 1 },
32129		{ "Replicate", 12, 1 },
32130		{ "PF", 9, 3 },
32131		{ "VF_Valid", 8, 1 },
32132		{ "VF", 0, 8 },
32133	{ "MPS_CLS_SRAM_L", 0xe980, 0 },
32134		{ "DisEncapOuterRplct", 31, 1 },
32135		{ "DisEncap", 30, 1 },
32136		{ "MultiListen3", 29, 1 },
32137		{ "MultiListen2", 28, 1 },
32138		{ "MultiListen1", 27, 1 },
32139		{ "MultiListen0", 26, 1 },
32140		{ "Priority3", 23, 3 },
32141		{ "Priority2", 20, 3 },
32142		{ "Priority1", 17, 3 },
32143		{ "Priority0", 14, 3 },
32144		{ "Valid", 13, 1 },
32145		{ "Replicate", 12, 1 },
32146		{ "PF", 9, 3 },
32147		{ "VF_Valid", 8, 1 },
32148		{ "VF", 0, 8 },
32149	{ "MPS_CLS_SRAM_L", 0xe988, 0 },
32150		{ "DisEncapOuterRplct", 31, 1 },
32151		{ "DisEncap", 30, 1 },
32152		{ "MultiListen3", 29, 1 },
32153		{ "MultiListen2", 28, 1 },
32154		{ "MultiListen1", 27, 1 },
32155		{ "MultiListen0", 26, 1 },
32156		{ "Priority3", 23, 3 },
32157		{ "Priority2", 20, 3 },
32158		{ "Priority1", 17, 3 },
32159		{ "Priority0", 14, 3 },
32160		{ "Valid", 13, 1 },
32161		{ "Replicate", 12, 1 },
32162		{ "PF", 9, 3 },
32163		{ "VF_Valid", 8, 1 },
32164		{ "VF", 0, 8 },
32165	{ "MPS_CLS_SRAM_L", 0xe990, 0 },
32166		{ "DisEncapOuterRplct", 31, 1 },
32167		{ "DisEncap", 30, 1 },
32168		{ "MultiListen3", 29, 1 },
32169		{ "MultiListen2", 28, 1 },
32170		{ "MultiListen1", 27, 1 },
32171		{ "MultiListen0", 26, 1 },
32172		{ "Priority3", 23, 3 },
32173		{ "Priority2", 20, 3 },
32174		{ "Priority1", 17, 3 },
32175		{ "Priority0", 14, 3 },
32176		{ "Valid", 13, 1 },
32177		{ "Replicate", 12, 1 },
32178		{ "PF", 9, 3 },
32179		{ "VF_Valid", 8, 1 },
32180		{ "VF", 0, 8 },
32181	{ "MPS_CLS_SRAM_L", 0xe998, 0 },
32182		{ "DisEncapOuterRplct", 31, 1 },
32183		{ "DisEncap", 30, 1 },
32184		{ "MultiListen3", 29, 1 },
32185		{ "MultiListen2", 28, 1 },
32186		{ "MultiListen1", 27, 1 },
32187		{ "MultiListen0", 26, 1 },
32188		{ "Priority3", 23, 3 },
32189		{ "Priority2", 20, 3 },
32190		{ "Priority1", 17, 3 },
32191		{ "Priority0", 14, 3 },
32192		{ "Valid", 13, 1 },
32193		{ "Replicate", 12, 1 },
32194		{ "PF", 9, 3 },
32195		{ "VF_Valid", 8, 1 },
32196		{ "VF", 0, 8 },
32197	{ "MPS_CLS_SRAM_L", 0xe9a0, 0 },
32198		{ "DisEncapOuterRplct", 31, 1 },
32199		{ "DisEncap", 30, 1 },
32200		{ "MultiListen3", 29, 1 },
32201		{ "MultiListen2", 28, 1 },
32202		{ "MultiListen1", 27, 1 },
32203		{ "MultiListen0", 26, 1 },
32204		{ "Priority3", 23, 3 },
32205		{ "Priority2", 20, 3 },
32206		{ "Priority1", 17, 3 },
32207		{ "Priority0", 14, 3 },
32208		{ "Valid", 13, 1 },
32209		{ "Replicate", 12, 1 },
32210		{ "PF", 9, 3 },
32211		{ "VF_Valid", 8, 1 },
32212		{ "VF", 0, 8 },
32213	{ "MPS_CLS_SRAM_L", 0xe9a8, 0 },
32214		{ "DisEncapOuterRplct", 31, 1 },
32215		{ "DisEncap", 30, 1 },
32216		{ "MultiListen3", 29, 1 },
32217		{ "MultiListen2", 28, 1 },
32218		{ "MultiListen1", 27, 1 },
32219		{ "MultiListen0", 26, 1 },
32220		{ "Priority3", 23, 3 },
32221		{ "Priority2", 20, 3 },
32222		{ "Priority1", 17, 3 },
32223		{ "Priority0", 14, 3 },
32224		{ "Valid", 13, 1 },
32225		{ "Replicate", 12, 1 },
32226		{ "PF", 9, 3 },
32227		{ "VF_Valid", 8, 1 },
32228		{ "VF", 0, 8 },
32229	{ "MPS_CLS_SRAM_L", 0xe9b0, 0 },
32230		{ "DisEncapOuterRplct", 31, 1 },
32231		{ "DisEncap", 30, 1 },
32232		{ "MultiListen3", 29, 1 },
32233		{ "MultiListen2", 28, 1 },
32234		{ "MultiListen1", 27, 1 },
32235		{ "MultiListen0", 26, 1 },
32236		{ "Priority3", 23, 3 },
32237		{ "Priority2", 20, 3 },
32238		{ "Priority1", 17, 3 },
32239		{ "Priority0", 14, 3 },
32240		{ "Valid", 13, 1 },
32241		{ "Replicate", 12, 1 },
32242		{ "PF", 9, 3 },
32243		{ "VF_Valid", 8, 1 },
32244		{ "VF", 0, 8 },
32245	{ "MPS_CLS_SRAM_L", 0xe9b8, 0 },
32246		{ "DisEncapOuterRplct", 31, 1 },
32247		{ "DisEncap", 30, 1 },
32248		{ "MultiListen3", 29, 1 },
32249		{ "MultiListen2", 28, 1 },
32250		{ "MultiListen1", 27, 1 },
32251		{ "MultiListen0", 26, 1 },
32252		{ "Priority3", 23, 3 },
32253		{ "Priority2", 20, 3 },
32254		{ "Priority1", 17, 3 },
32255		{ "Priority0", 14, 3 },
32256		{ "Valid", 13, 1 },
32257		{ "Replicate", 12, 1 },
32258		{ "PF", 9, 3 },
32259		{ "VF_Valid", 8, 1 },
32260		{ "VF", 0, 8 },
32261	{ "MPS_CLS_SRAM_L", 0xe9c0, 0 },
32262		{ "DisEncapOuterRplct", 31, 1 },
32263		{ "DisEncap", 30, 1 },
32264		{ "MultiListen3", 29, 1 },
32265		{ "MultiListen2", 28, 1 },
32266		{ "MultiListen1", 27, 1 },
32267		{ "MultiListen0", 26, 1 },
32268		{ "Priority3", 23, 3 },
32269		{ "Priority2", 20, 3 },
32270		{ "Priority1", 17, 3 },
32271		{ "Priority0", 14, 3 },
32272		{ "Valid", 13, 1 },
32273		{ "Replicate", 12, 1 },
32274		{ "PF", 9, 3 },
32275		{ "VF_Valid", 8, 1 },
32276		{ "VF", 0, 8 },
32277	{ "MPS_CLS_SRAM_L", 0xe9c8, 0 },
32278		{ "DisEncapOuterRplct", 31, 1 },
32279		{ "DisEncap", 30, 1 },
32280		{ "MultiListen3", 29, 1 },
32281		{ "MultiListen2", 28, 1 },
32282		{ "MultiListen1", 27, 1 },
32283		{ "MultiListen0", 26, 1 },
32284		{ "Priority3", 23, 3 },
32285		{ "Priority2", 20, 3 },
32286		{ "Priority1", 17, 3 },
32287		{ "Priority0", 14, 3 },
32288		{ "Valid", 13, 1 },
32289		{ "Replicate", 12, 1 },
32290		{ "PF", 9, 3 },
32291		{ "VF_Valid", 8, 1 },
32292		{ "VF", 0, 8 },
32293	{ "MPS_CLS_SRAM_L", 0xe9d0, 0 },
32294		{ "DisEncapOuterRplct", 31, 1 },
32295		{ "DisEncap", 30, 1 },
32296		{ "MultiListen3", 29, 1 },
32297		{ "MultiListen2", 28, 1 },
32298		{ "MultiListen1", 27, 1 },
32299		{ "MultiListen0", 26, 1 },
32300		{ "Priority3", 23, 3 },
32301		{ "Priority2", 20, 3 },
32302		{ "Priority1", 17, 3 },
32303		{ "Priority0", 14, 3 },
32304		{ "Valid", 13, 1 },
32305		{ "Replicate", 12, 1 },
32306		{ "PF", 9, 3 },
32307		{ "VF_Valid", 8, 1 },
32308		{ "VF", 0, 8 },
32309	{ "MPS_CLS_SRAM_L", 0xe9d8, 0 },
32310		{ "DisEncapOuterRplct", 31, 1 },
32311		{ "DisEncap", 30, 1 },
32312		{ "MultiListen3", 29, 1 },
32313		{ "MultiListen2", 28, 1 },
32314		{ "MultiListen1", 27, 1 },
32315		{ "MultiListen0", 26, 1 },
32316		{ "Priority3", 23, 3 },
32317		{ "Priority2", 20, 3 },
32318		{ "Priority1", 17, 3 },
32319		{ "Priority0", 14, 3 },
32320		{ "Valid", 13, 1 },
32321		{ "Replicate", 12, 1 },
32322		{ "PF", 9, 3 },
32323		{ "VF_Valid", 8, 1 },
32324		{ "VF", 0, 8 },
32325	{ "MPS_CLS_SRAM_L", 0xe9e0, 0 },
32326		{ "DisEncapOuterRplct", 31, 1 },
32327		{ "DisEncap", 30, 1 },
32328		{ "MultiListen3", 29, 1 },
32329		{ "MultiListen2", 28, 1 },
32330		{ "MultiListen1", 27, 1 },
32331		{ "MultiListen0", 26, 1 },
32332		{ "Priority3", 23, 3 },
32333		{ "Priority2", 20, 3 },
32334		{ "Priority1", 17, 3 },
32335		{ "Priority0", 14, 3 },
32336		{ "Valid", 13, 1 },
32337		{ "Replicate", 12, 1 },
32338		{ "PF", 9, 3 },
32339		{ "VF_Valid", 8, 1 },
32340		{ "VF", 0, 8 },
32341	{ "MPS_CLS_SRAM_L", 0xe9e8, 0 },
32342		{ "DisEncapOuterRplct", 31, 1 },
32343		{ "DisEncap", 30, 1 },
32344		{ "MultiListen3", 29, 1 },
32345		{ "MultiListen2", 28, 1 },
32346		{ "MultiListen1", 27, 1 },
32347		{ "MultiListen0", 26, 1 },
32348		{ "Priority3", 23, 3 },
32349		{ "Priority2", 20, 3 },
32350		{ "Priority1", 17, 3 },
32351		{ "Priority0", 14, 3 },
32352		{ "Valid", 13, 1 },
32353		{ "Replicate", 12, 1 },
32354		{ "PF", 9, 3 },
32355		{ "VF_Valid", 8, 1 },
32356		{ "VF", 0, 8 },
32357	{ "MPS_CLS_SRAM_L", 0xe9f0, 0 },
32358		{ "DisEncapOuterRplct", 31, 1 },
32359		{ "DisEncap", 30, 1 },
32360		{ "MultiListen3", 29, 1 },
32361		{ "MultiListen2", 28, 1 },
32362		{ "MultiListen1", 27, 1 },
32363		{ "MultiListen0", 26, 1 },
32364		{ "Priority3", 23, 3 },
32365		{ "Priority2", 20, 3 },
32366		{ "Priority1", 17, 3 },
32367		{ "Priority0", 14, 3 },
32368		{ "Valid", 13, 1 },
32369		{ "Replicate", 12, 1 },
32370		{ "PF", 9, 3 },
32371		{ "VF_Valid", 8, 1 },
32372		{ "VF", 0, 8 },
32373	{ "MPS_CLS_SRAM_L", 0xe9f8, 0 },
32374		{ "DisEncapOuterRplct", 31, 1 },
32375		{ "DisEncap", 30, 1 },
32376		{ "MultiListen3", 29, 1 },
32377		{ "MultiListen2", 28, 1 },
32378		{ "MultiListen1", 27, 1 },
32379		{ "MultiListen0", 26, 1 },
32380		{ "Priority3", 23, 3 },
32381		{ "Priority2", 20, 3 },
32382		{ "Priority1", 17, 3 },
32383		{ "Priority0", 14, 3 },
32384		{ "Valid", 13, 1 },
32385		{ "Replicate", 12, 1 },
32386		{ "PF", 9, 3 },
32387		{ "VF_Valid", 8, 1 },
32388		{ "VF", 0, 8 },
32389	{ "MPS_CLS_SRAM_L", 0xea00, 0 },
32390		{ "DisEncapOuterRplct", 31, 1 },
32391		{ "DisEncap", 30, 1 },
32392		{ "MultiListen3", 29, 1 },
32393		{ "MultiListen2", 28, 1 },
32394		{ "MultiListen1", 27, 1 },
32395		{ "MultiListen0", 26, 1 },
32396		{ "Priority3", 23, 3 },
32397		{ "Priority2", 20, 3 },
32398		{ "Priority1", 17, 3 },
32399		{ "Priority0", 14, 3 },
32400		{ "Valid", 13, 1 },
32401		{ "Replicate", 12, 1 },
32402		{ "PF", 9, 3 },
32403		{ "VF_Valid", 8, 1 },
32404		{ "VF", 0, 8 },
32405	{ "MPS_CLS_SRAM_L", 0xea08, 0 },
32406		{ "DisEncapOuterRplct", 31, 1 },
32407		{ "DisEncap", 30, 1 },
32408		{ "MultiListen3", 29, 1 },
32409		{ "MultiListen2", 28, 1 },
32410		{ "MultiListen1", 27, 1 },
32411		{ "MultiListen0", 26, 1 },
32412		{ "Priority3", 23, 3 },
32413		{ "Priority2", 20, 3 },
32414		{ "Priority1", 17, 3 },
32415		{ "Priority0", 14, 3 },
32416		{ "Valid", 13, 1 },
32417		{ "Replicate", 12, 1 },
32418		{ "PF", 9, 3 },
32419		{ "VF_Valid", 8, 1 },
32420		{ "VF", 0, 8 },
32421	{ "MPS_CLS_SRAM_L", 0xea10, 0 },
32422		{ "DisEncapOuterRplct", 31, 1 },
32423		{ "DisEncap", 30, 1 },
32424		{ "MultiListen3", 29, 1 },
32425		{ "MultiListen2", 28, 1 },
32426		{ "MultiListen1", 27, 1 },
32427		{ "MultiListen0", 26, 1 },
32428		{ "Priority3", 23, 3 },
32429		{ "Priority2", 20, 3 },
32430		{ "Priority1", 17, 3 },
32431		{ "Priority0", 14, 3 },
32432		{ "Valid", 13, 1 },
32433		{ "Replicate", 12, 1 },
32434		{ "PF", 9, 3 },
32435		{ "VF_Valid", 8, 1 },
32436		{ "VF", 0, 8 },
32437	{ "MPS_CLS_SRAM_L", 0xea18, 0 },
32438		{ "DisEncapOuterRplct", 31, 1 },
32439		{ "DisEncap", 30, 1 },
32440		{ "MultiListen3", 29, 1 },
32441		{ "MultiListen2", 28, 1 },
32442		{ "MultiListen1", 27, 1 },
32443		{ "MultiListen0", 26, 1 },
32444		{ "Priority3", 23, 3 },
32445		{ "Priority2", 20, 3 },
32446		{ "Priority1", 17, 3 },
32447		{ "Priority0", 14, 3 },
32448		{ "Valid", 13, 1 },
32449		{ "Replicate", 12, 1 },
32450		{ "PF", 9, 3 },
32451		{ "VF_Valid", 8, 1 },
32452		{ "VF", 0, 8 },
32453	{ "MPS_CLS_SRAM_L", 0xea20, 0 },
32454		{ "DisEncapOuterRplct", 31, 1 },
32455		{ "DisEncap", 30, 1 },
32456		{ "MultiListen3", 29, 1 },
32457		{ "MultiListen2", 28, 1 },
32458		{ "MultiListen1", 27, 1 },
32459		{ "MultiListen0", 26, 1 },
32460		{ "Priority3", 23, 3 },
32461		{ "Priority2", 20, 3 },
32462		{ "Priority1", 17, 3 },
32463		{ "Priority0", 14, 3 },
32464		{ "Valid", 13, 1 },
32465		{ "Replicate", 12, 1 },
32466		{ "PF", 9, 3 },
32467		{ "VF_Valid", 8, 1 },
32468		{ "VF", 0, 8 },
32469	{ "MPS_CLS_SRAM_L", 0xea28, 0 },
32470		{ "DisEncapOuterRplct", 31, 1 },
32471		{ "DisEncap", 30, 1 },
32472		{ "MultiListen3", 29, 1 },
32473		{ "MultiListen2", 28, 1 },
32474		{ "MultiListen1", 27, 1 },
32475		{ "MultiListen0", 26, 1 },
32476		{ "Priority3", 23, 3 },
32477		{ "Priority2", 20, 3 },
32478		{ "Priority1", 17, 3 },
32479		{ "Priority0", 14, 3 },
32480		{ "Valid", 13, 1 },
32481		{ "Replicate", 12, 1 },
32482		{ "PF", 9, 3 },
32483		{ "VF_Valid", 8, 1 },
32484		{ "VF", 0, 8 },
32485	{ "MPS_CLS_SRAM_L", 0xea30, 0 },
32486		{ "DisEncapOuterRplct", 31, 1 },
32487		{ "DisEncap", 30, 1 },
32488		{ "MultiListen3", 29, 1 },
32489		{ "MultiListen2", 28, 1 },
32490		{ "MultiListen1", 27, 1 },
32491		{ "MultiListen0", 26, 1 },
32492		{ "Priority3", 23, 3 },
32493		{ "Priority2", 20, 3 },
32494		{ "Priority1", 17, 3 },
32495		{ "Priority0", 14, 3 },
32496		{ "Valid", 13, 1 },
32497		{ "Replicate", 12, 1 },
32498		{ "PF", 9, 3 },
32499		{ "VF_Valid", 8, 1 },
32500		{ "VF", 0, 8 },
32501	{ "MPS_CLS_SRAM_L", 0xea38, 0 },
32502		{ "DisEncapOuterRplct", 31, 1 },
32503		{ "DisEncap", 30, 1 },
32504		{ "MultiListen3", 29, 1 },
32505		{ "MultiListen2", 28, 1 },
32506		{ "MultiListen1", 27, 1 },
32507		{ "MultiListen0", 26, 1 },
32508		{ "Priority3", 23, 3 },
32509		{ "Priority2", 20, 3 },
32510		{ "Priority1", 17, 3 },
32511		{ "Priority0", 14, 3 },
32512		{ "Valid", 13, 1 },
32513		{ "Replicate", 12, 1 },
32514		{ "PF", 9, 3 },
32515		{ "VF_Valid", 8, 1 },
32516		{ "VF", 0, 8 },
32517	{ "MPS_CLS_SRAM_L", 0xea40, 0 },
32518		{ "DisEncapOuterRplct", 31, 1 },
32519		{ "DisEncap", 30, 1 },
32520		{ "MultiListen3", 29, 1 },
32521		{ "MultiListen2", 28, 1 },
32522		{ "MultiListen1", 27, 1 },
32523		{ "MultiListen0", 26, 1 },
32524		{ "Priority3", 23, 3 },
32525		{ "Priority2", 20, 3 },
32526		{ "Priority1", 17, 3 },
32527		{ "Priority0", 14, 3 },
32528		{ "Valid", 13, 1 },
32529		{ "Replicate", 12, 1 },
32530		{ "PF", 9, 3 },
32531		{ "VF_Valid", 8, 1 },
32532		{ "VF", 0, 8 },
32533	{ "MPS_CLS_SRAM_L", 0xea48, 0 },
32534		{ "DisEncapOuterRplct", 31, 1 },
32535		{ "DisEncap", 30, 1 },
32536		{ "MultiListen3", 29, 1 },
32537		{ "MultiListen2", 28, 1 },
32538		{ "MultiListen1", 27, 1 },
32539		{ "MultiListen0", 26, 1 },
32540		{ "Priority3", 23, 3 },
32541		{ "Priority2", 20, 3 },
32542		{ "Priority1", 17, 3 },
32543		{ "Priority0", 14, 3 },
32544		{ "Valid", 13, 1 },
32545		{ "Replicate", 12, 1 },
32546		{ "PF", 9, 3 },
32547		{ "VF_Valid", 8, 1 },
32548		{ "VF", 0, 8 },
32549	{ "MPS_CLS_SRAM_L", 0xea50, 0 },
32550		{ "DisEncapOuterRplct", 31, 1 },
32551		{ "DisEncap", 30, 1 },
32552		{ "MultiListen3", 29, 1 },
32553		{ "MultiListen2", 28, 1 },
32554		{ "MultiListen1", 27, 1 },
32555		{ "MultiListen0", 26, 1 },
32556		{ "Priority3", 23, 3 },
32557		{ "Priority2", 20, 3 },
32558		{ "Priority1", 17, 3 },
32559		{ "Priority0", 14, 3 },
32560		{ "Valid", 13, 1 },
32561		{ "Replicate", 12, 1 },
32562		{ "PF", 9, 3 },
32563		{ "VF_Valid", 8, 1 },
32564		{ "VF", 0, 8 },
32565	{ "MPS_CLS_SRAM_L", 0xea58, 0 },
32566		{ "DisEncapOuterRplct", 31, 1 },
32567		{ "DisEncap", 30, 1 },
32568		{ "MultiListen3", 29, 1 },
32569		{ "MultiListen2", 28, 1 },
32570		{ "MultiListen1", 27, 1 },
32571		{ "MultiListen0", 26, 1 },
32572		{ "Priority3", 23, 3 },
32573		{ "Priority2", 20, 3 },
32574		{ "Priority1", 17, 3 },
32575		{ "Priority0", 14, 3 },
32576		{ "Valid", 13, 1 },
32577		{ "Replicate", 12, 1 },
32578		{ "PF", 9, 3 },
32579		{ "VF_Valid", 8, 1 },
32580		{ "VF", 0, 8 },
32581	{ "MPS_CLS_SRAM_L", 0xea60, 0 },
32582		{ "DisEncapOuterRplct", 31, 1 },
32583		{ "DisEncap", 30, 1 },
32584		{ "MultiListen3", 29, 1 },
32585		{ "MultiListen2", 28, 1 },
32586		{ "MultiListen1", 27, 1 },
32587		{ "MultiListen0", 26, 1 },
32588		{ "Priority3", 23, 3 },
32589		{ "Priority2", 20, 3 },
32590		{ "Priority1", 17, 3 },
32591		{ "Priority0", 14, 3 },
32592		{ "Valid", 13, 1 },
32593		{ "Replicate", 12, 1 },
32594		{ "PF", 9, 3 },
32595		{ "VF_Valid", 8, 1 },
32596		{ "VF", 0, 8 },
32597	{ "MPS_CLS_SRAM_L", 0xea68, 0 },
32598		{ "DisEncapOuterRplct", 31, 1 },
32599		{ "DisEncap", 30, 1 },
32600		{ "MultiListen3", 29, 1 },
32601		{ "MultiListen2", 28, 1 },
32602		{ "MultiListen1", 27, 1 },
32603		{ "MultiListen0", 26, 1 },
32604		{ "Priority3", 23, 3 },
32605		{ "Priority2", 20, 3 },
32606		{ "Priority1", 17, 3 },
32607		{ "Priority0", 14, 3 },
32608		{ "Valid", 13, 1 },
32609		{ "Replicate", 12, 1 },
32610		{ "PF", 9, 3 },
32611		{ "VF_Valid", 8, 1 },
32612		{ "VF", 0, 8 },
32613	{ "MPS_CLS_SRAM_L", 0xea70, 0 },
32614		{ "DisEncapOuterRplct", 31, 1 },
32615		{ "DisEncap", 30, 1 },
32616		{ "MultiListen3", 29, 1 },
32617		{ "MultiListen2", 28, 1 },
32618		{ "MultiListen1", 27, 1 },
32619		{ "MultiListen0", 26, 1 },
32620		{ "Priority3", 23, 3 },
32621		{ "Priority2", 20, 3 },
32622		{ "Priority1", 17, 3 },
32623		{ "Priority0", 14, 3 },
32624		{ "Valid", 13, 1 },
32625		{ "Replicate", 12, 1 },
32626		{ "PF", 9, 3 },
32627		{ "VF_Valid", 8, 1 },
32628		{ "VF", 0, 8 },
32629	{ "MPS_CLS_SRAM_L", 0xea78, 0 },
32630		{ "DisEncapOuterRplct", 31, 1 },
32631		{ "DisEncap", 30, 1 },
32632		{ "MultiListen3", 29, 1 },
32633		{ "MultiListen2", 28, 1 },
32634		{ "MultiListen1", 27, 1 },
32635		{ "MultiListen0", 26, 1 },
32636		{ "Priority3", 23, 3 },
32637		{ "Priority2", 20, 3 },
32638		{ "Priority1", 17, 3 },
32639		{ "Priority0", 14, 3 },
32640		{ "Valid", 13, 1 },
32641		{ "Replicate", 12, 1 },
32642		{ "PF", 9, 3 },
32643		{ "VF_Valid", 8, 1 },
32644		{ "VF", 0, 8 },
32645	{ "MPS_CLS_SRAM_L", 0xea80, 0 },
32646		{ "DisEncapOuterRplct", 31, 1 },
32647		{ "DisEncap", 30, 1 },
32648		{ "MultiListen3", 29, 1 },
32649		{ "MultiListen2", 28, 1 },
32650		{ "MultiListen1", 27, 1 },
32651		{ "MultiListen0", 26, 1 },
32652		{ "Priority3", 23, 3 },
32653		{ "Priority2", 20, 3 },
32654		{ "Priority1", 17, 3 },
32655		{ "Priority0", 14, 3 },
32656		{ "Valid", 13, 1 },
32657		{ "Replicate", 12, 1 },
32658		{ "PF", 9, 3 },
32659		{ "VF_Valid", 8, 1 },
32660		{ "VF", 0, 8 },
32661	{ "MPS_CLS_SRAM_L", 0xea88, 0 },
32662		{ "DisEncapOuterRplct", 31, 1 },
32663		{ "DisEncap", 30, 1 },
32664		{ "MultiListen3", 29, 1 },
32665		{ "MultiListen2", 28, 1 },
32666		{ "MultiListen1", 27, 1 },
32667		{ "MultiListen0", 26, 1 },
32668		{ "Priority3", 23, 3 },
32669		{ "Priority2", 20, 3 },
32670		{ "Priority1", 17, 3 },
32671		{ "Priority0", 14, 3 },
32672		{ "Valid", 13, 1 },
32673		{ "Replicate", 12, 1 },
32674		{ "PF", 9, 3 },
32675		{ "VF_Valid", 8, 1 },
32676		{ "VF", 0, 8 },
32677	{ "MPS_CLS_SRAM_L", 0xea90, 0 },
32678		{ "DisEncapOuterRplct", 31, 1 },
32679		{ "DisEncap", 30, 1 },
32680		{ "MultiListen3", 29, 1 },
32681		{ "MultiListen2", 28, 1 },
32682		{ "MultiListen1", 27, 1 },
32683		{ "MultiListen0", 26, 1 },
32684		{ "Priority3", 23, 3 },
32685		{ "Priority2", 20, 3 },
32686		{ "Priority1", 17, 3 },
32687		{ "Priority0", 14, 3 },
32688		{ "Valid", 13, 1 },
32689		{ "Replicate", 12, 1 },
32690		{ "PF", 9, 3 },
32691		{ "VF_Valid", 8, 1 },
32692		{ "VF", 0, 8 },
32693	{ "MPS_CLS_SRAM_L", 0xea98, 0 },
32694		{ "DisEncapOuterRplct", 31, 1 },
32695		{ "DisEncap", 30, 1 },
32696		{ "MultiListen3", 29, 1 },
32697		{ "MultiListen2", 28, 1 },
32698		{ "MultiListen1", 27, 1 },
32699		{ "MultiListen0", 26, 1 },
32700		{ "Priority3", 23, 3 },
32701		{ "Priority2", 20, 3 },
32702		{ "Priority1", 17, 3 },
32703		{ "Priority0", 14, 3 },
32704		{ "Valid", 13, 1 },
32705		{ "Replicate", 12, 1 },
32706		{ "PF", 9, 3 },
32707		{ "VF_Valid", 8, 1 },
32708		{ "VF", 0, 8 },
32709	{ "MPS_CLS_SRAM_L", 0xeaa0, 0 },
32710		{ "DisEncapOuterRplct", 31, 1 },
32711		{ "DisEncap", 30, 1 },
32712		{ "MultiListen3", 29, 1 },
32713		{ "MultiListen2", 28, 1 },
32714		{ "MultiListen1", 27, 1 },
32715		{ "MultiListen0", 26, 1 },
32716		{ "Priority3", 23, 3 },
32717		{ "Priority2", 20, 3 },
32718		{ "Priority1", 17, 3 },
32719		{ "Priority0", 14, 3 },
32720		{ "Valid", 13, 1 },
32721		{ "Replicate", 12, 1 },
32722		{ "PF", 9, 3 },
32723		{ "VF_Valid", 8, 1 },
32724		{ "VF", 0, 8 },
32725	{ "MPS_CLS_SRAM_L", 0xeaa8, 0 },
32726		{ "DisEncapOuterRplct", 31, 1 },
32727		{ "DisEncap", 30, 1 },
32728		{ "MultiListen3", 29, 1 },
32729		{ "MultiListen2", 28, 1 },
32730		{ "MultiListen1", 27, 1 },
32731		{ "MultiListen0", 26, 1 },
32732		{ "Priority3", 23, 3 },
32733		{ "Priority2", 20, 3 },
32734		{ "Priority1", 17, 3 },
32735		{ "Priority0", 14, 3 },
32736		{ "Valid", 13, 1 },
32737		{ "Replicate", 12, 1 },
32738		{ "PF", 9, 3 },
32739		{ "VF_Valid", 8, 1 },
32740		{ "VF", 0, 8 },
32741	{ "MPS_CLS_SRAM_L", 0xeab0, 0 },
32742		{ "DisEncapOuterRplct", 31, 1 },
32743		{ "DisEncap", 30, 1 },
32744		{ "MultiListen3", 29, 1 },
32745		{ "MultiListen2", 28, 1 },
32746		{ "MultiListen1", 27, 1 },
32747		{ "MultiListen0", 26, 1 },
32748		{ "Priority3", 23, 3 },
32749		{ "Priority2", 20, 3 },
32750		{ "Priority1", 17, 3 },
32751		{ "Priority0", 14, 3 },
32752		{ "Valid", 13, 1 },
32753		{ "Replicate", 12, 1 },
32754		{ "PF", 9, 3 },
32755		{ "VF_Valid", 8, 1 },
32756		{ "VF", 0, 8 },
32757	{ "MPS_CLS_SRAM_L", 0xeab8, 0 },
32758		{ "DisEncapOuterRplct", 31, 1 },
32759		{ "DisEncap", 30, 1 },
32760		{ "MultiListen3", 29, 1 },
32761		{ "MultiListen2", 28, 1 },
32762		{ "MultiListen1", 27, 1 },
32763		{ "MultiListen0", 26, 1 },
32764		{ "Priority3", 23, 3 },
32765		{ "Priority2", 20, 3 },
32766		{ "Priority1", 17, 3 },
32767		{ "Priority0", 14, 3 },
32768		{ "Valid", 13, 1 },
32769		{ "Replicate", 12, 1 },
32770		{ "PF", 9, 3 },
32771		{ "VF_Valid", 8, 1 },
32772		{ "VF", 0, 8 },
32773	{ "MPS_CLS_SRAM_L", 0xeac0, 0 },
32774		{ "DisEncapOuterRplct", 31, 1 },
32775		{ "DisEncap", 30, 1 },
32776		{ "MultiListen3", 29, 1 },
32777		{ "MultiListen2", 28, 1 },
32778		{ "MultiListen1", 27, 1 },
32779		{ "MultiListen0", 26, 1 },
32780		{ "Priority3", 23, 3 },
32781		{ "Priority2", 20, 3 },
32782		{ "Priority1", 17, 3 },
32783		{ "Priority0", 14, 3 },
32784		{ "Valid", 13, 1 },
32785		{ "Replicate", 12, 1 },
32786		{ "PF", 9, 3 },
32787		{ "VF_Valid", 8, 1 },
32788		{ "VF", 0, 8 },
32789	{ "MPS_CLS_SRAM_L", 0xeac8, 0 },
32790		{ "DisEncapOuterRplct", 31, 1 },
32791		{ "DisEncap", 30, 1 },
32792		{ "MultiListen3", 29, 1 },
32793		{ "MultiListen2", 28, 1 },
32794		{ "MultiListen1", 27, 1 },
32795		{ "MultiListen0", 26, 1 },
32796		{ "Priority3", 23, 3 },
32797		{ "Priority2", 20, 3 },
32798		{ "Priority1", 17, 3 },
32799		{ "Priority0", 14, 3 },
32800		{ "Valid", 13, 1 },
32801		{ "Replicate", 12, 1 },
32802		{ "PF", 9, 3 },
32803		{ "VF_Valid", 8, 1 },
32804		{ "VF", 0, 8 },
32805	{ "MPS_CLS_SRAM_L", 0xead0, 0 },
32806		{ "DisEncapOuterRplct", 31, 1 },
32807		{ "DisEncap", 30, 1 },
32808		{ "MultiListen3", 29, 1 },
32809		{ "MultiListen2", 28, 1 },
32810		{ "MultiListen1", 27, 1 },
32811		{ "MultiListen0", 26, 1 },
32812		{ "Priority3", 23, 3 },
32813		{ "Priority2", 20, 3 },
32814		{ "Priority1", 17, 3 },
32815		{ "Priority0", 14, 3 },
32816		{ "Valid", 13, 1 },
32817		{ "Replicate", 12, 1 },
32818		{ "PF", 9, 3 },
32819		{ "VF_Valid", 8, 1 },
32820		{ "VF", 0, 8 },
32821	{ "MPS_CLS_SRAM_L", 0xead8, 0 },
32822		{ "DisEncapOuterRplct", 31, 1 },
32823		{ "DisEncap", 30, 1 },
32824		{ "MultiListen3", 29, 1 },
32825		{ "MultiListen2", 28, 1 },
32826		{ "MultiListen1", 27, 1 },
32827		{ "MultiListen0", 26, 1 },
32828		{ "Priority3", 23, 3 },
32829		{ "Priority2", 20, 3 },
32830		{ "Priority1", 17, 3 },
32831		{ "Priority0", 14, 3 },
32832		{ "Valid", 13, 1 },
32833		{ "Replicate", 12, 1 },
32834		{ "PF", 9, 3 },
32835		{ "VF_Valid", 8, 1 },
32836		{ "VF", 0, 8 },
32837	{ "MPS_CLS_SRAM_L", 0xeae0, 0 },
32838		{ "DisEncapOuterRplct", 31, 1 },
32839		{ "DisEncap", 30, 1 },
32840		{ "MultiListen3", 29, 1 },
32841		{ "MultiListen2", 28, 1 },
32842		{ "MultiListen1", 27, 1 },
32843		{ "MultiListen0", 26, 1 },
32844		{ "Priority3", 23, 3 },
32845		{ "Priority2", 20, 3 },
32846		{ "Priority1", 17, 3 },
32847		{ "Priority0", 14, 3 },
32848		{ "Valid", 13, 1 },
32849		{ "Replicate", 12, 1 },
32850		{ "PF", 9, 3 },
32851		{ "VF_Valid", 8, 1 },
32852		{ "VF", 0, 8 },
32853	{ "MPS_CLS_SRAM_L", 0xeae8, 0 },
32854		{ "DisEncapOuterRplct", 31, 1 },
32855		{ "DisEncap", 30, 1 },
32856		{ "MultiListen3", 29, 1 },
32857		{ "MultiListen2", 28, 1 },
32858		{ "MultiListen1", 27, 1 },
32859		{ "MultiListen0", 26, 1 },
32860		{ "Priority3", 23, 3 },
32861		{ "Priority2", 20, 3 },
32862		{ "Priority1", 17, 3 },
32863		{ "Priority0", 14, 3 },
32864		{ "Valid", 13, 1 },
32865		{ "Replicate", 12, 1 },
32866		{ "PF", 9, 3 },
32867		{ "VF_Valid", 8, 1 },
32868		{ "VF", 0, 8 },
32869	{ "MPS_CLS_SRAM_L", 0xeaf0, 0 },
32870		{ "DisEncapOuterRplct", 31, 1 },
32871		{ "DisEncap", 30, 1 },
32872		{ "MultiListen3", 29, 1 },
32873		{ "MultiListen2", 28, 1 },
32874		{ "MultiListen1", 27, 1 },
32875		{ "MultiListen0", 26, 1 },
32876		{ "Priority3", 23, 3 },
32877		{ "Priority2", 20, 3 },
32878		{ "Priority1", 17, 3 },
32879		{ "Priority0", 14, 3 },
32880		{ "Valid", 13, 1 },
32881		{ "Replicate", 12, 1 },
32882		{ "PF", 9, 3 },
32883		{ "VF_Valid", 8, 1 },
32884		{ "VF", 0, 8 },
32885	{ "MPS_CLS_SRAM_L", 0xeaf8, 0 },
32886		{ "DisEncapOuterRplct", 31, 1 },
32887		{ "DisEncap", 30, 1 },
32888		{ "MultiListen3", 29, 1 },
32889		{ "MultiListen2", 28, 1 },
32890		{ "MultiListen1", 27, 1 },
32891		{ "MultiListen0", 26, 1 },
32892		{ "Priority3", 23, 3 },
32893		{ "Priority2", 20, 3 },
32894		{ "Priority1", 17, 3 },
32895		{ "Priority0", 14, 3 },
32896		{ "Valid", 13, 1 },
32897		{ "Replicate", 12, 1 },
32898		{ "PF", 9, 3 },
32899		{ "VF_Valid", 8, 1 },
32900		{ "VF", 0, 8 },
32901	{ "MPS_CLS_SRAM_L", 0xeb00, 0 },
32902		{ "DisEncapOuterRplct", 31, 1 },
32903		{ "DisEncap", 30, 1 },
32904		{ "MultiListen3", 29, 1 },
32905		{ "MultiListen2", 28, 1 },
32906		{ "MultiListen1", 27, 1 },
32907		{ "MultiListen0", 26, 1 },
32908		{ "Priority3", 23, 3 },
32909		{ "Priority2", 20, 3 },
32910		{ "Priority1", 17, 3 },
32911		{ "Priority0", 14, 3 },
32912		{ "Valid", 13, 1 },
32913		{ "Replicate", 12, 1 },
32914		{ "PF", 9, 3 },
32915		{ "VF_Valid", 8, 1 },
32916		{ "VF", 0, 8 },
32917	{ "MPS_CLS_SRAM_L", 0xeb08, 0 },
32918		{ "DisEncapOuterRplct", 31, 1 },
32919		{ "DisEncap", 30, 1 },
32920		{ "MultiListen3", 29, 1 },
32921		{ "MultiListen2", 28, 1 },
32922		{ "MultiListen1", 27, 1 },
32923		{ "MultiListen0", 26, 1 },
32924		{ "Priority3", 23, 3 },
32925		{ "Priority2", 20, 3 },
32926		{ "Priority1", 17, 3 },
32927		{ "Priority0", 14, 3 },
32928		{ "Valid", 13, 1 },
32929		{ "Replicate", 12, 1 },
32930		{ "PF", 9, 3 },
32931		{ "VF_Valid", 8, 1 },
32932		{ "VF", 0, 8 },
32933	{ "MPS_CLS_SRAM_L", 0xeb10, 0 },
32934		{ "DisEncapOuterRplct", 31, 1 },
32935		{ "DisEncap", 30, 1 },
32936		{ "MultiListen3", 29, 1 },
32937		{ "MultiListen2", 28, 1 },
32938		{ "MultiListen1", 27, 1 },
32939		{ "MultiListen0", 26, 1 },
32940		{ "Priority3", 23, 3 },
32941		{ "Priority2", 20, 3 },
32942		{ "Priority1", 17, 3 },
32943		{ "Priority0", 14, 3 },
32944		{ "Valid", 13, 1 },
32945		{ "Replicate", 12, 1 },
32946		{ "PF", 9, 3 },
32947		{ "VF_Valid", 8, 1 },
32948		{ "VF", 0, 8 },
32949	{ "MPS_CLS_SRAM_L", 0xeb18, 0 },
32950		{ "DisEncapOuterRplct", 31, 1 },
32951		{ "DisEncap", 30, 1 },
32952		{ "MultiListen3", 29, 1 },
32953		{ "MultiListen2", 28, 1 },
32954		{ "MultiListen1", 27, 1 },
32955		{ "MultiListen0", 26, 1 },
32956		{ "Priority3", 23, 3 },
32957		{ "Priority2", 20, 3 },
32958		{ "Priority1", 17, 3 },
32959		{ "Priority0", 14, 3 },
32960		{ "Valid", 13, 1 },
32961		{ "Replicate", 12, 1 },
32962		{ "PF", 9, 3 },
32963		{ "VF_Valid", 8, 1 },
32964		{ "VF", 0, 8 },
32965	{ "MPS_CLS_SRAM_L", 0xeb20, 0 },
32966		{ "DisEncapOuterRplct", 31, 1 },
32967		{ "DisEncap", 30, 1 },
32968		{ "MultiListen3", 29, 1 },
32969		{ "MultiListen2", 28, 1 },
32970		{ "MultiListen1", 27, 1 },
32971		{ "MultiListen0", 26, 1 },
32972		{ "Priority3", 23, 3 },
32973		{ "Priority2", 20, 3 },
32974		{ "Priority1", 17, 3 },
32975		{ "Priority0", 14, 3 },
32976		{ "Valid", 13, 1 },
32977		{ "Replicate", 12, 1 },
32978		{ "PF", 9, 3 },
32979		{ "VF_Valid", 8, 1 },
32980		{ "VF", 0, 8 },
32981	{ "MPS_CLS_SRAM_L", 0xeb28, 0 },
32982		{ "DisEncapOuterRplct", 31, 1 },
32983		{ "DisEncap", 30, 1 },
32984		{ "MultiListen3", 29, 1 },
32985		{ "MultiListen2", 28, 1 },
32986		{ "MultiListen1", 27, 1 },
32987		{ "MultiListen0", 26, 1 },
32988		{ "Priority3", 23, 3 },
32989		{ "Priority2", 20, 3 },
32990		{ "Priority1", 17, 3 },
32991		{ "Priority0", 14, 3 },
32992		{ "Valid", 13, 1 },
32993		{ "Replicate", 12, 1 },
32994		{ "PF", 9, 3 },
32995		{ "VF_Valid", 8, 1 },
32996		{ "VF", 0, 8 },
32997	{ "MPS_CLS_SRAM_L", 0xeb30, 0 },
32998		{ "DisEncapOuterRplct", 31, 1 },
32999		{ "DisEncap", 30, 1 },
33000		{ "MultiListen3", 29, 1 },
33001		{ "MultiListen2", 28, 1 },
33002		{ "MultiListen1", 27, 1 },
33003		{ "MultiListen0", 26, 1 },
33004		{ "Priority3", 23, 3 },
33005		{ "Priority2", 20, 3 },
33006		{ "Priority1", 17, 3 },
33007		{ "Priority0", 14, 3 },
33008		{ "Valid", 13, 1 },
33009		{ "Replicate", 12, 1 },
33010		{ "PF", 9, 3 },
33011		{ "VF_Valid", 8, 1 },
33012		{ "VF", 0, 8 },
33013	{ "MPS_CLS_SRAM_L", 0xeb38, 0 },
33014		{ "DisEncapOuterRplct", 31, 1 },
33015		{ "DisEncap", 30, 1 },
33016		{ "MultiListen3", 29, 1 },
33017		{ "MultiListen2", 28, 1 },
33018		{ "MultiListen1", 27, 1 },
33019		{ "MultiListen0", 26, 1 },
33020		{ "Priority3", 23, 3 },
33021		{ "Priority2", 20, 3 },
33022		{ "Priority1", 17, 3 },
33023		{ "Priority0", 14, 3 },
33024		{ "Valid", 13, 1 },
33025		{ "Replicate", 12, 1 },
33026		{ "PF", 9, 3 },
33027		{ "VF_Valid", 8, 1 },
33028		{ "VF", 0, 8 },
33029	{ "MPS_CLS_SRAM_L", 0xeb40, 0 },
33030		{ "DisEncapOuterRplct", 31, 1 },
33031		{ "DisEncap", 30, 1 },
33032		{ "MultiListen3", 29, 1 },
33033		{ "MultiListen2", 28, 1 },
33034		{ "MultiListen1", 27, 1 },
33035		{ "MultiListen0", 26, 1 },
33036		{ "Priority3", 23, 3 },
33037		{ "Priority2", 20, 3 },
33038		{ "Priority1", 17, 3 },
33039		{ "Priority0", 14, 3 },
33040		{ "Valid", 13, 1 },
33041		{ "Replicate", 12, 1 },
33042		{ "PF", 9, 3 },
33043		{ "VF_Valid", 8, 1 },
33044		{ "VF", 0, 8 },
33045	{ "MPS_CLS_SRAM_L", 0xeb48, 0 },
33046		{ "DisEncapOuterRplct", 31, 1 },
33047		{ "DisEncap", 30, 1 },
33048		{ "MultiListen3", 29, 1 },
33049		{ "MultiListen2", 28, 1 },
33050		{ "MultiListen1", 27, 1 },
33051		{ "MultiListen0", 26, 1 },
33052		{ "Priority3", 23, 3 },
33053		{ "Priority2", 20, 3 },
33054		{ "Priority1", 17, 3 },
33055		{ "Priority0", 14, 3 },
33056		{ "Valid", 13, 1 },
33057		{ "Replicate", 12, 1 },
33058		{ "PF", 9, 3 },
33059		{ "VF_Valid", 8, 1 },
33060		{ "VF", 0, 8 },
33061	{ "MPS_CLS_SRAM_L", 0xeb50, 0 },
33062		{ "DisEncapOuterRplct", 31, 1 },
33063		{ "DisEncap", 30, 1 },
33064		{ "MultiListen3", 29, 1 },
33065		{ "MultiListen2", 28, 1 },
33066		{ "MultiListen1", 27, 1 },
33067		{ "MultiListen0", 26, 1 },
33068		{ "Priority3", 23, 3 },
33069		{ "Priority2", 20, 3 },
33070		{ "Priority1", 17, 3 },
33071		{ "Priority0", 14, 3 },
33072		{ "Valid", 13, 1 },
33073		{ "Replicate", 12, 1 },
33074		{ "PF", 9, 3 },
33075		{ "VF_Valid", 8, 1 },
33076		{ "VF", 0, 8 },
33077	{ "MPS_CLS_SRAM_L", 0xeb58, 0 },
33078		{ "DisEncapOuterRplct", 31, 1 },
33079		{ "DisEncap", 30, 1 },
33080		{ "MultiListen3", 29, 1 },
33081		{ "MultiListen2", 28, 1 },
33082		{ "MultiListen1", 27, 1 },
33083		{ "MultiListen0", 26, 1 },
33084		{ "Priority3", 23, 3 },
33085		{ "Priority2", 20, 3 },
33086		{ "Priority1", 17, 3 },
33087		{ "Priority0", 14, 3 },
33088		{ "Valid", 13, 1 },
33089		{ "Replicate", 12, 1 },
33090		{ "PF", 9, 3 },
33091		{ "VF_Valid", 8, 1 },
33092		{ "VF", 0, 8 },
33093	{ "MPS_CLS_SRAM_L", 0xeb60, 0 },
33094		{ "DisEncapOuterRplct", 31, 1 },
33095		{ "DisEncap", 30, 1 },
33096		{ "MultiListen3", 29, 1 },
33097		{ "MultiListen2", 28, 1 },
33098		{ "MultiListen1", 27, 1 },
33099		{ "MultiListen0", 26, 1 },
33100		{ "Priority3", 23, 3 },
33101		{ "Priority2", 20, 3 },
33102		{ "Priority1", 17, 3 },
33103		{ "Priority0", 14, 3 },
33104		{ "Valid", 13, 1 },
33105		{ "Replicate", 12, 1 },
33106		{ "PF", 9, 3 },
33107		{ "VF_Valid", 8, 1 },
33108		{ "VF", 0, 8 },
33109	{ "MPS_CLS_SRAM_L", 0xeb68, 0 },
33110		{ "DisEncapOuterRplct", 31, 1 },
33111		{ "DisEncap", 30, 1 },
33112		{ "MultiListen3", 29, 1 },
33113		{ "MultiListen2", 28, 1 },
33114		{ "MultiListen1", 27, 1 },
33115		{ "MultiListen0", 26, 1 },
33116		{ "Priority3", 23, 3 },
33117		{ "Priority2", 20, 3 },
33118		{ "Priority1", 17, 3 },
33119		{ "Priority0", 14, 3 },
33120		{ "Valid", 13, 1 },
33121		{ "Replicate", 12, 1 },
33122		{ "PF", 9, 3 },
33123		{ "VF_Valid", 8, 1 },
33124		{ "VF", 0, 8 },
33125	{ "MPS_CLS_SRAM_L", 0xeb70, 0 },
33126		{ "DisEncapOuterRplct", 31, 1 },
33127		{ "DisEncap", 30, 1 },
33128		{ "MultiListen3", 29, 1 },
33129		{ "MultiListen2", 28, 1 },
33130		{ "MultiListen1", 27, 1 },
33131		{ "MultiListen0", 26, 1 },
33132		{ "Priority3", 23, 3 },
33133		{ "Priority2", 20, 3 },
33134		{ "Priority1", 17, 3 },
33135		{ "Priority0", 14, 3 },
33136		{ "Valid", 13, 1 },
33137		{ "Replicate", 12, 1 },
33138		{ "PF", 9, 3 },
33139		{ "VF_Valid", 8, 1 },
33140		{ "VF", 0, 8 },
33141	{ "MPS_CLS_SRAM_L", 0xeb78, 0 },
33142		{ "DisEncapOuterRplct", 31, 1 },
33143		{ "DisEncap", 30, 1 },
33144		{ "MultiListen3", 29, 1 },
33145		{ "MultiListen2", 28, 1 },
33146		{ "MultiListen1", 27, 1 },
33147		{ "MultiListen0", 26, 1 },
33148		{ "Priority3", 23, 3 },
33149		{ "Priority2", 20, 3 },
33150		{ "Priority1", 17, 3 },
33151		{ "Priority0", 14, 3 },
33152		{ "Valid", 13, 1 },
33153		{ "Replicate", 12, 1 },
33154		{ "PF", 9, 3 },
33155		{ "VF_Valid", 8, 1 },
33156		{ "VF", 0, 8 },
33157	{ "MPS_CLS_SRAM_L", 0xeb80, 0 },
33158		{ "DisEncapOuterRplct", 31, 1 },
33159		{ "DisEncap", 30, 1 },
33160		{ "MultiListen3", 29, 1 },
33161		{ "MultiListen2", 28, 1 },
33162		{ "MultiListen1", 27, 1 },
33163		{ "MultiListen0", 26, 1 },
33164		{ "Priority3", 23, 3 },
33165		{ "Priority2", 20, 3 },
33166		{ "Priority1", 17, 3 },
33167		{ "Priority0", 14, 3 },
33168		{ "Valid", 13, 1 },
33169		{ "Replicate", 12, 1 },
33170		{ "PF", 9, 3 },
33171		{ "VF_Valid", 8, 1 },
33172		{ "VF", 0, 8 },
33173	{ "MPS_CLS_SRAM_L", 0xeb88, 0 },
33174		{ "DisEncapOuterRplct", 31, 1 },
33175		{ "DisEncap", 30, 1 },
33176		{ "MultiListen3", 29, 1 },
33177		{ "MultiListen2", 28, 1 },
33178		{ "MultiListen1", 27, 1 },
33179		{ "MultiListen0", 26, 1 },
33180		{ "Priority3", 23, 3 },
33181		{ "Priority2", 20, 3 },
33182		{ "Priority1", 17, 3 },
33183		{ "Priority0", 14, 3 },
33184		{ "Valid", 13, 1 },
33185		{ "Replicate", 12, 1 },
33186		{ "PF", 9, 3 },
33187		{ "VF_Valid", 8, 1 },
33188		{ "VF", 0, 8 },
33189	{ "MPS_CLS_SRAM_L", 0xeb90, 0 },
33190		{ "DisEncapOuterRplct", 31, 1 },
33191		{ "DisEncap", 30, 1 },
33192		{ "MultiListen3", 29, 1 },
33193		{ "MultiListen2", 28, 1 },
33194		{ "MultiListen1", 27, 1 },
33195		{ "MultiListen0", 26, 1 },
33196		{ "Priority3", 23, 3 },
33197		{ "Priority2", 20, 3 },
33198		{ "Priority1", 17, 3 },
33199		{ "Priority0", 14, 3 },
33200		{ "Valid", 13, 1 },
33201		{ "Replicate", 12, 1 },
33202		{ "PF", 9, 3 },
33203		{ "VF_Valid", 8, 1 },
33204		{ "VF", 0, 8 },
33205	{ "MPS_CLS_SRAM_L", 0xeb98, 0 },
33206		{ "DisEncapOuterRplct", 31, 1 },
33207		{ "DisEncap", 30, 1 },
33208		{ "MultiListen3", 29, 1 },
33209		{ "MultiListen2", 28, 1 },
33210		{ "MultiListen1", 27, 1 },
33211		{ "MultiListen0", 26, 1 },
33212		{ "Priority3", 23, 3 },
33213		{ "Priority2", 20, 3 },
33214		{ "Priority1", 17, 3 },
33215		{ "Priority0", 14, 3 },
33216		{ "Valid", 13, 1 },
33217		{ "Replicate", 12, 1 },
33218		{ "PF", 9, 3 },
33219		{ "VF_Valid", 8, 1 },
33220		{ "VF", 0, 8 },
33221	{ "MPS_CLS_SRAM_L", 0xeba0, 0 },
33222		{ "DisEncapOuterRplct", 31, 1 },
33223		{ "DisEncap", 30, 1 },
33224		{ "MultiListen3", 29, 1 },
33225		{ "MultiListen2", 28, 1 },
33226		{ "MultiListen1", 27, 1 },
33227		{ "MultiListen0", 26, 1 },
33228		{ "Priority3", 23, 3 },
33229		{ "Priority2", 20, 3 },
33230		{ "Priority1", 17, 3 },
33231		{ "Priority0", 14, 3 },
33232		{ "Valid", 13, 1 },
33233		{ "Replicate", 12, 1 },
33234		{ "PF", 9, 3 },
33235		{ "VF_Valid", 8, 1 },
33236		{ "VF", 0, 8 },
33237	{ "MPS_CLS_SRAM_L", 0xeba8, 0 },
33238		{ "DisEncapOuterRplct", 31, 1 },
33239		{ "DisEncap", 30, 1 },
33240		{ "MultiListen3", 29, 1 },
33241		{ "MultiListen2", 28, 1 },
33242		{ "MultiListen1", 27, 1 },
33243		{ "MultiListen0", 26, 1 },
33244		{ "Priority3", 23, 3 },
33245		{ "Priority2", 20, 3 },
33246		{ "Priority1", 17, 3 },
33247		{ "Priority0", 14, 3 },
33248		{ "Valid", 13, 1 },
33249		{ "Replicate", 12, 1 },
33250		{ "PF", 9, 3 },
33251		{ "VF_Valid", 8, 1 },
33252		{ "VF", 0, 8 },
33253	{ "MPS_CLS_SRAM_L", 0xebb0, 0 },
33254		{ "DisEncapOuterRplct", 31, 1 },
33255		{ "DisEncap", 30, 1 },
33256		{ "MultiListen3", 29, 1 },
33257		{ "MultiListen2", 28, 1 },
33258		{ "MultiListen1", 27, 1 },
33259		{ "MultiListen0", 26, 1 },
33260		{ "Priority3", 23, 3 },
33261		{ "Priority2", 20, 3 },
33262		{ "Priority1", 17, 3 },
33263		{ "Priority0", 14, 3 },
33264		{ "Valid", 13, 1 },
33265		{ "Replicate", 12, 1 },
33266		{ "PF", 9, 3 },
33267		{ "VF_Valid", 8, 1 },
33268		{ "VF", 0, 8 },
33269	{ "MPS_CLS_SRAM_L", 0xebb8, 0 },
33270		{ "DisEncapOuterRplct", 31, 1 },
33271		{ "DisEncap", 30, 1 },
33272		{ "MultiListen3", 29, 1 },
33273		{ "MultiListen2", 28, 1 },
33274		{ "MultiListen1", 27, 1 },
33275		{ "MultiListen0", 26, 1 },
33276		{ "Priority3", 23, 3 },
33277		{ "Priority2", 20, 3 },
33278		{ "Priority1", 17, 3 },
33279		{ "Priority0", 14, 3 },
33280		{ "Valid", 13, 1 },
33281		{ "Replicate", 12, 1 },
33282		{ "PF", 9, 3 },
33283		{ "VF_Valid", 8, 1 },
33284		{ "VF", 0, 8 },
33285	{ "MPS_CLS_SRAM_L", 0xebc0, 0 },
33286		{ "DisEncapOuterRplct", 31, 1 },
33287		{ "DisEncap", 30, 1 },
33288		{ "MultiListen3", 29, 1 },
33289		{ "MultiListen2", 28, 1 },
33290		{ "MultiListen1", 27, 1 },
33291		{ "MultiListen0", 26, 1 },
33292		{ "Priority3", 23, 3 },
33293		{ "Priority2", 20, 3 },
33294		{ "Priority1", 17, 3 },
33295		{ "Priority0", 14, 3 },
33296		{ "Valid", 13, 1 },
33297		{ "Replicate", 12, 1 },
33298		{ "PF", 9, 3 },
33299		{ "VF_Valid", 8, 1 },
33300		{ "VF", 0, 8 },
33301	{ "MPS_CLS_SRAM_L", 0xebc8, 0 },
33302		{ "DisEncapOuterRplct", 31, 1 },
33303		{ "DisEncap", 30, 1 },
33304		{ "MultiListen3", 29, 1 },
33305		{ "MultiListen2", 28, 1 },
33306		{ "MultiListen1", 27, 1 },
33307		{ "MultiListen0", 26, 1 },
33308		{ "Priority3", 23, 3 },
33309		{ "Priority2", 20, 3 },
33310		{ "Priority1", 17, 3 },
33311		{ "Priority0", 14, 3 },
33312		{ "Valid", 13, 1 },
33313		{ "Replicate", 12, 1 },
33314		{ "PF", 9, 3 },
33315		{ "VF_Valid", 8, 1 },
33316		{ "VF", 0, 8 },
33317	{ "MPS_CLS_SRAM_L", 0xebd0, 0 },
33318		{ "DisEncapOuterRplct", 31, 1 },
33319		{ "DisEncap", 30, 1 },
33320		{ "MultiListen3", 29, 1 },
33321		{ "MultiListen2", 28, 1 },
33322		{ "MultiListen1", 27, 1 },
33323		{ "MultiListen0", 26, 1 },
33324		{ "Priority3", 23, 3 },
33325		{ "Priority2", 20, 3 },
33326		{ "Priority1", 17, 3 },
33327		{ "Priority0", 14, 3 },
33328		{ "Valid", 13, 1 },
33329		{ "Replicate", 12, 1 },
33330		{ "PF", 9, 3 },
33331		{ "VF_Valid", 8, 1 },
33332		{ "VF", 0, 8 },
33333	{ "MPS_CLS_SRAM_L", 0xebd8, 0 },
33334		{ "DisEncapOuterRplct", 31, 1 },
33335		{ "DisEncap", 30, 1 },
33336		{ "MultiListen3", 29, 1 },
33337		{ "MultiListen2", 28, 1 },
33338		{ "MultiListen1", 27, 1 },
33339		{ "MultiListen0", 26, 1 },
33340		{ "Priority3", 23, 3 },
33341		{ "Priority2", 20, 3 },
33342		{ "Priority1", 17, 3 },
33343		{ "Priority0", 14, 3 },
33344		{ "Valid", 13, 1 },
33345		{ "Replicate", 12, 1 },
33346		{ "PF", 9, 3 },
33347		{ "VF_Valid", 8, 1 },
33348		{ "VF", 0, 8 },
33349	{ "MPS_CLS_SRAM_L", 0xebe0, 0 },
33350		{ "DisEncapOuterRplct", 31, 1 },
33351		{ "DisEncap", 30, 1 },
33352		{ "MultiListen3", 29, 1 },
33353		{ "MultiListen2", 28, 1 },
33354		{ "MultiListen1", 27, 1 },
33355		{ "MultiListen0", 26, 1 },
33356		{ "Priority3", 23, 3 },
33357		{ "Priority2", 20, 3 },
33358		{ "Priority1", 17, 3 },
33359		{ "Priority0", 14, 3 },
33360		{ "Valid", 13, 1 },
33361		{ "Replicate", 12, 1 },
33362		{ "PF", 9, 3 },
33363		{ "VF_Valid", 8, 1 },
33364		{ "VF", 0, 8 },
33365	{ "MPS_CLS_SRAM_L", 0xebe8, 0 },
33366		{ "DisEncapOuterRplct", 31, 1 },
33367		{ "DisEncap", 30, 1 },
33368		{ "MultiListen3", 29, 1 },
33369		{ "MultiListen2", 28, 1 },
33370		{ "MultiListen1", 27, 1 },
33371		{ "MultiListen0", 26, 1 },
33372		{ "Priority3", 23, 3 },
33373		{ "Priority2", 20, 3 },
33374		{ "Priority1", 17, 3 },
33375		{ "Priority0", 14, 3 },
33376		{ "Valid", 13, 1 },
33377		{ "Replicate", 12, 1 },
33378		{ "PF", 9, 3 },
33379		{ "VF_Valid", 8, 1 },
33380		{ "VF", 0, 8 },
33381	{ "MPS_CLS_SRAM_L", 0xebf0, 0 },
33382		{ "DisEncapOuterRplct", 31, 1 },
33383		{ "DisEncap", 30, 1 },
33384		{ "MultiListen3", 29, 1 },
33385		{ "MultiListen2", 28, 1 },
33386		{ "MultiListen1", 27, 1 },
33387		{ "MultiListen0", 26, 1 },
33388		{ "Priority3", 23, 3 },
33389		{ "Priority2", 20, 3 },
33390		{ "Priority1", 17, 3 },
33391		{ "Priority0", 14, 3 },
33392		{ "Valid", 13, 1 },
33393		{ "Replicate", 12, 1 },
33394		{ "PF", 9, 3 },
33395		{ "VF_Valid", 8, 1 },
33396		{ "VF", 0, 8 },
33397	{ "MPS_CLS_SRAM_L", 0xebf8, 0 },
33398		{ "DisEncapOuterRplct", 31, 1 },
33399		{ "DisEncap", 30, 1 },
33400		{ "MultiListen3", 29, 1 },
33401		{ "MultiListen2", 28, 1 },
33402		{ "MultiListen1", 27, 1 },
33403		{ "MultiListen0", 26, 1 },
33404		{ "Priority3", 23, 3 },
33405		{ "Priority2", 20, 3 },
33406		{ "Priority1", 17, 3 },
33407		{ "Priority0", 14, 3 },
33408		{ "Valid", 13, 1 },
33409		{ "Replicate", 12, 1 },
33410		{ "PF", 9, 3 },
33411		{ "VF_Valid", 8, 1 },
33412		{ "VF", 0, 8 },
33413	{ "MPS_CLS_SRAM_L", 0xec00, 0 },
33414		{ "DisEncapOuterRplct", 31, 1 },
33415		{ "DisEncap", 30, 1 },
33416		{ "MultiListen3", 29, 1 },
33417		{ "MultiListen2", 28, 1 },
33418		{ "MultiListen1", 27, 1 },
33419		{ "MultiListen0", 26, 1 },
33420		{ "Priority3", 23, 3 },
33421		{ "Priority2", 20, 3 },
33422		{ "Priority1", 17, 3 },
33423		{ "Priority0", 14, 3 },
33424		{ "Valid", 13, 1 },
33425		{ "Replicate", 12, 1 },
33426		{ "PF", 9, 3 },
33427		{ "VF_Valid", 8, 1 },
33428		{ "VF", 0, 8 },
33429	{ "MPS_CLS_SRAM_L", 0xec08, 0 },
33430		{ "DisEncapOuterRplct", 31, 1 },
33431		{ "DisEncap", 30, 1 },
33432		{ "MultiListen3", 29, 1 },
33433		{ "MultiListen2", 28, 1 },
33434		{ "MultiListen1", 27, 1 },
33435		{ "MultiListen0", 26, 1 },
33436		{ "Priority3", 23, 3 },
33437		{ "Priority2", 20, 3 },
33438		{ "Priority1", 17, 3 },
33439		{ "Priority0", 14, 3 },
33440		{ "Valid", 13, 1 },
33441		{ "Replicate", 12, 1 },
33442		{ "PF", 9, 3 },
33443		{ "VF_Valid", 8, 1 },
33444		{ "VF", 0, 8 },
33445	{ "MPS_CLS_SRAM_L", 0xec10, 0 },
33446		{ "DisEncapOuterRplct", 31, 1 },
33447		{ "DisEncap", 30, 1 },
33448		{ "MultiListen3", 29, 1 },
33449		{ "MultiListen2", 28, 1 },
33450		{ "MultiListen1", 27, 1 },
33451		{ "MultiListen0", 26, 1 },
33452		{ "Priority3", 23, 3 },
33453		{ "Priority2", 20, 3 },
33454		{ "Priority1", 17, 3 },
33455		{ "Priority0", 14, 3 },
33456		{ "Valid", 13, 1 },
33457		{ "Replicate", 12, 1 },
33458		{ "PF", 9, 3 },
33459		{ "VF_Valid", 8, 1 },
33460		{ "VF", 0, 8 },
33461	{ "MPS_CLS_SRAM_L", 0xec18, 0 },
33462		{ "DisEncapOuterRplct", 31, 1 },
33463		{ "DisEncap", 30, 1 },
33464		{ "MultiListen3", 29, 1 },
33465		{ "MultiListen2", 28, 1 },
33466		{ "MultiListen1", 27, 1 },
33467		{ "MultiListen0", 26, 1 },
33468		{ "Priority3", 23, 3 },
33469		{ "Priority2", 20, 3 },
33470		{ "Priority1", 17, 3 },
33471		{ "Priority0", 14, 3 },
33472		{ "Valid", 13, 1 },
33473		{ "Replicate", 12, 1 },
33474		{ "PF", 9, 3 },
33475		{ "VF_Valid", 8, 1 },
33476		{ "VF", 0, 8 },
33477	{ "MPS_CLS_SRAM_L", 0xec20, 0 },
33478		{ "DisEncapOuterRplct", 31, 1 },
33479		{ "DisEncap", 30, 1 },
33480		{ "MultiListen3", 29, 1 },
33481		{ "MultiListen2", 28, 1 },
33482		{ "MultiListen1", 27, 1 },
33483		{ "MultiListen0", 26, 1 },
33484		{ "Priority3", 23, 3 },
33485		{ "Priority2", 20, 3 },
33486		{ "Priority1", 17, 3 },
33487		{ "Priority0", 14, 3 },
33488		{ "Valid", 13, 1 },
33489		{ "Replicate", 12, 1 },
33490		{ "PF", 9, 3 },
33491		{ "VF_Valid", 8, 1 },
33492		{ "VF", 0, 8 },
33493	{ "MPS_CLS_SRAM_L", 0xec28, 0 },
33494		{ "DisEncapOuterRplct", 31, 1 },
33495		{ "DisEncap", 30, 1 },
33496		{ "MultiListen3", 29, 1 },
33497		{ "MultiListen2", 28, 1 },
33498		{ "MultiListen1", 27, 1 },
33499		{ "MultiListen0", 26, 1 },
33500		{ "Priority3", 23, 3 },
33501		{ "Priority2", 20, 3 },
33502		{ "Priority1", 17, 3 },
33503		{ "Priority0", 14, 3 },
33504		{ "Valid", 13, 1 },
33505		{ "Replicate", 12, 1 },
33506		{ "PF", 9, 3 },
33507		{ "VF_Valid", 8, 1 },
33508		{ "VF", 0, 8 },
33509	{ "MPS_CLS_SRAM_L", 0xec30, 0 },
33510		{ "DisEncapOuterRplct", 31, 1 },
33511		{ "DisEncap", 30, 1 },
33512		{ "MultiListen3", 29, 1 },
33513		{ "MultiListen2", 28, 1 },
33514		{ "MultiListen1", 27, 1 },
33515		{ "MultiListen0", 26, 1 },
33516		{ "Priority3", 23, 3 },
33517		{ "Priority2", 20, 3 },
33518		{ "Priority1", 17, 3 },
33519		{ "Priority0", 14, 3 },
33520		{ "Valid", 13, 1 },
33521		{ "Replicate", 12, 1 },
33522		{ "PF", 9, 3 },
33523		{ "VF_Valid", 8, 1 },
33524		{ "VF", 0, 8 },
33525	{ "MPS_CLS_SRAM_L", 0xec38, 0 },
33526		{ "DisEncapOuterRplct", 31, 1 },
33527		{ "DisEncap", 30, 1 },
33528		{ "MultiListen3", 29, 1 },
33529		{ "MultiListen2", 28, 1 },
33530		{ "MultiListen1", 27, 1 },
33531		{ "MultiListen0", 26, 1 },
33532		{ "Priority3", 23, 3 },
33533		{ "Priority2", 20, 3 },
33534		{ "Priority1", 17, 3 },
33535		{ "Priority0", 14, 3 },
33536		{ "Valid", 13, 1 },
33537		{ "Replicate", 12, 1 },
33538		{ "PF", 9, 3 },
33539		{ "VF_Valid", 8, 1 },
33540		{ "VF", 0, 8 },
33541	{ "MPS_CLS_SRAM_L", 0xec40, 0 },
33542		{ "DisEncapOuterRplct", 31, 1 },
33543		{ "DisEncap", 30, 1 },
33544		{ "MultiListen3", 29, 1 },
33545		{ "MultiListen2", 28, 1 },
33546		{ "MultiListen1", 27, 1 },
33547		{ "MultiListen0", 26, 1 },
33548		{ "Priority3", 23, 3 },
33549		{ "Priority2", 20, 3 },
33550		{ "Priority1", 17, 3 },
33551		{ "Priority0", 14, 3 },
33552		{ "Valid", 13, 1 },
33553		{ "Replicate", 12, 1 },
33554		{ "PF", 9, 3 },
33555		{ "VF_Valid", 8, 1 },
33556		{ "VF", 0, 8 },
33557	{ "MPS_CLS_SRAM_L", 0xec48, 0 },
33558		{ "DisEncapOuterRplct", 31, 1 },
33559		{ "DisEncap", 30, 1 },
33560		{ "MultiListen3", 29, 1 },
33561		{ "MultiListen2", 28, 1 },
33562		{ "MultiListen1", 27, 1 },
33563		{ "MultiListen0", 26, 1 },
33564		{ "Priority3", 23, 3 },
33565		{ "Priority2", 20, 3 },
33566		{ "Priority1", 17, 3 },
33567		{ "Priority0", 14, 3 },
33568		{ "Valid", 13, 1 },
33569		{ "Replicate", 12, 1 },
33570		{ "PF", 9, 3 },
33571		{ "VF_Valid", 8, 1 },
33572		{ "VF", 0, 8 },
33573	{ "MPS_CLS_SRAM_L", 0xec50, 0 },
33574		{ "DisEncapOuterRplct", 31, 1 },
33575		{ "DisEncap", 30, 1 },
33576		{ "MultiListen3", 29, 1 },
33577		{ "MultiListen2", 28, 1 },
33578		{ "MultiListen1", 27, 1 },
33579		{ "MultiListen0", 26, 1 },
33580		{ "Priority3", 23, 3 },
33581		{ "Priority2", 20, 3 },
33582		{ "Priority1", 17, 3 },
33583		{ "Priority0", 14, 3 },
33584		{ "Valid", 13, 1 },
33585		{ "Replicate", 12, 1 },
33586		{ "PF", 9, 3 },
33587		{ "VF_Valid", 8, 1 },
33588		{ "VF", 0, 8 },
33589	{ "MPS_CLS_SRAM_L", 0xec58, 0 },
33590		{ "DisEncapOuterRplct", 31, 1 },
33591		{ "DisEncap", 30, 1 },
33592		{ "MultiListen3", 29, 1 },
33593		{ "MultiListen2", 28, 1 },
33594		{ "MultiListen1", 27, 1 },
33595		{ "MultiListen0", 26, 1 },
33596		{ "Priority3", 23, 3 },
33597		{ "Priority2", 20, 3 },
33598		{ "Priority1", 17, 3 },
33599		{ "Priority0", 14, 3 },
33600		{ "Valid", 13, 1 },
33601		{ "Replicate", 12, 1 },
33602		{ "PF", 9, 3 },
33603		{ "VF_Valid", 8, 1 },
33604		{ "VF", 0, 8 },
33605	{ "MPS_CLS_SRAM_L", 0xec60, 0 },
33606		{ "DisEncapOuterRplct", 31, 1 },
33607		{ "DisEncap", 30, 1 },
33608		{ "MultiListen3", 29, 1 },
33609		{ "MultiListen2", 28, 1 },
33610		{ "MultiListen1", 27, 1 },
33611		{ "MultiListen0", 26, 1 },
33612		{ "Priority3", 23, 3 },
33613		{ "Priority2", 20, 3 },
33614		{ "Priority1", 17, 3 },
33615		{ "Priority0", 14, 3 },
33616		{ "Valid", 13, 1 },
33617		{ "Replicate", 12, 1 },
33618		{ "PF", 9, 3 },
33619		{ "VF_Valid", 8, 1 },
33620		{ "VF", 0, 8 },
33621	{ "MPS_CLS_SRAM_L", 0xec68, 0 },
33622		{ "DisEncapOuterRplct", 31, 1 },
33623		{ "DisEncap", 30, 1 },
33624		{ "MultiListen3", 29, 1 },
33625		{ "MultiListen2", 28, 1 },
33626		{ "MultiListen1", 27, 1 },
33627		{ "MultiListen0", 26, 1 },
33628		{ "Priority3", 23, 3 },
33629		{ "Priority2", 20, 3 },
33630		{ "Priority1", 17, 3 },
33631		{ "Priority0", 14, 3 },
33632		{ "Valid", 13, 1 },
33633		{ "Replicate", 12, 1 },
33634		{ "PF", 9, 3 },
33635		{ "VF_Valid", 8, 1 },
33636		{ "VF", 0, 8 },
33637	{ "MPS_CLS_SRAM_L", 0xec70, 0 },
33638		{ "DisEncapOuterRplct", 31, 1 },
33639		{ "DisEncap", 30, 1 },
33640		{ "MultiListen3", 29, 1 },
33641		{ "MultiListen2", 28, 1 },
33642		{ "MultiListen1", 27, 1 },
33643		{ "MultiListen0", 26, 1 },
33644		{ "Priority3", 23, 3 },
33645		{ "Priority2", 20, 3 },
33646		{ "Priority1", 17, 3 },
33647		{ "Priority0", 14, 3 },
33648		{ "Valid", 13, 1 },
33649		{ "Replicate", 12, 1 },
33650		{ "PF", 9, 3 },
33651		{ "VF_Valid", 8, 1 },
33652		{ "VF", 0, 8 },
33653	{ "MPS_CLS_SRAM_L", 0xec78, 0 },
33654		{ "DisEncapOuterRplct", 31, 1 },
33655		{ "DisEncap", 30, 1 },
33656		{ "MultiListen3", 29, 1 },
33657		{ "MultiListen2", 28, 1 },
33658		{ "MultiListen1", 27, 1 },
33659		{ "MultiListen0", 26, 1 },
33660		{ "Priority3", 23, 3 },
33661		{ "Priority2", 20, 3 },
33662		{ "Priority1", 17, 3 },
33663		{ "Priority0", 14, 3 },
33664		{ "Valid", 13, 1 },
33665		{ "Replicate", 12, 1 },
33666		{ "PF", 9, 3 },
33667		{ "VF_Valid", 8, 1 },
33668		{ "VF", 0, 8 },
33669	{ "MPS_CLS_SRAM_L", 0xec80, 0 },
33670		{ "DisEncapOuterRplct", 31, 1 },
33671		{ "DisEncap", 30, 1 },
33672		{ "MultiListen3", 29, 1 },
33673		{ "MultiListen2", 28, 1 },
33674		{ "MultiListen1", 27, 1 },
33675		{ "MultiListen0", 26, 1 },
33676		{ "Priority3", 23, 3 },
33677		{ "Priority2", 20, 3 },
33678		{ "Priority1", 17, 3 },
33679		{ "Priority0", 14, 3 },
33680		{ "Valid", 13, 1 },
33681		{ "Replicate", 12, 1 },
33682		{ "PF", 9, 3 },
33683		{ "VF_Valid", 8, 1 },
33684		{ "VF", 0, 8 },
33685	{ "MPS_CLS_SRAM_L", 0xec88, 0 },
33686		{ "DisEncapOuterRplct", 31, 1 },
33687		{ "DisEncap", 30, 1 },
33688		{ "MultiListen3", 29, 1 },
33689		{ "MultiListen2", 28, 1 },
33690		{ "MultiListen1", 27, 1 },
33691		{ "MultiListen0", 26, 1 },
33692		{ "Priority3", 23, 3 },
33693		{ "Priority2", 20, 3 },
33694		{ "Priority1", 17, 3 },
33695		{ "Priority0", 14, 3 },
33696		{ "Valid", 13, 1 },
33697		{ "Replicate", 12, 1 },
33698		{ "PF", 9, 3 },
33699		{ "VF_Valid", 8, 1 },
33700		{ "VF", 0, 8 },
33701	{ "MPS_CLS_SRAM_L", 0xec90, 0 },
33702		{ "DisEncapOuterRplct", 31, 1 },
33703		{ "DisEncap", 30, 1 },
33704		{ "MultiListen3", 29, 1 },
33705		{ "MultiListen2", 28, 1 },
33706		{ "MultiListen1", 27, 1 },
33707		{ "MultiListen0", 26, 1 },
33708		{ "Priority3", 23, 3 },
33709		{ "Priority2", 20, 3 },
33710		{ "Priority1", 17, 3 },
33711		{ "Priority0", 14, 3 },
33712		{ "Valid", 13, 1 },
33713		{ "Replicate", 12, 1 },
33714		{ "PF", 9, 3 },
33715		{ "VF_Valid", 8, 1 },
33716		{ "VF", 0, 8 },
33717	{ "MPS_CLS_SRAM_L", 0xec98, 0 },
33718		{ "DisEncapOuterRplct", 31, 1 },
33719		{ "DisEncap", 30, 1 },
33720		{ "MultiListen3", 29, 1 },
33721		{ "MultiListen2", 28, 1 },
33722		{ "MultiListen1", 27, 1 },
33723		{ "MultiListen0", 26, 1 },
33724		{ "Priority3", 23, 3 },
33725		{ "Priority2", 20, 3 },
33726		{ "Priority1", 17, 3 },
33727		{ "Priority0", 14, 3 },
33728		{ "Valid", 13, 1 },
33729		{ "Replicate", 12, 1 },
33730		{ "PF", 9, 3 },
33731		{ "VF_Valid", 8, 1 },
33732		{ "VF", 0, 8 },
33733	{ "MPS_CLS_SRAM_L", 0xeca0, 0 },
33734		{ "DisEncapOuterRplct", 31, 1 },
33735		{ "DisEncap", 30, 1 },
33736		{ "MultiListen3", 29, 1 },
33737		{ "MultiListen2", 28, 1 },
33738		{ "MultiListen1", 27, 1 },
33739		{ "MultiListen0", 26, 1 },
33740		{ "Priority3", 23, 3 },
33741		{ "Priority2", 20, 3 },
33742		{ "Priority1", 17, 3 },
33743		{ "Priority0", 14, 3 },
33744		{ "Valid", 13, 1 },
33745		{ "Replicate", 12, 1 },
33746		{ "PF", 9, 3 },
33747		{ "VF_Valid", 8, 1 },
33748		{ "VF", 0, 8 },
33749	{ "MPS_CLS_SRAM_L", 0xeca8, 0 },
33750		{ "DisEncapOuterRplct", 31, 1 },
33751		{ "DisEncap", 30, 1 },
33752		{ "MultiListen3", 29, 1 },
33753		{ "MultiListen2", 28, 1 },
33754		{ "MultiListen1", 27, 1 },
33755		{ "MultiListen0", 26, 1 },
33756		{ "Priority3", 23, 3 },
33757		{ "Priority2", 20, 3 },
33758		{ "Priority1", 17, 3 },
33759		{ "Priority0", 14, 3 },
33760		{ "Valid", 13, 1 },
33761		{ "Replicate", 12, 1 },
33762		{ "PF", 9, 3 },
33763		{ "VF_Valid", 8, 1 },
33764		{ "VF", 0, 8 },
33765	{ "MPS_CLS_SRAM_L", 0xecb0, 0 },
33766		{ "DisEncapOuterRplct", 31, 1 },
33767		{ "DisEncap", 30, 1 },
33768		{ "MultiListen3", 29, 1 },
33769		{ "MultiListen2", 28, 1 },
33770		{ "MultiListen1", 27, 1 },
33771		{ "MultiListen0", 26, 1 },
33772		{ "Priority3", 23, 3 },
33773		{ "Priority2", 20, 3 },
33774		{ "Priority1", 17, 3 },
33775		{ "Priority0", 14, 3 },
33776		{ "Valid", 13, 1 },
33777		{ "Replicate", 12, 1 },
33778		{ "PF", 9, 3 },
33779		{ "VF_Valid", 8, 1 },
33780		{ "VF", 0, 8 },
33781	{ "MPS_CLS_SRAM_L", 0xecb8, 0 },
33782		{ "DisEncapOuterRplct", 31, 1 },
33783		{ "DisEncap", 30, 1 },
33784		{ "MultiListen3", 29, 1 },
33785		{ "MultiListen2", 28, 1 },
33786		{ "MultiListen1", 27, 1 },
33787		{ "MultiListen0", 26, 1 },
33788		{ "Priority3", 23, 3 },
33789		{ "Priority2", 20, 3 },
33790		{ "Priority1", 17, 3 },
33791		{ "Priority0", 14, 3 },
33792		{ "Valid", 13, 1 },
33793		{ "Replicate", 12, 1 },
33794		{ "PF", 9, 3 },
33795		{ "VF_Valid", 8, 1 },
33796		{ "VF", 0, 8 },
33797	{ "MPS_CLS_SRAM_L", 0xecc0, 0 },
33798		{ "DisEncapOuterRplct", 31, 1 },
33799		{ "DisEncap", 30, 1 },
33800		{ "MultiListen3", 29, 1 },
33801		{ "MultiListen2", 28, 1 },
33802		{ "MultiListen1", 27, 1 },
33803		{ "MultiListen0", 26, 1 },
33804		{ "Priority3", 23, 3 },
33805		{ "Priority2", 20, 3 },
33806		{ "Priority1", 17, 3 },
33807		{ "Priority0", 14, 3 },
33808		{ "Valid", 13, 1 },
33809		{ "Replicate", 12, 1 },
33810		{ "PF", 9, 3 },
33811		{ "VF_Valid", 8, 1 },
33812		{ "VF", 0, 8 },
33813	{ "MPS_CLS_SRAM_L", 0xecc8, 0 },
33814		{ "DisEncapOuterRplct", 31, 1 },
33815		{ "DisEncap", 30, 1 },
33816		{ "MultiListen3", 29, 1 },
33817		{ "MultiListen2", 28, 1 },
33818		{ "MultiListen1", 27, 1 },
33819		{ "MultiListen0", 26, 1 },
33820		{ "Priority3", 23, 3 },
33821		{ "Priority2", 20, 3 },
33822		{ "Priority1", 17, 3 },
33823		{ "Priority0", 14, 3 },
33824		{ "Valid", 13, 1 },
33825		{ "Replicate", 12, 1 },
33826		{ "PF", 9, 3 },
33827		{ "VF_Valid", 8, 1 },
33828		{ "VF", 0, 8 },
33829	{ "MPS_CLS_SRAM_L", 0xecd0, 0 },
33830		{ "DisEncapOuterRplct", 31, 1 },
33831		{ "DisEncap", 30, 1 },
33832		{ "MultiListen3", 29, 1 },
33833		{ "MultiListen2", 28, 1 },
33834		{ "MultiListen1", 27, 1 },
33835		{ "MultiListen0", 26, 1 },
33836		{ "Priority3", 23, 3 },
33837		{ "Priority2", 20, 3 },
33838		{ "Priority1", 17, 3 },
33839		{ "Priority0", 14, 3 },
33840		{ "Valid", 13, 1 },
33841		{ "Replicate", 12, 1 },
33842		{ "PF", 9, 3 },
33843		{ "VF_Valid", 8, 1 },
33844		{ "VF", 0, 8 },
33845	{ "MPS_CLS_SRAM_L", 0xecd8, 0 },
33846		{ "DisEncapOuterRplct", 31, 1 },
33847		{ "DisEncap", 30, 1 },
33848		{ "MultiListen3", 29, 1 },
33849		{ "MultiListen2", 28, 1 },
33850		{ "MultiListen1", 27, 1 },
33851		{ "MultiListen0", 26, 1 },
33852		{ "Priority3", 23, 3 },
33853		{ "Priority2", 20, 3 },
33854		{ "Priority1", 17, 3 },
33855		{ "Priority0", 14, 3 },
33856		{ "Valid", 13, 1 },
33857		{ "Replicate", 12, 1 },
33858		{ "PF", 9, 3 },
33859		{ "VF_Valid", 8, 1 },
33860		{ "VF", 0, 8 },
33861	{ "MPS_CLS_SRAM_L", 0xece0, 0 },
33862		{ "DisEncapOuterRplct", 31, 1 },
33863		{ "DisEncap", 30, 1 },
33864		{ "MultiListen3", 29, 1 },
33865		{ "MultiListen2", 28, 1 },
33866		{ "MultiListen1", 27, 1 },
33867		{ "MultiListen0", 26, 1 },
33868		{ "Priority3", 23, 3 },
33869		{ "Priority2", 20, 3 },
33870		{ "Priority1", 17, 3 },
33871		{ "Priority0", 14, 3 },
33872		{ "Valid", 13, 1 },
33873		{ "Replicate", 12, 1 },
33874		{ "PF", 9, 3 },
33875		{ "VF_Valid", 8, 1 },
33876		{ "VF", 0, 8 },
33877	{ "MPS_CLS_SRAM_L", 0xece8, 0 },
33878		{ "DisEncapOuterRplct", 31, 1 },
33879		{ "DisEncap", 30, 1 },
33880		{ "MultiListen3", 29, 1 },
33881		{ "MultiListen2", 28, 1 },
33882		{ "MultiListen1", 27, 1 },
33883		{ "MultiListen0", 26, 1 },
33884		{ "Priority3", 23, 3 },
33885		{ "Priority2", 20, 3 },
33886		{ "Priority1", 17, 3 },
33887		{ "Priority0", 14, 3 },
33888		{ "Valid", 13, 1 },
33889		{ "Replicate", 12, 1 },
33890		{ "PF", 9, 3 },
33891		{ "VF_Valid", 8, 1 },
33892		{ "VF", 0, 8 },
33893	{ "MPS_CLS_SRAM_L", 0xecf0, 0 },
33894		{ "DisEncapOuterRplct", 31, 1 },
33895		{ "DisEncap", 30, 1 },
33896		{ "MultiListen3", 29, 1 },
33897		{ "MultiListen2", 28, 1 },
33898		{ "MultiListen1", 27, 1 },
33899		{ "MultiListen0", 26, 1 },
33900		{ "Priority3", 23, 3 },
33901		{ "Priority2", 20, 3 },
33902		{ "Priority1", 17, 3 },
33903		{ "Priority0", 14, 3 },
33904		{ "Valid", 13, 1 },
33905		{ "Replicate", 12, 1 },
33906		{ "PF", 9, 3 },
33907		{ "VF_Valid", 8, 1 },
33908		{ "VF", 0, 8 },
33909	{ "MPS_CLS_SRAM_L", 0xecf8, 0 },
33910		{ "DisEncapOuterRplct", 31, 1 },
33911		{ "DisEncap", 30, 1 },
33912		{ "MultiListen3", 29, 1 },
33913		{ "MultiListen2", 28, 1 },
33914		{ "MultiListen1", 27, 1 },
33915		{ "MultiListen0", 26, 1 },
33916		{ "Priority3", 23, 3 },
33917		{ "Priority2", 20, 3 },
33918		{ "Priority1", 17, 3 },
33919		{ "Priority0", 14, 3 },
33920		{ "Valid", 13, 1 },
33921		{ "Replicate", 12, 1 },
33922		{ "PF", 9, 3 },
33923		{ "VF_Valid", 8, 1 },
33924		{ "VF", 0, 8 },
33925	{ "MPS_CLS_SRAM_L", 0xed00, 0 },
33926		{ "DisEncapOuterRplct", 31, 1 },
33927		{ "DisEncap", 30, 1 },
33928		{ "MultiListen3", 29, 1 },
33929		{ "MultiListen2", 28, 1 },
33930		{ "MultiListen1", 27, 1 },
33931		{ "MultiListen0", 26, 1 },
33932		{ "Priority3", 23, 3 },
33933		{ "Priority2", 20, 3 },
33934		{ "Priority1", 17, 3 },
33935		{ "Priority0", 14, 3 },
33936		{ "Valid", 13, 1 },
33937		{ "Replicate", 12, 1 },
33938		{ "PF", 9, 3 },
33939		{ "VF_Valid", 8, 1 },
33940		{ "VF", 0, 8 },
33941	{ "MPS_CLS_SRAM_L", 0xed08, 0 },
33942		{ "DisEncapOuterRplct", 31, 1 },
33943		{ "DisEncap", 30, 1 },
33944		{ "MultiListen3", 29, 1 },
33945		{ "MultiListen2", 28, 1 },
33946		{ "MultiListen1", 27, 1 },
33947		{ "MultiListen0", 26, 1 },
33948		{ "Priority3", 23, 3 },
33949		{ "Priority2", 20, 3 },
33950		{ "Priority1", 17, 3 },
33951		{ "Priority0", 14, 3 },
33952		{ "Valid", 13, 1 },
33953		{ "Replicate", 12, 1 },
33954		{ "PF", 9, 3 },
33955		{ "VF_Valid", 8, 1 },
33956		{ "VF", 0, 8 },
33957	{ "MPS_CLS_SRAM_L", 0xed10, 0 },
33958		{ "DisEncapOuterRplct", 31, 1 },
33959		{ "DisEncap", 30, 1 },
33960		{ "MultiListen3", 29, 1 },
33961		{ "MultiListen2", 28, 1 },
33962		{ "MultiListen1", 27, 1 },
33963		{ "MultiListen0", 26, 1 },
33964		{ "Priority3", 23, 3 },
33965		{ "Priority2", 20, 3 },
33966		{ "Priority1", 17, 3 },
33967		{ "Priority0", 14, 3 },
33968		{ "Valid", 13, 1 },
33969		{ "Replicate", 12, 1 },
33970		{ "PF", 9, 3 },
33971		{ "VF_Valid", 8, 1 },
33972		{ "VF", 0, 8 },
33973	{ "MPS_CLS_SRAM_L", 0xed18, 0 },
33974		{ "DisEncapOuterRplct", 31, 1 },
33975		{ "DisEncap", 30, 1 },
33976		{ "MultiListen3", 29, 1 },
33977		{ "MultiListen2", 28, 1 },
33978		{ "MultiListen1", 27, 1 },
33979		{ "MultiListen0", 26, 1 },
33980		{ "Priority3", 23, 3 },
33981		{ "Priority2", 20, 3 },
33982		{ "Priority1", 17, 3 },
33983		{ "Priority0", 14, 3 },
33984		{ "Valid", 13, 1 },
33985		{ "Replicate", 12, 1 },
33986		{ "PF", 9, 3 },
33987		{ "VF_Valid", 8, 1 },
33988		{ "VF", 0, 8 },
33989	{ "MPS_CLS_SRAM_L", 0xed20, 0 },
33990		{ "DisEncapOuterRplct", 31, 1 },
33991		{ "DisEncap", 30, 1 },
33992		{ "MultiListen3", 29, 1 },
33993		{ "MultiListen2", 28, 1 },
33994		{ "MultiListen1", 27, 1 },
33995		{ "MultiListen0", 26, 1 },
33996		{ "Priority3", 23, 3 },
33997		{ "Priority2", 20, 3 },
33998		{ "Priority1", 17, 3 },
33999		{ "Priority0", 14, 3 },
34000		{ "Valid", 13, 1 },
34001		{ "Replicate", 12, 1 },
34002		{ "PF", 9, 3 },
34003		{ "VF_Valid", 8, 1 },
34004		{ "VF", 0, 8 },
34005	{ "MPS_CLS_SRAM_L", 0xed28, 0 },
34006		{ "DisEncapOuterRplct", 31, 1 },
34007		{ "DisEncap", 30, 1 },
34008		{ "MultiListen3", 29, 1 },
34009		{ "MultiListen2", 28, 1 },
34010		{ "MultiListen1", 27, 1 },
34011		{ "MultiListen0", 26, 1 },
34012		{ "Priority3", 23, 3 },
34013		{ "Priority2", 20, 3 },
34014		{ "Priority1", 17, 3 },
34015		{ "Priority0", 14, 3 },
34016		{ "Valid", 13, 1 },
34017		{ "Replicate", 12, 1 },
34018		{ "PF", 9, 3 },
34019		{ "VF_Valid", 8, 1 },
34020		{ "VF", 0, 8 },
34021	{ "MPS_CLS_SRAM_L", 0xed30, 0 },
34022		{ "DisEncapOuterRplct", 31, 1 },
34023		{ "DisEncap", 30, 1 },
34024		{ "MultiListen3", 29, 1 },
34025		{ "MultiListen2", 28, 1 },
34026		{ "MultiListen1", 27, 1 },
34027		{ "MultiListen0", 26, 1 },
34028		{ "Priority3", 23, 3 },
34029		{ "Priority2", 20, 3 },
34030		{ "Priority1", 17, 3 },
34031		{ "Priority0", 14, 3 },
34032		{ "Valid", 13, 1 },
34033		{ "Replicate", 12, 1 },
34034		{ "PF", 9, 3 },
34035		{ "VF_Valid", 8, 1 },
34036		{ "VF", 0, 8 },
34037	{ "MPS_CLS_SRAM_L", 0xed38, 0 },
34038		{ "DisEncapOuterRplct", 31, 1 },
34039		{ "DisEncap", 30, 1 },
34040		{ "MultiListen3", 29, 1 },
34041		{ "MultiListen2", 28, 1 },
34042		{ "MultiListen1", 27, 1 },
34043		{ "MultiListen0", 26, 1 },
34044		{ "Priority3", 23, 3 },
34045		{ "Priority2", 20, 3 },
34046		{ "Priority1", 17, 3 },
34047		{ "Priority0", 14, 3 },
34048		{ "Valid", 13, 1 },
34049		{ "Replicate", 12, 1 },
34050		{ "PF", 9, 3 },
34051		{ "VF_Valid", 8, 1 },
34052		{ "VF", 0, 8 },
34053	{ "MPS_CLS_SRAM_L", 0xed40, 0 },
34054		{ "DisEncapOuterRplct", 31, 1 },
34055		{ "DisEncap", 30, 1 },
34056		{ "MultiListen3", 29, 1 },
34057		{ "MultiListen2", 28, 1 },
34058		{ "MultiListen1", 27, 1 },
34059		{ "MultiListen0", 26, 1 },
34060		{ "Priority3", 23, 3 },
34061		{ "Priority2", 20, 3 },
34062		{ "Priority1", 17, 3 },
34063		{ "Priority0", 14, 3 },
34064		{ "Valid", 13, 1 },
34065		{ "Replicate", 12, 1 },
34066		{ "PF", 9, 3 },
34067		{ "VF_Valid", 8, 1 },
34068		{ "VF", 0, 8 },
34069	{ "MPS_CLS_SRAM_L", 0xed48, 0 },
34070		{ "DisEncapOuterRplct", 31, 1 },
34071		{ "DisEncap", 30, 1 },
34072		{ "MultiListen3", 29, 1 },
34073		{ "MultiListen2", 28, 1 },
34074		{ "MultiListen1", 27, 1 },
34075		{ "MultiListen0", 26, 1 },
34076		{ "Priority3", 23, 3 },
34077		{ "Priority2", 20, 3 },
34078		{ "Priority1", 17, 3 },
34079		{ "Priority0", 14, 3 },
34080		{ "Valid", 13, 1 },
34081		{ "Replicate", 12, 1 },
34082		{ "PF", 9, 3 },
34083		{ "VF_Valid", 8, 1 },
34084		{ "VF", 0, 8 },
34085	{ "MPS_CLS_SRAM_L", 0xed50, 0 },
34086		{ "DisEncapOuterRplct", 31, 1 },
34087		{ "DisEncap", 30, 1 },
34088		{ "MultiListen3", 29, 1 },
34089		{ "MultiListen2", 28, 1 },
34090		{ "MultiListen1", 27, 1 },
34091		{ "MultiListen0", 26, 1 },
34092		{ "Priority3", 23, 3 },
34093		{ "Priority2", 20, 3 },
34094		{ "Priority1", 17, 3 },
34095		{ "Priority0", 14, 3 },
34096		{ "Valid", 13, 1 },
34097		{ "Replicate", 12, 1 },
34098		{ "PF", 9, 3 },
34099		{ "VF_Valid", 8, 1 },
34100		{ "VF", 0, 8 },
34101	{ "MPS_CLS_SRAM_L", 0xed58, 0 },
34102		{ "DisEncapOuterRplct", 31, 1 },
34103		{ "DisEncap", 30, 1 },
34104		{ "MultiListen3", 29, 1 },
34105		{ "MultiListen2", 28, 1 },
34106		{ "MultiListen1", 27, 1 },
34107		{ "MultiListen0", 26, 1 },
34108		{ "Priority3", 23, 3 },
34109		{ "Priority2", 20, 3 },
34110		{ "Priority1", 17, 3 },
34111		{ "Priority0", 14, 3 },
34112		{ "Valid", 13, 1 },
34113		{ "Replicate", 12, 1 },
34114		{ "PF", 9, 3 },
34115		{ "VF_Valid", 8, 1 },
34116		{ "VF", 0, 8 },
34117	{ "MPS_CLS_SRAM_L", 0xed60, 0 },
34118		{ "DisEncapOuterRplct", 31, 1 },
34119		{ "DisEncap", 30, 1 },
34120		{ "MultiListen3", 29, 1 },
34121		{ "MultiListen2", 28, 1 },
34122		{ "MultiListen1", 27, 1 },
34123		{ "MultiListen0", 26, 1 },
34124		{ "Priority3", 23, 3 },
34125		{ "Priority2", 20, 3 },
34126		{ "Priority1", 17, 3 },
34127		{ "Priority0", 14, 3 },
34128		{ "Valid", 13, 1 },
34129		{ "Replicate", 12, 1 },
34130		{ "PF", 9, 3 },
34131		{ "VF_Valid", 8, 1 },
34132		{ "VF", 0, 8 },
34133	{ "MPS_CLS_SRAM_L", 0xed68, 0 },
34134		{ "DisEncapOuterRplct", 31, 1 },
34135		{ "DisEncap", 30, 1 },
34136		{ "MultiListen3", 29, 1 },
34137		{ "MultiListen2", 28, 1 },
34138		{ "MultiListen1", 27, 1 },
34139		{ "MultiListen0", 26, 1 },
34140		{ "Priority3", 23, 3 },
34141		{ "Priority2", 20, 3 },
34142		{ "Priority1", 17, 3 },
34143		{ "Priority0", 14, 3 },
34144		{ "Valid", 13, 1 },
34145		{ "Replicate", 12, 1 },
34146		{ "PF", 9, 3 },
34147		{ "VF_Valid", 8, 1 },
34148		{ "VF", 0, 8 },
34149	{ "MPS_CLS_SRAM_L", 0xed70, 0 },
34150		{ "DisEncapOuterRplct", 31, 1 },
34151		{ "DisEncap", 30, 1 },
34152		{ "MultiListen3", 29, 1 },
34153		{ "MultiListen2", 28, 1 },
34154		{ "MultiListen1", 27, 1 },
34155		{ "MultiListen0", 26, 1 },
34156		{ "Priority3", 23, 3 },
34157		{ "Priority2", 20, 3 },
34158		{ "Priority1", 17, 3 },
34159		{ "Priority0", 14, 3 },
34160		{ "Valid", 13, 1 },
34161		{ "Replicate", 12, 1 },
34162		{ "PF", 9, 3 },
34163		{ "VF_Valid", 8, 1 },
34164		{ "VF", 0, 8 },
34165	{ "MPS_CLS_SRAM_L", 0xed78, 0 },
34166		{ "DisEncapOuterRplct", 31, 1 },
34167		{ "DisEncap", 30, 1 },
34168		{ "MultiListen3", 29, 1 },
34169		{ "MultiListen2", 28, 1 },
34170		{ "MultiListen1", 27, 1 },
34171		{ "MultiListen0", 26, 1 },
34172		{ "Priority3", 23, 3 },
34173		{ "Priority2", 20, 3 },
34174		{ "Priority1", 17, 3 },
34175		{ "Priority0", 14, 3 },
34176		{ "Valid", 13, 1 },
34177		{ "Replicate", 12, 1 },
34178		{ "PF", 9, 3 },
34179		{ "VF_Valid", 8, 1 },
34180		{ "VF", 0, 8 },
34181	{ "MPS_CLS_SRAM_L", 0xed80, 0 },
34182		{ "DisEncapOuterRplct", 31, 1 },
34183		{ "DisEncap", 30, 1 },
34184		{ "MultiListen3", 29, 1 },
34185		{ "MultiListen2", 28, 1 },
34186		{ "MultiListen1", 27, 1 },
34187		{ "MultiListen0", 26, 1 },
34188		{ "Priority3", 23, 3 },
34189		{ "Priority2", 20, 3 },
34190		{ "Priority1", 17, 3 },
34191		{ "Priority0", 14, 3 },
34192		{ "Valid", 13, 1 },
34193		{ "Replicate", 12, 1 },
34194		{ "PF", 9, 3 },
34195		{ "VF_Valid", 8, 1 },
34196		{ "VF", 0, 8 },
34197	{ "MPS_CLS_SRAM_L", 0xed88, 0 },
34198		{ "DisEncapOuterRplct", 31, 1 },
34199		{ "DisEncap", 30, 1 },
34200		{ "MultiListen3", 29, 1 },
34201		{ "MultiListen2", 28, 1 },
34202		{ "MultiListen1", 27, 1 },
34203		{ "MultiListen0", 26, 1 },
34204		{ "Priority3", 23, 3 },
34205		{ "Priority2", 20, 3 },
34206		{ "Priority1", 17, 3 },
34207		{ "Priority0", 14, 3 },
34208		{ "Valid", 13, 1 },
34209		{ "Replicate", 12, 1 },
34210		{ "PF", 9, 3 },
34211		{ "VF_Valid", 8, 1 },
34212		{ "VF", 0, 8 },
34213	{ "MPS_CLS_SRAM_L", 0xed90, 0 },
34214		{ "DisEncapOuterRplct", 31, 1 },
34215		{ "DisEncap", 30, 1 },
34216		{ "MultiListen3", 29, 1 },
34217		{ "MultiListen2", 28, 1 },
34218		{ "MultiListen1", 27, 1 },
34219		{ "MultiListen0", 26, 1 },
34220		{ "Priority3", 23, 3 },
34221		{ "Priority2", 20, 3 },
34222		{ "Priority1", 17, 3 },
34223		{ "Priority0", 14, 3 },
34224		{ "Valid", 13, 1 },
34225		{ "Replicate", 12, 1 },
34226		{ "PF", 9, 3 },
34227		{ "VF_Valid", 8, 1 },
34228		{ "VF", 0, 8 },
34229	{ "MPS_CLS_SRAM_L", 0xed98, 0 },
34230		{ "DisEncapOuterRplct", 31, 1 },
34231		{ "DisEncap", 30, 1 },
34232		{ "MultiListen3", 29, 1 },
34233		{ "MultiListen2", 28, 1 },
34234		{ "MultiListen1", 27, 1 },
34235		{ "MultiListen0", 26, 1 },
34236		{ "Priority3", 23, 3 },
34237		{ "Priority2", 20, 3 },
34238		{ "Priority1", 17, 3 },
34239		{ "Priority0", 14, 3 },
34240		{ "Valid", 13, 1 },
34241		{ "Replicate", 12, 1 },
34242		{ "PF", 9, 3 },
34243		{ "VF_Valid", 8, 1 },
34244		{ "VF", 0, 8 },
34245	{ "MPS_CLS_SRAM_L", 0xeda0, 0 },
34246		{ "DisEncapOuterRplct", 31, 1 },
34247		{ "DisEncap", 30, 1 },
34248		{ "MultiListen3", 29, 1 },
34249		{ "MultiListen2", 28, 1 },
34250		{ "MultiListen1", 27, 1 },
34251		{ "MultiListen0", 26, 1 },
34252		{ "Priority3", 23, 3 },
34253		{ "Priority2", 20, 3 },
34254		{ "Priority1", 17, 3 },
34255		{ "Priority0", 14, 3 },
34256		{ "Valid", 13, 1 },
34257		{ "Replicate", 12, 1 },
34258		{ "PF", 9, 3 },
34259		{ "VF_Valid", 8, 1 },
34260		{ "VF", 0, 8 },
34261	{ "MPS_CLS_SRAM_L", 0xeda8, 0 },
34262		{ "DisEncapOuterRplct", 31, 1 },
34263		{ "DisEncap", 30, 1 },
34264		{ "MultiListen3", 29, 1 },
34265		{ "MultiListen2", 28, 1 },
34266		{ "MultiListen1", 27, 1 },
34267		{ "MultiListen0", 26, 1 },
34268		{ "Priority3", 23, 3 },
34269		{ "Priority2", 20, 3 },
34270		{ "Priority1", 17, 3 },
34271		{ "Priority0", 14, 3 },
34272		{ "Valid", 13, 1 },
34273		{ "Replicate", 12, 1 },
34274		{ "PF", 9, 3 },
34275		{ "VF_Valid", 8, 1 },
34276		{ "VF", 0, 8 },
34277	{ "MPS_CLS_SRAM_L", 0xedb0, 0 },
34278		{ "DisEncapOuterRplct", 31, 1 },
34279		{ "DisEncap", 30, 1 },
34280		{ "MultiListen3", 29, 1 },
34281		{ "MultiListen2", 28, 1 },
34282		{ "MultiListen1", 27, 1 },
34283		{ "MultiListen0", 26, 1 },
34284		{ "Priority3", 23, 3 },
34285		{ "Priority2", 20, 3 },
34286		{ "Priority1", 17, 3 },
34287		{ "Priority0", 14, 3 },
34288		{ "Valid", 13, 1 },
34289		{ "Replicate", 12, 1 },
34290		{ "PF", 9, 3 },
34291		{ "VF_Valid", 8, 1 },
34292		{ "VF", 0, 8 },
34293	{ "MPS_CLS_SRAM_L", 0xedb8, 0 },
34294		{ "DisEncapOuterRplct", 31, 1 },
34295		{ "DisEncap", 30, 1 },
34296		{ "MultiListen3", 29, 1 },
34297		{ "MultiListen2", 28, 1 },
34298		{ "MultiListen1", 27, 1 },
34299		{ "MultiListen0", 26, 1 },
34300		{ "Priority3", 23, 3 },
34301		{ "Priority2", 20, 3 },
34302		{ "Priority1", 17, 3 },
34303		{ "Priority0", 14, 3 },
34304		{ "Valid", 13, 1 },
34305		{ "Replicate", 12, 1 },
34306		{ "PF", 9, 3 },
34307		{ "VF_Valid", 8, 1 },
34308		{ "VF", 0, 8 },
34309	{ "MPS_CLS_SRAM_L", 0xedc0, 0 },
34310		{ "DisEncapOuterRplct", 31, 1 },
34311		{ "DisEncap", 30, 1 },
34312		{ "MultiListen3", 29, 1 },
34313		{ "MultiListen2", 28, 1 },
34314		{ "MultiListen1", 27, 1 },
34315		{ "MultiListen0", 26, 1 },
34316		{ "Priority3", 23, 3 },
34317		{ "Priority2", 20, 3 },
34318		{ "Priority1", 17, 3 },
34319		{ "Priority0", 14, 3 },
34320		{ "Valid", 13, 1 },
34321		{ "Replicate", 12, 1 },
34322		{ "PF", 9, 3 },
34323		{ "VF_Valid", 8, 1 },
34324		{ "VF", 0, 8 },
34325	{ "MPS_CLS_SRAM_L", 0xedc8, 0 },
34326		{ "DisEncapOuterRplct", 31, 1 },
34327		{ "DisEncap", 30, 1 },
34328		{ "MultiListen3", 29, 1 },
34329		{ "MultiListen2", 28, 1 },
34330		{ "MultiListen1", 27, 1 },
34331		{ "MultiListen0", 26, 1 },
34332		{ "Priority3", 23, 3 },
34333		{ "Priority2", 20, 3 },
34334		{ "Priority1", 17, 3 },
34335		{ "Priority0", 14, 3 },
34336		{ "Valid", 13, 1 },
34337		{ "Replicate", 12, 1 },
34338		{ "PF", 9, 3 },
34339		{ "VF_Valid", 8, 1 },
34340		{ "VF", 0, 8 },
34341	{ "MPS_CLS_SRAM_L", 0xedd0, 0 },
34342		{ "DisEncapOuterRplct", 31, 1 },
34343		{ "DisEncap", 30, 1 },
34344		{ "MultiListen3", 29, 1 },
34345		{ "MultiListen2", 28, 1 },
34346		{ "MultiListen1", 27, 1 },
34347		{ "MultiListen0", 26, 1 },
34348		{ "Priority3", 23, 3 },
34349		{ "Priority2", 20, 3 },
34350		{ "Priority1", 17, 3 },
34351		{ "Priority0", 14, 3 },
34352		{ "Valid", 13, 1 },
34353		{ "Replicate", 12, 1 },
34354		{ "PF", 9, 3 },
34355		{ "VF_Valid", 8, 1 },
34356		{ "VF", 0, 8 },
34357	{ "MPS_CLS_SRAM_L", 0xedd8, 0 },
34358		{ "DisEncapOuterRplct", 31, 1 },
34359		{ "DisEncap", 30, 1 },
34360		{ "MultiListen3", 29, 1 },
34361		{ "MultiListen2", 28, 1 },
34362		{ "MultiListen1", 27, 1 },
34363		{ "MultiListen0", 26, 1 },
34364		{ "Priority3", 23, 3 },
34365		{ "Priority2", 20, 3 },
34366		{ "Priority1", 17, 3 },
34367		{ "Priority0", 14, 3 },
34368		{ "Valid", 13, 1 },
34369		{ "Replicate", 12, 1 },
34370		{ "PF", 9, 3 },
34371		{ "VF_Valid", 8, 1 },
34372		{ "VF", 0, 8 },
34373	{ "MPS_CLS_SRAM_L", 0xede0, 0 },
34374		{ "DisEncapOuterRplct", 31, 1 },
34375		{ "DisEncap", 30, 1 },
34376		{ "MultiListen3", 29, 1 },
34377		{ "MultiListen2", 28, 1 },
34378		{ "MultiListen1", 27, 1 },
34379		{ "MultiListen0", 26, 1 },
34380		{ "Priority3", 23, 3 },
34381		{ "Priority2", 20, 3 },
34382		{ "Priority1", 17, 3 },
34383		{ "Priority0", 14, 3 },
34384		{ "Valid", 13, 1 },
34385		{ "Replicate", 12, 1 },
34386		{ "PF", 9, 3 },
34387		{ "VF_Valid", 8, 1 },
34388		{ "VF", 0, 8 },
34389	{ "MPS_CLS_SRAM_L", 0xede8, 0 },
34390		{ "DisEncapOuterRplct", 31, 1 },
34391		{ "DisEncap", 30, 1 },
34392		{ "MultiListen3", 29, 1 },
34393		{ "MultiListen2", 28, 1 },
34394		{ "MultiListen1", 27, 1 },
34395		{ "MultiListen0", 26, 1 },
34396		{ "Priority3", 23, 3 },
34397		{ "Priority2", 20, 3 },
34398		{ "Priority1", 17, 3 },
34399		{ "Priority0", 14, 3 },
34400		{ "Valid", 13, 1 },
34401		{ "Replicate", 12, 1 },
34402		{ "PF", 9, 3 },
34403		{ "VF_Valid", 8, 1 },
34404		{ "VF", 0, 8 },
34405	{ "MPS_CLS_SRAM_L", 0xedf0, 0 },
34406		{ "DisEncapOuterRplct", 31, 1 },
34407		{ "DisEncap", 30, 1 },
34408		{ "MultiListen3", 29, 1 },
34409		{ "MultiListen2", 28, 1 },
34410		{ "MultiListen1", 27, 1 },
34411		{ "MultiListen0", 26, 1 },
34412		{ "Priority3", 23, 3 },
34413		{ "Priority2", 20, 3 },
34414		{ "Priority1", 17, 3 },
34415		{ "Priority0", 14, 3 },
34416		{ "Valid", 13, 1 },
34417		{ "Replicate", 12, 1 },
34418		{ "PF", 9, 3 },
34419		{ "VF_Valid", 8, 1 },
34420		{ "VF", 0, 8 },
34421	{ "MPS_CLS_SRAM_L", 0xedf8, 0 },
34422		{ "DisEncapOuterRplct", 31, 1 },
34423		{ "DisEncap", 30, 1 },
34424		{ "MultiListen3", 29, 1 },
34425		{ "MultiListen2", 28, 1 },
34426		{ "MultiListen1", 27, 1 },
34427		{ "MultiListen0", 26, 1 },
34428		{ "Priority3", 23, 3 },
34429		{ "Priority2", 20, 3 },
34430		{ "Priority1", 17, 3 },
34431		{ "Priority0", 14, 3 },
34432		{ "Valid", 13, 1 },
34433		{ "Replicate", 12, 1 },
34434		{ "PF", 9, 3 },
34435		{ "VF_Valid", 8, 1 },
34436		{ "VF", 0, 8 },
34437	{ "MPS_CLS_SRAM_L", 0xee00, 0 },
34438		{ "DisEncapOuterRplct", 31, 1 },
34439		{ "DisEncap", 30, 1 },
34440		{ "MultiListen3", 29, 1 },
34441		{ "MultiListen2", 28, 1 },
34442		{ "MultiListen1", 27, 1 },
34443		{ "MultiListen0", 26, 1 },
34444		{ "Priority3", 23, 3 },
34445		{ "Priority2", 20, 3 },
34446		{ "Priority1", 17, 3 },
34447		{ "Priority0", 14, 3 },
34448		{ "Valid", 13, 1 },
34449		{ "Replicate", 12, 1 },
34450		{ "PF", 9, 3 },
34451		{ "VF_Valid", 8, 1 },
34452		{ "VF", 0, 8 },
34453	{ "MPS_CLS_SRAM_L", 0xee08, 0 },
34454		{ "DisEncapOuterRplct", 31, 1 },
34455		{ "DisEncap", 30, 1 },
34456		{ "MultiListen3", 29, 1 },
34457		{ "MultiListen2", 28, 1 },
34458		{ "MultiListen1", 27, 1 },
34459		{ "MultiListen0", 26, 1 },
34460		{ "Priority3", 23, 3 },
34461		{ "Priority2", 20, 3 },
34462		{ "Priority1", 17, 3 },
34463		{ "Priority0", 14, 3 },
34464		{ "Valid", 13, 1 },
34465		{ "Replicate", 12, 1 },
34466		{ "PF", 9, 3 },
34467		{ "VF_Valid", 8, 1 },
34468		{ "VF", 0, 8 },
34469	{ "MPS_CLS_SRAM_L", 0xee10, 0 },
34470		{ "DisEncapOuterRplct", 31, 1 },
34471		{ "DisEncap", 30, 1 },
34472		{ "MultiListen3", 29, 1 },
34473		{ "MultiListen2", 28, 1 },
34474		{ "MultiListen1", 27, 1 },
34475		{ "MultiListen0", 26, 1 },
34476		{ "Priority3", 23, 3 },
34477		{ "Priority2", 20, 3 },
34478		{ "Priority1", 17, 3 },
34479		{ "Priority0", 14, 3 },
34480		{ "Valid", 13, 1 },
34481		{ "Replicate", 12, 1 },
34482		{ "PF", 9, 3 },
34483		{ "VF_Valid", 8, 1 },
34484		{ "VF", 0, 8 },
34485	{ "MPS_CLS_SRAM_L", 0xee18, 0 },
34486		{ "DisEncapOuterRplct", 31, 1 },
34487		{ "DisEncap", 30, 1 },
34488		{ "MultiListen3", 29, 1 },
34489		{ "MultiListen2", 28, 1 },
34490		{ "MultiListen1", 27, 1 },
34491		{ "MultiListen0", 26, 1 },
34492		{ "Priority3", 23, 3 },
34493		{ "Priority2", 20, 3 },
34494		{ "Priority1", 17, 3 },
34495		{ "Priority0", 14, 3 },
34496		{ "Valid", 13, 1 },
34497		{ "Replicate", 12, 1 },
34498		{ "PF", 9, 3 },
34499		{ "VF_Valid", 8, 1 },
34500		{ "VF", 0, 8 },
34501	{ "MPS_CLS_SRAM_L", 0xee20, 0 },
34502		{ "DisEncapOuterRplct", 31, 1 },
34503		{ "DisEncap", 30, 1 },
34504		{ "MultiListen3", 29, 1 },
34505		{ "MultiListen2", 28, 1 },
34506		{ "MultiListen1", 27, 1 },
34507		{ "MultiListen0", 26, 1 },
34508		{ "Priority3", 23, 3 },
34509		{ "Priority2", 20, 3 },
34510		{ "Priority1", 17, 3 },
34511		{ "Priority0", 14, 3 },
34512		{ "Valid", 13, 1 },
34513		{ "Replicate", 12, 1 },
34514		{ "PF", 9, 3 },
34515		{ "VF_Valid", 8, 1 },
34516		{ "VF", 0, 8 },
34517	{ "MPS_CLS_SRAM_L", 0xee28, 0 },
34518		{ "DisEncapOuterRplct", 31, 1 },
34519		{ "DisEncap", 30, 1 },
34520		{ "MultiListen3", 29, 1 },
34521		{ "MultiListen2", 28, 1 },
34522		{ "MultiListen1", 27, 1 },
34523		{ "MultiListen0", 26, 1 },
34524		{ "Priority3", 23, 3 },
34525		{ "Priority2", 20, 3 },
34526		{ "Priority1", 17, 3 },
34527		{ "Priority0", 14, 3 },
34528		{ "Valid", 13, 1 },
34529		{ "Replicate", 12, 1 },
34530		{ "PF", 9, 3 },
34531		{ "VF_Valid", 8, 1 },
34532		{ "VF", 0, 8 },
34533	{ "MPS_CLS_SRAM_L", 0xee30, 0 },
34534		{ "DisEncapOuterRplct", 31, 1 },
34535		{ "DisEncap", 30, 1 },
34536		{ "MultiListen3", 29, 1 },
34537		{ "MultiListen2", 28, 1 },
34538		{ "MultiListen1", 27, 1 },
34539		{ "MultiListen0", 26, 1 },
34540		{ "Priority3", 23, 3 },
34541		{ "Priority2", 20, 3 },
34542		{ "Priority1", 17, 3 },
34543		{ "Priority0", 14, 3 },
34544		{ "Valid", 13, 1 },
34545		{ "Replicate", 12, 1 },
34546		{ "PF", 9, 3 },
34547		{ "VF_Valid", 8, 1 },
34548		{ "VF", 0, 8 },
34549	{ "MPS_CLS_SRAM_L", 0xee38, 0 },
34550		{ "DisEncapOuterRplct", 31, 1 },
34551		{ "DisEncap", 30, 1 },
34552		{ "MultiListen3", 29, 1 },
34553		{ "MultiListen2", 28, 1 },
34554		{ "MultiListen1", 27, 1 },
34555		{ "MultiListen0", 26, 1 },
34556		{ "Priority3", 23, 3 },
34557		{ "Priority2", 20, 3 },
34558		{ "Priority1", 17, 3 },
34559		{ "Priority0", 14, 3 },
34560		{ "Valid", 13, 1 },
34561		{ "Replicate", 12, 1 },
34562		{ "PF", 9, 3 },
34563		{ "VF_Valid", 8, 1 },
34564		{ "VF", 0, 8 },
34565	{ "MPS_CLS_SRAM_L", 0xee40, 0 },
34566		{ "DisEncapOuterRplct", 31, 1 },
34567		{ "DisEncap", 30, 1 },
34568		{ "MultiListen3", 29, 1 },
34569		{ "MultiListen2", 28, 1 },
34570		{ "MultiListen1", 27, 1 },
34571		{ "MultiListen0", 26, 1 },
34572		{ "Priority3", 23, 3 },
34573		{ "Priority2", 20, 3 },
34574		{ "Priority1", 17, 3 },
34575		{ "Priority0", 14, 3 },
34576		{ "Valid", 13, 1 },
34577		{ "Replicate", 12, 1 },
34578		{ "PF", 9, 3 },
34579		{ "VF_Valid", 8, 1 },
34580		{ "VF", 0, 8 },
34581	{ "MPS_CLS_SRAM_L", 0xee48, 0 },
34582		{ "DisEncapOuterRplct", 31, 1 },
34583		{ "DisEncap", 30, 1 },
34584		{ "MultiListen3", 29, 1 },
34585		{ "MultiListen2", 28, 1 },
34586		{ "MultiListen1", 27, 1 },
34587		{ "MultiListen0", 26, 1 },
34588		{ "Priority3", 23, 3 },
34589		{ "Priority2", 20, 3 },
34590		{ "Priority1", 17, 3 },
34591		{ "Priority0", 14, 3 },
34592		{ "Valid", 13, 1 },
34593		{ "Replicate", 12, 1 },
34594		{ "PF", 9, 3 },
34595		{ "VF_Valid", 8, 1 },
34596		{ "VF", 0, 8 },
34597	{ "MPS_CLS_SRAM_L", 0xee50, 0 },
34598		{ "DisEncapOuterRplct", 31, 1 },
34599		{ "DisEncap", 30, 1 },
34600		{ "MultiListen3", 29, 1 },
34601		{ "MultiListen2", 28, 1 },
34602		{ "MultiListen1", 27, 1 },
34603		{ "MultiListen0", 26, 1 },
34604		{ "Priority3", 23, 3 },
34605		{ "Priority2", 20, 3 },
34606		{ "Priority1", 17, 3 },
34607		{ "Priority0", 14, 3 },
34608		{ "Valid", 13, 1 },
34609		{ "Replicate", 12, 1 },
34610		{ "PF", 9, 3 },
34611		{ "VF_Valid", 8, 1 },
34612		{ "VF", 0, 8 },
34613	{ "MPS_CLS_SRAM_L", 0xee58, 0 },
34614		{ "DisEncapOuterRplct", 31, 1 },
34615		{ "DisEncap", 30, 1 },
34616		{ "MultiListen3", 29, 1 },
34617		{ "MultiListen2", 28, 1 },
34618		{ "MultiListen1", 27, 1 },
34619		{ "MultiListen0", 26, 1 },
34620		{ "Priority3", 23, 3 },
34621		{ "Priority2", 20, 3 },
34622		{ "Priority1", 17, 3 },
34623		{ "Priority0", 14, 3 },
34624		{ "Valid", 13, 1 },
34625		{ "Replicate", 12, 1 },
34626		{ "PF", 9, 3 },
34627		{ "VF_Valid", 8, 1 },
34628		{ "VF", 0, 8 },
34629	{ "MPS_CLS_SRAM_L", 0xee60, 0 },
34630		{ "DisEncapOuterRplct", 31, 1 },
34631		{ "DisEncap", 30, 1 },
34632		{ "MultiListen3", 29, 1 },
34633		{ "MultiListen2", 28, 1 },
34634		{ "MultiListen1", 27, 1 },
34635		{ "MultiListen0", 26, 1 },
34636		{ "Priority3", 23, 3 },
34637		{ "Priority2", 20, 3 },
34638		{ "Priority1", 17, 3 },
34639		{ "Priority0", 14, 3 },
34640		{ "Valid", 13, 1 },
34641		{ "Replicate", 12, 1 },
34642		{ "PF", 9, 3 },
34643		{ "VF_Valid", 8, 1 },
34644		{ "VF", 0, 8 },
34645	{ "MPS_CLS_SRAM_L", 0xee68, 0 },
34646		{ "DisEncapOuterRplct", 31, 1 },
34647		{ "DisEncap", 30, 1 },
34648		{ "MultiListen3", 29, 1 },
34649		{ "MultiListen2", 28, 1 },
34650		{ "MultiListen1", 27, 1 },
34651		{ "MultiListen0", 26, 1 },
34652		{ "Priority3", 23, 3 },
34653		{ "Priority2", 20, 3 },
34654		{ "Priority1", 17, 3 },
34655		{ "Priority0", 14, 3 },
34656		{ "Valid", 13, 1 },
34657		{ "Replicate", 12, 1 },
34658		{ "PF", 9, 3 },
34659		{ "VF_Valid", 8, 1 },
34660		{ "VF", 0, 8 },
34661	{ "MPS_CLS_SRAM_L", 0xee70, 0 },
34662		{ "DisEncapOuterRplct", 31, 1 },
34663		{ "DisEncap", 30, 1 },
34664		{ "MultiListen3", 29, 1 },
34665		{ "MultiListen2", 28, 1 },
34666		{ "MultiListen1", 27, 1 },
34667		{ "MultiListen0", 26, 1 },
34668		{ "Priority3", 23, 3 },
34669		{ "Priority2", 20, 3 },
34670		{ "Priority1", 17, 3 },
34671		{ "Priority0", 14, 3 },
34672		{ "Valid", 13, 1 },
34673		{ "Replicate", 12, 1 },
34674		{ "PF", 9, 3 },
34675		{ "VF_Valid", 8, 1 },
34676		{ "VF", 0, 8 },
34677	{ "MPS_CLS_SRAM_L", 0xee78, 0 },
34678		{ "DisEncapOuterRplct", 31, 1 },
34679		{ "DisEncap", 30, 1 },
34680		{ "MultiListen3", 29, 1 },
34681		{ "MultiListen2", 28, 1 },
34682		{ "MultiListen1", 27, 1 },
34683		{ "MultiListen0", 26, 1 },
34684		{ "Priority3", 23, 3 },
34685		{ "Priority2", 20, 3 },
34686		{ "Priority1", 17, 3 },
34687		{ "Priority0", 14, 3 },
34688		{ "Valid", 13, 1 },
34689		{ "Replicate", 12, 1 },
34690		{ "PF", 9, 3 },
34691		{ "VF_Valid", 8, 1 },
34692		{ "VF", 0, 8 },
34693	{ "MPS_CLS_SRAM_L", 0xee80, 0 },
34694		{ "DisEncapOuterRplct", 31, 1 },
34695		{ "DisEncap", 30, 1 },
34696		{ "MultiListen3", 29, 1 },
34697		{ "MultiListen2", 28, 1 },
34698		{ "MultiListen1", 27, 1 },
34699		{ "MultiListen0", 26, 1 },
34700		{ "Priority3", 23, 3 },
34701		{ "Priority2", 20, 3 },
34702		{ "Priority1", 17, 3 },
34703		{ "Priority0", 14, 3 },
34704		{ "Valid", 13, 1 },
34705		{ "Replicate", 12, 1 },
34706		{ "PF", 9, 3 },
34707		{ "VF_Valid", 8, 1 },
34708		{ "VF", 0, 8 },
34709	{ "MPS_CLS_SRAM_L", 0xee88, 0 },
34710		{ "DisEncapOuterRplct", 31, 1 },
34711		{ "DisEncap", 30, 1 },
34712		{ "MultiListen3", 29, 1 },
34713		{ "MultiListen2", 28, 1 },
34714		{ "MultiListen1", 27, 1 },
34715		{ "MultiListen0", 26, 1 },
34716		{ "Priority3", 23, 3 },
34717		{ "Priority2", 20, 3 },
34718		{ "Priority1", 17, 3 },
34719		{ "Priority0", 14, 3 },
34720		{ "Valid", 13, 1 },
34721		{ "Replicate", 12, 1 },
34722		{ "PF", 9, 3 },
34723		{ "VF_Valid", 8, 1 },
34724		{ "VF", 0, 8 },
34725	{ "MPS_CLS_SRAM_L", 0xee90, 0 },
34726		{ "DisEncapOuterRplct", 31, 1 },
34727		{ "DisEncap", 30, 1 },
34728		{ "MultiListen3", 29, 1 },
34729		{ "MultiListen2", 28, 1 },
34730		{ "MultiListen1", 27, 1 },
34731		{ "MultiListen0", 26, 1 },
34732		{ "Priority3", 23, 3 },
34733		{ "Priority2", 20, 3 },
34734		{ "Priority1", 17, 3 },
34735		{ "Priority0", 14, 3 },
34736		{ "Valid", 13, 1 },
34737		{ "Replicate", 12, 1 },
34738		{ "PF", 9, 3 },
34739		{ "VF_Valid", 8, 1 },
34740		{ "VF", 0, 8 },
34741	{ "MPS_CLS_SRAM_L", 0xee98, 0 },
34742		{ "DisEncapOuterRplct", 31, 1 },
34743		{ "DisEncap", 30, 1 },
34744		{ "MultiListen3", 29, 1 },
34745		{ "MultiListen2", 28, 1 },
34746		{ "MultiListen1", 27, 1 },
34747		{ "MultiListen0", 26, 1 },
34748		{ "Priority3", 23, 3 },
34749		{ "Priority2", 20, 3 },
34750		{ "Priority1", 17, 3 },
34751		{ "Priority0", 14, 3 },
34752		{ "Valid", 13, 1 },
34753		{ "Replicate", 12, 1 },
34754		{ "PF", 9, 3 },
34755		{ "VF_Valid", 8, 1 },
34756		{ "VF", 0, 8 },
34757	{ "MPS_CLS_SRAM_L", 0xeea0, 0 },
34758		{ "DisEncapOuterRplct", 31, 1 },
34759		{ "DisEncap", 30, 1 },
34760		{ "MultiListen3", 29, 1 },
34761		{ "MultiListen2", 28, 1 },
34762		{ "MultiListen1", 27, 1 },
34763		{ "MultiListen0", 26, 1 },
34764		{ "Priority3", 23, 3 },
34765		{ "Priority2", 20, 3 },
34766		{ "Priority1", 17, 3 },
34767		{ "Priority0", 14, 3 },
34768		{ "Valid", 13, 1 },
34769		{ "Replicate", 12, 1 },
34770		{ "PF", 9, 3 },
34771		{ "VF_Valid", 8, 1 },
34772		{ "VF", 0, 8 },
34773	{ "MPS_CLS_SRAM_L", 0xeea8, 0 },
34774		{ "DisEncapOuterRplct", 31, 1 },
34775		{ "DisEncap", 30, 1 },
34776		{ "MultiListen3", 29, 1 },
34777		{ "MultiListen2", 28, 1 },
34778		{ "MultiListen1", 27, 1 },
34779		{ "MultiListen0", 26, 1 },
34780		{ "Priority3", 23, 3 },
34781		{ "Priority2", 20, 3 },
34782		{ "Priority1", 17, 3 },
34783		{ "Priority0", 14, 3 },
34784		{ "Valid", 13, 1 },
34785		{ "Replicate", 12, 1 },
34786		{ "PF", 9, 3 },
34787		{ "VF_Valid", 8, 1 },
34788		{ "VF", 0, 8 },
34789	{ "MPS_CLS_SRAM_L", 0xeeb0, 0 },
34790		{ "DisEncapOuterRplct", 31, 1 },
34791		{ "DisEncap", 30, 1 },
34792		{ "MultiListen3", 29, 1 },
34793		{ "MultiListen2", 28, 1 },
34794		{ "MultiListen1", 27, 1 },
34795		{ "MultiListen0", 26, 1 },
34796		{ "Priority3", 23, 3 },
34797		{ "Priority2", 20, 3 },
34798		{ "Priority1", 17, 3 },
34799		{ "Priority0", 14, 3 },
34800		{ "Valid", 13, 1 },
34801		{ "Replicate", 12, 1 },
34802		{ "PF", 9, 3 },
34803		{ "VF_Valid", 8, 1 },
34804		{ "VF", 0, 8 },
34805	{ "MPS_CLS_SRAM_L", 0xeeb8, 0 },
34806		{ "DisEncapOuterRplct", 31, 1 },
34807		{ "DisEncap", 30, 1 },
34808		{ "MultiListen3", 29, 1 },
34809		{ "MultiListen2", 28, 1 },
34810		{ "MultiListen1", 27, 1 },
34811		{ "MultiListen0", 26, 1 },
34812		{ "Priority3", 23, 3 },
34813		{ "Priority2", 20, 3 },
34814		{ "Priority1", 17, 3 },
34815		{ "Priority0", 14, 3 },
34816		{ "Valid", 13, 1 },
34817		{ "Replicate", 12, 1 },
34818		{ "PF", 9, 3 },
34819		{ "VF_Valid", 8, 1 },
34820		{ "VF", 0, 8 },
34821	{ "MPS_CLS_SRAM_L", 0xeec0, 0 },
34822		{ "DisEncapOuterRplct", 31, 1 },
34823		{ "DisEncap", 30, 1 },
34824		{ "MultiListen3", 29, 1 },
34825		{ "MultiListen2", 28, 1 },
34826		{ "MultiListen1", 27, 1 },
34827		{ "MultiListen0", 26, 1 },
34828		{ "Priority3", 23, 3 },
34829		{ "Priority2", 20, 3 },
34830		{ "Priority1", 17, 3 },
34831		{ "Priority0", 14, 3 },
34832		{ "Valid", 13, 1 },
34833		{ "Replicate", 12, 1 },
34834		{ "PF", 9, 3 },
34835		{ "VF_Valid", 8, 1 },
34836		{ "VF", 0, 8 },
34837	{ "MPS_CLS_SRAM_L", 0xeec8, 0 },
34838		{ "DisEncapOuterRplct", 31, 1 },
34839		{ "DisEncap", 30, 1 },
34840		{ "MultiListen3", 29, 1 },
34841		{ "MultiListen2", 28, 1 },
34842		{ "MultiListen1", 27, 1 },
34843		{ "MultiListen0", 26, 1 },
34844		{ "Priority3", 23, 3 },
34845		{ "Priority2", 20, 3 },
34846		{ "Priority1", 17, 3 },
34847		{ "Priority0", 14, 3 },
34848		{ "Valid", 13, 1 },
34849		{ "Replicate", 12, 1 },
34850		{ "PF", 9, 3 },
34851		{ "VF_Valid", 8, 1 },
34852		{ "VF", 0, 8 },
34853	{ "MPS_CLS_SRAM_L", 0xeed0, 0 },
34854		{ "DisEncapOuterRplct", 31, 1 },
34855		{ "DisEncap", 30, 1 },
34856		{ "MultiListen3", 29, 1 },
34857		{ "MultiListen2", 28, 1 },
34858		{ "MultiListen1", 27, 1 },
34859		{ "MultiListen0", 26, 1 },
34860		{ "Priority3", 23, 3 },
34861		{ "Priority2", 20, 3 },
34862		{ "Priority1", 17, 3 },
34863		{ "Priority0", 14, 3 },
34864		{ "Valid", 13, 1 },
34865		{ "Replicate", 12, 1 },
34866		{ "PF", 9, 3 },
34867		{ "VF_Valid", 8, 1 },
34868		{ "VF", 0, 8 },
34869	{ "MPS_CLS_SRAM_L", 0xeed8, 0 },
34870		{ "DisEncapOuterRplct", 31, 1 },
34871		{ "DisEncap", 30, 1 },
34872		{ "MultiListen3", 29, 1 },
34873		{ "MultiListen2", 28, 1 },
34874		{ "MultiListen1", 27, 1 },
34875		{ "MultiListen0", 26, 1 },
34876		{ "Priority3", 23, 3 },
34877		{ "Priority2", 20, 3 },
34878		{ "Priority1", 17, 3 },
34879		{ "Priority0", 14, 3 },
34880		{ "Valid", 13, 1 },
34881		{ "Replicate", 12, 1 },
34882		{ "PF", 9, 3 },
34883		{ "VF_Valid", 8, 1 },
34884		{ "VF", 0, 8 },
34885	{ "MPS_CLS_SRAM_L", 0xeee0, 0 },
34886		{ "DisEncapOuterRplct", 31, 1 },
34887		{ "DisEncap", 30, 1 },
34888		{ "MultiListen3", 29, 1 },
34889		{ "MultiListen2", 28, 1 },
34890		{ "MultiListen1", 27, 1 },
34891		{ "MultiListen0", 26, 1 },
34892		{ "Priority3", 23, 3 },
34893		{ "Priority2", 20, 3 },
34894		{ "Priority1", 17, 3 },
34895		{ "Priority0", 14, 3 },
34896		{ "Valid", 13, 1 },
34897		{ "Replicate", 12, 1 },
34898		{ "PF", 9, 3 },
34899		{ "VF_Valid", 8, 1 },
34900		{ "VF", 0, 8 },
34901	{ "MPS_CLS_SRAM_L", 0xeee8, 0 },
34902		{ "DisEncapOuterRplct", 31, 1 },
34903		{ "DisEncap", 30, 1 },
34904		{ "MultiListen3", 29, 1 },
34905		{ "MultiListen2", 28, 1 },
34906		{ "MultiListen1", 27, 1 },
34907		{ "MultiListen0", 26, 1 },
34908		{ "Priority3", 23, 3 },
34909		{ "Priority2", 20, 3 },
34910		{ "Priority1", 17, 3 },
34911		{ "Priority0", 14, 3 },
34912		{ "Valid", 13, 1 },
34913		{ "Replicate", 12, 1 },
34914		{ "PF", 9, 3 },
34915		{ "VF_Valid", 8, 1 },
34916		{ "VF", 0, 8 },
34917	{ "MPS_CLS_SRAM_L", 0xeef0, 0 },
34918		{ "DisEncapOuterRplct", 31, 1 },
34919		{ "DisEncap", 30, 1 },
34920		{ "MultiListen3", 29, 1 },
34921		{ "MultiListen2", 28, 1 },
34922		{ "MultiListen1", 27, 1 },
34923		{ "MultiListen0", 26, 1 },
34924		{ "Priority3", 23, 3 },
34925		{ "Priority2", 20, 3 },
34926		{ "Priority1", 17, 3 },
34927		{ "Priority0", 14, 3 },
34928		{ "Valid", 13, 1 },
34929		{ "Replicate", 12, 1 },
34930		{ "PF", 9, 3 },
34931		{ "VF_Valid", 8, 1 },
34932		{ "VF", 0, 8 },
34933	{ "MPS_CLS_SRAM_L", 0xeef8, 0 },
34934		{ "DisEncapOuterRplct", 31, 1 },
34935		{ "DisEncap", 30, 1 },
34936		{ "MultiListen3", 29, 1 },
34937		{ "MultiListen2", 28, 1 },
34938		{ "MultiListen1", 27, 1 },
34939		{ "MultiListen0", 26, 1 },
34940		{ "Priority3", 23, 3 },
34941		{ "Priority2", 20, 3 },
34942		{ "Priority1", 17, 3 },
34943		{ "Priority0", 14, 3 },
34944		{ "Valid", 13, 1 },
34945		{ "Replicate", 12, 1 },
34946		{ "PF", 9, 3 },
34947		{ "VF_Valid", 8, 1 },
34948		{ "VF", 0, 8 },
34949	{ "MPS_CLS_SRAM_L", 0xef00, 0 },
34950		{ "DisEncapOuterRplct", 31, 1 },
34951		{ "DisEncap", 30, 1 },
34952		{ "MultiListen3", 29, 1 },
34953		{ "MultiListen2", 28, 1 },
34954		{ "MultiListen1", 27, 1 },
34955		{ "MultiListen0", 26, 1 },
34956		{ "Priority3", 23, 3 },
34957		{ "Priority2", 20, 3 },
34958		{ "Priority1", 17, 3 },
34959		{ "Priority0", 14, 3 },
34960		{ "Valid", 13, 1 },
34961		{ "Replicate", 12, 1 },
34962		{ "PF", 9, 3 },
34963		{ "VF_Valid", 8, 1 },
34964		{ "VF", 0, 8 },
34965	{ "MPS_CLS_SRAM_L", 0xef08, 0 },
34966		{ "DisEncapOuterRplct", 31, 1 },
34967		{ "DisEncap", 30, 1 },
34968		{ "MultiListen3", 29, 1 },
34969		{ "MultiListen2", 28, 1 },
34970		{ "MultiListen1", 27, 1 },
34971		{ "MultiListen0", 26, 1 },
34972		{ "Priority3", 23, 3 },
34973		{ "Priority2", 20, 3 },
34974		{ "Priority1", 17, 3 },
34975		{ "Priority0", 14, 3 },
34976		{ "Valid", 13, 1 },
34977		{ "Replicate", 12, 1 },
34978		{ "PF", 9, 3 },
34979		{ "VF_Valid", 8, 1 },
34980		{ "VF", 0, 8 },
34981	{ "MPS_CLS_SRAM_L", 0xef10, 0 },
34982		{ "DisEncapOuterRplct", 31, 1 },
34983		{ "DisEncap", 30, 1 },
34984		{ "MultiListen3", 29, 1 },
34985		{ "MultiListen2", 28, 1 },
34986		{ "MultiListen1", 27, 1 },
34987		{ "MultiListen0", 26, 1 },
34988		{ "Priority3", 23, 3 },
34989		{ "Priority2", 20, 3 },
34990		{ "Priority1", 17, 3 },
34991		{ "Priority0", 14, 3 },
34992		{ "Valid", 13, 1 },
34993		{ "Replicate", 12, 1 },
34994		{ "PF", 9, 3 },
34995		{ "VF_Valid", 8, 1 },
34996		{ "VF", 0, 8 },
34997	{ "MPS_CLS_SRAM_L", 0xef18, 0 },
34998		{ "DisEncapOuterRplct", 31, 1 },
34999		{ "DisEncap", 30, 1 },
35000		{ "MultiListen3", 29, 1 },
35001		{ "MultiListen2", 28, 1 },
35002		{ "MultiListen1", 27, 1 },
35003		{ "MultiListen0", 26, 1 },
35004		{ "Priority3", 23, 3 },
35005		{ "Priority2", 20, 3 },
35006		{ "Priority1", 17, 3 },
35007		{ "Priority0", 14, 3 },
35008		{ "Valid", 13, 1 },
35009		{ "Replicate", 12, 1 },
35010		{ "PF", 9, 3 },
35011		{ "VF_Valid", 8, 1 },
35012		{ "VF", 0, 8 },
35013	{ "MPS_CLS_SRAM_L", 0xef20, 0 },
35014		{ "DisEncapOuterRplct", 31, 1 },
35015		{ "DisEncap", 30, 1 },
35016		{ "MultiListen3", 29, 1 },
35017		{ "MultiListen2", 28, 1 },
35018		{ "MultiListen1", 27, 1 },
35019		{ "MultiListen0", 26, 1 },
35020		{ "Priority3", 23, 3 },
35021		{ "Priority2", 20, 3 },
35022		{ "Priority1", 17, 3 },
35023		{ "Priority0", 14, 3 },
35024		{ "Valid", 13, 1 },
35025		{ "Replicate", 12, 1 },
35026		{ "PF", 9, 3 },
35027		{ "VF_Valid", 8, 1 },
35028		{ "VF", 0, 8 },
35029	{ "MPS_CLS_SRAM_L", 0xef28, 0 },
35030		{ "DisEncapOuterRplct", 31, 1 },
35031		{ "DisEncap", 30, 1 },
35032		{ "MultiListen3", 29, 1 },
35033		{ "MultiListen2", 28, 1 },
35034		{ "MultiListen1", 27, 1 },
35035		{ "MultiListen0", 26, 1 },
35036		{ "Priority3", 23, 3 },
35037		{ "Priority2", 20, 3 },
35038		{ "Priority1", 17, 3 },
35039		{ "Priority0", 14, 3 },
35040		{ "Valid", 13, 1 },
35041		{ "Replicate", 12, 1 },
35042		{ "PF", 9, 3 },
35043		{ "VF_Valid", 8, 1 },
35044		{ "VF", 0, 8 },
35045	{ "MPS_CLS_SRAM_L", 0xef30, 0 },
35046		{ "DisEncapOuterRplct", 31, 1 },
35047		{ "DisEncap", 30, 1 },
35048		{ "MultiListen3", 29, 1 },
35049		{ "MultiListen2", 28, 1 },
35050		{ "MultiListen1", 27, 1 },
35051		{ "MultiListen0", 26, 1 },
35052		{ "Priority3", 23, 3 },
35053		{ "Priority2", 20, 3 },
35054		{ "Priority1", 17, 3 },
35055		{ "Priority0", 14, 3 },
35056		{ "Valid", 13, 1 },
35057		{ "Replicate", 12, 1 },
35058		{ "PF", 9, 3 },
35059		{ "VF_Valid", 8, 1 },
35060		{ "VF", 0, 8 },
35061	{ "MPS_CLS_SRAM_L", 0xef38, 0 },
35062		{ "DisEncapOuterRplct", 31, 1 },
35063		{ "DisEncap", 30, 1 },
35064		{ "MultiListen3", 29, 1 },
35065		{ "MultiListen2", 28, 1 },
35066		{ "MultiListen1", 27, 1 },
35067		{ "MultiListen0", 26, 1 },
35068		{ "Priority3", 23, 3 },
35069		{ "Priority2", 20, 3 },
35070		{ "Priority1", 17, 3 },
35071		{ "Priority0", 14, 3 },
35072		{ "Valid", 13, 1 },
35073		{ "Replicate", 12, 1 },
35074		{ "PF", 9, 3 },
35075		{ "VF_Valid", 8, 1 },
35076		{ "VF", 0, 8 },
35077	{ "MPS_CLS_SRAM_L", 0xef40, 0 },
35078		{ "DisEncapOuterRplct", 31, 1 },
35079		{ "DisEncap", 30, 1 },
35080		{ "MultiListen3", 29, 1 },
35081		{ "MultiListen2", 28, 1 },
35082		{ "MultiListen1", 27, 1 },
35083		{ "MultiListen0", 26, 1 },
35084		{ "Priority3", 23, 3 },
35085		{ "Priority2", 20, 3 },
35086		{ "Priority1", 17, 3 },
35087		{ "Priority0", 14, 3 },
35088		{ "Valid", 13, 1 },
35089		{ "Replicate", 12, 1 },
35090		{ "PF", 9, 3 },
35091		{ "VF_Valid", 8, 1 },
35092		{ "VF", 0, 8 },
35093	{ "MPS_CLS_SRAM_L", 0xef48, 0 },
35094		{ "DisEncapOuterRplct", 31, 1 },
35095		{ "DisEncap", 30, 1 },
35096		{ "MultiListen3", 29, 1 },
35097		{ "MultiListen2", 28, 1 },
35098		{ "MultiListen1", 27, 1 },
35099		{ "MultiListen0", 26, 1 },
35100		{ "Priority3", 23, 3 },
35101		{ "Priority2", 20, 3 },
35102		{ "Priority1", 17, 3 },
35103		{ "Priority0", 14, 3 },
35104		{ "Valid", 13, 1 },
35105		{ "Replicate", 12, 1 },
35106		{ "PF", 9, 3 },
35107		{ "VF_Valid", 8, 1 },
35108		{ "VF", 0, 8 },
35109	{ "MPS_CLS_SRAM_L", 0xef50, 0 },
35110		{ "DisEncapOuterRplct", 31, 1 },
35111		{ "DisEncap", 30, 1 },
35112		{ "MultiListen3", 29, 1 },
35113		{ "MultiListen2", 28, 1 },
35114		{ "MultiListen1", 27, 1 },
35115		{ "MultiListen0", 26, 1 },
35116		{ "Priority3", 23, 3 },
35117		{ "Priority2", 20, 3 },
35118		{ "Priority1", 17, 3 },
35119		{ "Priority0", 14, 3 },
35120		{ "Valid", 13, 1 },
35121		{ "Replicate", 12, 1 },
35122		{ "PF", 9, 3 },
35123		{ "VF_Valid", 8, 1 },
35124		{ "VF", 0, 8 },
35125	{ "MPS_CLS_SRAM_L", 0xef58, 0 },
35126		{ "DisEncapOuterRplct", 31, 1 },
35127		{ "DisEncap", 30, 1 },
35128		{ "MultiListen3", 29, 1 },
35129		{ "MultiListen2", 28, 1 },
35130		{ "MultiListen1", 27, 1 },
35131		{ "MultiListen0", 26, 1 },
35132		{ "Priority3", 23, 3 },
35133		{ "Priority2", 20, 3 },
35134		{ "Priority1", 17, 3 },
35135		{ "Priority0", 14, 3 },
35136		{ "Valid", 13, 1 },
35137		{ "Replicate", 12, 1 },
35138		{ "PF", 9, 3 },
35139		{ "VF_Valid", 8, 1 },
35140		{ "VF", 0, 8 },
35141	{ "MPS_CLS_SRAM_L", 0xef60, 0 },
35142		{ "DisEncapOuterRplct", 31, 1 },
35143		{ "DisEncap", 30, 1 },
35144		{ "MultiListen3", 29, 1 },
35145		{ "MultiListen2", 28, 1 },
35146		{ "MultiListen1", 27, 1 },
35147		{ "MultiListen0", 26, 1 },
35148		{ "Priority3", 23, 3 },
35149		{ "Priority2", 20, 3 },
35150		{ "Priority1", 17, 3 },
35151		{ "Priority0", 14, 3 },
35152		{ "Valid", 13, 1 },
35153		{ "Replicate", 12, 1 },
35154		{ "PF", 9, 3 },
35155		{ "VF_Valid", 8, 1 },
35156		{ "VF", 0, 8 },
35157	{ "MPS_CLS_SRAM_L", 0xef68, 0 },
35158		{ "DisEncapOuterRplct", 31, 1 },
35159		{ "DisEncap", 30, 1 },
35160		{ "MultiListen3", 29, 1 },
35161		{ "MultiListen2", 28, 1 },
35162		{ "MultiListen1", 27, 1 },
35163		{ "MultiListen0", 26, 1 },
35164		{ "Priority3", 23, 3 },
35165		{ "Priority2", 20, 3 },
35166		{ "Priority1", 17, 3 },
35167		{ "Priority0", 14, 3 },
35168		{ "Valid", 13, 1 },
35169		{ "Replicate", 12, 1 },
35170		{ "PF", 9, 3 },
35171		{ "VF_Valid", 8, 1 },
35172		{ "VF", 0, 8 },
35173	{ "MPS_CLS_SRAM_L", 0xef70, 0 },
35174		{ "DisEncapOuterRplct", 31, 1 },
35175		{ "DisEncap", 30, 1 },
35176		{ "MultiListen3", 29, 1 },
35177		{ "MultiListen2", 28, 1 },
35178		{ "MultiListen1", 27, 1 },
35179		{ "MultiListen0", 26, 1 },
35180		{ "Priority3", 23, 3 },
35181		{ "Priority2", 20, 3 },
35182		{ "Priority1", 17, 3 },
35183		{ "Priority0", 14, 3 },
35184		{ "Valid", 13, 1 },
35185		{ "Replicate", 12, 1 },
35186		{ "PF", 9, 3 },
35187		{ "VF_Valid", 8, 1 },
35188		{ "VF", 0, 8 },
35189	{ "MPS_CLS_SRAM_L", 0xef78, 0 },
35190		{ "DisEncapOuterRplct", 31, 1 },
35191		{ "DisEncap", 30, 1 },
35192		{ "MultiListen3", 29, 1 },
35193		{ "MultiListen2", 28, 1 },
35194		{ "MultiListen1", 27, 1 },
35195		{ "MultiListen0", 26, 1 },
35196		{ "Priority3", 23, 3 },
35197		{ "Priority2", 20, 3 },
35198		{ "Priority1", 17, 3 },
35199		{ "Priority0", 14, 3 },
35200		{ "Valid", 13, 1 },
35201		{ "Replicate", 12, 1 },
35202		{ "PF", 9, 3 },
35203		{ "VF_Valid", 8, 1 },
35204		{ "VF", 0, 8 },
35205	{ "MPS_CLS_SRAM_L", 0xef80, 0 },
35206		{ "DisEncapOuterRplct", 31, 1 },
35207		{ "DisEncap", 30, 1 },
35208		{ "MultiListen3", 29, 1 },
35209		{ "MultiListen2", 28, 1 },
35210		{ "MultiListen1", 27, 1 },
35211		{ "MultiListen0", 26, 1 },
35212		{ "Priority3", 23, 3 },
35213		{ "Priority2", 20, 3 },
35214		{ "Priority1", 17, 3 },
35215		{ "Priority0", 14, 3 },
35216		{ "Valid", 13, 1 },
35217		{ "Replicate", 12, 1 },
35218		{ "PF", 9, 3 },
35219		{ "VF_Valid", 8, 1 },
35220		{ "VF", 0, 8 },
35221	{ "MPS_CLS_SRAM_L", 0xef88, 0 },
35222		{ "DisEncapOuterRplct", 31, 1 },
35223		{ "DisEncap", 30, 1 },
35224		{ "MultiListen3", 29, 1 },
35225		{ "MultiListen2", 28, 1 },
35226		{ "MultiListen1", 27, 1 },
35227		{ "MultiListen0", 26, 1 },
35228		{ "Priority3", 23, 3 },
35229		{ "Priority2", 20, 3 },
35230		{ "Priority1", 17, 3 },
35231		{ "Priority0", 14, 3 },
35232		{ "Valid", 13, 1 },
35233		{ "Replicate", 12, 1 },
35234		{ "PF", 9, 3 },
35235		{ "VF_Valid", 8, 1 },
35236		{ "VF", 0, 8 },
35237	{ "MPS_CLS_SRAM_L", 0xef90, 0 },
35238		{ "DisEncapOuterRplct", 31, 1 },
35239		{ "DisEncap", 30, 1 },
35240		{ "MultiListen3", 29, 1 },
35241		{ "MultiListen2", 28, 1 },
35242		{ "MultiListen1", 27, 1 },
35243		{ "MultiListen0", 26, 1 },
35244		{ "Priority3", 23, 3 },
35245		{ "Priority2", 20, 3 },
35246		{ "Priority1", 17, 3 },
35247		{ "Priority0", 14, 3 },
35248		{ "Valid", 13, 1 },
35249		{ "Replicate", 12, 1 },
35250		{ "PF", 9, 3 },
35251		{ "VF_Valid", 8, 1 },
35252		{ "VF", 0, 8 },
35253	{ "MPS_CLS_SRAM_L", 0xef98, 0 },
35254		{ "DisEncapOuterRplct", 31, 1 },
35255		{ "DisEncap", 30, 1 },
35256		{ "MultiListen3", 29, 1 },
35257		{ "MultiListen2", 28, 1 },
35258		{ "MultiListen1", 27, 1 },
35259		{ "MultiListen0", 26, 1 },
35260		{ "Priority3", 23, 3 },
35261		{ "Priority2", 20, 3 },
35262		{ "Priority1", 17, 3 },
35263		{ "Priority0", 14, 3 },
35264		{ "Valid", 13, 1 },
35265		{ "Replicate", 12, 1 },
35266		{ "PF", 9, 3 },
35267		{ "VF_Valid", 8, 1 },
35268		{ "VF", 0, 8 },
35269	{ "MPS_CLS_SRAM_L", 0xefa0, 0 },
35270		{ "DisEncapOuterRplct", 31, 1 },
35271		{ "DisEncap", 30, 1 },
35272		{ "MultiListen3", 29, 1 },
35273		{ "MultiListen2", 28, 1 },
35274		{ "MultiListen1", 27, 1 },
35275		{ "MultiListen0", 26, 1 },
35276		{ "Priority3", 23, 3 },
35277		{ "Priority2", 20, 3 },
35278		{ "Priority1", 17, 3 },
35279		{ "Priority0", 14, 3 },
35280		{ "Valid", 13, 1 },
35281		{ "Replicate", 12, 1 },
35282		{ "PF", 9, 3 },
35283		{ "VF_Valid", 8, 1 },
35284		{ "VF", 0, 8 },
35285	{ "MPS_CLS_SRAM_L", 0xefa8, 0 },
35286		{ "DisEncapOuterRplct", 31, 1 },
35287		{ "DisEncap", 30, 1 },
35288		{ "MultiListen3", 29, 1 },
35289		{ "MultiListen2", 28, 1 },
35290		{ "MultiListen1", 27, 1 },
35291		{ "MultiListen0", 26, 1 },
35292		{ "Priority3", 23, 3 },
35293		{ "Priority2", 20, 3 },
35294		{ "Priority1", 17, 3 },
35295		{ "Priority0", 14, 3 },
35296		{ "Valid", 13, 1 },
35297		{ "Replicate", 12, 1 },
35298		{ "PF", 9, 3 },
35299		{ "VF_Valid", 8, 1 },
35300		{ "VF", 0, 8 },
35301	{ "MPS_CLS_SRAM_L", 0xefb0, 0 },
35302		{ "DisEncapOuterRplct", 31, 1 },
35303		{ "DisEncap", 30, 1 },
35304		{ "MultiListen3", 29, 1 },
35305		{ "MultiListen2", 28, 1 },
35306		{ "MultiListen1", 27, 1 },
35307		{ "MultiListen0", 26, 1 },
35308		{ "Priority3", 23, 3 },
35309		{ "Priority2", 20, 3 },
35310		{ "Priority1", 17, 3 },
35311		{ "Priority0", 14, 3 },
35312		{ "Valid", 13, 1 },
35313		{ "Replicate", 12, 1 },
35314		{ "PF", 9, 3 },
35315		{ "VF_Valid", 8, 1 },
35316		{ "VF", 0, 8 },
35317	{ "MPS_CLS_SRAM_L", 0xefb8, 0 },
35318		{ "DisEncapOuterRplct", 31, 1 },
35319		{ "DisEncap", 30, 1 },
35320		{ "MultiListen3", 29, 1 },
35321		{ "MultiListen2", 28, 1 },
35322		{ "MultiListen1", 27, 1 },
35323		{ "MultiListen0", 26, 1 },
35324		{ "Priority3", 23, 3 },
35325		{ "Priority2", 20, 3 },
35326		{ "Priority1", 17, 3 },
35327		{ "Priority0", 14, 3 },
35328		{ "Valid", 13, 1 },
35329		{ "Replicate", 12, 1 },
35330		{ "PF", 9, 3 },
35331		{ "VF_Valid", 8, 1 },
35332		{ "VF", 0, 8 },
35333	{ "MPS_CLS_SRAM_L", 0xefc0, 0 },
35334		{ "DisEncapOuterRplct", 31, 1 },
35335		{ "DisEncap", 30, 1 },
35336		{ "MultiListen3", 29, 1 },
35337		{ "MultiListen2", 28, 1 },
35338		{ "MultiListen1", 27, 1 },
35339		{ "MultiListen0", 26, 1 },
35340		{ "Priority3", 23, 3 },
35341		{ "Priority2", 20, 3 },
35342		{ "Priority1", 17, 3 },
35343		{ "Priority0", 14, 3 },
35344		{ "Valid", 13, 1 },
35345		{ "Replicate", 12, 1 },
35346		{ "PF", 9, 3 },
35347		{ "VF_Valid", 8, 1 },
35348		{ "VF", 0, 8 },
35349	{ "MPS_CLS_SRAM_L", 0xefc8, 0 },
35350		{ "DisEncapOuterRplct", 31, 1 },
35351		{ "DisEncap", 30, 1 },
35352		{ "MultiListen3", 29, 1 },
35353		{ "MultiListen2", 28, 1 },
35354		{ "MultiListen1", 27, 1 },
35355		{ "MultiListen0", 26, 1 },
35356		{ "Priority3", 23, 3 },
35357		{ "Priority2", 20, 3 },
35358		{ "Priority1", 17, 3 },
35359		{ "Priority0", 14, 3 },
35360		{ "Valid", 13, 1 },
35361		{ "Replicate", 12, 1 },
35362		{ "PF", 9, 3 },
35363		{ "VF_Valid", 8, 1 },
35364		{ "VF", 0, 8 },
35365	{ "MPS_CLS_SRAM_L", 0xefd0, 0 },
35366		{ "DisEncapOuterRplct", 31, 1 },
35367		{ "DisEncap", 30, 1 },
35368		{ "MultiListen3", 29, 1 },
35369		{ "MultiListen2", 28, 1 },
35370		{ "MultiListen1", 27, 1 },
35371		{ "MultiListen0", 26, 1 },
35372		{ "Priority3", 23, 3 },
35373		{ "Priority2", 20, 3 },
35374		{ "Priority1", 17, 3 },
35375		{ "Priority0", 14, 3 },
35376		{ "Valid", 13, 1 },
35377		{ "Replicate", 12, 1 },
35378		{ "PF", 9, 3 },
35379		{ "VF_Valid", 8, 1 },
35380		{ "VF", 0, 8 },
35381	{ "MPS_CLS_SRAM_L", 0xefd8, 0 },
35382		{ "DisEncapOuterRplct", 31, 1 },
35383		{ "DisEncap", 30, 1 },
35384		{ "MultiListen3", 29, 1 },
35385		{ "MultiListen2", 28, 1 },
35386		{ "MultiListen1", 27, 1 },
35387		{ "MultiListen0", 26, 1 },
35388		{ "Priority3", 23, 3 },
35389		{ "Priority2", 20, 3 },
35390		{ "Priority1", 17, 3 },
35391		{ "Priority0", 14, 3 },
35392		{ "Valid", 13, 1 },
35393		{ "Replicate", 12, 1 },
35394		{ "PF", 9, 3 },
35395		{ "VF_Valid", 8, 1 },
35396		{ "VF", 0, 8 },
35397	{ "MPS_CLS_SRAM_L", 0xefe0, 0 },
35398		{ "DisEncapOuterRplct", 31, 1 },
35399		{ "DisEncap", 30, 1 },
35400		{ "MultiListen3", 29, 1 },
35401		{ "MultiListen2", 28, 1 },
35402		{ "MultiListen1", 27, 1 },
35403		{ "MultiListen0", 26, 1 },
35404		{ "Priority3", 23, 3 },
35405		{ "Priority2", 20, 3 },
35406		{ "Priority1", 17, 3 },
35407		{ "Priority0", 14, 3 },
35408		{ "Valid", 13, 1 },
35409		{ "Replicate", 12, 1 },
35410		{ "PF", 9, 3 },
35411		{ "VF_Valid", 8, 1 },
35412		{ "VF", 0, 8 },
35413	{ "MPS_CLS_SRAM_L", 0xefe8, 0 },
35414		{ "DisEncapOuterRplct", 31, 1 },
35415		{ "DisEncap", 30, 1 },
35416		{ "MultiListen3", 29, 1 },
35417		{ "MultiListen2", 28, 1 },
35418		{ "MultiListen1", 27, 1 },
35419		{ "MultiListen0", 26, 1 },
35420		{ "Priority3", 23, 3 },
35421		{ "Priority2", 20, 3 },
35422		{ "Priority1", 17, 3 },
35423		{ "Priority0", 14, 3 },
35424		{ "Valid", 13, 1 },
35425		{ "Replicate", 12, 1 },
35426		{ "PF", 9, 3 },
35427		{ "VF_Valid", 8, 1 },
35428		{ "VF", 0, 8 },
35429	{ "MPS_CLS_SRAM_L", 0xeff0, 0 },
35430		{ "DisEncapOuterRplct", 31, 1 },
35431		{ "DisEncap", 30, 1 },
35432		{ "MultiListen3", 29, 1 },
35433		{ "MultiListen2", 28, 1 },
35434		{ "MultiListen1", 27, 1 },
35435		{ "MultiListen0", 26, 1 },
35436		{ "Priority3", 23, 3 },
35437		{ "Priority2", 20, 3 },
35438		{ "Priority1", 17, 3 },
35439		{ "Priority0", 14, 3 },
35440		{ "Valid", 13, 1 },
35441		{ "Replicate", 12, 1 },
35442		{ "PF", 9, 3 },
35443		{ "VF_Valid", 8, 1 },
35444		{ "VF", 0, 8 },
35445	{ "MPS_CLS_SRAM_L", 0xeff8, 0 },
35446		{ "DisEncapOuterRplct", 31, 1 },
35447		{ "DisEncap", 30, 1 },
35448		{ "MultiListen3", 29, 1 },
35449		{ "MultiListen2", 28, 1 },
35450		{ "MultiListen1", 27, 1 },
35451		{ "MultiListen0", 26, 1 },
35452		{ "Priority3", 23, 3 },
35453		{ "Priority2", 20, 3 },
35454		{ "Priority1", 17, 3 },
35455		{ "Priority0", 14, 3 },
35456		{ "Valid", 13, 1 },
35457		{ "Replicate", 12, 1 },
35458		{ "PF", 9, 3 },
35459		{ "VF_Valid", 8, 1 },
35460		{ "VF", 0, 8 },
35461	{ "MPS_CLS_SRAM_H", 0xe004, 0 },
35462		{ "MacParity2", 10, 1 },
35463		{ "MacParity1", 9, 1 },
35464		{ "MacParity0", 8, 1 },
35465		{ "MacParityMaskSize", 4, 4 },
35466		{ "PortMap", 0, 4 },
35467	{ "MPS_CLS_SRAM_H", 0xe00c, 0 },
35468		{ "MacParity2", 10, 1 },
35469		{ "MacParity1", 9, 1 },
35470		{ "MacParity0", 8, 1 },
35471		{ "MacParityMaskSize", 4, 4 },
35472		{ "PortMap", 0, 4 },
35473	{ "MPS_CLS_SRAM_H", 0xe014, 0 },
35474		{ "MacParity2", 10, 1 },
35475		{ "MacParity1", 9, 1 },
35476		{ "MacParity0", 8, 1 },
35477		{ "MacParityMaskSize", 4, 4 },
35478		{ "PortMap", 0, 4 },
35479	{ "MPS_CLS_SRAM_H", 0xe01c, 0 },
35480		{ "MacParity2", 10, 1 },
35481		{ "MacParity1", 9, 1 },
35482		{ "MacParity0", 8, 1 },
35483		{ "MacParityMaskSize", 4, 4 },
35484		{ "PortMap", 0, 4 },
35485	{ "MPS_CLS_SRAM_H", 0xe024, 0 },
35486		{ "MacParity2", 10, 1 },
35487		{ "MacParity1", 9, 1 },
35488		{ "MacParity0", 8, 1 },
35489		{ "MacParityMaskSize", 4, 4 },
35490		{ "PortMap", 0, 4 },
35491	{ "MPS_CLS_SRAM_H", 0xe02c, 0 },
35492		{ "MacParity2", 10, 1 },
35493		{ "MacParity1", 9, 1 },
35494		{ "MacParity0", 8, 1 },
35495		{ "MacParityMaskSize", 4, 4 },
35496		{ "PortMap", 0, 4 },
35497	{ "MPS_CLS_SRAM_H", 0xe034, 0 },
35498		{ "MacParity2", 10, 1 },
35499		{ "MacParity1", 9, 1 },
35500		{ "MacParity0", 8, 1 },
35501		{ "MacParityMaskSize", 4, 4 },
35502		{ "PortMap", 0, 4 },
35503	{ "MPS_CLS_SRAM_H", 0xe03c, 0 },
35504		{ "MacParity2", 10, 1 },
35505		{ "MacParity1", 9, 1 },
35506		{ "MacParity0", 8, 1 },
35507		{ "MacParityMaskSize", 4, 4 },
35508		{ "PortMap", 0, 4 },
35509	{ "MPS_CLS_SRAM_H", 0xe044, 0 },
35510		{ "MacParity2", 10, 1 },
35511		{ "MacParity1", 9, 1 },
35512		{ "MacParity0", 8, 1 },
35513		{ "MacParityMaskSize", 4, 4 },
35514		{ "PortMap", 0, 4 },
35515	{ "MPS_CLS_SRAM_H", 0xe04c, 0 },
35516		{ "MacParity2", 10, 1 },
35517		{ "MacParity1", 9, 1 },
35518		{ "MacParity0", 8, 1 },
35519		{ "MacParityMaskSize", 4, 4 },
35520		{ "PortMap", 0, 4 },
35521	{ "MPS_CLS_SRAM_H", 0xe054, 0 },
35522		{ "MacParity2", 10, 1 },
35523		{ "MacParity1", 9, 1 },
35524		{ "MacParity0", 8, 1 },
35525		{ "MacParityMaskSize", 4, 4 },
35526		{ "PortMap", 0, 4 },
35527	{ "MPS_CLS_SRAM_H", 0xe05c, 0 },
35528		{ "MacParity2", 10, 1 },
35529		{ "MacParity1", 9, 1 },
35530		{ "MacParity0", 8, 1 },
35531		{ "MacParityMaskSize", 4, 4 },
35532		{ "PortMap", 0, 4 },
35533	{ "MPS_CLS_SRAM_H", 0xe064, 0 },
35534		{ "MacParity2", 10, 1 },
35535		{ "MacParity1", 9, 1 },
35536		{ "MacParity0", 8, 1 },
35537		{ "MacParityMaskSize", 4, 4 },
35538		{ "PortMap", 0, 4 },
35539	{ "MPS_CLS_SRAM_H", 0xe06c, 0 },
35540		{ "MacParity2", 10, 1 },
35541		{ "MacParity1", 9, 1 },
35542		{ "MacParity0", 8, 1 },
35543		{ "MacParityMaskSize", 4, 4 },
35544		{ "PortMap", 0, 4 },
35545	{ "MPS_CLS_SRAM_H", 0xe074, 0 },
35546		{ "MacParity2", 10, 1 },
35547		{ "MacParity1", 9, 1 },
35548		{ "MacParity0", 8, 1 },
35549		{ "MacParityMaskSize", 4, 4 },
35550		{ "PortMap", 0, 4 },
35551	{ "MPS_CLS_SRAM_H", 0xe07c, 0 },
35552		{ "MacParity2", 10, 1 },
35553		{ "MacParity1", 9, 1 },
35554		{ "MacParity0", 8, 1 },
35555		{ "MacParityMaskSize", 4, 4 },
35556		{ "PortMap", 0, 4 },
35557	{ "MPS_CLS_SRAM_H", 0xe084, 0 },
35558		{ "MacParity2", 10, 1 },
35559		{ "MacParity1", 9, 1 },
35560		{ "MacParity0", 8, 1 },
35561		{ "MacParityMaskSize", 4, 4 },
35562		{ "PortMap", 0, 4 },
35563	{ "MPS_CLS_SRAM_H", 0xe08c, 0 },
35564		{ "MacParity2", 10, 1 },
35565		{ "MacParity1", 9, 1 },
35566		{ "MacParity0", 8, 1 },
35567		{ "MacParityMaskSize", 4, 4 },
35568		{ "PortMap", 0, 4 },
35569	{ "MPS_CLS_SRAM_H", 0xe094, 0 },
35570		{ "MacParity2", 10, 1 },
35571		{ "MacParity1", 9, 1 },
35572		{ "MacParity0", 8, 1 },
35573		{ "MacParityMaskSize", 4, 4 },
35574		{ "PortMap", 0, 4 },
35575	{ "MPS_CLS_SRAM_H", 0xe09c, 0 },
35576		{ "MacParity2", 10, 1 },
35577		{ "MacParity1", 9, 1 },
35578		{ "MacParity0", 8, 1 },
35579		{ "MacParityMaskSize", 4, 4 },
35580		{ "PortMap", 0, 4 },
35581	{ "MPS_CLS_SRAM_H", 0xe0a4, 0 },
35582		{ "MacParity2", 10, 1 },
35583		{ "MacParity1", 9, 1 },
35584		{ "MacParity0", 8, 1 },
35585		{ "MacParityMaskSize", 4, 4 },
35586		{ "PortMap", 0, 4 },
35587	{ "MPS_CLS_SRAM_H", 0xe0ac, 0 },
35588		{ "MacParity2", 10, 1 },
35589		{ "MacParity1", 9, 1 },
35590		{ "MacParity0", 8, 1 },
35591		{ "MacParityMaskSize", 4, 4 },
35592		{ "PortMap", 0, 4 },
35593	{ "MPS_CLS_SRAM_H", 0xe0b4, 0 },
35594		{ "MacParity2", 10, 1 },
35595		{ "MacParity1", 9, 1 },
35596		{ "MacParity0", 8, 1 },
35597		{ "MacParityMaskSize", 4, 4 },
35598		{ "PortMap", 0, 4 },
35599	{ "MPS_CLS_SRAM_H", 0xe0bc, 0 },
35600		{ "MacParity2", 10, 1 },
35601		{ "MacParity1", 9, 1 },
35602		{ "MacParity0", 8, 1 },
35603		{ "MacParityMaskSize", 4, 4 },
35604		{ "PortMap", 0, 4 },
35605	{ "MPS_CLS_SRAM_H", 0xe0c4, 0 },
35606		{ "MacParity2", 10, 1 },
35607		{ "MacParity1", 9, 1 },
35608		{ "MacParity0", 8, 1 },
35609		{ "MacParityMaskSize", 4, 4 },
35610		{ "PortMap", 0, 4 },
35611	{ "MPS_CLS_SRAM_H", 0xe0cc, 0 },
35612		{ "MacParity2", 10, 1 },
35613		{ "MacParity1", 9, 1 },
35614		{ "MacParity0", 8, 1 },
35615		{ "MacParityMaskSize", 4, 4 },
35616		{ "PortMap", 0, 4 },
35617	{ "MPS_CLS_SRAM_H", 0xe0d4, 0 },
35618		{ "MacParity2", 10, 1 },
35619		{ "MacParity1", 9, 1 },
35620		{ "MacParity0", 8, 1 },
35621		{ "MacParityMaskSize", 4, 4 },
35622		{ "PortMap", 0, 4 },
35623	{ "MPS_CLS_SRAM_H", 0xe0dc, 0 },
35624		{ "MacParity2", 10, 1 },
35625		{ "MacParity1", 9, 1 },
35626		{ "MacParity0", 8, 1 },
35627		{ "MacParityMaskSize", 4, 4 },
35628		{ "PortMap", 0, 4 },
35629	{ "MPS_CLS_SRAM_H", 0xe0e4, 0 },
35630		{ "MacParity2", 10, 1 },
35631		{ "MacParity1", 9, 1 },
35632		{ "MacParity0", 8, 1 },
35633		{ "MacParityMaskSize", 4, 4 },
35634		{ "PortMap", 0, 4 },
35635	{ "MPS_CLS_SRAM_H", 0xe0ec, 0 },
35636		{ "MacParity2", 10, 1 },
35637		{ "MacParity1", 9, 1 },
35638		{ "MacParity0", 8, 1 },
35639		{ "MacParityMaskSize", 4, 4 },
35640		{ "PortMap", 0, 4 },
35641	{ "MPS_CLS_SRAM_H", 0xe0f4, 0 },
35642		{ "MacParity2", 10, 1 },
35643		{ "MacParity1", 9, 1 },
35644		{ "MacParity0", 8, 1 },
35645		{ "MacParityMaskSize", 4, 4 },
35646		{ "PortMap", 0, 4 },
35647	{ "MPS_CLS_SRAM_H", 0xe0fc, 0 },
35648		{ "MacParity2", 10, 1 },
35649		{ "MacParity1", 9, 1 },
35650		{ "MacParity0", 8, 1 },
35651		{ "MacParityMaskSize", 4, 4 },
35652		{ "PortMap", 0, 4 },
35653	{ "MPS_CLS_SRAM_H", 0xe104, 0 },
35654		{ "MacParity2", 10, 1 },
35655		{ "MacParity1", 9, 1 },
35656		{ "MacParity0", 8, 1 },
35657		{ "MacParityMaskSize", 4, 4 },
35658		{ "PortMap", 0, 4 },
35659	{ "MPS_CLS_SRAM_H", 0xe10c, 0 },
35660		{ "MacParity2", 10, 1 },
35661		{ "MacParity1", 9, 1 },
35662		{ "MacParity0", 8, 1 },
35663		{ "MacParityMaskSize", 4, 4 },
35664		{ "PortMap", 0, 4 },
35665	{ "MPS_CLS_SRAM_H", 0xe114, 0 },
35666		{ "MacParity2", 10, 1 },
35667		{ "MacParity1", 9, 1 },
35668		{ "MacParity0", 8, 1 },
35669		{ "MacParityMaskSize", 4, 4 },
35670		{ "PortMap", 0, 4 },
35671	{ "MPS_CLS_SRAM_H", 0xe11c, 0 },
35672		{ "MacParity2", 10, 1 },
35673		{ "MacParity1", 9, 1 },
35674		{ "MacParity0", 8, 1 },
35675		{ "MacParityMaskSize", 4, 4 },
35676		{ "PortMap", 0, 4 },
35677	{ "MPS_CLS_SRAM_H", 0xe124, 0 },
35678		{ "MacParity2", 10, 1 },
35679		{ "MacParity1", 9, 1 },
35680		{ "MacParity0", 8, 1 },
35681		{ "MacParityMaskSize", 4, 4 },
35682		{ "PortMap", 0, 4 },
35683	{ "MPS_CLS_SRAM_H", 0xe12c, 0 },
35684		{ "MacParity2", 10, 1 },
35685		{ "MacParity1", 9, 1 },
35686		{ "MacParity0", 8, 1 },
35687		{ "MacParityMaskSize", 4, 4 },
35688		{ "PortMap", 0, 4 },
35689	{ "MPS_CLS_SRAM_H", 0xe134, 0 },
35690		{ "MacParity2", 10, 1 },
35691		{ "MacParity1", 9, 1 },
35692		{ "MacParity0", 8, 1 },
35693		{ "MacParityMaskSize", 4, 4 },
35694		{ "PortMap", 0, 4 },
35695	{ "MPS_CLS_SRAM_H", 0xe13c, 0 },
35696		{ "MacParity2", 10, 1 },
35697		{ "MacParity1", 9, 1 },
35698		{ "MacParity0", 8, 1 },
35699		{ "MacParityMaskSize", 4, 4 },
35700		{ "PortMap", 0, 4 },
35701	{ "MPS_CLS_SRAM_H", 0xe144, 0 },
35702		{ "MacParity2", 10, 1 },
35703		{ "MacParity1", 9, 1 },
35704		{ "MacParity0", 8, 1 },
35705		{ "MacParityMaskSize", 4, 4 },
35706		{ "PortMap", 0, 4 },
35707	{ "MPS_CLS_SRAM_H", 0xe14c, 0 },
35708		{ "MacParity2", 10, 1 },
35709		{ "MacParity1", 9, 1 },
35710		{ "MacParity0", 8, 1 },
35711		{ "MacParityMaskSize", 4, 4 },
35712		{ "PortMap", 0, 4 },
35713	{ "MPS_CLS_SRAM_H", 0xe154, 0 },
35714		{ "MacParity2", 10, 1 },
35715		{ "MacParity1", 9, 1 },
35716		{ "MacParity0", 8, 1 },
35717		{ "MacParityMaskSize", 4, 4 },
35718		{ "PortMap", 0, 4 },
35719	{ "MPS_CLS_SRAM_H", 0xe15c, 0 },
35720		{ "MacParity2", 10, 1 },
35721		{ "MacParity1", 9, 1 },
35722		{ "MacParity0", 8, 1 },
35723		{ "MacParityMaskSize", 4, 4 },
35724		{ "PortMap", 0, 4 },
35725	{ "MPS_CLS_SRAM_H", 0xe164, 0 },
35726		{ "MacParity2", 10, 1 },
35727		{ "MacParity1", 9, 1 },
35728		{ "MacParity0", 8, 1 },
35729		{ "MacParityMaskSize", 4, 4 },
35730		{ "PortMap", 0, 4 },
35731	{ "MPS_CLS_SRAM_H", 0xe16c, 0 },
35732		{ "MacParity2", 10, 1 },
35733		{ "MacParity1", 9, 1 },
35734		{ "MacParity0", 8, 1 },
35735		{ "MacParityMaskSize", 4, 4 },
35736		{ "PortMap", 0, 4 },
35737	{ "MPS_CLS_SRAM_H", 0xe174, 0 },
35738		{ "MacParity2", 10, 1 },
35739		{ "MacParity1", 9, 1 },
35740		{ "MacParity0", 8, 1 },
35741		{ "MacParityMaskSize", 4, 4 },
35742		{ "PortMap", 0, 4 },
35743	{ "MPS_CLS_SRAM_H", 0xe17c, 0 },
35744		{ "MacParity2", 10, 1 },
35745		{ "MacParity1", 9, 1 },
35746		{ "MacParity0", 8, 1 },
35747		{ "MacParityMaskSize", 4, 4 },
35748		{ "PortMap", 0, 4 },
35749	{ "MPS_CLS_SRAM_H", 0xe184, 0 },
35750		{ "MacParity2", 10, 1 },
35751		{ "MacParity1", 9, 1 },
35752		{ "MacParity0", 8, 1 },
35753		{ "MacParityMaskSize", 4, 4 },
35754		{ "PortMap", 0, 4 },
35755	{ "MPS_CLS_SRAM_H", 0xe18c, 0 },
35756		{ "MacParity2", 10, 1 },
35757		{ "MacParity1", 9, 1 },
35758		{ "MacParity0", 8, 1 },
35759		{ "MacParityMaskSize", 4, 4 },
35760		{ "PortMap", 0, 4 },
35761	{ "MPS_CLS_SRAM_H", 0xe194, 0 },
35762		{ "MacParity2", 10, 1 },
35763		{ "MacParity1", 9, 1 },
35764		{ "MacParity0", 8, 1 },
35765		{ "MacParityMaskSize", 4, 4 },
35766		{ "PortMap", 0, 4 },
35767	{ "MPS_CLS_SRAM_H", 0xe19c, 0 },
35768		{ "MacParity2", 10, 1 },
35769		{ "MacParity1", 9, 1 },
35770		{ "MacParity0", 8, 1 },
35771		{ "MacParityMaskSize", 4, 4 },
35772		{ "PortMap", 0, 4 },
35773	{ "MPS_CLS_SRAM_H", 0xe1a4, 0 },
35774		{ "MacParity2", 10, 1 },
35775		{ "MacParity1", 9, 1 },
35776		{ "MacParity0", 8, 1 },
35777		{ "MacParityMaskSize", 4, 4 },
35778		{ "PortMap", 0, 4 },
35779	{ "MPS_CLS_SRAM_H", 0xe1ac, 0 },
35780		{ "MacParity2", 10, 1 },
35781		{ "MacParity1", 9, 1 },
35782		{ "MacParity0", 8, 1 },
35783		{ "MacParityMaskSize", 4, 4 },
35784		{ "PortMap", 0, 4 },
35785	{ "MPS_CLS_SRAM_H", 0xe1b4, 0 },
35786		{ "MacParity2", 10, 1 },
35787		{ "MacParity1", 9, 1 },
35788		{ "MacParity0", 8, 1 },
35789		{ "MacParityMaskSize", 4, 4 },
35790		{ "PortMap", 0, 4 },
35791	{ "MPS_CLS_SRAM_H", 0xe1bc, 0 },
35792		{ "MacParity2", 10, 1 },
35793		{ "MacParity1", 9, 1 },
35794		{ "MacParity0", 8, 1 },
35795		{ "MacParityMaskSize", 4, 4 },
35796		{ "PortMap", 0, 4 },
35797	{ "MPS_CLS_SRAM_H", 0xe1c4, 0 },
35798		{ "MacParity2", 10, 1 },
35799		{ "MacParity1", 9, 1 },
35800		{ "MacParity0", 8, 1 },
35801		{ "MacParityMaskSize", 4, 4 },
35802		{ "PortMap", 0, 4 },
35803	{ "MPS_CLS_SRAM_H", 0xe1cc, 0 },
35804		{ "MacParity2", 10, 1 },
35805		{ "MacParity1", 9, 1 },
35806		{ "MacParity0", 8, 1 },
35807		{ "MacParityMaskSize", 4, 4 },
35808		{ "PortMap", 0, 4 },
35809	{ "MPS_CLS_SRAM_H", 0xe1d4, 0 },
35810		{ "MacParity2", 10, 1 },
35811		{ "MacParity1", 9, 1 },
35812		{ "MacParity0", 8, 1 },
35813		{ "MacParityMaskSize", 4, 4 },
35814		{ "PortMap", 0, 4 },
35815	{ "MPS_CLS_SRAM_H", 0xe1dc, 0 },
35816		{ "MacParity2", 10, 1 },
35817		{ "MacParity1", 9, 1 },
35818		{ "MacParity0", 8, 1 },
35819		{ "MacParityMaskSize", 4, 4 },
35820		{ "PortMap", 0, 4 },
35821	{ "MPS_CLS_SRAM_H", 0xe1e4, 0 },
35822		{ "MacParity2", 10, 1 },
35823		{ "MacParity1", 9, 1 },
35824		{ "MacParity0", 8, 1 },
35825		{ "MacParityMaskSize", 4, 4 },
35826		{ "PortMap", 0, 4 },
35827	{ "MPS_CLS_SRAM_H", 0xe1ec, 0 },
35828		{ "MacParity2", 10, 1 },
35829		{ "MacParity1", 9, 1 },
35830		{ "MacParity0", 8, 1 },
35831		{ "MacParityMaskSize", 4, 4 },
35832		{ "PortMap", 0, 4 },
35833	{ "MPS_CLS_SRAM_H", 0xe1f4, 0 },
35834		{ "MacParity2", 10, 1 },
35835		{ "MacParity1", 9, 1 },
35836		{ "MacParity0", 8, 1 },
35837		{ "MacParityMaskSize", 4, 4 },
35838		{ "PortMap", 0, 4 },
35839	{ "MPS_CLS_SRAM_H", 0xe1fc, 0 },
35840		{ "MacParity2", 10, 1 },
35841		{ "MacParity1", 9, 1 },
35842		{ "MacParity0", 8, 1 },
35843		{ "MacParityMaskSize", 4, 4 },
35844		{ "PortMap", 0, 4 },
35845	{ "MPS_CLS_SRAM_H", 0xe204, 0 },
35846		{ "MacParity2", 10, 1 },
35847		{ "MacParity1", 9, 1 },
35848		{ "MacParity0", 8, 1 },
35849		{ "MacParityMaskSize", 4, 4 },
35850		{ "PortMap", 0, 4 },
35851	{ "MPS_CLS_SRAM_H", 0xe20c, 0 },
35852		{ "MacParity2", 10, 1 },
35853		{ "MacParity1", 9, 1 },
35854		{ "MacParity0", 8, 1 },
35855		{ "MacParityMaskSize", 4, 4 },
35856		{ "PortMap", 0, 4 },
35857	{ "MPS_CLS_SRAM_H", 0xe214, 0 },
35858		{ "MacParity2", 10, 1 },
35859		{ "MacParity1", 9, 1 },
35860		{ "MacParity0", 8, 1 },
35861		{ "MacParityMaskSize", 4, 4 },
35862		{ "PortMap", 0, 4 },
35863	{ "MPS_CLS_SRAM_H", 0xe21c, 0 },
35864		{ "MacParity2", 10, 1 },
35865		{ "MacParity1", 9, 1 },
35866		{ "MacParity0", 8, 1 },
35867		{ "MacParityMaskSize", 4, 4 },
35868		{ "PortMap", 0, 4 },
35869	{ "MPS_CLS_SRAM_H", 0xe224, 0 },
35870		{ "MacParity2", 10, 1 },
35871		{ "MacParity1", 9, 1 },
35872		{ "MacParity0", 8, 1 },
35873		{ "MacParityMaskSize", 4, 4 },
35874		{ "PortMap", 0, 4 },
35875	{ "MPS_CLS_SRAM_H", 0xe22c, 0 },
35876		{ "MacParity2", 10, 1 },
35877		{ "MacParity1", 9, 1 },
35878		{ "MacParity0", 8, 1 },
35879		{ "MacParityMaskSize", 4, 4 },
35880		{ "PortMap", 0, 4 },
35881	{ "MPS_CLS_SRAM_H", 0xe234, 0 },
35882		{ "MacParity2", 10, 1 },
35883		{ "MacParity1", 9, 1 },
35884		{ "MacParity0", 8, 1 },
35885		{ "MacParityMaskSize", 4, 4 },
35886		{ "PortMap", 0, 4 },
35887	{ "MPS_CLS_SRAM_H", 0xe23c, 0 },
35888		{ "MacParity2", 10, 1 },
35889		{ "MacParity1", 9, 1 },
35890		{ "MacParity0", 8, 1 },
35891		{ "MacParityMaskSize", 4, 4 },
35892		{ "PortMap", 0, 4 },
35893	{ "MPS_CLS_SRAM_H", 0xe244, 0 },
35894		{ "MacParity2", 10, 1 },
35895		{ "MacParity1", 9, 1 },
35896		{ "MacParity0", 8, 1 },
35897		{ "MacParityMaskSize", 4, 4 },
35898		{ "PortMap", 0, 4 },
35899	{ "MPS_CLS_SRAM_H", 0xe24c, 0 },
35900		{ "MacParity2", 10, 1 },
35901		{ "MacParity1", 9, 1 },
35902		{ "MacParity0", 8, 1 },
35903		{ "MacParityMaskSize", 4, 4 },
35904		{ "PortMap", 0, 4 },
35905	{ "MPS_CLS_SRAM_H", 0xe254, 0 },
35906		{ "MacParity2", 10, 1 },
35907		{ "MacParity1", 9, 1 },
35908		{ "MacParity0", 8, 1 },
35909		{ "MacParityMaskSize", 4, 4 },
35910		{ "PortMap", 0, 4 },
35911	{ "MPS_CLS_SRAM_H", 0xe25c, 0 },
35912		{ "MacParity2", 10, 1 },
35913		{ "MacParity1", 9, 1 },
35914		{ "MacParity0", 8, 1 },
35915		{ "MacParityMaskSize", 4, 4 },
35916		{ "PortMap", 0, 4 },
35917	{ "MPS_CLS_SRAM_H", 0xe264, 0 },
35918		{ "MacParity2", 10, 1 },
35919		{ "MacParity1", 9, 1 },
35920		{ "MacParity0", 8, 1 },
35921		{ "MacParityMaskSize", 4, 4 },
35922		{ "PortMap", 0, 4 },
35923	{ "MPS_CLS_SRAM_H", 0xe26c, 0 },
35924		{ "MacParity2", 10, 1 },
35925		{ "MacParity1", 9, 1 },
35926		{ "MacParity0", 8, 1 },
35927		{ "MacParityMaskSize", 4, 4 },
35928		{ "PortMap", 0, 4 },
35929	{ "MPS_CLS_SRAM_H", 0xe274, 0 },
35930		{ "MacParity2", 10, 1 },
35931		{ "MacParity1", 9, 1 },
35932		{ "MacParity0", 8, 1 },
35933		{ "MacParityMaskSize", 4, 4 },
35934		{ "PortMap", 0, 4 },
35935	{ "MPS_CLS_SRAM_H", 0xe27c, 0 },
35936		{ "MacParity2", 10, 1 },
35937		{ "MacParity1", 9, 1 },
35938		{ "MacParity0", 8, 1 },
35939		{ "MacParityMaskSize", 4, 4 },
35940		{ "PortMap", 0, 4 },
35941	{ "MPS_CLS_SRAM_H", 0xe284, 0 },
35942		{ "MacParity2", 10, 1 },
35943		{ "MacParity1", 9, 1 },
35944		{ "MacParity0", 8, 1 },
35945		{ "MacParityMaskSize", 4, 4 },
35946		{ "PortMap", 0, 4 },
35947	{ "MPS_CLS_SRAM_H", 0xe28c, 0 },
35948		{ "MacParity2", 10, 1 },
35949		{ "MacParity1", 9, 1 },
35950		{ "MacParity0", 8, 1 },
35951		{ "MacParityMaskSize", 4, 4 },
35952		{ "PortMap", 0, 4 },
35953	{ "MPS_CLS_SRAM_H", 0xe294, 0 },
35954		{ "MacParity2", 10, 1 },
35955		{ "MacParity1", 9, 1 },
35956		{ "MacParity0", 8, 1 },
35957		{ "MacParityMaskSize", 4, 4 },
35958		{ "PortMap", 0, 4 },
35959	{ "MPS_CLS_SRAM_H", 0xe29c, 0 },
35960		{ "MacParity2", 10, 1 },
35961		{ "MacParity1", 9, 1 },
35962		{ "MacParity0", 8, 1 },
35963		{ "MacParityMaskSize", 4, 4 },
35964		{ "PortMap", 0, 4 },
35965	{ "MPS_CLS_SRAM_H", 0xe2a4, 0 },
35966		{ "MacParity2", 10, 1 },
35967		{ "MacParity1", 9, 1 },
35968		{ "MacParity0", 8, 1 },
35969		{ "MacParityMaskSize", 4, 4 },
35970		{ "PortMap", 0, 4 },
35971	{ "MPS_CLS_SRAM_H", 0xe2ac, 0 },
35972		{ "MacParity2", 10, 1 },
35973		{ "MacParity1", 9, 1 },
35974		{ "MacParity0", 8, 1 },
35975		{ "MacParityMaskSize", 4, 4 },
35976		{ "PortMap", 0, 4 },
35977	{ "MPS_CLS_SRAM_H", 0xe2b4, 0 },
35978		{ "MacParity2", 10, 1 },
35979		{ "MacParity1", 9, 1 },
35980		{ "MacParity0", 8, 1 },
35981		{ "MacParityMaskSize", 4, 4 },
35982		{ "PortMap", 0, 4 },
35983	{ "MPS_CLS_SRAM_H", 0xe2bc, 0 },
35984		{ "MacParity2", 10, 1 },
35985		{ "MacParity1", 9, 1 },
35986		{ "MacParity0", 8, 1 },
35987		{ "MacParityMaskSize", 4, 4 },
35988		{ "PortMap", 0, 4 },
35989	{ "MPS_CLS_SRAM_H", 0xe2c4, 0 },
35990		{ "MacParity2", 10, 1 },
35991		{ "MacParity1", 9, 1 },
35992		{ "MacParity0", 8, 1 },
35993		{ "MacParityMaskSize", 4, 4 },
35994		{ "PortMap", 0, 4 },
35995	{ "MPS_CLS_SRAM_H", 0xe2cc, 0 },
35996		{ "MacParity2", 10, 1 },
35997		{ "MacParity1", 9, 1 },
35998		{ "MacParity0", 8, 1 },
35999		{ "MacParityMaskSize", 4, 4 },
36000		{ "PortMap", 0, 4 },
36001	{ "MPS_CLS_SRAM_H", 0xe2d4, 0 },
36002		{ "MacParity2", 10, 1 },
36003		{ "MacParity1", 9, 1 },
36004		{ "MacParity0", 8, 1 },
36005		{ "MacParityMaskSize", 4, 4 },
36006		{ "PortMap", 0, 4 },
36007	{ "MPS_CLS_SRAM_H", 0xe2dc, 0 },
36008		{ "MacParity2", 10, 1 },
36009		{ "MacParity1", 9, 1 },
36010		{ "MacParity0", 8, 1 },
36011		{ "MacParityMaskSize", 4, 4 },
36012		{ "PortMap", 0, 4 },
36013	{ "MPS_CLS_SRAM_H", 0xe2e4, 0 },
36014		{ "MacParity2", 10, 1 },
36015		{ "MacParity1", 9, 1 },
36016		{ "MacParity0", 8, 1 },
36017		{ "MacParityMaskSize", 4, 4 },
36018		{ "PortMap", 0, 4 },
36019	{ "MPS_CLS_SRAM_H", 0xe2ec, 0 },
36020		{ "MacParity2", 10, 1 },
36021		{ "MacParity1", 9, 1 },
36022		{ "MacParity0", 8, 1 },
36023		{ "MacParityMaskSize", 4, 4 },
36024		{ "PortMap", 0, 4 },
36025	{ "MPS_CLS_SRAM_H", 0xe2f4, 0 },
36026		{ "MacParity2", 10, 1 },
36027		{ "MacParity1", 9, 1 },
36028		{ "MacParity0", 8, 1 },
36029		{ "MacParityMaskSize", 4, 4 },
36030		{ "PortMap", 0, 4 },
36031	{ "MPS_CLS_SRAM_H", 0xe2fc, 0 },
36032		{ "MacParity2", 10, 1 },
36033		{ "MacParity1", 9, 1 },
36034		{ "MacParity0", 8, 1 },
36035		{ "MacParityMaskSize", 4, 4 },
36036		{ "PortMap", 0, 4 },
36037	{ "MPS_CLS_SRAM_H", 0xe304, 0 },
36038		{ "MacParity2", 10, 1 },
36039		{ "MacParity1", 9, 1 },
36040		{ "MacParity0", 8, 1 },
36041		{ "MacParityMaskSize", 4, 4 },
36042		{ "PortMap", 0, 4 },
36043	{ "MPS_CLS_SRAM_H", 0xe30c, 0 },
36044		{ "MacParity2", 10, 1 },
36045		{ "MacParity1", 9, 1 },
36046		{ "MacParity0", 8, 1 },
36047		{ "MacParityMaskSize", 4, 4 },
36048		{ "PortMap", 0, 4 },
36049	{ "MPS_CLS_SRAM_H", 0xe314, 0 },
36050		{ "MacParity2", 10, 1 },
36051		{ "MacParity1", 9, 1 },
36052		{ "MacParity0", 8, 1 },
36053		{ "MacParityMaskSize", 4, 4 },
36054		{ "PortMap", 0, 4 },
36055	{ "MPS_CLS_SRAM_H", 0xe31c, 0 },
36056		{ "MacParity2", 10, 1 },
36057		{ "MacParity1", 9, 1 },
36058		{ "MacParity0", 8, 1 },
36059		{ "MacParityMaskSize", 4, 4 },
36060		{ "PortMap", 0, 4 },
36061	{ "MPS_CLS_SRAM_H", 0xe324, 0 },
36062		{ "MacParity2", 10, 1 },
36063		{ "MacParity1", 9, 1 },
36064		{ "MacParity0", 8, 1 },
36065		{ "MacParityMaskSize", 4, 4 },
36066		{ "PortMap", 0, 4 },
36067	{ "MPS_CLS_SRAM_H", 0xe32c, 0 },
36068		{ "MacParity2", 10, 1 },
36069		{ "MacParity1", 9, 1 },
36070		{ "MacParity0", 8, 1 },
36071		{ "MacParityMaskSize", 4, 4 },
36072		{ "PortMap", 0, 4 },
36073	{ "MPS_CLS_SRAM_H", 0xe334, 0 },
36074		{ "MacParity2", 10, 1 },
36075		{ "MacParity1", 9, 1 },
36076		{ "MacParity0", 8, 1 },
36077		{ "MacParityMaskSize", 4, 4 },
36078		{ "PortMap", 0, 4 },
36079	{ "MPS_CLS_SRAM_H", 0xe33c, 0 },
36080		{ "MacParity2", 10, 1 },
36081		{ "MacParity1", 9, 1 },
36082		{ "MacParity0", 8, 1 },
36083		{ "MacParityMaskSize", 4, 4 },
36084		{ "PortMap", 0, 4 },
36085	{ "MPS_CLS_SRAM_H", 0xe344, 0 },
36086		{ "MacParity2", 10, 1 },
36087		{ "MacParity1", 9, 1 },
36088		{ "MacParity0", 8, 1 },
36089		{ "MacParityMaskSize", 4, 4 },
36090		{ "PortMap", 0, 4 },
36091	{ "MPS_CLS_SRAM_H", 0xe34c, 0 },
36092		{ "MacParity2", 10, 1 },
36093		{ "MacParity1", 9, 1 },
36094		{ "MacParity0", 8, 1 },
36095		{ "MacParityMaskSize", 4, 4 },
36096		{ "PortMap", 0, 4 },
36097	{ "MPS_CLS_SRAM_H", 0xe354, 0 },
36098		{ "MacParity2", 10, 1 },
36099		{ "MacParity1", 9, 1 },
36100		{ "MacParity0", 8, 1 },
36101		{ "MacParityMaskSize", 4, 4 },
36102		{ "PortMap", 0, 4 },
36103	{ "MPS_CLS_SRAM_H", 0xe35c, 0 },
36104		{ "MacParity2", 10, 1 },
36105		{ "MacParity1", 9, 1 },
36106		{ "MacParity0", 8, 1 },
36107		{ "MacParityMaskSize", 4, 4 },
36108		{ "PortMap", 0, 4 },
36109	{ "MPS_CLS_SRAM_H", 0xe364, 0 },
36110		{ "MacParity2", 10, 1 },
36111		{ "MacParity1", 9, 1 },
36112		{ "MacParity0", 8, 1 },
36113		{ "MacParityMaskSize", 4, 4 },
36114		{ "PortMap", 0, 4 },
36115	{ "MPS_CLS_SRAM_H", 0xe36c, 0 },
36116		{ "MacParity2", 10, 1 },
36117		{ "MacParity1", 9, 1 },
36118		{ "MacParity0", 8, 1 },
36119		{ "MacParityMaskSize", 4, 4 },
36120		{ "PortMap", 0, 4 },
36121	{ "MPS_CLS_SRAM_H", 0xe374, 0 },
36122		{ "MacParity2", 10, 1 },
36123		{ "MacParity1", 9, 1 },
36124		{ "MacParity0", 8, 1 },
36125		{ "MacParityMaskSize", 4, 4 },
36126		{ "PortMap", 0, 4 },
36127	{ "MPS_CLS_SRAM_H", 0xe37c, 0 },
36128		{ "MacParity2", 10, 1 },
36129		{ "MacParity1", 9, 1 },
36130		{ "MacParity0", 8, 1 },
36131		{ "MacParityMaskSize", 4, 4 },
36132		{ "PortMap", 0, 4 },
36133	{ "MPS_CLS_SRAM_H", 0xe384, 0 },
36134		{ "MacParity2", 10, 1 },
36135		{ "MacParity1", 9, 1 },
36136		{ "MacParity0", 8, 1 },
36137		{ "MacParityMaskSize", 4, 4 },
36138		{ "PortMap", 0, 4 },
36139	{ "MPS_CLS_SRAM_H", 0xe38c, 0 },
36140		{ "MacParity2", 10, 1 },
36141		{ "MacParity1", 9, 1 },
36142		{ "MacParity0", 8, 1 },
36143		{ "MacParityMaskSize", 4, 4 },
36144		{ "PortMap", 0, 4 },
36145	{ "MPS_CLS_SRAM_H", 0xe394, 0 },
36146		{ "MacParity2", 10, 1 },
36147		{ "MacParity1", 9, 1 },
36148		{ "MacParity0", 8, 1 },
36149		{ "MacParityMaskSize", 4, 4 },
36150		{ "PortMap", 0, 4 },
36151	{ "MPS_CLS_SRAM_H", 0xe39c, 0 },
36152		{ "MacParity2", 10, 1 },
36153		{ "MacParity1", 9, 1 },
36154		{ "MacParity0", 8, 1 },
36155		{ "MacParityMaskSize", 4, 4 },
36156		{ "PortMap", 0, 4 },
36157	{ "MPS_CLS_SRAM_H", 0xe3a4, 0 },
36158		{ "MacParity2", 10, 1 },
36159		{ "MacParity1", 9, 1 },
36160		{ "MacParity0", 8, 1 },
36161		{ "MacParityMaskSize", 4, 4 },
36162		{ "PortMap", 0, 4 },
36163	{ "MPS_CLS_SRAM_H", 0xe3ac, 0 },
36164		{ "MacParity2", 10, 1 },
36165		{ "MacParity1", 9, 1 },
36166		{ "MacParity0", 8, 1 },
36167		{ "MacParityMaskSize", 4, 4 },
36168		{ "PortMap", 0, 4 },
36169	{ "MPS_CLS_SRAM_H", 0xe3b4, 0 },
36170		{ "MacParity2", 10, 1 },
36171		{ "MacParity1", 9, 1 },
36172		{ "MacParity0", 8, 1 },
36173		{ "MacParityMaskSize", 4, 4 },
36174		{ "PortMap", 0, 4 },
36175	{ "MPS_CLS_SRAM_H", 0xe3bc, 0 },
36176		{ "MacParity2", 10, 1 },
36177		{ "MacParity1", 9, 1 },
36178		{ "MacParity0", 8, 1 },
36179		{ "MacParityMaskSize", 4, 4 },
36180		{ "PortMap", 0, 4 },
36181	{ "MPS_CLS_SRAM_H", 0xe3c4, 0 },
36182		{ "MacParity2", 10, 1 },
36183		{ "MacParity1", 9, 1 },
36184		{ "MacParity0", 8, 1 },
36185		{ "MacParityMaskSize", 4, 4 },
36186		{ "PortMap", 0, 4 },
36187	{ "MPS_CLS_SRAM_H", 0xe3cc, 0 },
36188		{ "MacParity2", 10, 1 },
36189		{ "MacParity1", 9, 1 },
36190		{ "MacParity0", 8, 1 },
36191		{ "MacParityMaskSize", 4, 4 },
36192		{ "PortMap", 0, 4 },
36193	{ "MPS_CLS_SRAM_H", 0xe3d4, 0 },
36194		{ "MacParity2", 10, 1 },
36195		{ "MacParity1", 9, 1 },
36196		{ "MacParity0", 8, 1 },
36197		{ "MacParityMaskSize", 4, 4 },
36198		{ "PortMap", 0, 4 },
36199	{ "MPS_CLS_SRAM_H", 0xe3dc, 0 },
36200		{ "MacParity2", 10, 1 },
36201		{ "MacParity1", 9, 1 },
36202		{ "MacParity0", 8, 1 },
36203		{ "MacParityMaskSize", 4, 4 },
36204		{ "PortMap", 0, 4 },
36205	{ "MPS_CLS_SRAM_H", 0xe3e4, 0 },
36206		{ "MacParity2", 10, 1 },
36207		{ "MacParity1", 9, 1 },
36208		{ "MacParity0", 8, 1 },
36209		{ "MacParityMaskSize", 4, 4 },
36210		{ "PortMap", 0, 4 },
36211	{ "MPS_CLS_SRAM_H", 0xe3ec, 0 },
36212		{ "MacParity2", 10, 1 },
36213		{ "MacParity1", 9, 1 },
36214		{ "MacParity0", 8, 1 },
36215		{ "MacParityMaskSize", 4, 4 },
36216		{ "PortMap", 0, 4 },
36217	{ "MPS_CLS_SRAM_H", 0xe3f4, 0 },
36218		{ "MacParity2", 10, 1 },
36219		{ "MacParity1", 9, 1 },
36220		{ "MacParity0", 8, 1 },
36221		{ "MacParityMaskSize", 4, 4 },
36222		{ "PortMap", 0, 4 },
36223	{ "MPS_CLS_SRAM_H", 0xe3fc, 0 },
36224		{ "MacParity2", 10, 1 },
36225		{ "MacParity1", 9, 1 },
36226		{ "MacParity0", 8, 1 },
36227		{ "MacParityMaskSize", 4, 4 },
36228		{ "PortMap", 0, 4 },
36229	{ "MPS_CLS_SRAM_H", 0xe404, 0 },
36230		{ "MacParity2", 10, 1 },
36231		{ "MacParity1", 9, 1 },
36232		{ "MacParity0", 8, 1 },
36233		{ "MacParityMaskSize", 4, 4 },
36234		{ "PortMap", 0, 4 },
36235	{ "MPS_CLS_SRAM_H", 0xe40c, 0 },
36236		{ "MacParity2", 10, 1 },
36237		{ "MacParity1", 9, 1 },
36238		{ "MacParity0", 8, 1 },
36239		{ "MacParityMaskSize", 4, 4 },
36240		{ "PortMap", 0, 4 },
36241	{ "MPS_CLS_SRAM_H", 0xe414, 0 },
36242		{ "MacParity2", 10, 1 },
36243		{ "MacParity1", 9, 1 },
36244		{ "MacParity0", 8, 1 },
36245		{ "MacParityMaskSize", 4, 4 },
36246		{ "PortMap", 0, 4 },
36247	{ "MPS_CLS_SRAM_H", 0xe41c, 0 },
36248		{ "MacParity2", 10, 1 },
36249		{ "MacParity1", 9, 1 },
36250		{ "MacParity0", 8, 1 },
36251		{ "MacParityMaskSize", 4, 4 },
36252		{ "PortMap", 0, 4 },
36253	{ "MPS_CLS_SRAM_H", 0xe424, 0 },
36254		{ "MacParity2", 10, 1 },
36255		{ "MacParity1", 9, 1 },
36256		{ "MacParity0", 8, 1 },
36257		{ "MacParityMaskSize", 4, 4 },
36258		{ "PortMap", 0, 4 },
36259	{ "MPS_CLS_SRAM_H", 0xe42c, 0 },
36260		{ "MacParity2", 10, 1 },
36261		{ "MacParity1", 9, 1 },
36262		{ "MacParity0", 8, 1 },
36263		{ "MacParityMaskSize", 4, 4 },
36264		{ "PortMap", 0, 4 },
36265	{ "MPS_CLS_SRAM_H", 0xe434, 0 },
36266		{ "MacParity2", 10, 1 },
36267		{ "MacParity1", 9, 1 },
36268		{ "MacParity0", 8, 1 },
36269		{ "MacParityMaskSize", 4, 4 },
36270		{ "PortMap", 0, 4 },
36271	{ "MPS_CLS_SRAM_H", 0xe43c, 0 },
36272		{ "MacParity2", 10, 1 },
36273		{ "MacParity1", 9, 1 },
36274		{ "MacParity0", 8, 1 },
36275		{ "MacParityMaskSize", 4, 4 },
36276		{ "PortMap", 0, 4 },
36277	{ "MPS_CLS_SRAM_H", 0xe444, 0 },
36278		{ "MacParity2", 10, 1 },
36279		{ "MacParity1", 9, 1 },
36280		{ "MacParity0", 8, 1 },
36281		{ "MacParityMaskSize", 4, 4 },
36282		{ "PortMap", 0, 4 },
36283	{ "MPS_CLS_SRAM_H", 0xe44c, 0 },
36284		{ "MacParity2", 10, 1 },
36285		{ "MacParity1", 9, 1 },
36286		{ "MacParity0", 8, 1 },
36287		{ "MacParityMaskSize", 4, 4 },
36288		{ "PortMap", 0, 4 },
36289	{ "MPS_CLS_SRAM_H", 0xe454, 0 },
36290		{ "MacParity2", 10, 1 },
36291		{ "MacParity1", 9, 1 },
36292		{ "MacParity0", 8, 1 },
36293		{ "MacParityMaskSize", 4, 4 },
36294		{ "PortMap", 0, 4 },
36295	{ "MPS_CLS_SRAM_H", 0xe45c, 0 },
36296		{ "MacParity2", 10, 1 },
36297		{ "MacParity1", 9, 1 },
36298		{ "MacParity0", 8, 1 },
36299		{ "MacParityMaskSize", 4, 4 },
36300		{ "PortMap", 0, 4 },
36301	{ "MPS_CLS_SRAM_H", 0xe464, 0 },
36302		{ "MacParity2", 10, 1 },
36303		{ "MacParity1", 9, 1 },
36304		{ "MacParity0", 8, 1 },
36305		{ "MacParityMaskSize", 4, 4 },
36306		{ "PortMap", 0, 4 },
36307	{ "MPS_CLS_SRAM_H", 0xe46c, 0 },
36308		{ "MacParity2", 10, 1 },
36309		{ "MacParity1", 9, 1 },
36310		{ "MacParity0", 8, 1 },
36311		{ "MacParityMaskSize", 4, 4 },
36312		{ "PortMap", 0, 4 },
36313	{ "MPS_CLS_SRAM_H", 0xe474, 0 },
36314		{ "MacParity2", 10, 1 },
36315		{ "MacParity1", 9, 1 },
36316		{ "MacParity0", 8, 1 },
36317		{ "MacParityMaskSize", 4, 4 },
36318		{ "PortMap", 0, 4 },
36319	{ "MPS_CLS_SRAM_H", 0xe47c, 0 },
36320		{ "MacParity2", 10, 1 },
36321		{ "MacParity1", 9, 1 },
36322		{ "MacParity0", 8, 1 },
36323		{ "MacParityMaskSize", 4, 4 },
36324		{ "PortMap", 0, 4 },
36325	{ "MPS_CLS_SRAM_H", 0xe484, 0 },
36326		{ "MacParity2", 10, 1 },
36327		{ "MacParity1", 9, 1 },
36328		{ "MacParity0", 8, 1 },
36329		{ "MacParityMaskSize", 4, 4 },
36330		{ "PortMap", 0, 4 },
36331	{ "MPS_CLS_SRAM_H", 0xe48c, 0 },
36332		{ "MacParity2", 10, 1 },
36333		{ "MacParity1", 9, 1 },
36334		{ "MacParity0", 8, 1 },
36335		{ "MacParityMaskSize", 4, 4 },
36336		{ "PortMap", 0, 4 },
36337	{ "MPS_CLS_SRAM_H", 0xe494, 0 },
36338		{ "MacParity2", 10, 1 },
36339		{ "MacParity1", 9, 1 },
36340		{ "MacParity0", 8, 1 },
36341		{ "MacParityMaskSize", 4, 4 },
36342		{ "PortMap", 0, 4 },
36343	{ "MPS_CLS_SRAM_H", 0xe49c, 0 },
36344		{ "MacParity2", 10, 1 },
36345		{ "MacParity1", 9, 1 },
36346		{ "MacParity0", 8, 1 },
36347		{ "MacParityMaskSize", 4, 4 },
36348		{ "PortMap", 0, 4 },
36349	{ "MPS_CLS_SRAM_H", 0xe4a4, 0 },
36350		{ "MacParity2", 10, 1 },
36351		{ "MacParity1", 9, 1 },
36352		{ "MacParity0", 8, 1 },
36353		{ "MacParityMaskSize", 4, 4 },
36354		{ "PortMap", 0, 4 },
36355	{ "MPS_CLS_SRAM_H", 0xe4ac, 0 },
36356		{ "MacParity2", 10, 1 },
36357		{ "MacParity1", 9, 1 },
36358		{ "MacParity0", 8, 1 },
36359		{ "MacParityMaskSize", 4, 4 },
36360		{ "PortMap", 0, 4 },
36361	{ "MPS_CLS_SRAM_H", 0xe4b4, 0 },
36362		{ "MacParity2", 10, 1 },
36363		{ "MacParity1", 9, 1 },
36364		{ "MacParity0", 8, 1 },
36365		{ "MacParityMaskSize", 4, 4 },
36366		{ "PortMap", 0, 4 },
36367	{ "MPS_CLS_SRAM_H", 0xe4bc, 0 },
36368		{ "MacParity2", 10, 1 },
36369		{ "MacParity1", 9, 1 },
36370		{ "MacParity0", 8, 1 },
36371		{ "MacParityMaskSize", 4, 4 },
36372		{ "PortMap", 0, 4 },
36373	{ "MPS_CLS_SRAM_H", 0xe4c4, 0 },
36374		{ "MacParity2", 10, 1 },
36375		{ "MacParity1", 9, 1 },
36376		{ "MacParity0", 8, 1 },
36377		{ "MacParityMaskSize", 4, 4 },
36378		{ "PortMap", 0, 4 },
36379	{ "MPS_CLS_SRAM_H", 0xe4cc, 0 },
36380		{ "MacParity2", 10, 1 },
36381		{ "MacParity1", 9, 1 },
36382		{ "MacParity0", 8, 1 },
36383		{ "MacParityMaskSize", 4, 4 },
36384		{ "PortMap", 0, 4 },
36385	{ "MPS_CLS_SRAM_H", 0xe4d4, 0 },
36386		{ "MacParity2", 10, 1 },
36387		{ "MacParity1", 9, 1 },
36388		{ "MacParity0", 8, 1 },
36389		{ "MacParityMaskSize", 4, 4 },
36390		{ "PortMap", 0, 4 },
36391	{ "MPS_CLS_SRAM_H", 0xe4dc, 0 },
36392		{ "MacParity2", 10, 1 },
36393		{ "MacParity1", 9, 1 },
36394		{ "MacParity0", 8, 1 },
36395		{ "MacParityMaskSize", 4, 4 },
36396		{ "PortMap", 0, 4 },
36397	{ "MPS_CLS_SRAM_H", 0xe4e4, 0 },
36398		{ "MacParity2", 10, 1 },
36399		{ "MacParity1", 9, 1 },
36400		{ "MacParity0", 8, 1 },
36401		{ "MacParityMaskSize", 4, 4 },
36402		{ "PortMap", 0, 4 },
36403	{ "MPS_CLS_SRAM_H", 0xe4ec, 0 },
36404		{ "MacParity2", 10, 1 },
36405		{ "MacParity1", 9, 1 },
36406		{ "MacParity0", 8, 1 },
36407		{ "MacParityMaskSize", 4, 4 },
36408		{ "PortMap", 0, 4 },
36409	{ "MPS_CLS_SRAM_H", 0xe4f4, 0 },
36410		{ "MacParity2", 10, 1 },
36411		{ "MacParity1", 9, 1 },
36412		{ "MacParity0", 8, 1 },
36413		{ "MacParityMaskSize", 4, 4 },
36414		{ "PortMap", 0, 4 },
36415	{ "MPS_CLS_SRAM_H", 0xe4fc, 0 },
36416		{ "MacParity2", 10, 1 },
36417		{ "MacParity1", 9, 1 },
36418		{ "MacParity0", 8, 1 },
36419		{ "MacParityMaskSize", 4, 4 },
36420		{ "PortMap", 0, 4 },
36421	{ "MPS_CLS_SRAM_H", 0xe504, 0 },
36422		{ "MacParity2", 10, 1 },
36423		{ "MacParity1", 9, 1 },
36424		{ "MacParity0", 8, 1 },
36425		{ "MacParityMaskSize", 4, 4 },
36426		{ "PortMap", 0, 4 },
36427	{ "MPS_CLS_SRAM_H", 0xe50c, 0 },
36428		{ "MacParity2", 10, 1 },
36429		{ "MacParity1", 9, 1 },
36430		{ "MacParity0", 8, 1 },
36431		{ "MacParityMaskSize", 4, 4 },
36432		{ "PortMap", 0, 4 },
36433	{ "MPS_CLS_SRAM_H", 0xe514, 0 },
36434		{ "MacParity2", 10, 1 },
36435		{ "MacParity1", 9, 1 },
36436		{ "MacParity0", 8, 1 },
36437		{ "MacParityMaskSize", 4, 4 },
36438		{ "PortMap", 0, 4 },
36439	{ "MPS_CLS_SRAM_H", 0xe51c, 0 },
36440		{ "MacParity2", 10, 1 },
36441		{ "MacParity1", 9, 1 },
36442		{ "MacParity0", 8, 1 },
36443		{ "MacParityMaskSize", 4, 4 },
36444		{ "PortMap", 0, 4 },
36445	{ "MPS_CLS_SRAM_H", 0xe524, 0 },
36446		{ "MacParity2", 10, 1 },
36447		{ "MacParity1", 9, 1 },
36448		{ "MacParity0", 8, 1 },
36449		{ "MacParityMaskSize", 4, 4 },
36450		{ "PortMap", 0, 4 },
36451	{ "MPS_CLS_SRAM_H", 0xe52c, 0 },
36452		{ "MacParity2", 10, 1 },
36453		{ "MacParity1", 9, 1 },
36454		{ "MacParity0", 8, 1 },
36455		{ "MacParityMaskSize", 4, 4 },
36456		{ "PortMap", 0, 4 },
36457	{ "MPS_CLS_SRAM_H", 0xe534, 0 },
36458		{ "MacParity2", 10, 1 },
36459		{ "MacParity1", 9, 1 },
36460		{ "MacParity0", 8, 1 },
36461		{ "MacParityMaskSize", 4, 4 },
36462		{ "PortMap", 0, 4 },
36463	{ "MPS_CLS_SRAM_H", 0xe53c, 0 },
36464		{ "MacParity2", 10, 1 },
36465		{ "MacParity1", 9, 1 },
36466		{ "MacParity0", 8, 1 },
36467		{ "MacParityMaskSize", 4, 4 },
36468		{ "PortMap", 0, 4 },
36469	{ "MPS_CLS_SRAM_H", 0xe544, 0 },
36470		{ "MacParity2", 10, 1 },
36471		{ "MacParity1", 9, 1 },
36472		{ "MacParity0", 8, 1 },
36473		{ "MacParityMaskSize", 4, 4 },
36474		{ "PortMap", 0, 4 },
36475	{ "MPS_CLS_SRAM_H", 0xe54c, 0 },
36476		{ "MacParity2", 10, 1 },
36477		{ "MacParity1", 9, 1 },
36478		{ "MacParity0", 8, 1 },
36479		{ "MacParityMaskSize", 4, 4 },
36480		{ "PortMap", 0, 4 },
36481	{ "MPS_CLS_SRAM_H", 0xe554, 0 },
36482		{ "MacParity2", 10, 1 },
36483		{ "MacParity1", 9, 1 },
36484		{ "MacParity0", 8, 1 },
36485		{ "MacParityMaskSize", 4, 4 },
36486		{ "PortMap", 0, 4 },
36487	{ "MPS_CLS_SRAM_H", 0xe55c, 0 },
36488		{ "MacParity2", 10, 1 },
36489		{ "MacParity1", 9, 1 },
36490		{ "MacParity0", 8, 1 },
36491		{ "MacParityMaskSize", 4, 4 },
36492		{ "PortMap", 0, 4 },
36493	{ "MPS_CLS_SRAM_H", 0xe564, 0 },
36494		{ "MacParity2", 10, 1 },
36495		{ "MacParity1", 9, 1 },
36496		{ "MacParity0", 8, 1 },
36497		{ "MacParityMaskSize", 4, 4 },
36498		{ "PortMap", 0, 4 },
36499	{ "MPS_CLS_SRAM_H", 0xe56c, 0 },
36500		{ "MacParity2", 10, 1 },
36501		{ "MacParity1", 9, 1 },
36502		{ "MacParity0", 8, 1 },
36503		{ "MacParityMaskSize", 4, 4 },
36504		{ "PortMap", 0, 4 },
36505	{ "MPS_CLS_SRAM_H", 0xe574, 0 },
36506		{ "MacParity2", 10, 1 },
36507		{ "MacParity1", 9, 1 },
36508		{ "MacParity0", 8, 1 },
36509		{ "MacParityMaskSize", 4, 4 },
36510		{ "PortMap", 0, 4 },
36511	{ "MPS_CLS_SRAM_H", 0xe57c, 0 },
36512		{ "MacParity2", 10, 1 },
36513		{ "MacParity1", 9, 1 },
36514		{ "MacParity0", 8, 1 },
36515		{ "MacParityMaskSize", 4, 4 },
36516		{ "PortMap", 0, 4 },
36517	{ "MPS_CLS_SRAM_H", 0xe584, 0 },
36518		{ "MacParity2", 10, 1 },
36519		{ "MacParity1", 9, 1 },
36520		{ "MacParity0", 8, 1 },
36521		{ "MacParityMaskSize", 4, 4 },
36522		{ "PortMap", 0, 4 },
36523	{ "MPS_CLS_SRAM_H", 0xe58c, 0 },
36524		{ "MacParity2", 10, 1 },
36525		{ "MacParity1", 9, 1 },
36526		{ "MacParity0", 8, 1 },
36527		{ "MacParityMaskSize", 4, 4 },
36528		{ "PortMap", 0, 4 },
36529	{ "MPS_CLS_SRAM_H", 0xe594, 0 },
36530		{ "MacParity2", 10, 1 },
36531		{ "MacParity1", 9, 1 },
36532		{ "MacParity0", 8, 1 },
36533		{ "MacParityMaskSize", 4, 4 },
36534		{ "PortMap", 0, 4 },
36535	{ "MPS_CLS_SRAM_H", 0xe59c, 0 },
36536		{ "MacParity2", 10, 1 },
36537		{ "MacParity1", 9, 1 },
36538		{ "MacParity0", 8, 1 },
36539		{ "MacParityMaskSize", 4, 4 },
36540		{ "PortMap", 0, 4 },
36541	{ "MPS_CLS_SRAM_H", 0xe5a4, 0 },
36542		{ "MacParity2", 10, 1 },
36543		{ "MacParity1", 9, 1 },
36544		{ "MacParity0", 8, 1 },
36545		{ "MacParityMaskSize", 4, 4 },
36546		{ "PortMap", 0, 4 },
36547	{ "MPS_CLS_SRAM_H", 0xe5ac, 0 },
36548		{ "MacParity2", 10, 1 },
36549		{ "MacParity1", 9, 1 },
36550		{ "MacParity0", 8, 1 },
36551		{ "MacParityMaskSize", 4, 4 },
36552		{ "PortMap", 0, 4 },
36553	{ "MPS_CLS_SRAM_H", 0xe5b4, 0 },
36554		{ "MacParity2", 10, 1 },
36555		{ "MacParity1", 9, 1 },
36556		{ "MacParity0", 8, 1 },
36557		{ "MacParityMaskSize", 4, 4 },
36558		{ "PortMap", 0, 4 },
36559	{ "MPS_CLS_SRAM_H", 0xe5bc, 0 },
36560		{ "MacParity2", 10, 1 },
36561		{ "MacParity1", 9, 1 },
36562		{ "MacParity0", 8, 1 },
36563		{ "MacParityMaskSize", 4, 4 },
36564		{ "PortMap", 0, 4 },
36565	{ "MPS_CLS_SRAM_H", 0xe5c4, 0 },
36566		{ "MacParity2", 10, 1 },
36567		{ "MacParity1", 9, 1 },
36568		{ "MacParity0", 8, 1 },
36569		{ "MacParityMaskSize", 4, 4 },
36570		{ "PortMap", 0, 4 },
36571	{ "MPS_CLS_SRAM_H", 0xe5cc, 0 },
36572		{ "MacParity2", 10, 1 },
36573		{ "MacParity1", 9, 1 },
36574		{ "MacParity0", 8, 1 },
36575		{ "MacParityMaskSize", 4, 4 },
36576		{ "PortMap", 0, 4 },
36577	{ "MPS_CLS_SRAM_H", 0xe5d4, 0 },
36578		{ "MacParity2", 10, 1 },
36579		{ "MacParity1", 9, 1 },
36580		{ "MacParity0", 8, 1 },
36581		{ "MacParityMaskSize", 4, 4 },
36582		{ "PortMap", 0, 4 },
36583	{ "MPS_CLS_SRAM_H", 0xe5dc, 0 },
36584		{ "MacParity2", 10, 1 },
36585		{ "MacParity1", 9, 1 },
36586		{ "MacParity0", 8, 1 },
36587		{ "MacParityMaskSize", 4, 4 },
36588		{ "PortMap", 0, 4 },
36589	{ "MPS_CLS_SRAM_H", 0xe5e4, 0 },
36590		{ "MacParity2", 10, 1 },
36591		{ "MacParity1", 9, 1 },
36592		{ "MacParity0", 8, 1 },
36593		{ "MacParityMaskSize", 4, 4 },
36594		{ "PortMap", 0, 4 },
36595	{ "MPS_CLS_SRAM_H", 0xe5ec, 0 },
36596		{ "MacParity2", 10, 1 },
36597		{ "MacParity1", 9, 1 },
36598		{ "MacParity0", 8, 1 },
36599		{ "MacParityMaskSize", 4, 4 },
36600		{ "PortMap", 0, 4 },
36601	{ "MPS_CLS_SRAM_H", 0xe5f4, 0 },
36602		{ "MacParity2", 10, 1 },
36603		{ "MacParity1", 9, 1 },
36604		{ "MacParity0", 8, 1 },
36605		{ "MacParityMaskSize", 4, 4 },
36606		{ "PortMap", 0, 4 },
36607	{ "MPS_CLS_SRAM_H", 0xe5fc, 0 },
36608		{ "MacParity2", 10, 1 },
36609		{ "MacParity1", 9, 1 },
36610		{ "MacParity0", 8, 1 },
36611		{ "MacParityMaskSize", 4, 4 },
36612		{ "PortMap", 0, 4 },
36613	{ "MPS_CLS_SRAM_H", 0xe604, 0 },
36614		{ "MacParity2", 10, 1 },
36615		{ "MacParity1", 9, 1 },
36616		{ "MacParity0", 8, 1 },
36617		{ "MacParityMaskSize", 4, 4 },
36618		{ "PortMap", 0, 4 },
36619	{ "MPS_CLS_SRAM_H", 0xe60c, 0 },
36620		{ "MacParity2", 10, 1 },
36621		{ "MacParity1", 9, 1 },
36622		{ "MacParity0", 8, 1 },
36623		{ "MacParityMaskSize", 4, 4 },
36624		{ "PortMap", 0, 4 },
36625	{ "MPS_CLS_SRAM_H", 0xe614, 0 },
36626		{ "MacParity2", 10, 1 },
36627		{ "MacParity1", 9, 1 },
36628		{ "MacParity0", 8, 1 },
36629		{ "MacParityMaskSize", 4, 4 },
36630		{ "PortMap", 0, 4 },
36631	{ "MPS_CLS_SRAM_H", 0xe61c, 0 },
36632		{ "MacParity2", 10, 1 },
36633		{ "MacParity1", 9, 1 },
36634		{ "MacParity0", 8, 1 },
36635		{ "MacParityMaskSize", 4, 4 },
36636		{ "PortMap", 0, 4 },
36637	{ "MPS_CLS_SRAM_H", 0xe624, 0 },
36638		{ "MacParity2", 10, 1 },
36639		{ "MacParity1", 9, 1 },
36640		{ "MacParity0", 8, 1 },
36641		{ "MacParityMaskSize", 4, 4 },
36642		{ "PortMap", 0, 4 },
36643	{ "MPS_CLS_SRAM_H", 0xe62c, 0 },
36644		{ "MacParity2", 10, 1 },
36645		{ "MacParity1", 9, 1 },
36646		{ "MacParity0", 8, 1 },
36647		{ "MacParityMaskSize", 4, 4 },
36648		{ "PortMap", 0, 4 },
36649	{ "MPS_CLS_SRAM_H", 0xe634, 0 },
36650		{ "MacParity2", 10, 1 },
36651		{ "MacParity1", 9, 1 },
36652		{ "MacParity0", 8, 1 },
36653		{ "MacParityMaskSize", 4, 4 },
36654		{ "PortMap", 0, 4 },
36655	{ "MPS_CLS_SRAM_H", 0xe63c, 0 },
36656		{ "MacParity2", 10, 1 },
36657		{ "MacParity1", 9, 1 },
36658		{ "MacParity0", 8, 1 },
36659		{ "MacParityMaskSize", 4, 4 },
36660		{ "PortMap", 0, 4 },
36661	{ "MPS_CLS_SRAM_H", 0xe644, 0 },
36662		{ "MacParity2", 10, 1 },
36663		{ "MacParity1", 9, 1 },
36664		{ "MacParity0", 8, 1 },
36665		{ "MacParityMaskSize", 4, 4 },
36666		{ "PortMap", 0, 4 },
36667	{ "MPS_CLS_SRAM_H", 0xe64c, 0 },
36668		{ "MacParity2", 10, 1 },
36669		{ "MacParity1", 9, 1 },
36670		{ "MacParity0", 8, 1 },
36671		{ "MacParityMaskSize", 4, 4 },
36672		{ "PortMap", 0, 4 },
36673	{ "MPS_CLS_SRAM_H", 0xe654, 0 },
36674		{ "MacParity2", 10, 1 },
36675		{ "MacParity1", 9, 1 },
36676		{ "MacParity0", 8, 1 },
36677		{ "MacParityMaskSize", 4, 4 },
36678		{ "PortMap", 0, 4 },
36679	{ "MPS_CLS_SRAM_H", 0xe65c, 0 },
36680		{ "MacParity2", 10, 1 },
36681		{ "MacParity1", 9, 1 },
36682		{ "MacParity0", 8, 1 },
36683		{ "MacParityMaskSize", 4, 4 },
36684		{ "PortMap", 0, 4 },
36685	{ "MPS_CLS_SRAM_H", 0xe664, 0 },
36686		{ "MacParity2", 10, 1 },
36687		{ "MacParity1", 9, 1 },
36688		{ "MacParity0", 8, 1 },
36689		{ "MacParityMaskSize", 4, 4 },
36690		{ "PortMap", 0, 4 },
36691	{ "MPS_CLS_SRAM_H", 0xe66c, 0 },
36692		{ "MacParity2", 10, 1 },
36693		{ "MacParity1", 9, 1 },
36694		{ "MacParity0", 8, 1 },
36695		{ "MacParityMaskSize", 4, 4 },
36696		{ "PortMap", 0, 4 },
36697	{ "MPS_CLS_SRAM_H", 0xe674, 0 },
36698		{ "MacParity2", 10, 1 },
36699		{ "MacParity1", 9, 1 },
36700		{ "MacParity0", 8, 1 },
36701		{ "MacParityMaskSize", 4, 4 },
36702		{ "PortMap", 0, 4 },
36703	{ "MPS_CLS_SRAM_H", 0xe67c, 0 },
36704		{ "MacParity2", 10, 1 },
36705		{ "MacParity1", 9, 1 },
36706		{ "MacParity0", 8, 1 },
36707		{ "MacParityMaskSize", 4, 4 },
36708		{ "PortMap", 0, 4 },
36709	{ "MPS_CLS_SRAM_H", 0xe684, 0 },
36710		{ "MacParity2", 10, 1 },
36711		{ "MacParity1", 9, 1 },
36712		{ "MacParity0", 8, 1 },
36713		{ "MacParityMaskSize", 4, 4 },
36714		{ "PortMap", 0, 4 },
36715	{ "MPS_CLS_SRAM_H", 0xe68c, 0 },
36716		{ "MacParity2", 10, 1 },
36717		{ "MacParity1", 9, 1 },
36718		{ "MacParity0", 8, 1 },
36719		{ "MacParityMaskSize", 4, 4 },
36720		{ "PortMap", 0, 4 },
36721	{ "MPS_CLS_SRAM_H", 0xe694, 0 },
36722		{ "MacParity2", 10, 1 },
36723		{ "MacParity1", 9, 1 },
36724		{ "MacParity0", 8, 1 },
36725		{ "MacParityMaskSize", 4, 4 },
36726		{ "PortMap", 0, 4 },
36727	{ "MPS_CLS_SRAM_H", 0xe69c, 0 },
36728		{ "MacParity2", 10, 1 },
36729		{ "MacParity1", 9, 1 },
36730		{ "MacParity0", 8, 1 },
36731		{ "MacParityMaskSize", 4, 4 },
36732		{ "PortMap", 0, 4 },
36733	{ "MPS_CLS_SRAM_H", 0xe6a4, 0 },
36734		{ "MacParity2", 10, 1 },
36735		{ "MacParity1", 9, 1 },
36736		{ "MacParity0", 8, 1 },
36737		{ "MacParityMaskSize", 4, 4 },
36738		{ "PortMap", 0, 4 },
36739	{ "MPS_CLS_SRAM_H", 0xe6ac, 0 },
36740		{ "MacParity2", 10, 1 },
36741		{ "MacParity1", 9, 1 },
36742		{ "MacParity0", 8, 1 },
36743		{ "MacParityMaskSize", 4, 4 },
36744		{ "PortMap", 0, 4 },
36745	{ "MPS_CLS_SRAM_H", 0xe6b4, 0 },
36746		{ "MacParity2", 10, 1 },
36747		{ "MacParity1", 9, 1 },
36748		{ "MacParity0", 8, 1 },
36749		{ "MacParityMaskSize", 4, 4 },
36750		{ "PortMap", 0, 4 },
36751	{ "MPS_CLS_SRAM_H", 0xe6bc, 0 },
36752		{ "MacParity2", 10, 1 },
36753		{ "MacParity1", 9, 1 },
36754		{ "MacParity0", 8, 1 },
36755		{ "MacParityMaskSize", 4, 4 },
36756		{ "PortMap", 0, 4 },
36757	{ "MPS_CLS_SRAM_H", 0xe6c4, 0 },
36758		{ "MacParity2", 10, 1 },
36759		{ "MacParity1", 9, 1 },
36760		{ "MacParity0", 8, 1 },
36761		{ "MacParityMaskSize", 4, 4 },
36762		{ "PortMap", 0, 4 },
36763	{ "MPS_CLS_SRAM_H", 0xe6cc, 0 },
36764		{ "MacParity2", 10, 1 },
36765		{ "MacParity1", 9, 1 },
36766		{ "MacParity0", 8, 1 },
36767		{ "MacParityMaskSize", 4, 4 },
36768		{ "PortMap", 0, 4 },
36769	{ "MPS_CLS_SRAM_H", 0xe6d4, 0 },
36770		{ "MacParity2", 10, 1 },
36771		{ "MacParity1", 9, 1 },
36772		{ "MacParity0", 8, 1 },
36773		{ "MacParityMaskSize", 4, 4 },
36774		{ "PortMap", 0, 4 },
36775	{ "MPS_CLS_SRAM_H", 0xe6dc, 0 },
36776		{ "MacParity2", 10, 1 },
36777		{ "MacParity1", 9, 1 },
36778		{ "MacParity0", 8, 1 },
36779		{ "MacParityMaskSize", 4, 4 },
36780		{ "PortMap", 0, 4 },
36781	{ "MPS_CLS_SRAM_H", 0xe6e4, 0 },
36782		{ "MacParity2", 10, 1 },
36783		{ "MacParity1", 9, 1 },
36784		{ "MacParity0", 8, 1 },
36785		{ "MacParityMaskSize", 4, 4 },
36786		{ "PortMap", 0, 4 },
36787	{ "MPS_CLS_SRAM_H", 0xe6ec, 0 },
36788		{ "MacParity2", 10, 1 },
36789		{ "MacParity1", 9, 1 },
36790		{ "MacParity0", 8, 1 },
36791		{ "MacParityMaskSize", 4, 4 },
36792		{ "PortMap", 0, 4 },
36793	{ "MPS_CLS_SRAM_H", 0xe6f4, 0 },
36794		{ "MacParity2", 10, 1 },
36795		{ "MacParity1", 9, 1 },
36796		{ "MacParity0", 8, 1 },
36797		{ "MacParityMaskSize", 4, 4 },
36798		{ "PortMap", 0, 4 },
36799	{ "MPS_CLS_SRAM_H", 0xe6fc, 0 },
36800		{ "MacParity2", 10, 1 },
36801		{ "MacParity1", 9, 1 },
36802		{ "MacParity0", 8, 1 },
36803		{ "MacParityMaskSize", 4, 4 },
36804		{ "PortMap", 0, 4 },
36805	{ "MPS_CLS_SRAM_H", 0xe704, 0 },
36806		{ "MacParity2", 10, 1 },
36807		{ "MacParity1", 9, 1 },
36808		{ "MacParity0", 8, 1 },
36809		{ "MacParityMaskSize", 4, 4 },
36810		{ "PortMap", 0, 4 },
36811	{ "MPS_CLS_SRAM_H", 0xe70c, 0 },
36812		{ "MacParity2", 10, 1 },
36813		{ "MacParity1", 9, 1 },
36814		{ "MacParity0", 8, 1 },
36815		{ "MacParityMaskSize", 4, 4 },
36816		{ "PortMap", 0, 4 },
36817	{ "MPS_CLS_SRAM_H", 0xe714, 0 },
36818		{ "MacParity2", 10, 1 },
36819		{ "MacParity1", 9, 1 },
36820		{ "MacParity0", 8, 1 },
36821		{ "MacParityMaskSize", 4, 4 },
36822		{ "PortMap", 0, 4 },
36823	{ "MPS_CLS_SRAM_H", 0xe71c, 0 },
36824		{ "MacParity2", 10, 1 },
36825		{ "MacParity1", 9, 1 },
36826		{ "MacParity0", 8, 1 },
36827		{ "MacParityMaskSize", 4, 4 },
36828		{ "PortMap", 0, 4 },
36829	{ "MPS_CLS_SRAM_H", 0xe724, 0 },
36830		{ "MacParity2", 10, 1 },
36831		{ "MacParity1", 9, 1 },
36832		{ "MacParity0", 8, 1 },
36833		{ "MacParityMaskSize", 4, 4 },
36834		{ "PortMap", 0, 4 },
36835	{ "MPS_CLS_SRAM_H", 0xe72c, 0 },
36836		{ "MacParity2", 10, 1 },
36837		{ "MacParity1", 9, 1 },
36838		{ "MacParity0", 8, 1 },
36839		{ "MacParityMaskSize", 4, 4 },
36840		{ "PortMap", 0, 4 },
36841	{ "MPS_CLS_SRAM_H", 0xe734, 0 },
36842		{ "MacParity2", 10, 1 },
36843		{ "MacParity1", 9, 1 },
36844		{ "MacParity0", 8, 1 },
36845		{ "MacParityMaskSize", 4, 4 },
36846		{ "PortMap", 0, 4 },
36847	{ "MPS_CLS_SRAM_H", 0xe73c, 0 },
36848		{ "MacParity2", 10, 1 },
36849		{ "MacParity1", 9, 1 },
36850		{ "MacParity0", 8, 1 },
36851		{ "MacParityMaskSize", 4, 4 },
36852		{ "PortMap", 0, 4 },
36853	{ "MPS_CLS_SRAM_H", 0xe744, 0 },
36854		{ "MacParity2", 10, 1 },
36855		{ "MacParity1", 9, 1 },
36856		{ "MacParity0", 8, 1 },
36857		{ "MacParityMaskSize", 4, 4 },
36858		{ "PortMap", 0, 4 },
36859	{ "MPS_CLS_SRAM_H", 0xe74c, 0 },
36860		{ "MacParity2", 10, 1 },
36861		{ "MacParity1", 9, 1 },
36862		{ "MacParity0", 8, 1 },
36863		{ "MacParityMaskSize", 4, 4 },
36864		{ "PortMap", 0, 4 },
36865	{ "MPS_CLS_SRAM_H", 0xe754, 0 },
36866		{ "MacParity2", 10, 1 },
36867		{ "MacParity1", 9, 1 },
36868		{ "MacParity0", 8, 1 },
36869		{ "MacParityMaskSize", 4, 4 },
36870		{ "PortMap", 0, 4 },
36871	{ "MPS_CLS_SRAM_H", 0xe75c, 0 },
36872		{ "MacParity2", 10, 1 },
36873		{ "MacParity1", 9, 1 },
36874		{ "MacParity0", 8, 1 },
36875		{ "MacParityMaskSize", 4, 4 },
36876		{ "PortMap", 0, 4 },
36877	{ "MPS_CLS_SRAM_H", 0xe764, 0 },
36878		{ "MacParity2", 10, 1 },
36879		{ "MacParity1", 9, 1 },
36880		{ "MacParity0", 8, 1 },
36881		{ "MacParityMaskSize", 4, 4 },
36882		{ "PortMap", 0, 4 },
36883	{ "MPS_CLS_SRAM_H", 0xe76c, 0 },
36884		{ "MacParity2", 10, 1 },
36885		{ "MacParity1", 9, 1 },
36886		{ "MacParity0", 8, 1 },
36887		{ "MacParityMaskSize", 4, 4 },
36888		{ "PortMap", 0, 4 },
36889	{ "MPS_CLS_SRAM_H", 0xe774, 0 },
36890		{ "MacParity2", 10, 1 },
36891		{ "MacParity1", 9, 1 },
36892		{ "MacParity0", 8, 1 },
36893		{ "MacParityMaskSize", 4, 4 },
36894		{ "PortMap", 0, 4 },
36895	{ "MPS_CLS_SRAM_H", 0xe77c, 0 },
36896		{ "MacParity2", 10, 1 },
36897		{ "MacParity1", 9, 1 },
36898		{ "MacParity0", 8, 1 },
36899		{ "MacParityMaskSize", 4, 4 },
36900		{ "PortMap", 0, 4 },
36901	{ "MPS_CLS_SRAM_H", 0xe784, 0 },
36902		{ "MacParity2", 10, 1 },
36903		{ "MacParity1", 9, 1 },
36904		{ "MacParity0", 8, 1 },
36905		{ "MacParityMaskSize", 4, 4 },
36906		{ "PortMap", 0, 4 },
36907	{ "MPS_CLS_SRAM_H", 0xe78c, 0 },
36908		{ "MacParity2", 10, 1 },
36909		{ "MacParity1", 9, 1 },
36910		{ "MacParity0", 8, 1 },
36911		{ "MacParityMaskSize", 4, 4 },
36912		{ "PortMap", 0, 4 },
36913	{ "MPS_CLS_SRAM_H", 0xe794, 0 },
36914		{ "MacParity2", 10, 1 },
36915		{ "MacParity1", 9, 1 },
36916		{ "MacParity0", 8, 1 },
36917		{ "MacParityMaskSize", 4, 4 },
36918		{ "PortMap", 0, 4 },
36919	{ "MPS_CLS_SRAM_H", 0xe79c, 0 },
36920		{ "MacParity2", 10, 1 },
36921		{ "MacParity1", 9, 1 },
36922		{ "MacParity0", 8, 1 },
36923		{ "MacParityMaskSize", 4, 4 },
36924		{ "PortMap", 0, 4 },
36925	{ "MPS_CLS_SRAM_H", 0xe7a4, 0 },
36926		{ "MacParity2", 10, 1 },
36927		{ "MacParity1", 9, 1 },
36928		{ "MacParity0", 8, 1 },
36929		{ "MacParityMaskSize", 4, 4 },
36930		{ "PortMap", 0, 4 },
36931	{ "MPS_CLS_SRAM_H", 0xe7ac, 0 },
36932		{ "MacParity2", 10, 1 },
36933		{ "MacParity1", 9, 1 },
36934		{ "MacParity0", 8, 1 },
36935		{ "MacParityMaskSize", 4, 4 },
36936		{ "PortMap", 0, 4 },
36937	{ "MPS_CLS_SRAM_H", 0xe7b4, 0 },
36938		{ "MacParity2", 10, 1 },
36939		{ "MacParity1", 9, 1 },
36940		{ "MacParity0", 8, 1 },
36941		{ "MacParityMaskSize", 4, 4 },
36942		{ "PortMap", 0, 4 },
36943	{ "MPS_CLS_SRAM_H", 0xe7bc, 0 },
36944		{ "MacParity2", 10, 1 },
36945		{ "MacParity1", 9, 1 },
36946		{ "MacParity0", 8, 1 },
36947		{ "MacParityMaskSize", 4, 4 },
36948		{ "PortMap", 0, 4 },
36949	{ "MPS_CLS_SRAM_H", 0xe7c4, 0 },
36950		{ "MacParity2", 10, 1 },
36951		{ "MacParity1", 9, 1 },
36952		{ "MacParity0", 8, 1 },
36953		{ "MacParityMaskSize", 4, 4 },
36954		{ "PortMap", 0, 4 },
36955	{ "MPS_CLS_SRAM_H", 0xe7cc, 0 },
36956		{ "MacParity2", 10, 1 },
36957		{ "MacParity1", 9, 1 },
36958		{ "MacParity0", 8, 1 },
36959		{ "MacParityMaskSize", 4, 4 },
36960		{ "PortMap", 0, 4 },
36961	{ "MPS_CLS_SRAM_H", 0xe7d4, 0 },
36962		{ "MacParity2", 10, 1 },
36963		{ "MacParity1", 9, 1 },
36964		{ "MacParity0", 8, 1 },
36965		{ "MacParityMaskSize", 4, 4 },
36966		{ "PortMap", 0, 4 },
36967	{ "MPS_CLS_SRAM_H", 0xe7dc, 0 },
36968		{ "MacParity2", 10, 1 },
36969		{ "MacParity1", 9, 1 },
36970		{ "MacParity0", 8, 1 },
36971		{ "MacParityMaskSize", 4, 4 },
36972		{ "PortMap", 0, 4 },
36973	{ "MPS_CLS_SRAM_H", 0xe7e4, 0 },
36974		{ "MacParity2", 10, 1 },
36975		{ "MacParity1", 9, 1 },
36976		{ "MacParity0", 8, 1 },
36977		{ "MacParityMaskSize", 4, 4 },
36978		{ "PortMap", 0, 4 },
36979	{ "MPS_CLS_SRAM_H", 0xe7ec, 0 },
36980		{ "MacParity2", 10, 1 },
36981		{ "MacParity1", 9, 1 },
36982		{ "MacParity0", 8, 1 },
36983		{ "MacParityMaskSize", 4, 4 },
36984		{ "PortMap", 0, 4 },
36985	{ "MPS_CLS_SRAM_H", 0xe7f4, 0 },
36986		{ "MacParity2", 10, 1 },
36987		{ "MacParity1", 9, 1 },
36988		{ "MacParity0", 8, 1 },
36989		{ "MacParityMaskSize", 4, 4 },
36990		{ "PortMap", 0, 4 },
36991	{ "MPS_CLS_SRAM_H", 0xe7fc, 0 },
36992		{ "MacParity2", 10, 1 },
36993		{ "MacParity1", 9, 1 },
36994		{ "MacParity0", 8, 1 },
36995		{ "MacParityMaskSize", 4, 4 },
36996		{ "PortMap", 0, 4 },
36997	{ "MPS_CLS_SRAM_H", 0xe804, 0 },
36998		{ "MacParity2", 10, 1 },
36999		{ "MacParity1", 9, 1 },
37000		{ "MacParity0", 8, 1 },
37001		{ "MacParityMaskSize", 4, 4 },
37002		{ "PortMap", 0, 4 },
37003	{ "MPS_CLS_SRAM_H", 0xe80c, 0 },
37004		{ "MacParity2", 10, 1 },
37005		{ "MacParity1", 9, 1 },
37006		{ "MacParity0", 8, 1 },
37007		{ "MacParityMaskSize", 4, 4 },
37008		{ "PortMap", 0, 4 },
37009	{ "MPS_CLS_SRAM_H", 0xe814, 0 },
37010		{ "MacParity2", 10, 1 },
37011		{ "MacParity1", 9, 1 },
37012		{ "MacParity0", 8, 1 },
37013		{ "MacParityMaskSize", 4, 4 },
37014		{ "PortMap", 0, 4 },
37015	{ "MPS_CLS_SRAM_H", 0xe81c, 0 },
37016		{ "MacParity2", 10, 1 },
37017		{ "MacParity1", 9, 1 },
37018		{ "MacParity0", 8, 1 },
37019		{ "MacParityMaskSize", 4, 4 },
37020		{ "PortMap", 0, 4 },
37021	{ "MPS_CLS_SRAM_H", 0xe824, 0 },
37022		{ "MacParity2", 10, 1 },
37023		{ "MacParity1", 9, 1 },
37024		{ "MacParity0", 8, 1 },
37025		{ "MacParityMaskSize", 4, 4 },
37026		{ "PortMap", 0, 4 },
37027	{ "MPS_CLS_SRAM_H", 0xe82c, 0 },
37028		{ "MacParity2", 10, 1 },
37029		{ "MacParity1", 9, 1 },
37030		{ "MacParity0", 8, 1 },
37031		{ "MacParityMaskSize", 4, 4 },
37032		{ "PortMap", 0, 4 },
37033	{ "MPS_CLS_SRAM_H", 0xe834, 0 },
37034		{ "MacParity2", 10, 1 },
37035		{ "MacParity1", 9, 1 },
37036		{ "MacParity0", 8, 1 },
37037		{ "MacParityMaskSize", 4, 4 },
37038		{ "PortMap", 0, 4 },
37039	{ "MPS_CLS_SRAM_H", 0xe83c, 0 },
37040		{ "MacParity2", 10, 1 },
37041		{ "MacParity1", 9, 1 },
37042		{ "MacParity0", 8, 1 },
37043		{ "MacParityMaskSize", 4, 4 },
37044		{ "PortMap", 0, 4 },
37045	{ "MPS_CLS_SRAM_H", 0xe844, 0 },
37046		{ "MacParity2", 10, 1 },
37047		{ "MacParity1", 9, 1 },
37048		{ "MacParity0", 8, 1 },
37049		{ "MacParityMaskSize", 4, 4 },
37050		{ "PortMap", 0, 4 },
37051	{ "MPS_CLS_SRAM_H", 0xe84c, 0 },
37052		{ "MacParity2", 10, 1 },
37053		{ "MacParity1", 9, 1 },
37054		{ "MacParity0", 8, 1 },
37055		{ "MacParityMaskSize", 4, 4 },
37056		{ "PortMap", 0, 4 },
37057	{ "MPS_CLS_SRAM_H", 0xe854, 0 },
37058		{ "MacParity2", 10, 1 },
37059		{ "MacParity1", 9, 1 },
37060		{ "MacParity0", 8, 1 },
37061		{ "MacParityMaskSize", 4, 4 },
37062		{ "PortMap", 0, 4 },
37063	{ "MPS_CLS_SRAM_H", 0xe85c, 0 },
37064		{ "MacParity2", 10, 1 },
37065		{ "MacParity1", 9, 1 },
37066		{ "MacParity0", 8, 1 },
37067		{ "MacParityMaskSize", 4, 4 },
37068		{ "PortMap", 0, 4 },
37069	{ "MPS_CLS_SRAM_H", 0xe864, 0 },
37070		{ "MacParity2", 10, 1 },
37071		{ "MacParity1", 9, 1 },
37072		{ "MacParity0", 8, 1 },
37073		{ "MacParityMaskSize", 4, 4 },
37074		{ "PortMap", 0, 4 },
37075	{ "MPS_CLS_SRAM_H", 0xe86c, 0 },
37076		{ "MacParity2", 10, 1 },
37077		{ "MacParity1", 9, 1 },
37078		{ "MacParity0", 8, 1 },
37079		{ "MacParityMaskSize", 4, 4 },
37080		{ "PortMap", 0, 4 },
37081	{ "MPS_CLS_SRAM_H", 0xe874, 0 },
37082		{ "MacParity2", 10, 1 },
37083		{ "MacParity1", 9, 1 },
37084		{ "MacParity0", 8, 1 },
37085		{ "MacParityMaskSize", 4, 4 },
37086		{ "PortMap", 0, 4 },
37087	{ "MPS_CLS_SRAM_H", 0xe87c, 0 },
37088		{ "MacParity2", 10, 1 },
37089		{ "MacParity1", 9, 1 },
37090		{ "MacParity0", 8, 1 },
37091		{ "MacParityMaskSize", 4, 4 },
37092		{ "PortMap", 0, 4 },
37093	{ "MPS_CLS_SRAM_H", 0xe884, 0 },
37094		{ "MacParity2", 10, 1 },
37095		{ "MacParity1", 9, 1 },
37096		{ "MacParity0", 8, 1 },
37097		{ "MacParityMaskSize", 4, 4 },
37098		{ "PortMap", 0, 4 },
37099	{ "MPS_CLS_SRAM_H", 0xe88c, 0 },
37100		{ "MacParity2", 10, 1 },
37101		{ "MacParity1", 9, 1 },
37102		{ "MacParity0", 8, 1 },
37103		{ "MacParityMaskSize", 4, 4 },
37104		{ "PortMap", 0, 4 },
37105	{ "MPS_CLS_SRAM_H", 0xe894, 0 },
37106		{ "MacParity2", 10, 1 },
37107		{ "MacParity1", 9, 1 },
37108		{ "MacParity0", 8, 1 },
37109		{ "MacParityMaskSize", 4, 4 },
37110		{ "PortMap", 0, 4 },
37111	{ "MPS_CLS_SRAM_H", 0xe89c, 0 },
37112		{ "MacParity2", 10, 1 },
37113		{ "MacParity1", 9, 1 },
37114		{ "MacParity0", 8, 1 },
37115		{ "MacParityMaskSize", 4, 4 },
37116		{ "PortMap", 0, 4 },
37117	{ "MPS_CLS_SRAM_H", 0xe8a4, 0 },
37118		{ "MacParity2", 10, 1 },
37119		{ "MacParity1", 9, 1 },
37120		{ "MacParity0", 8, 1 },
37121		{ "MacParityMaskSize", 4, 4 },
37122		{ "PortMap", 0, 4 },
37123	{ "MPS_CLS_SRAM_H", 0xe8ac, 0 },
37124		{ "MacParity2", 10, 1 },
37125		{ "MacParity1", 9, 1 },
37126		{ "MacParity0", 8, 1 },
37127		{ "MacParityMaskSize", 4, 4 },
37128		{ "PortMap", 0, 4 },
37129	{ "MPS_CLS_SRAM_H", 0xe8b4, 0 },
37130		{ "MacParity2", 10, 1 },
37131		{ "MacParity1", 9, 1 },
37132		{ "MacParity0", 8, 1 },
37133		{ "MacParityMaskSize", 4, 4 },
37134		{ "PortMap", 0, 4 },
37135	{ "MPS_CLS_SRAM_H", 0xe8bc, 0 },
37136		{ "MacParity2", 10, 1 },
37137		{ "MacParity1", 9, 1 },
37138		{ "MacParity0", 8, 1 },
37139		{ "MacParityMaskSize", 4, 4 },
37140		{ "PortMap", 0, 4 },
37141	{ "MPS_CLS_SRAM_H", 0xe8c4, 0 },
37142		{ "MacParity2", 10, 1 },
37143		{ "MacParity1", 9, 1 },
37144		{ "MacParity0", 8, 1 },
37145		{ "MacParityMaskSize", 4, 4 },
37146		{ "PortMap", 0, 4 },
37147	{ "MPS_CLS_SRAM_H", 0xe8cc, 0 },
37148		{ "MacParity2", 10, 1 },
37149		{ "MacParity1", 9, 1 },
37150		{ "MacParity0", 8, 1 },
37151		{ "MacParityMaskSize", 4, 4 },
37152		{ "PortMap", 0, 4 },
37153	{ "MPS_CLS_SRAM_H", 0xe8d4, 0 },
37154		{ "MacParity2", 10, 1 },
37155		{ "MacParity1", 9, 1 },
37156		{ "MacParity0", 8, 1 },
37157		{ "MacParityMaskSize", 4, 4 },
37158		{ "PortMap", 0, 4 },
37159	{ "MPS_CLS_SRAM_H", 0xe8dc, 0 },
37160		{ "MacParity2", 10, 1 },
37161		{ "MacParity1", 9, 1 },
37162		{ "MacParity0", 8, 1 },
37163		{ "MacParityMaskSize", 4, 4 },
37164		{ "PortMap", 0, 4 },
37165	{ "MPS_CLS_SRAM_H", 0xe8e4, 0 },
37166		{ "MacParity2", 10, 1 },
37167		{ "MacParity1", 9, 1 },
37168		{ "MacParity0", 8, 1 },
37169		{ "MacParityMaskSize", 4, 4 },
37170		{ "PortMap", 0, 4 },
37171	{ "MPS_CLS_SRAM_H", 0xe8ec, 0 },
37172		{ "MacParity2", 10, 1 },
37173		{ "MacParity1", 9, 1 },
37174		{ "MacParity0", 8, 1 },
37175		{ "MacParityMaskSize", 4, 4 },
37176		{ "PortMap", 0, 4 },
37177	{ "MPS_CLS_SRAM_H", 0xe8f4, 0 },
37178		{ "MacParity2", 10, 1 },
37179		{ "MacParity1", 9, 1 },
37180		{ "MacParity0", 8, 1 },
37181		{ "MacParityMaskSize", 4, 4 },
37182		{ "PortMap", 0, 4 },
37183	{ "MPS_CLS_SRAM_H", 0xe8fc, 0 },
37184		{ "MacParity2", 10, 1 },
37185		{ "MacParity1", 9, 1 },
37186		{ "MacParity0", 8, 1 },
37187		{ "MacParityMaskSize", 4, 4 },
37188		{ "PortMap", 0, 4 },
37189	{ "MPS_CLS_SRAM_H", 0xe904, 0 },
37190		{ "MacParity2", 10, 1 },
37191		{ "MacParity1", 9, 1 },
37192		{ "MacParity0", 8, 1 },
37193		{ "MacParityMaskSize", 4, 4 },
37194		{ "PortMap", 0, 4 },
37195	{ "MPS_CLS_SRAM_H", 0xe90c, 0 },
37196		{ "MacParity2", 10, 1 },
37197		{ "MacParity1", 9, 1 },
37198		{ "MacParity0", 8, 1 },
37199		{ "MacParityMaskSize", 4, 4 },
37200		{ "PortMap", 0, 4 },
37201	{ "MPS_CLS_SRAM_H", 0xe914, 0 },
37202		{ "MacParity2", 10, 1 },
37203		{ "MacParity1", 9, 1 },
37204		{ "MacParity0", 8, 1 },
37205		{ "MacParityMaskSize", 4, 4 },
37206		{ "PortMap", 0, 4 },
37207	{ "MPS_CLS_SRAM_H", 0xe91c, 0 },
37208		{ "MacParity2", 10, 1 },
37209		{ "MacParity1", 9, 1 },
37210		{ "MacParity0", 8, 1 },
37211		{ "MacParityMaskSize", 4, 4 },
37212		{ "PortMap", 0, 4 },
37213	{ "MPS_CLS_SRAM_H", 0xe924, 0 },
37214		{ "MacParity2", 10, 1 },
37215		{ "MacParity1", 9, 1 },
37216		{ "MacParity0", 8, 1 },
37217		{ "MacParityMaskSize", 4, 4 },
37218		{ "PortMap", 0, 4 },
37219	{ "MPS_CLS_SRAM_H", 0xe92c, 0 },
37220		{ "MacParity2", 10, 1 },
37221		{ "MacParity1", 9, 1 },
37222		{ "MacParity0", 8, 1 },
37223		{ "MacParityMaskSize", 4, 4 },
37224		{ "PortMap", 0, 4 },
37225	{ "MPS_CLS_SRAM_H", 0xe934, 0 },
37226		{ "MacParity2", 10, 1 },
37227		{ "MacParity1", 9, 1 },
37228		{ "MacParity0", 8, 1 },
37229		{ "MacParityMaskSize", 4, 4 },
37230		{ "PortMap", 0, 4 },
37231	{ "MPS_CLS_SRAM_H", 0xe93c, 0 },
37232		{ "MacParity2", 10, 1 },
37233		{ "MacParity1", 9, 1 },
37234		{ "MacParity0", 8, 1 },
37235		{ "MacParityMaskSize", 4, 4 },
37236		{ "PortMap", 0, 4 },
37237	{ "MPS_CLS_SRAM_H", 0xe944, 0 },
37238		{ "MacParity2", 10, 1 },
37239		{ "MacParity1", 9, 1 },
37240		{ "MacParity0", 8, 1 },
37241		{ "MacParityMaskSize", 4, 4 },
37242		{ "PortMap", 0, 4 },
37243	{ "MPS_CLS_SRAM_H", 0xe94c, 0 },
37244		{ "MacParity2", 10, 1 },
37245		{ "MacParity1", 9, 1 },
37246		{ "MacParity0", 8, 1 },
37247		{ "MacParityMaskSize", 4, 4 },
37248		{ "PortMap", 0, 4 },
37249	{ "MPS_CLS_SRAM_H", 0xe954, 0 },
37250		{ "MacParity2", 10, 1 },
37251		{ "MacParity1", 9, 1 },
37252		{ "MacParity0", 8, 1 },
37253		{ "MacParityMaskSize", 4, 4 },
37254		{ "PortMap", 0, 4 },
37255	{ "MPS_CLS_SRAM_H", 0xe95c, 0 },
37256		{ "MacParity2", 10, 1 },
37257		{ "MacParity1", 9, 1 },
37258		{ "MacParity0", 8, 1 },
37259		{ "MacParityMaskSize", 4, 4 },
37260		{ "PortMap", 0, 4 },
37261	{ "MPS_CLS_SRAM_H", 0xe964, 0 },
37262		{ "MacParity2", 10, 1 },
37263		{ "MacParity1", 9, 1 },
37264		{ "MacParity0", 8, 1 },
37265		{ "MacParityMaskSize", 4, 4 },
37266		{ "PortMap", 0, 4 },
37267	{ "MPS_CLS_SRAM_H", 0xe96c, 0 },
37268		{ "MacParity2", 10, 1 },
37269		{ "MacParity1", 9, 1 },
37270		{ "MacParity0", 8, 1 },
37271		{ "MacParityMaskSize", 4, 4 },
37272		{ "PortMap", 0, 4 },
37273	{ "MPS_CLS_SRAM_H", 0xe974, 0 },
37274		{ "MacParity2", 10, 1 },
37275		{ "MacParity1", 9, 1 },
37276		{ "MacParity0", 8, 1 },
37277		{ "MacParityMaskSize", 4, 4 },
37278		{ "PortMap", 0, 4 },
37279	{ "MPS_CLS_SRAM_H", 0xe97c, 0 },
37280		{ "MacParity2", 10, 1 },
37281		{ "MacParity1", 9, 1 },
37282		{ "MacParity0", 8, 1 },
37283		{ "MacParityMaskSize", 4, 4 },
37284		{ "PortMap", 0, 4 },
37285	{ "MPS_CLS_SRAM_H", 0xe984, 0 },
37286		{ "MacParity2", 10, 1 },
37287		{ "MacParity1", 9, 1 },
37288		{ "MacParity0", 8, 1 },
37289		{ "MacParityMaskSize", 4, 4 },
37290		{ "PortMap", 0, 4 },
37291	{ "MPS_CLS_SRAM_H", 0xe98c, 0 },
37292		{ "MacParity2", 10, 1 },
37293		{ "MacParity1", 9, 1 },
37294		{ "MacParity0", 8, 1 },
37295		{ "MacParityMaskSize", 4, 4 },
37296		{ "PortMap", 0, 4 },
37297	{ "MPS_CLS_SRAM_H", 0xe994, 0 },
37298		{ "MacParity2", 10, 1 },
37299		{ "MacParity1", 9, 1 },
37300		{ "MacParity0", 8, 1 },
37301		{ "MacParityMaskSize", 4, 4 },
37302		{ "PortMap", 0, 4 },
37303	{ "MPS_CLS_SRAM_H", 0xe99c, 0 },
37304		{ "MacParity2", 10, 1 },
37305		{ "MacParity1", 9, 1 },
37306		{ "MacParity0", 8, 1 },
37307		{ "MacParityMaskSize", 4, 4 },
37308		{ "PortMap", 0, 4 },
37309	{ "MPS_CLS_SRAM_H", 0xe9a4, 0 },
37310		{ "MacParity2", 10, 1 },
37311		{ "MacParity1", 9, 1 },
37312		{ "MacParity0", 8, 1 },
37313		{ "MacParityMaskSize", 4, 4 },
37314		{ "PortMap", 0, 4 },
37315	{ "MPS_CLS_SRAM_H", 0xe9ac, 0 },
37316		{ "MacParity2", 10, 1 },
37317		{ "MacParity1", 9, 1 },
37318		{ "MacParity0", 8, 1 },
37319		{ "MacParityMaskSize", 4, 4 },
37320		{ "PortMap", 0, 4 },
37321	{ "MPS_CLS_SRAM_H", 0xe9b4, 0 },
37322		{ "MacParity2", 10, 1 },
37323		{ "MacParity1", 9, 1 },
37324		{ "MacParity0", 8, 1 },
37325		{ "MacParityMaskSize", 4, 4 },
37326		{ "PortMap", 0, 4 },
37327	{ "MPS_CLS_SRAM_H", 0xe9bc, 0 },
37328		{ "MacParity2", 10, 1 },
37329		{ "MacParity1", 9, 1 },
37330		{ "MacParity0", 8, 1 },
37331		{ "MacParityMaskSize", 4, 4 },
37332		{ "PortMap", 0, 4 },
37333	{ "MPS_CLS_SRAM_H", 0xe9c4, 0 },
37334		{ "MacParity2", 10, 1 },
37335		{ "MacParity1", 9, 1 },
37336		{ "MacParity0", 8, 1 },
37337		{ "MacParityMaskSize", 4, 4 },
37338		{ "PortMap", 0, 4 },
37339	{ "MPS_CLS_SRAM_H", 0xe9cc, 0 },
37340		{ "MacParity2", 10, 1 },
37341		{ "MacParity1", 9, 1 },
37342		{ "MacParity0", 8, 1 },
37343		{ "MacParityMaskSize", 4, 4 },
37344		{ "PortMap", 0, 4 },
37345	{ "MPS_CLS_SRAM_H", 0xe9d4, 0 },
37346		{ "MacParity2", 10, 1 },
37347		{ "MacParity1", 9, 1 },
37348		{ "MacParity0", 8, 1 },
37349		{ "MacParityMaskSize", 4, 4 },
37350		{ "PortMap", 0, 4 },
37351	{ "MPS_CLS_SRAM_H", 0xe9dc, 0 },
37352		{ "MacParity2", 10, 1 },
37353		{ "MacParity1", 9, 1 },
37354		{ "MacParity0", 8, 1 },
37355		{ "MacParityMaskSize", 4, 4 },
37356		{ "PortMap", 0, 4 },
37357	{ "MPS_CLS_SRAM_H", 0xe9e4, 0 },
37358		{ "MacParity2", 10, 1 },
37359		{ "MacParity1", 9, 1 },
37360		{ "MacParity0", 8, 1 },
37361		{ "MacParityMaskSize", 4, 4 },
37362		{ "PortMap", 0, 4 },
37363	{ "MPS_CLS_SRAM_H", 0xe9ec, 0 },
37364		{ "MacParity2", 10, 1 },
37365		{ "MacParity1", 9, 1 },
37366		{ "MacParity0", 8, 1 },
37367		{ "MacParityMaskSize", 4, 4 },
37368		{ "PortMap", 0, 4 },
37369	{ "MPS_CLS_SRAM_H", 0xe9f4, 0 },
37370		{ "MacParity2", 10, 1 },
37371		{ "MacParity1", 9, 1 },
37372		{ "MacParity0", 8, 1 },
37373		{ "MacParityMaskSize", 4, 4 },
37374		{ "PortMap", 0, 4 },
37375	{ "MPS_CLS_SRAM_H", 0xe9fc, 0 },
37376		{ "MacParity2", 10, 1 },
37377		{ "MacParity1", 9, 1 },
37378		{ "MacParity0", 8, 1 },
37379		{ "MacParityMaskSize", 4, 4 },
37380		{ "PortMap", 0, 4 },
37381	{ "MPS_CLS_SRAM_H", 0xea04, 0 },
37382		{ "MacParity2", 10, 1 },
37383		{ "MacParity1", 9, 1 },
37384		{ "MacParity0", 8, 1 },
37385		{ "MacParityMaskSize", 4, 4 },
37386		{ "PortMap", 0, 4 },
37387	{ "MPS_CLS_SRAM_H", 0xea0c, 0 },
37388		{ "MacParity2", 10, 1 },
37389		{ "MacParity1", 9, 1 },
37390		{ "MacParity0", 8, 1 },
37391		{ "MacParityMaskSize", 4, 4 },
37392		{ "PortMap", 0, 4 },
37393	{ "MPS_CLS_SRAM_H", 0xea14, 0 },
37394		{ "MacParity2", 10, 1 },
37395		{ "MacParity1", 9, 1 },
37396		{ "MacParity0", 8, 1 },
37397		{ "MacParityMaskSize", 4, 4 },
37398		{ "PortMap", 0, 4 },
37399	{ "MPS_CLS_SRAM_H", 0xea1c, 0 },
37400		{ "MacParity2", 10, 1 },
37401		{ "MacParity1", 9, 1 },
37402		{ "MacParity0", 8, 1 },
37403		{ "MacParityMaskSize", 4, 4 },
37404		{ "PortMap", 0, 4 },
37405	{ "MPS_CLS_SRAM_H", 0xea24, 0 },
37406		{ "MacParity2", 10, 1 },
37407		{ "MacParity1", 9, 1 },
37408		{ "MacParity0", 8, 1 },
37409		{ "MacParityMaskSize", 4, 4 },
37410		{ "PortMap", 0, 4 },
37411	{ "MPS_CLS_SRAM_H", 0xea2c, 0 },
37412		{ "MacParity2", 10, 1 },
37413		{ "MacParity1", 9, 1 },
37414		{ "MacParity0", 8, 1 },
37415		{ "MacParityMaskSize", 4, 4 },
37416		{ "PortMap", 0, 4 },
37417	{ "MPS_CLS_SRAM_H", 0xea34, 0 },
37418		{ "MacParity2", 10, 1 },
37419		{ "MacParity1", 9, 1 },
37420		{ "MacParity0", 8, 1 },
37421		{ "MacParityMaskSize", 4, 4 },
37422		{ "PortMap", 0, 4 },
37423	{ "MPS_CLS_SRAM_H", 0xea3c, 0 },
37424		{ "MacParity2", 10, 1 },
37425		{ "MacParity1", 9, 1 },
37426		{ "MacParity0", 8, 1 },
37427		{ "MacParityMaskSize", 4, 4 },
37428		{ "PortMap", 0, 4 },
37429	{ "MPS_CLS_SRAM_H", 0xea44, 0 },
37430		{ "MacParity2", 10, 1 },
37431		{ "MacParity1", 9, 1 },
37432		{ "MacParity0", 8, 1 },
37433		{ "MacParityMaskSize", 4, 4 },
37434		{ "PortMap", 0, 4 },
37435	{ "MPS_CLS_SRAM_H", 0xea4c, 0 },
37436		{ "MacParity2", 10, 1 },
37437		{ "MacParity1", 9, 1 },
37438		{ "MacParity0", 8, 1 },
37439		{ "MacParityMaskSize", 4, 4 },
37440		{ "PortMap", 0, 4 },
37441	{ "MPS_CLS_SRAM_H", 0xea54, 0 },
37442		{ "MacParity2", 10, 1 },
37443		{ "MacParity1", 9, 1 },
37444		{ "MacParity0", 8, 1 },
37445		{ "MacParityMaskSize", 4, 4 },
37446		{ "PortMap", 0, 4 },
37447	{ "MPS_CLS_SRAM_H", 0xea5c, 0 },
37448		{ "MacParity2", 10, 1 },
37449		{ "MacParity1", 9, 1 },
37450		{ "MacParity0", 8, 1 },
37451		{ "MacParityMaskSize", 4, 4 },
37452		{ "PortMap", 0, 4 },
37453	{ "MPS_CLS_SRAM_H", 0xea64, 0 },
37454		{ "MacParity2", 10, 1 },
37455		{ "MacParity1", 9, 1 },
37456		{ "MacParity0", 8, 1 },
37457		{ "MacParityMaskSize", 4, 4 },
37458		{ "PortMap", 0, 4 },
37459	{ "MPS_CLS_SRAM_H", 0xea6c, 0 },
37460		{ "MacParity2", 10, 1 },
37461		{ "MacParity1", 9, 1 },
37462		{ "MacParity0", 8, 1 },
37463		{ "MacParityMaskSize", 4, 4 },
37464		{ "PortMap", 0, 4 },
37465	{ "MPS_CLS_SRAM_H", 0xea74, 0 },
37466		{ "MacParity2", 10, 1 },
37467		{ "MacParity1", 9, 1 },
37468		{ "MacParity0", 8, 1 },
37469		{ "MacParityMaskSize", 4, 4 },
37470		{ "PortMap", 0, 4 },
37471	{ "MPS_CLS_SRAM_H", 0xea7c, 0 },
37472		{ "MacParity2", 10, 1 },
37473		{ "MacParity1", 9, 1 },
37474		{ "MacParity0", 8, 1 },
37475		{ "MacParityMaskSize", 4, 4 },
37476		{ "PortMap", 0, 4 },
37477	{ "MPS_CLS_SRAM_H", 0xea84, 0 },
37478		{ "MacParity2", 10, 1 },
37479		{ "MacParity1", 9, 1 },
37480		{ "MacParity0", 8, 1 },
37481		{ "MacParityMaskSize", 4, 4 },
37482		{ "PortMap", 0, 4 },
37483	{ "MPS_CLS_SRAM_H", 0xea8c, 0 },
37484		{ "MacParity2", 10, 1 },
37485		{ "MacParity1", 9, 1 },
37486		{ "MacParity0", 8, 1 },
37487		{ "MacParityMaskSize", 4, 4 },
37488		{ "PortMap", 0, 4 },
37489	{ "MPS_CLS_SRAM_H", 0xea94, 0 },
37490		{ "MacParity2", 10, 1 },
37491		{ "MacParity1", 9, 1 },
37492		{ "MacParity0", 8, 1 },
37493		{ "MacParityMaskSize", 4, 4 },
37494		{ "PortMap", 0, 4 },
37495	{ "MPS_CLS_SRAM_H", 0xea9c, 0 },
37496		{ "MacParity2", 10, 1 },
37497		{ "MacParity1", 9, 1 },
37498		{ "MacParity0", 8, 1 },
37499		{ "MacParityMaskSize", 4, 4 },
37500		{ "PortMap", 0, 4 },
37501	{ "MPS_CLS_SRAM_H", 0xeaa4, 0 },
37502		{ "MacParity2", 10, 1 },
37503		{ "MacParity1", 9, 1 },
37504		{ "MacParity0", 8, 1 },
37505		{ "MacParityMaskSize", 4, 4 },
37506		{ "PortMap", 0, 4 },
37507	{ "MPS_CLS_SRAM_H", 0xeaac, 0 },
37508		{ "MacParity2", 10, 1 },
37509		{ "MacParity1", 9, 1 },
37510		{ "MacParity0", 8, 1 },
37511		{ "MacParityMaskSize", 4, 4 },
37512		{ "PortMap", 0, 4 },
37513	{ "MPS_CLS_SRAM_H", 0xeab4, 0 },
37514		{ "MacParity2", 10, 1 },
37515		{ "MacParity1", 9, 1 },
37516		{ "MacParity0", 8, 1 },
37517		{ "MacParityMaskSize", 4, 4 },
37518		{ "PortMap", 0, 4 },
37519	{ "MPS_CLS_SRAM_H", 0xeabc, 0 },
37520		{ "MacParity2", 10, 1 },
37521		{ "MacParity1", 9, 1 },
37522		{ "MacParity0", 8, 1 },
37523		{ "MacParityMaskSize", 4, 4 },
37524		{ "PortMap", 0, 4 },
37525	{ "MPS_CLS_SRAM_H", 0xeac4, 0 },
37526		{ "MacParity2", 10, 1 },
37527		{ "MacParity1", 9, 1 },
37528		{ "MacParity0", 8, 1 },
37529		{ "MacParityMaskSize", 4, 4 },
37530		{ "PortMap", 0, 4 },
37531	{ "MPS_CLS_SRAM_H", 0xeacc, 0 },
37532		{ "MacParity2", 10, 1 },
37533		{ "MacParity1", 9, 1 },
37534		{ "MacParity0", 8, 1 },
37535		{ "MacParityMaskSize", 4, 4 },
37536		{ "PortMap", 0, 4 },
37537	{ "MPS_CLS_SRAM_H", 0xead4, 0 },
37538		{ "MacParity2", 10, 1 },
37539		{ "MacParity1", 9, 1 },
37540		{ "MacParity0", 8, 1 },
37541		{ "MacParityMaskSize", 4, 4 },
37542		{ "PortMap", 0, 4 },
37543	{ "MPS_CLS_SRAM_H", 0xeadc, 0 },
37544		{ "MacParity2", 10, 1 },
37545		{ "MacParity1", 9, 1 },
37546		{ "MacParity0", 8, 1 },
37547		{ "MacParityMaskSize", 4, 4 },
37548		{ "PortMap", 0, 4 },
37549	{ "MPS_CLS_SRAM_H", 0xeae4, 0 },
37550		{ "MacParity2", 10, 1 },
37551		{ "MacParity1", 9, 1 },
37552		{ "MacParity0", 8, 1 },
37553		{ "MacParityMaskSize", 4, 4 },
37554		{ "PortMap", 0, 4 },
37555	{ "MPS_CLS_SRAM_H", 0xeaec, 0 },
37556		{ "MacParity2", 10, 1 },
37557		{ "MacParity1", 9, 1 },
37558		{ "MacParity0", 8, 1 },
37559		{ "MacParityMaskSize", 4, 4 },
37560		{ "PortMap", 0, 4 },
37561	{ "MPS_CLS_SRAM_H", 0xeaf4, 0 },
37562		{ "MacParity2", 10, 1 },
37563		{ "MacParity1", 9, 1 },
37564		{ "MacParity0", 8, 1 },
37565		{ "MacParityMaskSize", 4, 4 },
37566		{ "PortMap", 0, 4 },
37567	{ "MPS_CLS_SRAM_H", 0xeafc, 0 },
37568		{ "MacParity2", 10, 1 },
37569		{ "MacParity1", 9, 1 },
37570		{ "MacParity0", 8, 1 },
37571		{ "MacParityMaskSize", 4, 4 },
37572		{ "PortMap", 0, 4 },
37573	{ "MPS_CLS_SRAM_H", 0xeb04, 0 },
37574		{ "MacParity2", 10, 1 },
37575		{ "MacParity1", 9, 1 },
37576		{ "MacParity0", 8, 1 },
37577		{ "MacParityMaskSize", 4, 4 },
37578		{ "PortMap", 0, 4 },
37579	{ "MPS_CLS_SRAM_H", 0xeb0c, 0 },
37580		{ "MacParity2", 10, 1 },
37581		{ "MacParity1", 9, 1 },
37582		{ "MacParity0", 8, 1 },
37583		{ "MacParityMaskSize", 4, 4 },
37584		{ "PortMap", 0, 4 },
37585	{ "MPS_CLS_SRAM_H", 0xeb14, 0 },
37586		{ "MacParity2", 10, 1 },
37587		{ "MacParity1", 9, 1 },
37588		{ "MacParity0", 8, 1 },
37589		{ "MacParityMaskSize", 4, 4 },
37590		{ "PortMap", 0, 4 },
37591	{ "MPS_CLS_SRAM_H", 0xeb1c, 0 },
37592		{ "MacParity2", 10, 1 },
37593		{ "MacParity1", 9, 1 },
37594		{ "MacParity0", 8, 1 },
37595		{ "MacParityMaskSize", 4, 4 },
37596		{ "PortMap", 0, 4 },
37597	{ "MPS_CLS_SRAM_H", 0xeb24, 0 },
37598		{ "MacParity2", 10, 1 },
37599		{ "MacParity1", 9, 1 },
37600		{ "MacParity0", 8, 1 },
37601		{ "MacParityMaskSize", 4, 4 },
37602		{ "PortMap", 0, 4 },
37603	{ "MPS_CLS_SRAM_H", 0xeb2c, 0 },
37604		{ "MacParity2", 10, 1 },
37605		{ "MacParity1", 9, 1 },
37606		{ "MacParity0", 8, 1 },
37607		{ "MacParityMaskSize", 4, 4 },
37608		{ "PortMap", 0, 4 },
37609	{ "MPS_CLS_SRAM_H", 0xeb34, 0 },
37610		{ "MacParity2", 10, 1 },
37611		{ "MacParity1", 9, 1 },
37612		{ "MacParity0", 8, 1 },
37613		{ "MacParityMaskSize", 4, 4 },
37614		{ "PortMap", 0, 4 },
37615	{ "MPS_CLS_SRAM_H", 0xeb3c, 0 },
37616		{ "MacParity2", 10, 1 },
37617		{ "MacParity1", 9, 1 },
37618		{ "MacParity0", 8, 1 },
37619		{ "MacParityMaskSize", 4, 4 },
37620		{ "PortMap", 0, 4 },
37621	{ "MPS_CLS_SRAM_H", 0xeb44, 0 },
37622		{ "MacParity2", 10, 1 },
37623		{ "MacParity1", 9, 1 },
37624		{ "MacParity0", 8, 1 },
37625		{ "MacParityMaskSize", 4, 4 },
37626		{ "PortMap", 0, 4 },
37627	{ "MPS_CLS_SRAM_H", 0xeb4c, 0 },
37628		{ "MacParity2", 10, 1 },
37629		{ "MacParity1", 9, 1 },
37630		{ "MacParity0", 8, 1 },
37631		{ "MacParityMaskSize", 4, 4 },
37632		{ "PortMap", 0, 4 },
37633	{ "MPS_CLS_SRAM_H", 0xeb54, 0 },
37634		{ "MacParity2", 10, 1 },
37635		{ "MacParity1", 9, 1 },
37636		{ "MacParity0", 8, 1 },
37637		{ "MacParityMaskSize", 4, 4 },
37638		{ "PortMap", 0, 4 },
37639	{ "MPS_CLS_SRAM_H", 0xeb5c, 0 },
37640		{ "MacParity2", 10, 1 },
37641		{ "MacParity1", 9, 1 },
37642		{ "MacParity0", 8, 1 },
37643		{ "MacParityMaskSize", 4, 4 },
37644		{ "PortMap", 0, 4 },
37645	{ "MPS_CLS_SRAM_H", 0xeb64, 0 },
37646		{ "MacParity2", 10, 1 },
37647		{ "MacParity1", 9, 1 },
37648		{ "MacParity0", 8, 1 },
37649		{ "MacParityMaskSize", 4, 4 },
37650		{ "PortMap", 0, 4 },
37651	{ "MPS_CLS_SRAM_H", 0xeb6c, 0 },
37652		{ "MacParity2", 10, 1 },
37653		{ "MacParity1", 9, 1 },
37654		{ "MacParity0", 8, 1 },
37655		{ "MacParityMaskSize", 4, 4 },
37656		{ "PortMap", 0, 4 },
37657	{ "MPS_CLS_SRAM_H", 0xeb74, 0 },
37658		{ "MacParity2", 10, 1 },
37659		{ "MacParity1", 9, 1 },
37660		{ "MacParity0", 8, 1 },
37661		{ "MacParityMaskSize", 4, 4 },
37662		{ "PortMap", 0, 4 },
37663	{ "MPS_CLS_SRAM_H", 0xeb7c, 0 },
37664		{ "MacParity2", 10, 1 },
37665		{ "MacParity1", 9, 1 },
37666		{ "MacParity0", 8, 1 },
37667		{ "MacParityMaskSize", 4, 4 },
37668		{ "PortMap", 0, 4 },
37669	{ "MPS_CLS_SRAM_H", 0xeb84, 0 },
37670		{ "MacParity2", 10, 1 },
37671		{ "MacParity1", 9, 1 },
37672		{ "MacParity0", 8, 1 },
37673		{ "MacParityMaskSize", 4, 4 },
37674		{ "PortMap", 0, 4 },
37675	{ "MPS_CLS_SRAM_H", 0xeb8c, 0 },
37676		{ "MacParity2", 10, 1 },
37677		{ "MacParity1", 9, 1 },
37678		{ "MacParity0", 8, 1 },
37679		{ "MacParityMaskSize", 4, 4 },
37680		{ "PortMap", 0, 4 },
37681	{ "MPS_CLS_SRAM_H", 0xeb94, 0 },
37682		{ "MacParity2", 10, 1 },
37683		{ "MacParity1", 9, 1 },
37684		{ "MacParity0", 8, 1 },
37685		{ "MacParityMaskSize", 4, 4 },
37686		{ "PortMap", 0, 4 },
37687	{ "MPS_CLS_SRAM_H", 0xeb9c, 0 },
37688		{ "MacParity2", 10, 1 },
37689		{ "MacParity1", 9, 1 },
37690		{ "MacParity0", 8, 1 },
37691		{ "MacParityMaskSize", 4, 4 },
37692		{ "PortMap", 0, 4 },
37693	{ "MPS_CLS_SRAM_H", 0xeba4, 0 },
37694		{ "MacParity2", 10, 1 },
37695		{ "MacParity1", 9, 1 },
37696		{ "MacParity0", 8, 1 },
37697		{ "MacParityMaskSize", 4, 4 },
37698		{ "PortMap", 0, 4 },
37699	{ "MPS_CLS_SRAM_H", 0xebac, 0 },
37700		{ "MacParity2", 10, 1 },
37701		{ "MacParity1", 9, 1 },
37702		{ "MacParity0", 8, 1 },
37703		{ "MacParityMaskSize", 4, 4 },
37704		{ "PortMap", 0, 4 },
37705	{ "MPS_CLS_SRAM_H", 0xebb4, 0 },
37706		{ "MacParity2", 10, 1 },
37707		{ "MacParity1", 9, 1 },
37708		{ "MacParity0", 8, 1 },
37709		{ "MacParityMaskSize", 4, 4 },
37710		{ "PortMap", 0, 4 },
37711	{ "MPS_CLS_SRAM_H", 0xebbc, 0 },
37712		{ "MacParity2", 10, 1 },
37713		{ "MacParity1", 9, 1 },
37714		{ "MacParity0", 8, 1 },
37715		{ "MacParityMaskSize", 4, 4 },
37716		{ "PortMap", 0, 4 },
37717	{ "MPS_CLS_SRAM_H", 0xebc4, 0 },
37718		{ "MacParity2", 10, 1 },
37719		{ "MacParity1", 9, 1 },
37720		{ "MacParity0", 8, 1 },
37721		{ "MacParityMaskSize", 4, 4 },
37722		{ "PortMap", 0, 4 },
37723	{ "MPS_CLS_SRAM_H", 0xebcc, 0 },
37724		{ "MacParity2", 10, 1 },
37725		{ "MacParity1", 9, 1 },
37726		{ "MacParity0", 8, 1 },
37727		{ "MacParityMaskSize", 4, 4 },
37728		{ "PortMap", 0, 4 },
37729	{ "MPS_CLS_SRAM_H", 0xebd4, 0 },
37730		{ "MacParity2", 10, 1 },
37731		{ "MacParity1", 9, 1 },
37732		{ "MacParity0", 8, 1 },
37733		{ "MacParityMaskSize", 4, 4 },
37734		{ "PortMap", 0, 4 },
37735	{ "MPS_CLS_SRAM_H", 0xebdc, 0 },
37736		{ "MacParity2", 10, 1 },
37737		{ "MacParity1", 9, 1 },
37738		{ "MacParity0", 8, 1 },
37739		{ "MacParityMaskSize", 4, 4 },
37740		{ "PortMap", 0, 4 },
37741	{ "MPS_CLS_SRAM_H", 0xebe4, 0 },
37742		{ "MacParity2", 10, 1 },
37743		{ "MacParity1", 9, 1 },
37744		{ "MacParity0", 8, 1 },
37745		{ "MacParityMaskSize", 4, 4 },
37746		{ "PortMap", 0, 4 },
37747	{ "MPS_CLS_SRAM_H", 0xebec, 0 },
37748		{ "MacParity2", 10, 1 },
37749		{ "MacParity1", 9, 1 },
37750		{ "MacParity0", 8, 1 },
37751		{ "MacParityMaskSize", 4, 4 },
37752		{ "PortMap", 0, 4 },
37753	{ "MPS_CLS_SRAM_H", 0xebf4, 0 },
37754		{ "MacParity2", 10, 1 },
37755		{ "MacParity1", 9, 1 },
37756		{ "MacParity0", 8, 1 },
37757		{ "MacParityMaskSize", 4, 4 },
37758		{ "PortMap", 0, 4 },
37759	{ "MPS_CLS_SRAM_H", 0xebfc, 0 },
37760		{ "MacParity2", 10, 1 },
37761		{ "MacParity1", 9, 1 },
37762		{ "MacParity0", 8, 1 },
37763		{ "MacParityMaskSize", 4, 4 },
37764		{ "PortMap", 0, 4 },
37765	{ "MPS_CLS_SRAM_H", 0xec04, 0 },
37766		{ "MacParity2", 10, 1 },
37767		{ "MacParity1", 9, 1 },
37768		{ "MacParity0", 8, 1 },
37769		{ "MacParityMaskSize", 4, 4 },
37770		{ "PortMap", 0, 4 },
37771	{ "MPS_CLS_SRAM_H", 0xec0c, 0 },
37772		{ "MacParity2", 10, 1 },
37773		{ "MacParity1", 9, 1 },
37774		{ "MacParity0", 8, 1 },
37775		{ "MacParityMaskSize", 4, 4 },
37776		{ "PortMap", 0, 4 },
37777	{ "MPS_CLS_SRAM_H", 0xec14, 0 },
37778		{ "MacParity2", 10, 1 },
37779		{ "MacParity1", 9, 1 },
37780		{ "MacParity0", 8, 1 },
37781		{ "MacParityMaskSize", 4, 4 },
37782		{ "PortMap", 0, 4 },
37783	{ "MPS_CLS_SRAM_H", 0xec1c, 0 },
37784		{ "MacParity2", 10, 1 },
37785		{ "MacParity1", 9, 1 },
37786		{ "MacParity0", 8, 1 },
37787		{ "MacParityMaskSize", 4, 4 },
37788		{ "PortMap", 0, 4 },
37789	{ "MPS_CLS_SRAM_H", 0xec24, 0 },
37790		{ "MacParity2", 10, 1 },
37791		{ "MacParity1", 9, 1 },
37792		{ "MacParity0", 8, 1 },
37793		{ "MacParityMaskSize", 4, 4 },
37794		{ "PortMap", 0, 4 },
37795	{ "MPS_CLS_SRAM_H", 0xec2c, 0 },
37796		{ "MacParity2", 10, 1 },
37797		{ "MacParity1", 9, 1 },
37798		{ "MacParity0", 8, 1 },
37799		{ "MacParityMaskSize", 4, 4 },
37800		{ "PortMap", 0, 4 },
37801	{ "MPS_CLS_SRAM_H", 0xec34, 0 },
37802		{ "MacParity2", 10, 1 },
37803		{ "MacParity1", 9, 1 },
37804		{ "MacParity0", 8, 1 },
37805		{ "MacParityMaskSize", 4, 4 },
37806		{ "PortMap", 0, 4 },
37807	{ "MPS_CLS_SRAM_H", 0xec3c, 0 },
37808		{ "MacParity2", 10, 1 },
37809		{ "MacParity1", 9, 1 },
37810		{ "MacParity0", 8, 1 },
37811		{ "MacParityMaskSize", 4, 4 },
37812		{ "PortMap", 0, 4 },
37813	{ "MPS_CLS_SRAM_H", 0xec44, 0 },
37814		{ "MacParity2", 10, 1 },
37815		{ "MacParity1", 9, 1 },
37816		{ "MacParity0", 8, 1 },
37817		{ "MacParityMaskSize", 4, 4 },
37818		{ "PortMap", 0, 4 },
37819	{ "MPS_CLS_SRAM_H", 0xec4c, 0 },
37820		{ "MacParity2", 10, 1 },
37821		{ "MacParity1", 9, 1 },
37822		{ "MacParity0", 8, 1 },
37823		{ "MacParityMaskSize", 4, 4 },
37824		{ "PortMap", 0, 4 },
37825	{ "MPS_CLS_SRAM_H", 0xec54, 0 },
37826		{ "MacParity2", 10, 1 },
37827		{ "MacParity1", 9, 1 },
37828		{ "MacParity0", 8, 1 },
37829		{ "MacParityMaskSize", 4, 4 },
37830		{ "PortMap", 0, 4 },
37831	{ "MPS_CLS_SRAM_H", 0xec5c, 0 },
37832		{ "MacParity2", 10, 1 },
37833		{ "MacParity1", 9, 1 },
37834		{ "MacParity0", 8, 1 },
37835		{ "MacParityMaskSize", 4, 4 },
37836		{ "PortMap", 0, 4 },
37837	{ "MPS_CLS_SRAM_H", 0xec64, 0 },
37838		{ "MacParity2", 10, 1 },
37839		{ "MacParity1", 9, 1 },
37840		{ "MacParity0", 8, 1 },
37841		{ "MacParityMaskSize", 4, 4 },
37842		{ "PortMap", 0, 4 },
37843	{ "MPS_CLS_SRAM_H", 0xec6c, 0 },
37844		{ "MacParity2", 10, 1 },
37845		{ "MacParity1", 9, 1 },
37846		{ "MacParity0", 8, 1 },
37847		{ "MacParityMaskSize", 4, 4 },
37848		{ "PortMap", 0, 4 },
37849	{ "MPS_CLS_SRAM_H", 0xec74, 0 },
37850		{ "MacParity2", 10, 1 },
37851		{ "MacParity1", 9, 1 },
37852		{ "MacParity0", 8, 1 },
37853		{ "MacParityMaskSize", 4, 4 },
37854		{ "PortMap", 0, 4 },
37855	{ "MPS_CLS_SRAM_H", 0xec7c, 0 },
37856		{ "MacParity2", 10, 1 },
37857		{ "MacParity1", 9, 1 },
37858		{ "MacParity0", 8, 1 },
37859		{ "MacParityMaskSize", 4, 4 },
37860		{ "PortMap", 0, 4 },
37861	{ "MPS_CLS_SRAM_H", 0xec84, 0 },
37862		{ "MacParity2", 10, 1 },
37863		{ "MacParity1", 9, 1 },
37864		{ "MacParity0", 8, 1 },
37865		{ "MacParityMaskSize", 4, 4 },
37866		{ "PortMap", 0, 4 },
37867	{ "MPS_CLS_SRAM_H", 0xec8c, 0 },
37868		{ "MacParity2", 10, 1 },
37869		{ "MacParity1", 9, 1 },
37870		{ "MacParity0", 8, 1 },
37871		{ "MacParityMaskSize", 4, 4 },
37872		{ "PortMap", 0, 4 },
37873	{ "MPS_CLS_SRAM_H", 0xec94, 0 },
37874		{ "MacParity2", 10, 1 },
37875		{ "MacParity1", 9, 1 },
37876		{ "MacParity0", 8, 1 },
37877		{ "MacParityMaskSize", 4, 4 },
37878		{ "PortMap", 0, 4 },
37879	{ "MPS_CLS_SRAM_H", 0xec9c, 0 },
37880		{ "MacParity2", 10, 1 },
37881		{ "MacParity1", 9, 1 },
37882		{ "MacParity0", 8, 1 },
37883		{ "MacParityMaskSize", 4, 4 },
37884		{ "PortMap", 0, 4 },
37885	{ "MPS_CLS_SRAM_H", 0xeca4, 0 },
37886		{ "MacParity2", 10, 1 },
37887		{ "MacParity1", 9, 1 },
37888		{ "MacParity0", 8, 1 },
37889		{ "MacParityMaskSize", 4, 4 },
37890		{ "PortMap", 0, 4 },
37891	{ "MPS_CLS_SRAM_H", 0xecac, 0 },
37892		{ "MacParity2", 10, 1 },
37893		{ "MacParity1", 9, 1 },
37894		{ "MacParity0", 8, 1 },
37895		{ "MacParityMaskSize", 4, 4 },
37896		{ "PortMap", 0, 4 },
37897	{ "MPS_CLS_SRAM_H", 0xecb4, 0 },
37898		{ "MacParity2", 10, 1 },
37899		{ "MacParity1", 9, 1 },
37900		{ "MacParity0", 8, 1 },
37901		{ "MacParityMaskSize", 4, 4 },
37902		{ "PortMap", 0, 4 },
37903	{ "MPS_CLS_SRAM_H", 0xecbc, 0 },
37904		{ "MacParity2", 10, 1 },
37905		{ "MacParity1", 9, 1 },
37906		{ "MacParity0", 8, 1 },
37907		{ "MacParityMaskSize", 4, 4 },
37908		{ "PortMap", 0, 4 },
37909	{ "MPS_CLS_SRAM_H", 0xecc4, 0 },
37910		{ "MacParity2", 10, 1 },
37911		{ "MacParity1", 9, 1 },
37912		{ "MacParity0", 8, 1 },
37913		{ "MacParityMaskSize", 4, 4 },
37914		{ "PortMap", 0, 4 },
37915	{ "MPS_CLS_SRAM_H", 0xeccc, 0 },
37916		{ "MacParity2", 10, 1 },
37917		{ "MacParity1", 9, 1 },
37918		{ "MacParity0", 8, 1 },
37919		{ "MacParityMaskSize", 4, 4 },
37920		{ "PortMap", 0, 4 },
37921	{ "MPS_CLS_SRAM_H", 0xecd4, 0 },
37922		{ "MacParity2", 10, 1 },
37923		{ "MacParity1", 9, 1 },
37924		{ "MacParity0", 8, 1 },
37925		{ "MacParityMaskSize", 4, 4 },
37926		{ "PortMap", 0, 4 },
37927	{ "MPS_CLS_SRAM_H", 0xecdc, 0 },
37928		{ "MacParity2", 10, 1 },
37929		{ "MacParity1", 9, 1 },
37930		{ "MacParity0", 8, 1 },
37931		{ "MacParityMaskSize", 4, 4 },
37932		{ "PortMap", 0, 4 },
37933	{ "MPS_CLS_SRAM_H", 0xece4, 0 },
37934		{ "MacParity2", 10, 1 },
37935		{ "MacParity1", 9, 1 },
37936		{ "MacParity0", 8, 1 },
37937		{ "MacParityMaskSize", 4, 4 },
37938		{ "PortMap", 0, 4 },
37939	{ "MPS_CLS_SRAM_H", 0xecec, 0 },
37940		{ "MacParity2", 10, 1 },
37941		{ "MacParity1", 9, 1 },
37942		{ "MacParity0", 8, 1 },
37943		{ "MacParityMaskSize", 4, 4 },
37944		{ "PortMap", 0, 4 },
37945	{ "MPS_CLS_SRAM_H", 0xecf4, 0 },
37946		{ "MacParity2", 10, 1 },
37947		{ "MacParity1", 9, 1 },
37948		{ "MacParity0", 8, 1 },
37949		{ "MacParityMaskSize", 4, 4 },
37950		{ "PortMap", 0, 4 },
37951	{ "MPS_CLS_SRAM_H", 0xecfc, 0 },
37952		{ "MacParity2", 10, 1 },
37953		{ "MacParity1", 9, 1 },
37954		{ "MacParity0", 8, 1 },
37955		{ "MacParityMaskSize", 4, 4 },
37956		{ "PortMap", 0, 4 },
37957	{ "MPS_CLS_SRAM_H", 0xed04, 0 },
37958		{ "MacParity2", 10, 1 },
37959		{ "MacParity1", 9, 1 },
37960		{ "MacParity0", 8, 1 },
37961		{ "MacParityMaskSize", 4, 4 },
37962		{ "PortMap", 0, 4 },
37963	{ "MPS_CLS_SRAM_H", 0xed0c, 0 },
37964		{ "MacParity2", 10, 1 },
37965		{ "MacParity1", 9, 1 },
37966		{ "MacParity0", 8, 1 },
37967		{ "MacParityMaskSize", 4, 4 },
37968		{ "PortMap", 0, 4 },
37969	{ "MPS_CLS_SRAM_H", 0xed14, 0 },
37970		{ "MacParity2", 10, 1 },
37971		{ "MacParity1", 9, 1 },
37972		{ "MacParity0", 8, 1 },
37973		{ "MacParityMaskSize", 4, 4 },
37974		{ "PortMap", 0, 4 },
37975	{ "MPS_CLS_SRAM_H", 0xed1c, 0 },
37976		{ "MacParity2", 10, 1 },
37977		{ "MacParity1", 9, 1 },
37978		{ "MacParity0", 8, 1 },
37979		{ "MacParityMaskSize", 4, 4 },
37980		{ "PortMap", 0, 4 },
37981	{ "MPS_CLS_SRAM_H", 0xed24, 0 },
37982		{ "MacParity2", 10, 1 },
37983		{ "MacParity1", 9, 1 },
37984		{ "MacParity0", 8, 1 },
37985		{ "MacParityMaskSize", 4, 4 },
37986		{ "PortMap", 0, 4 },
37987	{ "MPS_CLS_SRAM_H", 0xed2c, 0 },
37988		{ "MacParity2", 10, 1 },
37989		{ "MacParity1", 9, 1 },
37990		{ "MacParity0", 8, 1 },
37991		{ "MacParityMaskSize", 4, 4 },
37992		{ "PortMap", 0, 4 },
37993	{ "MPS_CLS_SRAM_H", 0xed34, 0 },
37994		{ "MacParity2", 10, 1 },
37995		{ "MacParity1", 9, 1 },
37996		{ "MacParity0", 8, 1 },
37997		{ "MacParityMaskSize", 4, 4 },
37998		{ "PortMap", 0, 4 },
37999	{ "MPS_CLS_SRAM_H", 0xed3c, 0 },
38000		{ "MacParity2", 10, 1 },
38001		{ "MacParity1", 9, 1 },
38002		{ "MacParity0", 8, 1 },
38003		{ "MacParityMaskSize", 4, 4 },
38004		{ "PortMap", 0, 4 },
38005	{ "MPS_CLS_SRAM_H", 0xed44, 0 },
38006		{ "MacParity2", 10, 1 },
38007		{ "MacParity1", 9, 1 },
38008		{ "MacParity0", 8, 1 },
38009		{ "MacParityMaskSize", 4, 4 },
38010		{ "PortMap", 0, 4 },
38011	{ "MPS_CLS_SRAM_H", 0xed4c, 0 },
38012		{ "MacParity2", 10, 1 },
38013		{ "MacParity1", 9, 1 },
38014		{ "MacParity0", 8, 1 },
38015		{ "MacParityMaskSize", 4, 4 },
38016		{ "PortMap", 0, 4 },
38017	{ "MPS_CLS_SRAM_H", 0xed54, 0 },
38018		{ "MacParity2", 10, 1 },
38019		{ "MacParity1", 9, 1 },
38020		{ "MacParity0", 8, 1 },
38021		{ "MacParityMaskSize", 4, 4 },
38022		{ "PortMap", 0, 4 },
38023	{ "MPS_CLS_SRAM_H", 0xed5c, 0 },
38024		{ "MacParity2", 10, 1 },
38025		{ "MacParity1", 9, 1 },
38026		{ "MacParity0", 8, 1 },
38027		{ "MacParityMaskSize", 4, 4 },
38028		{ "PortMap", 0, 4 },
38029	{ "MPS_CLS_SRAM_H", 0xed64, 0 },
38030		{ "MacParity2", 10, 1 },
38031		{ "MacParity1", 9, 1 },
38032		{ "MacParity0", 8, 1 },
38033		{ "MacParityMaskSize", 4, 4 },
38034		{ "PortMap", 0, 4 },
38035	{ "MPS_CLS_SRAM_H", 0xed6c, 0 },
38036		{ "MacParity2", 10, 1 },
38037		{ "MacParity1", 9, 1 },
38038		{ "MacParity0", 8, 1 },
38039		{ "MacParityMaskSize", 4, 4 },
38040		{ "PortMap", 0, 4 },
38041	{ "MPS_CLS_SRAM_H", 0xed74, 0 },
38042		{ "MacParity2", 10, 1 },
38043		{ "MacParity1", 9, 1 },
38044		{ "MacParity0", 8, 1 },
38045		{ "MacParityMaskSize", 4, 4 },
38046		{ "PortMap", 0, 4 },
38047	{ "MPS_CLS_SRAM_H", 0xed7c, 0 },
38048		{ "MacParity2", 10, 1 },
38049		{ "MacParity1", 9, 1 },
38050		{ "MacParity0", 8, 1 },
38051		{ "MacParityMaskSize", 4, 4 },
38052		{ "PortMap", 0, 4 },
38053	{ "MPS_CLS_SRAM_H", 0xed84, 0 },
38054		{ "MacParity2", 10, 1 },
38055		{ "MacParity1", 9, 1 },
38056		{ "MacParity0", 8, 1 },
38057		{ "MacParityMaskSize", 4, 4 },
38058		{ "PortMap", 0, 4 },
38059	{ "MPS_CLS_SRAM_H", 0xed8c, 0 },
38060		{ "MacParity2", 10, 1 },
38061		{ "MacParity1", 9, 1 },
38062		{ "MacParity0", 8, 1 },
38063		{ "MacParityMaskSize", 4, 4 },
38064		{ "PortMap", 0, 4 },
38065	{ "MPS_CLS_SRAM_H", 0xed94, 0 },
38066		{ "MacParity2", 10, 1 },
38067		{ "MacParity1", 9, 1 },
38068		{ "MacParity0", 8, 1 },
38069		{ "MacParityMaskSize", 4, 4 },
38070		{ "PortMap", 0, 4 },
38071	{ "MPS_CLS_SRAM_H", 0xed9c, 0 },
38072		{ "MacParity2", 10, 1 },
38073		{ "MacParity1", 9, 1 },
38074		{ "MacParity0", 8, 1 },
38075		{ "MacParityMaskSize", 4, 4 },
38076		{ "PortMap", 0, 4 },
38077	{ "MPS_CLS_SRAM_H", 0xeda4, 0 },
38078		{ "MacParity2", 10, 1 },
38079		{ "MacParity1", 9, 1 },
38080		{ "MacParity0", 8, 1 },
38081		{ "MacParityMaskSize", 4, 4 },
38082		{ "PortMap", 0, 4 },
38083	{ "MPS_CLS_SRAM_H", 0xedac, 0 },
38084		{ "MacParity2", 10, 1 },
38085		{ "MacParity1", 9, 1 },
38086		{ "MacParity0", 8, 1 },
38087		{ "MacParityMaskSize", 4, 4 },
38088		{ "PortMap", 0, 4 },
38089	{ "MPS_CLS_SRAM_H", 0xedb4, 0 },
38090		{ "MacParity2", 10, 1 },
38091		{ "MacParity1", 9, 1 },
38092		{ "MacParity0", 8, 1 },
38093		{ "MacParityMaskSize", 4, 4 },
38094		{ "PortMap", 0, 4 },
38095	{ "MPS_CLS_SRAM_H", 0xedbc, 0 },
38096		{ "MacParity2", 10, 1 },
38097		{ "MacParity1", 9, 1 },
38098		{ "MacParity0", 8, 1 },
38099		{ "MacParityMaskSize", 4, 4 },
38100		{ "PortMap", 0, 4 },
38101	{ "MPS_CLS_SRAM_H", 0xedc4, 0 },
38102		{ "MacParity2", 10, 1 },
38103		{ "MacParity1", 9, 1 },
38104		{ "MacParity0", 8, 1 },
38105		{ "MacParityMaskSize", 4, 4 },
38106		{ "PortMap", 0, 4 },
38107	{ "MPS_CLS_SRAM_H", 0xedcc, 0 },
38108		{ "MacParity2", 10, 1 },
38109		{ "MacParity1", 9, 1 },
38110		{ "MacParity0", 8, 1 },
38111		{ "MacParityMaskSize", 4, 4 },
38112		{ "PortMap", 0, 4 },
38113	{ "MPS_CLS_SRAM_H", 0xedd4, 0 },
38114		{ "MacParity2", 10, 1 },
38115		{ "MacParity1", 9, 1 },
38116		{ "MacParity0", 8, 1 },
38117		{ "MacParityMaskSize", 4, 4 },
38118		{ "PortMap", 0, 4 },
38119	{ "MPS_CLS_SRAM_H", 0xeddc, 0 },
38120		{ "MacParity2", 10, 1 },
38121		{ "MacParity1", 9, 1 },
38122		{ "MacParity0", 8, 1 },
38123		{ "MacParityMaskSize", 4, 4 },
38124		{ "PortMap", 0, 4 },
38125	{ "MPS_CLS_SRAM_H", 0xede4, 0 },
38126		{ "MacParity2", 10, 1 },
38127		{ "MacParity1", 9, 1 },
38128		{ "MacParity0", 8, 1 },
38129		{ "MacParityMaskSize", 4, 4 },
38130		{ "PortMap", 0, 4 },
38131	{ "MPS_CLS_SRAM_H", 0xedec, 0 },
38132		{ "MacParity2", 10, 1 },
38133		{ "MacParity1", 9, 1 },
38134		{ "MacParity0", 8, 1 },
38135		{ "MacParityMaskSize", 4, 4 },
38136		{ "PortMap", 0, 4 },
38137	{ "MPS_CLS_SRAM_H", 0xedf4, 0 },
38138		{ "MacParity2", 10, 1 },
38139		{ "MacParity1", 9, 1 },
38140		{ "MacParity0", 8, 1 },
38141		{ "MacParityMaskSize", 4, 4 },
38142		{ "PortMap", 0, 4 },
38143	{ "MPS_CLS_SRAM_H", 0xedfc, 0 },
38144		{ "MacParity2", 10, 1 },
38145		{ "MacParity1", 9, 1 },
38146		{ "MacParity0", 8, 1 },
38147		{ "MacParityMaskSize", 4, 4 },
38148		{ "PortMap", 0, 4 },
38149	{ "MPS_CLS_SRAM_H", 0xee04, 0 },
38150		{ "MacParity2", 10, 1 },
38151		{ "MacParity1", 9, 1 },
38152		{ "MacParity0", 8, 1 },
38153		{ "MacParityMaskSize", 4, 4 },
38154		{ "PortMap", 0, 4 },
38155	{ "MPS_CLS_SRAM_H", 0xee0c, 0 },
38156		{ "MacParity2", 10, 1 },
38157		{ "MacParity1", 9, 1 },
38158		{ "MacParity0", 8, 1 },
38159		{ "MacParityMaskSize", 4, 4 },
38160		{ "PortMap", 0, 4 },
38161	{ "MPS_CLS_SRAM_H", 0xee14, 0 },
38162		{ "MacParity2", 10, 1 },
38163		{ "MacParity1", 9, 1 },
38164		{ "MacParity0", 8, 1 },
38165		{ "MacParityMaskSize", 4, 4 },
38166		{ "PortMap", 0, 4 },
38167	{ "MPS_CLS_SRAM_H", 0xee1c, 0 },
38168		{ "MacParity2", 10, 1 },
38169		{ "MacParity1", 9, 1 },
38170		{ "MacParity0", 8, 1 },
38171		{ "MacParityMaskSize", 4, 4 },
38172		{ "PortMap", 0, 4 },
38173	{ "MPS_CLS_SRAM_H", 0xee24, 0 },
38174		{ "MacParity2", 10, 1 },
38175		{ "MacParity1", 9, 1 },
38176		{ "MacParity0", 8, 1 },
38177		{ "MacParityMaskSize", 4, 4 },
38178		{ "PortMap", 0, 4 },
38179	{ "MPS_CLS_SRAM_H", 0xee2c, 0 },
38180		{ "MacParity2", 10, 1 },
38181		{ "MacParity1", 9, 1 },
38182		{ "MacParity0", 8, 1 },
38183		{ "MacParityMaskSize", 4, 4 },
38184		{ "PortMap", 0, 4 },
38185	{ "MPS_CLS_SRAM_H", 0xee34, 0 },
38186		{ "MacParity2", 10, 1 },
38187		{ "MacParity1", 9, 1 },
38188		{ "MacParity0", 8, 1 },
38189		{ "MacParityMaskSize", 4, 4 },
38190		{ "PortMap", 0, 4 },
38191	{ "MPS_CLS_SRAM_H", 0xee3c, 0 },
38192		{ "MacParity2", 10, 1 },
38193		{ "MacParity1", 9, 1 },
38194		{ "MacParity0", 8, 1 },
38195		{ "MacParityMaskSize", 4, 4 },
38196		{ "PortMap", 0, 4 },
38197	{ "MPS_CLS_SRAM_H", 0xee44, 0 },
38198		{ "MacParity2", 10, 1 },
38199		{ "MacParity1", 9, 1 },
38200		{ "MacParity0", 8, 1 },
38201		{ "MacParityMaskSize", 4, 4 },
38202		{ "PortMap", 0, 4 },
38203	{ "MPS_CLS_SRAM_H", 0xee4c, 0 },
38204		{ "MacParity2", 10, 1 },
38205		{ "MacParity1", 9, 1 },
38206		{ "MacParity0", 8, 1 },
38207		{ "MacParityMaskSize", 4, 4 },
38208		{ "PortMap", 0, 4 },
38209	{ "MPS_CLS_SRAM_H", 0xee54, 0 },
38210		{ "MacParity2", 10, 1 },
38211		{ "MacParity1", 9, 1 },
38212		{ "MacParity0", 8, 1 },
38213		{ "MacParityMaskSize", 4, 4 },
38214		{ "PortMap", 0, 4 },
38215	{ "MPS_CLS_SRAM_H", 0xee5c, 0 },
38216		{ "MacParity2", 10, 1 },
38217		{ "MacParity1", 9, 1 },
38218		{ "MacParity0", 8, 1 },
38219		{ "MacParityMaskSize", 4, 4 },
38220		{ "PortMap", 0, 4 },
38221	{ "MPS_CLS_SRAM_H", 0xee64, 0 },
38222		{ "MacParity2", 10, 1 },
38223		{ "MacParity1", 9, 1 },
38224		{ "MacParity0", 8, 1 },
38225		{ "MacParityMaskSize", 4, 4 },
38226		{ "PortMap", 0, 4 },
38227	{ "MPS_CLS_SRAM_H", 0xee6c, 0 },
38228		{ "MacParity2", 10, 1 },
38229		{ "MacParity1", 9, 1 },
38230		{ "MacParity0", 8, 1 },
38231		{ "MacParityMaskSize", 4, 4 },
38232		{ "PortMap", 0, 4 },
38233	{ "MPS_CLS_SRAM_H", 0xee74, 0 },
38234		{ "MacParity2", 10, 1 },
38235		{ "MacParity1", 9, 1 },
38236		{ "MacParity0", 8, 1 },
38237		{ "MacParityMaskSize", 4, 4 },
38238		{ "PortMap", 0, 4 },
38239	{ "MPS_CLS_SRAM_H", 0xee7c, 0 },
38240		{ "MacParity2", 10, 1 },
38241		{ "MacParity1", 9, 1 },
38242		{ "MacParity0", 8, 1 },
38243		{ "MacParityMaskSize", 4, 4 },
38244		{ "PortMap", 0, 4 },
38245	{ "MPS_CLS_SRAM_H", 0xee84, 0 },
38246		{ "MacParity2", 10, 1 },
38247		{ "MacParity1", 9, 1 },
38248		{ "MacParity0", 8, 1 },
38249		{ "MacParityMaskSize", 4, 4 },
38250		{ "PortMap", 0, 4 },
38251	{ "MPS_CLS_SRAM_H", 0xee8c, 0 },
38252		{ "MacParity2", 10, 1 },
38253		{ "MacParity1", 9, 1 },
38254		{ "MacParity0", 8, 1 },
38255		{ "MacParityMaskSize", 4, 4 },
38256		{ "PortMap", 0, 4 },
38257	{ "MPS_CLS_SRAM_H", 0xee94, 0 },
38258		{ "MacParity2", 10, 1 },
38259		{ "MacParity1", 9, 1 },
38260		{ "MacParity0", 8, 1 },
38261		{ "MacParityMaskSize", 4, 4 },
38262		{ "PortMap", 0, 4 },
38263	{ "MPS_CLS_SRAM_H", 0xee9c, 0 },
38264		{ "MacParity2", 10, 1 },
38265		{ "MacParity1", 9, 1 },
38266		{ "MacParity0", 8, 1 },
38267		{ "MacParityMaskSize", 4, 4 },
38268		{ "PortMap", 0, 4 },
38269	{ "MPS_CLS_SRAM_H", 0xeea4, 0 },
38270		{ "MacParity2", 10, 1 },
38271		{ "MacParity1", 9, 1 },
38272		{ "MacParity0", 8, 1 },
38273		{ "MacParityMaskSize", 4, 4 },
38274		{ "PortMap", 0, 4 },
38275	{ "MPS_CLS_SRAM_H", 0xeeac, 0 },
38276		{ "MacParity2", 10, 1 },
38277		{ "MacParity1", 9, 1 },
38278		{ "MacParity0", 8, 1 },
38279		{ "MacParityMaskSize", 4, 4 },
38280		{ "PortMap", 0, 4 },
38281	{ "MPS_CLS_SRAM_H", 0xeeb4, 0 },
38282		{ "MacParity2", 10, 1 },
38283		{ "MacParity1", 9, 1 },
38284		{ "MacParity0", 8, 1 },
38285		{ "MacParityMaskSize", 4, 4 },
38286		{ "PortMap", 0, 4 },
38287	{ "MPS_CLS_SRAM_H", 0xeebc, 0 },
38288		{ "MacParity2", 10, 1 },
38289		{ "MacParity1", 9, 1 },
38290		{ "MacParity0", 8, 1 },
38291		{ "MacParityMaskSize", 4, 4 },
38292		{ "PortMap", 0, 4 },
38293	{ "MPS_CLS_SRAM_H", 0xeec4, 0 },
38294		{ "MacParity2", 10, 1 },
38295		{ "MacParity1", 9, 1 },
38296		{ "MacParity0", 8, 1 },
38297		{ "MacParityMaskSize", 4, 4 },
38298		{ "PortMap", 0, 4 },
38299	{ "MPS_CLS_SRAM_H", 0xeecc, 0 },
38300		{ "MacParity2", 10, 1 },
38301		{ "MacParity1", 9, 1 },
38302		{ "MacParity0", 8, 1 },
38303		{ "MacParityMaskSize", 4, 4 },
38304		{ "PortMap", 0, 4 },
38305	{ "MPS_CLS_SRAM_H", 0xeed4, 0 },
38306		{ "MacParity2", 10, 1 },
38307		{ "MacParity1", 9, 1 },
38308		{ "MacParity0", 8, 1 },
38309		{ "MacParityMaskSize", 4, 4 },
38310		{ "PortMap", 0, 4 },
38311	{ "MPS_CLS_SRAM_H", 0xeedc, 0 },
38312		{ "MacParity2", 10, 1 },
38313		{ "MacParity1", 9, 1 },
38314		{ "MacParity0", 8, 1 },
38315		{ "MacParityMaskSize", 4, 4 },
38316		{ "PortMap", 0, 4 },
38317	{ "MPS_CLS_SRAM_H", 0xeee4, 0 },
38318		{ "MacParity2", 10, 1 },
38319		{ "MacParity1", 9, 1 },
38320		{ "MacParity0", 8, 1 },
38321		{ "MacParityMaskSize", 4, 4 },
38322		{ "PortMap", 0, 4 },
38323	{ "MPS_CLS_SRAM_H", 0xeeec, 0 },
38324		{ "MacParity2", 10, 1 },
38325		{ "MacParity1", 9, 1 },
38326		{ "MacParity0", 8, 1 },
38327		{ "MacParityMaskSize", 4, 4 },
38328		{ "PortMap", 0, 4 },
38329	{ "MPS_CLS_SRAM_H", 0xeef4, 0 },
38330		{ "MacParity2", 10, 1 },
38331		{ "MacParity1", 9, 1 },
38332		{ "MacParity0", 8, 1 },
38333		{ "MacParityMaskSize", 4, 4 },
38334		{ "PortMap", 0, 4 },
38335	{ "MPS_CLS_SRAM_H", 0xeefc, 0 },
38336		{ "MacParity2", 10, 1 },
38337		{ "MacParity1", 9, 1 },
38338		{ "MacParity0", 8, 1 },
38339		{ "MacParityMaskSize", 4, 4 },
38340		{ "PortMap", 0, 4 },
38341	{ "MPS_CLS_SRAM_H", 0xef04, 0 },
38342		{ "MacParity2", 10, 1 },
38343		{ "MacParity1", 9, 1 },
38344		{ "MacParity0", 8, 1 },
38345		{ "MacParityMaskSize", 4, 4 },
38346		{ "PortMap", 0, 4 },
38347	{ "MPS_CLS_SRAM_H", 0xef0c, 0 },
38348		{ "MacParity2", 10, 1 },
38349		{ "MacParity1", 9, 1 },
38350		{ "MacParity0", 8, 1 },
38351		{ "MacParityMaskSize", 4, 4 },
38352		{ "PortMap", 0, 4 },
38353	{ "MPS_CLS_SRAM_H", 0xef14, 0 },
38354		{ "MacParity2", 10, 1 },
38355		{ "MacParity1", 9, 1 },
38356		{ "MacParity0", 8, 1 },
38357		{ "MacParityMaskSize", 4, 4 },
38358		{ "PortMap", 0, 4 },
38359	{ "MPS_CLS_SRAM_H", 0xef1c, 0 },
38360		{ "MacParity2", 10, 1 },
38361		{ "MacParity1", 9, 1 },
38362		{ "MacParity0", 8, 1 },
38363		{ "MacParityMaskSize", 4, 4 },
38364		{ "PortMap", 0, 4 },
38365	{ "MPS_CLS_SRAM_H", 0xef24, 0 },
38366		{ "MacParity2", 10, 1 },
38367		{ "MacParity1", 9, 1 },
38368		{ "MacParity0", 8, 1 },
38369		{ "MacParityMaskSize", 4, 4 },
38370		{ "PortMap", 0, 4 },
38371	{ "MPS_CLS_SRAM_H", 0xef2c, 0 },
38372		{ "MacParity2", 10, 1 },
38373		{ "MacParity1", 9, 1 },
38374		{ "MacParity0", 8, 1 },
38375		{ "MacParityMaskSize", 4, 4 },
38376		{ "PortMap", 0, 4 },
38377	{ "MPS_CLS_SRAM_H", 0xef34, 0 },
38378		{ "MacParity2", 10, 1 },
38379		{ "MacParity1", 9, 1 },
38380		{ "MacParity0", 8, 1 },
38381		{ "MacParityMaskSize", 4, 4 },
38382		{ "PortMap", 0, 4 },
38383	{ "MPS_CLS_SRAM_H", 0xef3c, 0 },
38384		{ "MacParity2", 10, 1 },
38385		{ "MacParity1", 9, 1 },
38386		{ "MacParity0", 8, 1 },
38387		{ "MacParityMaskSize", 4, 4 },
38388		{ "PortMap", 0, 4 },
38389	{ "MPS_CLS_SRAM_H", 0xef44, 0 },
38390		{ "MacParity2", 10, 1 },
38391		{ "MacParity1", 9, 1 },
38392		{ "MacParity0", 8, 1 },
38393		{ "MacParityMaskSize", 4, 4 },
38394		{ "PortMap", 0, 4 },
38395	{ "MPS_CLS_SRAM_H", 0xef4c, 0 },
38396		{ "MacParity2", 10, 1 },
38397		{ "MacParity1", 9, 1 },
38398		{ "MacParity0", 8, 1 },
38399		{ "MacParityMaskSize", 4, 4 },
38400		{ "PortMap", 0, 4 },
38401	{ "MPS_CLS_SRAM_H", 0xef54, 0 },
38402		{ "MacParity2", 10, 1 },
38403		{ "MacParity1", 9, 1 },
38404		{ "MacParity0", 8, 1 },
38405		{ "MacParityMaskSize", 4, 4 },
38406		{ "PortMap", 0, 4 },
38407	{ "MPS_CLS_SRAM_H", 0xef5c, 0 },
38408		{ "MacParity2", 10, 1 },
38409		{ "MacParity1", 9, 1 },
38410		{ "MacParity0", 8, 1 },
38411		{ "MacParityMaskSize", 4, 4 },
38412		{ "PortMap", 0, 4 },
38413	{ "MPS_CLS_SRAM_H", 0xef64, 0 },
38414		{ "MacParity2", 10, 1 },
38415		{ "MacParity1", 9, 1 },
38416		{ "MacParity0", 8, 1 },
38417		{ "MacParityMaskSize", 4, 4 },
38418		{ "PortMap", 0, 4 },
38419	{ "MPS_CLS_SRAM_H", 0xef6c, 0 },
38420		{ "MacParity2", 10, 1 },
38421		{ "MacParity1", 9, 1 },
38422		{ "MacParity0", 8, 1 },
38423		{ "MacParityMaskSize", 4, 4 },
38424		{ "PortMap", 0, 4 },
38425	{ "MPS_CLS_SRAM_H", 0xef74, 0 },
38426		{ "MacParity2", 10, 1 },
38427		{ "MacParity1", 9, 1 },
38428		{ "MacParity0", 8, 1 },
38429		{ "MacParityMaskSize", 4, 4 },
38430		{ "PortMap", 0, 4 },
38431	{ "MPS_CLS_SRAM_H", 0xef7c, 0 },
38432		{ "MacParity2", 10, 1 },
38433		{ "MacParity1", 9, 1 },
38434		{ "MacParity0", 8, 1 },
38435		{ "MacParityMaskSize", 4, 4 },
38436		{ "PortMap", 0, 4 },
38437	{ "MPS_CLS_SRAM_H", 0xef84, 0 },
38438		{ "MacParity2", 10, 1 },
38439		{ "MacParity1", 9, 1 },
38440		{ "MacParity0", 8, 1 },
38441		{ "MacParityMaskSize", 4, 4 },
38442		{ "PortMap", 0, 4 },
38443	{ "MPS_CLS_SRAM_H", 0xef8c, 0 },
38444		{ "MacParity2", 10, 1 },
38445		{ "MacParity1", 9, 1 },
38446		{ "MacParity0", 8, 1 },
38447		{ "MacParityMaskSize", 4, 4 },
38448		{ "PortMap", 0, 4 },
38449	{ "MPS_CLS_SRAM_H", 0xef94, 0 },
38450		{ "MacParity2", 10, 1 },
38451		{ "MacParity1", 9, 1 },
38452		{ "MacParity0", 8, 1 },
38453		{ "MacParityMaskSize", 4, 4 },
38454		{ "PortMap", 0, 4 },
38455	{ "MPS_CLS_SRAM_H", 0xef9c, 0 },
38456		{ "MacParity2", 10, 1 },
38457		{ "MacParity1", 9, 1 },
38458		{ "MacParity0", 8, 1 },
38459		{ "MacParityMaskSize", 4, 4 },
38460		{ "PortMap", 0, 4 },
38461	{ "MPS_CLS_SRAM_H", 0xefa4, 0 },
38462		{ "MacParity2", 10, 1 },
38463		{ "MacParity1", 9, 1 },
38464		{ "MacParity0", 8, 1 },
38465		{ "MacParityMaskSize", 4, 4 },
38466		{ "PortMap", 0, 4 },
38467	{ "MPS_CLS_SRAM_H", 0xefac, 0 },
38468		{ "MacParity2", 10, 1 },
38469		{ "MacParity1", 9, 1 },
38470		{ "MacParity0", 8, 1 },
38471		{ "MacParityMaskSize", 4, 4 },
38472		{ "PortMap", 0, 4 },
38473	{ "MPS_CLS_SRAM_H", 0xefb4, 0 },
38474		{ "MacParity2", 10, 1 },
38475		{ "MacParity1", 9, 1 },
38476		{ "MacParity0", 8, 1 },
38477		{ "MacParityMaskSize", 4, 4 },
38478		{ "PortMap", 0, 4 },
38479	{ "MPS_CLS_SRAM_H", 0xefbc, 0 },
38480		{ "MacParity2", 10, 1 },
38481		{ "MacParity1", 9, 1 },
38482		{ "MacParity0", 8, 1 },
38483		{ "MacParityMaskSize", 4, 4 },
38484		{ "PortMap", 0, 4 },
38485	{ "MPS_CLS_SRAM_H", 0xefc4, 0 },
38486		{ "MacParity2", 10, 1 },
38487		{ "MacParity1", 9, 1 },
38488		{ "MacParity0", 8, 1 },
38489		{ "MacParityMaskSize", 4, 4 },
38490		{ "PortMap", 0, 4 },
38491	{ "MPS_CLS_SRAM_H", 0xefcc, 0 },
38492		{ "MacParity2", 10, 1 },
38493		{ "MacParity1", 9, 1 },
38494		{ "MacParity0", 8, 1 },
38495		{ "MacParityMaskSize", 4, 4 },
38496		{ "PortMap", 0, 4 },
38497	{ "MPS_CLS_SRAM_H", 0xefd4, 0 },
38498		{ "MacParity2", 10, 1 },
38499		{ "MacParity1", 9, 1 },
38500		{ "MacParity0", 8, 1 },
38501		{ "MacParityMaskSize", 4, 4 },
38502		{ "PortMap", 0, 4 },
38503	{ "MPS_CLS_SRAM_H", 0xefdc, 0 },
38504		{ "MacParity2", 10, 1 },
38505		{ "MacParity1", 9, 1 },
38506		{ "MacParity0", 8, 1 },
38507		{ "MacParityMaskSize", 4, 4 },
38508		{ "PortMap", 0, 4 },
38509	{ "MPS_CLS_SRAM_H", 0xefe4, 0 },
38510		{ "MacParity2", 10, 1 },
38511		{ "MacParity1", 9, 1 },
38512		{ "MacParity0", 8, 1 },
38513		{ "MacParityMaskSize", 4, 4 },
38514		{ "PortMap", 0, 4 },
38515	{ "MPS_CLS_SRAM_H", 0xefec, 0 },
38516		{ "MacParity2", 10, 1 },
38517		{ "MacParity1", 9, 1 },
38518		{ "MacParity0", 8, 1 },
38519		{ "MacParityMaskSize", 4, 4 },
38520		{ "PortMap", 0, 4 },
38521	{ "MPS_CLS_SRAM_H", 0xeff4, 0 },
38522		{ "MacParity2", 10, 1 },
38523		{ "MacParity1", 9, 1 },
38524		{ "MacParity0", 8, 1 },
38525		{ "MacParityMaskSize", 4, 4 },
38526		{ "PortMap", 0, 4 },
38527	{ "MPS_CLS_SRAM_H", 0xeffc, 0 },
38528		{ "MacParity2", 10, 1 },
38529		{ "MacParity1", 9, 1 },
38530		{ "MacParity0", 8, 1 },
38531		{ "MacParityMaskSize", 4, 4 },
38532		{ "PortMap", 0, 4 },
38533	{ "MPS_CLS_TCAM_DATA0", 0xf000, 0 },
38534	{ "MPS_CLS_TCAM_DATA1", 0xf004, 0 },
38535		{ "VIDL", 16, 16 },
38536		{ "DMACH", 0, 16 },
38537	{ "MPS_CLS_TCAM_DATA2_CTL", 0xf008, 0 },
38538		{ "CtlCmdType", 31, 1 },
38539		{ "CtlReqID", 30, 1 },
38540		{ "CtlTcamSel", 25, 1 },
38541		{ "CtlTcamIndex", 17, 8 },
38542		{ "CtlXYBitSel", 16, 1 },
38543		{ "DataPortNum", 12, 4 },
38544		{ "DataLkpType", 10, 2 },
38545		{ "DataDipHit", 8, 1 },
38546		{ "DataVIDH2", 7, 1 },
38547		{ "DataVIDH1", 0, 7 },
38548	{ "MPS_CLS_TCAM_RDATA0_REQ_ID0", 0xf010, 0 },
38549	{ "MPS_CLS_TCAM_RDATA1_REQ_ID0", 0xf014, 0 },
38550		{ "VIDL", 16, 16 },
38551		{ "DMACH", 0, 16 },
38552	{ "MPS_CLS_TCAM_RDATA2_REQ_ID0", 0xf018, 0 },
38553		{ "DataPortNum", 12, 4 },
38554		{ "DataLkpType", 10, 2 },
38555		{ "DataDipHit", 8, 1 },
38556		{ "DataVIDH2", 7, 1 },
38557		{ "DataVIDH1", 0, 7 },
38558	{ "MPS_CLS_TCAM_RDATA0_REQ_ID1", 0xf020, 0 },
38559	{ "MPS_CLS_TCAM_RDATA1_REQ_ID1", 0xf024, 0 },
38560		{ "VIDL", 16, 16 },
38561		{ "DMACH", 0, 16 },
38562	{ "MPS_CLS_TCAM_RDATA2_REQ_ID1", 0xf028, 0 },
38563		{ "DataPortNum", 12, 4 },
38564		{ "DataLkpType", 10, 2 },
38565		{ "DataDipHit", 8, 1 },
38566		{ "DataVIDH2", 7, 1 },
38567		{ "DataVIDH1", 0, 7 },
38568	{ NULL }
38569};
38570
38571struct reg_info t6_cpl_switch_regs[] = {
38572	{ "CPL_SWITCH_CNTRL", 0x19040, 0 },
38573		{ "cpl_pkt_tid", 8, 24 },
38574		{ "cim_split_enable", 6, 1 },
38575		{ "cim_truncate_enable", 5, 1 },
38576		{ "cim_to_up_full_size", 4, 1 },
38577		{ "cpu_no_enable", 3, 1 },
38578		{ "switch_table_enable", 2, 1 },
38579		{ "sge_enable", 1, 1 },
38580		{ "cim_enable", 0, 1 },
38581	{ "CPL_SWITCH_TBL_IDX", 0x19044, 0 },
38582	{ "CPL_SWITCH_TBL_DATA", 0x19048, 0 },
38583	{ "CPL_SWITCH_ZERO_ERROR", 0x1904c, 0 },
38584		{ "zero_cmd_ch1", 8, 8 },
38585		{ "zero_cmd_ch0", 0, 8 },
38586	{ "CPL_INTR_ENABLE", 0x19050, 0 },
38587		{ "perr_cpl_128to128_1", 7, 1 },
38588		{ "perr_cpl_128to128_0", 6, 1 },
38589		{ "cim_op_map_perr", 5, 1 },
38590		{ "cim_ovfl_error", 4, 1 },
38591		{ "tp_framing_error", 3, 1 },
38592		{ "sge_framing_error", 2, 1 },
38593		{ "cim_framing_error", 1, 1 },
38594		{ "zero_switch_error", 0, 1 },
38595	{ "CPL_INTR_CAUSE", 0x19054, 0 },
38596		{ "perr_cpl_128to128_1", 7, 1 },
38597		{ "perr_cpl_128to128_0", 6, 1 },
38598		{ "cim_op_map_perr", 5, 1 },
38599		{ "cim_ovfl_error", 4, 1 },
38600		{ "tp_framing_error", 3, 1 },
38601		{ "sge_framing_error", 2, 1 },
38602		{ "cim_framing_error", 1, 1 },
38603		{ "zero_switch_error", 0, 1 },
38604	{ "CPL_MAP_TBL_IDX", 0x19058, 0 },
38605		{ "cim_split_opcode_program", 8, 1 },
38606		{ "cpl_map_tbl_idx", 0, 8 },
38607	{ "CPL_MAP_TBL_DATA", 0x1905c, 0 },
38608	{ NULL }
38609};
38610
38611struct reg_info t6_smb_regs[] = {
38612	{ "SMB_GLOBAL_TIME_CFG", 0x19060, 0 },
38613		{ "MacroCntCfg", 8, 5 },
38614		{ "MicroCntCfg", 0, 8 },
38615	{ "SMB_MST_TIMEOUT_CFG", 0x19064, 0 },
38616	{ "SMB_MST_CTL_CFG", 0x19068, 0 },
38617		{ "MstFifoDbg", 31, 1 },
38618		{ "MstFifoDbgClr", 30, 1 },
38619		{ "MstRxByteCfg", 12, 6 },
38620		{ "MstTxByteCfg", 6, 6 },
38621		{ "MstReset", 1, 1 },
38622		{ "MstCtlEn", 0, 1 },
38623	{ "SMB_MST_CTL_STS", 0x1906c, 0 },
38624		{ "MstRxByteCnt", 12, 6 },
38625		{ "MstTxByteCnt", 6, 6 },
38626		{ "MstBusySts", 0, 1 },
38627	{ "SMB_MST_TX_FIFO_RDWR", 0x19070, 0 },
38628	{ "SMB_MST_RX_FIFO_RDWR", 0x19074, 0 },
38629	{ "SMB_SLV_TIMEOUT_CFG", 0x19078, 0 },
38630	{ "SMB_SLV_CTL_CFG", 0x1907c, 0 },
38631		{ "SlvFifoDbg", 31, 1 },
38632		{ "SlvFifoDbgClr", 30, 1 },
38633		{ "SlvCrcOutBitInv", 21, 1 },
38634		{ "SlvCrcOutBitRev", 20, 1 },
38635		{ "SlvCrcInBitRev", 19, 1 },
38636		{ "SlvCrcPreset", 11, 8 },
38637		{ "SlvAddrCfg", 4, 7 },
38638		{ "SlvAlrtSet", 2, 1 },
38639		{ "SlvReset", 1, 1 },
38640		{ "SlvCtlEn", 0, 1 },
38641	{ "SMB_SLV_CTL_STS", 0x19080, 0 },
38642		{ "SlvFifoTxCnt", 12, 6 },
38643		{ "SlvFifoCnt", 6, 6 },
38644		{ "SlvAlrtSts", 2, 1 },
38645		{ "SlvBusySts", 0, 1 },
38646	{ "SMB_SLV_FIFO_RDWR", 0x19084, 0 },
38647	{ "SMB_INT_ENABLE", 0x1908c, 0 },
38648		{ "MstTxFifoParEn", 21, 1 },
38649		{ "MstRxFifoParEn", 20, 1 },
38650		{ "SlvFifoParEn", 19, 1 },
38651		{ "SlvUnExpBusStopEn", 18, 1 },
38652		{ "SlvUnExpBusStartEn", 17, 1 },
38653		{ "SlvCommandCodeInvEn", 16, 1 },
38654		{ "SlvByteCntErrEn", 15, 1 },
38655		{ "SlvUnExpAckMstEn", 14, 1 },
38656		{ "SlvUnExpNackMstEn", 13, 1 },
38657		{ "SlvNoBusStopEn", 12, 1 },
38658		{ "SlvNoRepStartEn", 11, 1 },
38659		{ "SlvRxAddrIntEn", 10, 1 },
38660		{ "SlvRxPecErrIntEn", 9, 1 },
38661		{ "SlvPrepToArpIntEn", 8, 1 },
38662		{ "SlvTimeOutIntEn", 7, 1 },
38663		{ "SlvErrIntEn", 6, 1 },
38664		{ "SlvDoneIntEn", 5, 1 },
38665		{ "SlvRxRdyIntEn", 4, 1 },
38666		{ "MstTimeOutIntEn", 3, 1 },
38667		{ "MstNAckIntEn", 2, 1 },
38668		{ "MstLostArbIntEn", 1, 1 },
38669		{ "MstDoneIntEn", 0, 1 },
38670	{ "SMB_INT_CAUSE", 0x19090, 0 },
38671		{ "MstTxFifoParInt", 21, 1 },
38672		{ "MstRxFifoParInt", 20, 1 },
38673		{ "SlvFifoParInt", 19, 1 },
38674		{ "SlvUnExpBusStopInt", 18, 1 },
38675		{ "SlvUnExpBusStartInt", 17, 1 },
38676		{ "SlvCommandCodeInvInt", 16, 1 },
38677		{ "SlvByteCntErrInt", 15, 1 },
38678		{ "SlvUnExpAckMstInt", 14, 1 },
38679		{ "SlvUnExpNackMstInt", 13, 1 },
38680		{ "SlvNoBusStopInt", 12, 1 },
38681		{ "SlvNoRepStartInt", 11, 1 },
38682		{ "SlvRxAddrInt", 10, 1 },
38683		{ "SlvRxPecErrInt", 9, 1 },
38684		{ "SlvPrepToArpInt", 8, 1 },
38685		{ "SlvTimeOutInt", 7, 1 },
38686		{ "SlvErrInt", 6, 1 },
38687		{ "SlvDoneInt", 5, 1 },
38688		{ "SlvRxRdyInt", 4, 1 },
38689		{ "MstTimeOutInt", 3, 1 },
38690		{ "MstNAckInt", 2, 1 },
38691		{ "MstLostArbInt", 1, 1 },
38692		{ "MstDoneInt", 0, 1 },
38693	{ "SMB_DEBUG_DATA", 0x19094, 0 },
38694		{ "DebugDataH", 16, 16 },
38695		{ "DebugDataL", 0, 16 },
38696	{ "SMB_PERR_EN", 0x19098, 0 },
38697		{ "MstTxFifo", 21, 1 },
38698		{ "MstRxFifo", 19, 1 },
38699		{ "SlvFifo", 18, 1 },
38700		{ "MstTxFifoPerrEn", 2, 1 },
38701		{ "MstRxFifoPerrEn", 1, 1 },
38702		{ "SlvFifoPerrEn", 0, 1 },
38703	{ "SMB_PERR_INJ", 0x1909c, 0 },
38704		{ "MstTxInjDataErr", 3, 1 },
38705		{ "MstRxInjDataErr", 2, 1 },
38706		{ "SlvInjDataErr", 1, 1 },
38707		{ "FifoInjDataErrEn", 0, 1 },
38708	{ "SMB_SLV_ARP_CTL", 0x190a0, 0 },
38709		{ "ArpCommandCode", 2, 8 },
38710		{ "ArpAddrRes", 1, 1 },
38711		{ "ArpAddrVal", 0, 1 },
38712	{ "SMB_ARP_UDID0", 0x190a4, 0 },
38713	{ "SMB_ARP_UDID1", 0x190a8, 0 },
38714		{ "SubsystemVendorID", 16, 16 },
38715		{ "SubsystemDeviceID", 0, 16 },
38716	{ "SMB_ARP_UDID2", 0x190ac, 0 },
38717		{ "DeviceID", 16, 16 },
38718		{ "Interface", 0, 16 },
38719	{ "SMB_ARP_UDID3", 0x190b0, 0 },
38720		{ "DeviceCap", 24, 8 },
38721		{ "VersionID", 16, 8 },
38722		{ "VendorID", 0, 16 },
38723	{ "SMB_SLV_AUX_ADDR0", 0x190b4, 0 },
38724		{ "AuxAddr0Val", 6, 1 },
38725		{ "AuxAddr0", 0, 6 },
38726	{ "SMB_SLV_AUX_ADDR1", 0x190b8, 0 },
38727		{ "AuxAddr1Val", 6, 1 },
38728		{ "AuxAddr1", 0, 6 },
38729	{ "SMB_SLV_AUX_ADDR2", 0x190bc, 0 },
38730		{ "AuxAddr2Val", 6, 1 },
38731		{ "AuxAddr2", 0, 6 },
38732	{ "SMB_SLV_AUX_ADDR3", 0x190c0, 0 },
38733		{ "AuxAddr3Val", 6, 1 },
38734		{ "AuxAddr3", 0, 6 },
38735	{ "SMB_COMMAND_CODE0", 0x190c4, 0 },
38736	{ "SMB_COMMAND_CODE1", 0x190c8, 0 },
38737	{ "SMB_COMMAND_CODE2", 0x190cc, 0 },
38738	{ "SMB_COMMAND_CODE3", 0x190d0, 0 },
38739	{ "SMB_COMMAND_CODE4", 0x190d4, 0 },
38740	{ "SMB_COMMAND_CODE5", 0x190d8, 0 },
38741	{ "SMB_COMMAND_CODE6", 0x190dc, 0 },
38742	{ "SMB_COMMAND_CODE7", 0x190e0, 0 },
38743	{ "SMB_MICRO_CNT_CLK_CFG", 0x190e4, 0 },
38744		{ "MacroCntClkCfg", 8, 5 },
38745		{ "MicroCntClkCfg", 0, 8 },
38746	{ "SMB_CTL_STATUS", 0x190e8, 0 },
38747		{ "MstBusBusy", 2, 1 },
38748		{ "SlvBusBusy", 1, 1 },
38749		{ "BusBusy", 0, 1 },
38750	{ NULL }
38751};
38752
38753struct reg_info t6_i2cm_regs[] = {
38754	{ "I2CM_CFG", 0x190f0, 0 },
38755	{ "I2CM_DATA", 0x190f4, 0 },
38756	{ "I2CM_OP", 0x190f8, 0 },
38757		{ "Busy", 31, 1 },
38758		{ "Ack", 30, 1 },
38759		{ "Cont", 1, 1 },
38760		{ "Op", 0, 1 },
38761	{ NULL }
38762};
38763
38764struct reg_info t6_mi_regs[] = {
38765	{ "MI_CFG", 0x19100, 0 },
38766		{ "T4_St", 14, 1 },
38767		{ "ClkDiv", 5, 8 },
38768		{ "St", 3, 2 },
38769		{ "PreEn", 2, 1 },
38770		{ "MDIInv", 1, 1 },
38771		{ "MDIO_1P2V_Sel", 0, 1 },
38772	{ "MI_ADDR", 0x19104, 0 },
38773		{ "PhyAddr", 5, 5 },
38774		{ "RegAddr", 0, 5 },
38775	{ "MI_DATA", 0x19108, 0 },
38776	{ "MI_OP", 0x1910c, 0 },
38777		{ "Busy", 31, 1 },
38778		{ "St", 3, 2 },
38779		{ "Inc", 2, 1 },
38780		{ "Op", 0, 2 },
38781	{ NULL }
38782};
38783
38784struct reg_info t6_uart_regs[] = {
38785	{ "UART_CONFIG", 0x19110, 0 },
38786		{ "StopBits", 22, 2 },
38787		{ "Parity", 20, 2 },
38788		{ "DataBits", 16, 4 },
38789		{ "ClkDiv", 0, 12 },
38790	{ NULL }
38791};
38792
38793struct reg_info t6_pmu_regs[] = {
38794	{ "PMU_PART_CG_PWRMODE", 0x19120, 0 },
38795		{ "PL_DIS_PRTY_CHK", 20, 1 },
38796		{ "SGE_Part_CGEn", 19, 1 },
38797		{ "PDP_Part_CGEn", 18, 1 },
38798		{ "TP_Part_CGEn", 17, 1 },
38799		{ "EDC0_Part_CGEn", 16, 1 },
38800		{ "EDC1_Part_CGEn", 15, 1 },
38801		{ "LE_Part_CGEn", 14, 1 },
38802		{ "MA_Part_CGEn", 13, 1 },
38803		{ "PCIE_Part_CGEn", 10, 1 },
38804		{ "InitPowerMode", 0, 2 },
38805	{ "PMU_SLEEPMODE_WAKEUP", 0x19124, 0 },
38806		{ "GlobalDeepSleepEn", 6, 1 },
38807		{ "HWWakeUpEn", 5, 1 },
38808		{ "Port3SleepMode", 4, 1 },
38809		{ "Port2SleepMode", 3, 1 },
38810		{ "Port1SleepMode", 2, 1 },
38811		{ "Port0SleepMode", 1, 1 },
38812		{ "WakeUp", 0, 1 },
38813	{ NULL }
38814};
38815
38816struct reg_info t6_ulp_rx_regs[] = {
38817	{ "ULP_RX_CTL", 0x19150, 0 },
38818		{ "PCMD1Threshold", 24, 8 },
38819		{ "PCMD0Threshold", 16, 8 },
38820		{ "disable_0B_STAG_ERR", 14, 1 },
38821		{ "RDMA_0b_wr_opcode", 10, 4 },
38822		{ "RDMA_0b_wr_pass", 9, 1 },
38823		{ "STAG_RQE", 8, 1 },
38824		{ "RDMA_State_En", 7, 1 },
38825		{ "Crc1_En", 6, 1 },
38826		{ "RDMA_0b_wr_cqe", 5, 1 },
38827		{ "PCIE_Atrb_En", 4, 1 },
38828		{ "RDMA_permissive_mode", 3, 1 },
38829		{ "PagePodME", 2, 1 },
38830		{ "IscsiTagTcb", 1, 1 },
38831		{ "TddpTagTcb", 0, 1 },
38832	{ "ULP_RX_INT_ENABLE", 0x19154, 0 },
38833		{ "SE_CNT_MISMATCH_1", 26, 1 },
38834		{ "SE_CNT_MISMATCH_0", 25, 1 },
38835		{ "ENABLE_CTX_1", 24, 1 },
38836		{ "ENABLE_CTX_0", 23, 1 },
38837		{ "ENABLE_FF", 22, 1 },
38838		{ "ENABLE_APF_1", 21, 1 },
38839		{ "ENABLE_APF_0", 20, 1 },
38840		{ "ENABLE_AF_1", 19, 1 },
38841		{ "ENABLE_AF_0", 18, 1 },
38842		{ "ENABLE_DDPDF_1", 17, 1 },
38843		{ "ENABLE_DDPMF_1", 16, 1 },
38844		{ "ENABLE_MEMRF_1", 15, 1 },
38845		{ "ENABLE_PRSDF_1", 14, 1 },
38846		{ "ENABLE_DDPDF_0", 13, 1 },
38847		{ "ENABLE_DDPMF_0", 12, 1 },
38848		{ "ENABLE_MEMRF_0", 11, 1 },
38849		{ "ENABLE_PRSDF_0", 10, 1 },
38850		{ "ENABLE_PCMDF_1", 9, 1 },
38851		{ "ENABLE_TPTCF_1", 8, 1 },
38852		{ "ENABLE_DDPCF_1", 7, 1 },
38853		{ "ENABLE_MPARF_1", 6, 1 },
38854		{ "ENABLE_MPARC_1", 5, 1 },
38855		{ "ENABLE_PCMDF_0", 4, 1 },
38856		{ "ENABLE_TPTCF_0", 3, 1 },
38857		{ "ENABLE_DDPCF_0", 2, 1 },
38858		{ "ENABLE_MPARF_0", 1, 1 },
38859		{ "ENABLE_MPARC_0", 0, 1 },
38860	{ "ULP_RX_INT_CAUSE", 0x19158, 0 },
38861		{ "SE_CNT_MISMATCH_1", 26, 1 },
38862		{ "SE_CNT_MISMATCH_0", 25, 1 },
38863		{ "CAUSE_CTX_1", 24, 1 },
38864		{ "CAUSE_CTX_0", 23, 1 },
38865		{ "CAUSE_FF", 22, 1 },
38866		{ "CAUSE_APF_1", 21, 1 },
38867		{ "CAUSE_APF_0", 20, 1 },
38868		{ "CAUSE_AF_1", 19, 1 },
38869		{ "CAUSE_AF_0", 18, 1 },
38870		{ "CAUSE_DDPDF_1", 17, 1 },
38871		{ "CAUSE_DDPMF_1", 16, 1 },
38872		{ "CAUSE_MEMRF_1", 15, 1 },
38873		{ "CAUSE_PRSDF_1", 14, 1 },
38874		{ "CAUSE_DDPDF_0", 13, 1 },
38875		{ "CAUSE_DDPMF_0", 12, 1 },
38876		{ "CAUSE_MEMRF_0", 11, 1 },
38877		{ "CAUSE_PRSDF_0", 10, 1 },
38878		{ "CAUSE_PCMDF_1", 9, 1 },
38879		{ "CAUSE_TPTCF_1", 8, 1 },
38880		{ "CAUSE_DDPCF_1", 7, 1 },
38881		{ "CAUSE_MPARF_1", 6, 1 },
38882		{ "CAUSE_MPARC_1", 5, 1 },
38883		{ "CAUSE_PCMDF_0", 4, 1 },
38884		{ "CAUSE_TPTCF_0", 3, 1 },
38885		{ "CAUSE_DDPCF_0", 2, 1 },
38886		{ "CAUSE_MPARF_0", 1, 1 },
38887		{ "CAUSE_MPARC_0", 0, 1 },
38888	{ "ULP_RX_ISCSI_LLIMIT", 0x1915c, 0 },
38889		{ "IscsiLlimit", 6, 26 },
38890	{ "ULP_RX_ISCSI_ULIMIT", 0x19160, 0 },
38891		{ "IscsiUlimit", 6, 26 },
38892	{ "ULP_RX_ISCSI_TAGMASK", 0x19164, 0 },
38893		{ "IscsiTagMask", 6, 26 },
38894	{ "ULP_RX_ISCSI_PSZ", 0x19168, 0 },
38895		{ "Hpz3", 24, 4 },
38896		{ "Hpz2", 16, 4 },
38897		{ "Hpz1", 8, 4 },
38898		{ "Hpz0", 0, 4 },
38899	{ "ULP_RX_TDDP_LLIMIT", 0x1916c, 0 },
38900		{ "TddpLlimit", 6, 26 },
38901	{ "ULP_RX_TDDP_ULIMIT", 0x19170, 0 },
38902		{ "TddpUlimit", 6, 26 },
38903	{ "ULP_RX_TDDP_TAGMASK", 0x19174, 0 },
38904		{ "TddpTagMask", 6, 26 },
38905	{ "ULP_RX_TDDP_PSZ", 0x19178, 0 },
38906		{ "Hpz3", 24, 4 },
38907		{ "Hpz2", 16, 4 },
38908		{ "Hpz1", 8, 4 },
38909		{ "Hpz0", 0, 4 },
38910	{ "ULP_RX_STAG_LLIMIT", 0x1917c, 0 },
38911	{ "ULP_RX_STAG_ULIMIT", 0x19180, 0 },
38912	{ "ULP_RX_RQ_LLIMIT", 0x19184, 0 },
38913	{ "ULP_RX_RQ_ULIMIT", 0x19188, 0 },
38914	{ "ULP_RX_PBL_LLIMIT", 0x1918c, 0 },
38915	{ "ULP_RX_PBL_ULIMIT", 0x19190, 0 },
38916	{ "ULP_RX_CTX_BASE", 0x19194, 0 },
38917	{ "ULP_RX_PERR_ENABLE", 0x1919c, 0 },
38918		{ "PERR_SE_CNT_MISMATCH_1", 26, 1 },
38919		{ "PERR_SE_CNT_MISMATCH_0", 25, 1 },
38920		{ "PERR_ENABLE_CTX_1", 24, 1 },
38921		{ "PERR_ENABLE_CTX_0", 23, 1 },
38922		{ "PERR_ENABLE_FF", 22, 1 },
38923		{ "PERR_ENABLE_APF_1", 21, 1 },
38924		{ "PERR_ENABLE_APF_0", 20, 1 },
38925		{ "PERR_ENABLE_AF_1", 19, 1 },
38926		{ "PERR_ENABLE_AF_0", 18, 1 },
38927		{ "PERR_ENABLE_DDPDF_1", 17, 1 },
38928		{ "PERR_ENABLE_DDPMF_1", 16, 1 },
38929		{ "PERR_ENABLE_MEMRF_1", 15, 1 },
38930		{ "PERR_ENABLE_PRSDF_1", 14, 1 },
38931		{ "PERR_ENABLE_DDPDF_0", 13, 1 },
38932		{ "PERR_ENABLE_DDPMF_0", 12, 1 },
38933		{ "PERR_ENABLE_MEMRF_0", 11, 1 },
38934		{ "PERR_ENABLE_PRSDF_0", 10, 1 },
38935		{ "PERR_ENABLE_PCMDF_1", 9, 1 },
38936		{ "PERR_ENABLE_TPTCF_1", 8, 1 },
38937		{ "PERR_ENABLE_DDPCF_1", 7, 1 },
38938		{ "PERR_ENABLE_MPARF_1", 6, 1 },
38939		{ "PERR_ENABLE_MPARC_1", 5, 1 },
38940		{ "PERR_ENABLE_PCMDF_0", 4, 1 },
38941		{ "PERR_ENABLE_TPTCF_0", 3, 1 },
38942		{ "PERR_ENABLE_DDPCF_0", 2, 1 },
38943		{ "PERR_ENABLE_MPARF_0", 1, 1 },
38944		{ "PERR_ENABLE_MPARC_0", 0, 1 },
38945	{ "ULP_RX_PERR_INJECT", 0x191a0, 0 },
38946		{ "MemSel", 1, 5 },
38947		{ "InjectDataErr", 0, 1 },
38948	{ "ULP_RX_RQUDP_LLIMIT", 0x191a4, 0 },
38949	{ "ULP_RX_RQUDP_ULIMIT", 0x191a8, 0 },
38950	{ "ULP_RX_CTX_ACC_CH0", 0x191ac, 0 },
38951		{ "REQ", 21, 1 },
38952		{ "WB", 20, 1 },
38953		{ "TID", 0, 20 },
38954	{ "ULP_RX_CTX_ACC_CH1", 0x191b0, 0 },
38955		{ "REQ", 21, 1 },
38956		{ "WB", 20, 1 },
38957		{ "TID", 0, 20 },
38958	{ "ULP_RX_SE_CNT_ERR", 0x191d0, 0 },
38959		{ "ERR_CH1", 4, 4 },
38960		{ "ERR_CH0", 0, 4 },
38961	{ "ULP_RX_SE_CNT_CLR", 0x191d4, 0 },
38962		{ "CLR_CH0", 4, 4 },
38963		{ "CLR_CH1", 0, 4 },
38964	{ "ULP_RX_SE_CNT_CH0", 0x191d8, 0 },
38965		{ "SOP_CNT_OUT0", 28, 4 },
38966		{ "EOP_CNT_OUT0", 24, 4 },
38967		{ "SOP_CNT_AL0", 20, 4 },
38968		{ "EOP_CNT_AL0", 16, 4 },
38969		{ "SOP_CNT_MR0", 12, 4 },
38970		{ "EOP_CNT_MR0", 8, 4 },
38971		{ "SOP_CNT_IN0", 4, 4 },
38972		{ "EOP_CNT_IN0", 0, 4 },
38973	{ "ULP_RX_SE_CNT_CH1", 0x191dc, 0 },
38974		{ "SOP_CNT_OUT1", 28, 4 },
38975		{ "EOP_CNT_OUT1", 24, 4 },
38976		{ "SOP_CNT_AL1", 20, 4 },
38977		{ "EOP_CNT_AL1", 16, 4 },
38978		{ "SOP_CNT_MR1", 12, 4 },
38979		{ "EOP_CNT_MR1", 8, 4 },
38980		{ "SOP_CNT_IN1", 4, 4 },
38981		{ "EOP_CNT_IN1", 0, 4 },
38982	{ "ULP_RX_DBG_CTL", 0x191e0, 0 },
38983		{ "EN_DBG_H", 17, 1 },
38984		{ "EN_DBG_L", 16, 1 },
38985		{ "SEL_H", 8, 8 },
38986		{ "SEL_L", 0, 8 },
38987	{ "ULP_RX_DBG_DATAH", 0x191e4, 0 },
38988	{ "ULP_RX_DBG_DATAL", 0x191e8, 0 },
38989	{ "ULP_RX_LA_CHNL", 0x19238, 0 },
38990	{ "ULP_RX_LA_CTL", 0x1923c, 0 },
38991	{ "ULP_RX_LA_RDPTR", 0x19240, 0 },
38992	{ "ULP_RX_LA_RDDATA", 0x19244, 0 },
38993	{ "ULP_RX_LA_WRPTR", 0x19248, 0 },
38994	{ "ULP_RX_LA_RESERVED", 0x1924c, 0 },
38995	{ "ULP_RX_CQE_GEN_EN", 0x19250, 0 },
38996		{ "Termimate_msg", 1, 1 },
38997		{ "Terminate_with_err", 0, 1 },
38998	{ "ULP_RX_ATOMIC_OPCODES", 0x19254, 0 },
38999		{ "atomic_req_qno", 22, 2 },
39000		{ "atomic_rsp_qno", 20, 2 },
39001		{ "immediate_qno", 18, 2 },
39002		{ "immediate_with_se_qno", 16, 2 },
39003		{ "atomic_wr_opcode", 12, 4 },
39004		{ "atomic_rd_opcode", 8, 4 },
39005		{ "immediate_opcode", 4, 4 },
39006		{ "immediate_with_se_opcode", 0, 4 },
39007	{ "ULP_RX_T10_CRC_ENDIAN_SWITCHING", 0x19258, 0 },
39008	{ "ULP_RX_MISC_FEATURE_ENABLE", 0x1925c, 0 },
39009		{ "iscsi_dcrc_error_cmp_en", 25, 1 },
39010		{ "IscsiTagPI", 24, 1 },
39011		{ "ddp_version_1", 22, 2 },
39012		{ "ddp_version_0", 20, 2 },
39013		{ "rdma_version_1", 18, 2 },
39014		{ "rdma_version_0", 16, 2 },
39015		{ "pbl_bound_check_w_pglen", 15, 1 },
39016		{ "zbyte_fix_disable", 14, 1 },
39017		{ "t10_offset_update_en", 13, 1 },
39018		{ "ulp_insert_pi", 12, 1 },
39019		{ "pdu_dpi", 11, 1 },
39020		{ "iscsi_eff_offset_en", 10, 1 },
39021		{ "iscsi_all_cmp_mode", 9, 1 },
39022		{ "iscsi_enable_hdr_cmd", 8, 1 },
39023		{ "iscsi_force_cmp_mode", 7, 1 },
39024		{ "iscsi_enable_cmp_mode", 6, 1 },
39025		{ "pio_rdma_send_rqe", 5, 1 },
39026		{ "terminate_status_en", 4, 1 },
39027		{ "multiple_pref_enable", 3, 1 },
39028		{ "umudp_pbl_pref_enable", 2, 1 },
39029		{ "rdma_pbl_pref_en", 1, 1 },
39030		{ "sdc_crc_prot_en", 0, 1 },
39031	{ "ULP_RX_CH0_CGEN", 0x19260, 0 },
39032		{ "BYPASS_CGEN", 7, 1 },
39033		{ "TDDP_CGEN", 6, 1 },
39034		{ "ISCSI_CGEN", 5, 1 },
39035		{ "RDMA_CGEN", 4, 1 },
39036		{ "CHANNEL_CGEN", 3, 1 },
39037		{ "All_DataPath_CGEN", 2, 1 },
39038		{ "T10Diff_DataPath_CGEN", 1, 1 },
39039		{ "Rdma_DataPath_CGEN", 0, 1 },
39040	{ "ULP_RX_CH1_CGEN", 0x19264, 0 },
39041		{ "BYPASS_CGEN", 7, 1 },
39042		{ "TDDP_CGEN", 6, 1 },
39043		{ "ISCSI_CGEN", 5, 1 },
39044		{ "RDMA_CGEN", 4, 1 },
39045		{ "CHANNEL_CGEN", 3, 1 },
39046		{ "All_DataPath_CGEN", 2, 1 },
39047		{ "T10Diff_DataPath_CGEN", 1, 1 },
39048		{ "Rdma_DataPath_CGEN", 0, 1 },
39049	{ "ULP_RX_RFE_DISABLE", 0x19268, 0 },
39050	{ "ULP_RX_INT_ENABLE_2", 0x1926c, 0 },
39051		{ "ULPRX2MA_IntfPerr", 8, 1 },
39052		{ "ALN_SDC_ERR_1", 7, 1 },
39053		{ "ALN_SDC_ERR_0", 6, 1 },
39054		{ "PF_UNTAGGED_TPT_1", 5, 1 },
39055		{ "PF_UNTAGGED_TPT_0", 4, 1 },
39056		{ "PF_PBL_1", 3, 1 },
39057		{ "PF_PBL_0", 2, 1 },
39058		{ "DDP_HINT_1", 1, 1 },
39059		{ "DDP_HINT_0", 0, 1 },
39060	{ "ULP_RX_INT_CAUSE_2", 0x19270, 0 },
39061		{ "ULPRX2MA_IntfPerr", 8, 1 },
39062		{ "ALN_SDC_ERR_1", 7, 1 },
39063		{ "ALN_SDC_ERR_0", 6, 1 },
39064		{ "PF_UNTAGGED_TPT_1", 5, 1 },
39065		{ "PF_UNTAGGED_TPT_0", 4, 1 },
39066		{ "PF_PBL_1", 3, 1 },
39067		{ "PF_PBL_0", 2, 1 },
39068		{ "DDP_HINT_1", 1, 1 },
39069		{ "DDP_HINT_0", 0, 1 },
39070	{ "ULP_RX_PERR_ENABLE_2", 0x19274, 0 },
39071		{ "ENABLE_ULPRX2MA_IntfPerr", 8, 1 },
39072		{ "ENABLE_ALN_SDC_ERR_1", 7, 1 },
39073		{ "ENABLE_ALN_SDC_ERR_0", 6, 1 },
39074		{ "ENABLE_PF_UNTAGGED_TPT_1", 5, 1 },
39075		{ "ENABLE_PF_UNTAGGED_TPT_0", 4, 1 },
39076		{ "ENABLE_PF_PBL_1", 3, 1 },
39077		{ "ENABLE_PF_PBL_0", 2, 1 },
39078		{ "ENABLE_DDP_HINT_1", 1, 1 },
39079		{ "ENABLE_DDP_HINT_0", 0, 1 },
39080	{ "ULP_RX_RQE_PBL_MULTIPLE_OUTSTANDING_CNT", 0x19278, 0 },
39081	{ "ULP_RX_ATOMIC_LEN", 0x1927c, 0 },
39082		{ "atomic_rpl_len", 16, 8 },
39083		{ "atomic_req_len", 8, 8 },
39084		{ "atomic_immediate_len", 0, 8 },
39085	{ "ULP_RX_CGEN_GLOBAL", 0x19280, 0 },
39086	{ "ULP_RX_CTX_SKIP_MA_REQ", 0x19284, 0 },
39087		{ "clear_ctx_err_cnt1", 3, 1 },
39088		{ "clear_ctx_err_cnt0", 2, 1 },
39089		{ "skip_ma_req_en1", 1, 1 },
39090		{ "skip_ma_req_en0", 0, 1 },
39091	{ "ULP_RX_CHNL0_CTX_ERROR_COUNT_PER_TID", 0x19288, 0 },
39092	{ "ULP_RX_CHNL1_CTX_ERROR_COUNT_PER_TID", 0x1928c, 0 },
39093	{ "ULP_RX_MSN_CHECK_ENABLE", 0x19290, 0 },
39094		{ "Rd_or_Term_msn_check_enable", 2, 1 },
39095		{ "atomic_op_msn_check_enable", 1, 1 },
39096		{ "send_msn_check_enable", 0, 1 },
39097	{ "ULP_RX_TLS_PP_LLIMIT", 0x192a4, 0 },
39098		{ "TlsPpLlimit", 6, 26 },
39099	{ "ULP_RX_TLS_PP_ULIMIT", 0x192a8, 0 },
39100		{ "TlsPpUlimit", 6, 26 },
39101	{ "ULP_RX_TLS_KEY_LLIMIT", 0x192ac, 0 },
39102		{ "TlsKeyLlimit", 8, 24 },
39103	{ "ULP_RX_TLS_KEY_ULIMIT", 0x192b0, 0 },
39104		{ "TlsKeyUlimit", 8, 24 },
39105	{ "ULP_RX_TLS_CTL", 0x192bc, 0 },
39106		{ "TlsPerrEn", 4, 1 },
39107		{ "TlsDisableIFuse", 2, 1 },
39108		{ "TlsDisableCFuse", 1, 1 },
39109		{ "TlsDisable", 0, 1 },
39110	{ "ULP_RX_TLS_IND_CMD", 0x19348, 0 },
39111	{ "ULP_RX_TLS_IND_DATA", 0x1934c, 0 },
39112	{ NULL }
39113};
39114
39115struct reg_info t6_sf_regs[] = {
39116	{ "SF_DATA", 0x193f8, 0 },
39117	{ "SF_OP", 0x193fc, 0 },
39118		{ "Busy", 31, 1 },
39119		{ "Lock", 4, 1 },
39120		{ "Cont", 3, 1 },
39121		{ "ByteCnt", 1, 2 },
39122		{ "Op", 0, 1 },
39123	{ NULL }
39124};
39125
39126struct reg_info t6_pl_regs[] = {
39127	{ "PL_PF_INT_CAUSE", 0x1e3c0, 0 },
39128		{ "SW", 3, 1 },
39129		{ "CIM", 1, 1 },
39130		{ "MPS", 0, 1 },
39131	{ "PL_PF_INT_ENABLE", 0x1e3c4, 0 },
39132		{ "SW", 3, 1 },
39133		{ "CIM", 1, 1 },
39134		{ "MPS", 0, 1 },
39135	{ "PL_PF_CTL", 0x1e3c8, 0 },
39136	{ "PL_PF_INT_CAUSE", 0x1e7c0, 0 },
39137		{ "SW", 3, 1 },
39138		{ "CIM", 1, 1 },
39139		{ "MPS", 0, 1 },
39140	{ "PL_PF_INT_ENABLE", 0x1e7c4, 0 },
39141		{ "SW", 3, 1 },
39142		{ "CIM", 1, 1 },
39143		{ "MPS", 0, 1 },
39144	{ "PL_PF_CTL", 0x1e7c8, 0 },
39145	{ "PL_PF_INT_CAUSE", 0x1ebc0, 0 },
39146		{ "SW", 3, 1 },
39147		{ "CIM", 1, 1 },
39148		{ "MPS", 0, 1 },
39149	{ "PL_PF_INT_ENABLE", 0x1ebc4, 0 },
39150		{ "SW", 3, 1 },
39151		{ "CIM", 1, 1 },
39152		{ "MPS", 0, 1 },
39153	{ "PL_PF_CTL", 0x1ebc8, 0 },
39154	{ "PL_PF_INT_CAUSE", 0x1efc0, 0 },
39155		{ "SW", 3, 1 },
39156		{ "CIM", 1, 1 },
39157		{ "MPS", 0, 1 },
39158	{ "PL_PF_INT_ENABLE", 0x1efc4, 0 },
39159		{ "SW", 3, 1 },
39160		{ "CIM", 1, 1 },
39161		{ "MPS", 0, 1 },
39162	{ "PL_PF_CTL", 0x1efc8, 0 },
39163	{ "PL_PF_INT_CAUSE", 0x1f3c0, 0 },
39164		{ "SW", 3, 1 },
39165		{ "CIM", 1, 1 },
39166		{ "MPS", 0, 1 },
39167	{ "PL_PF_INT_ENABLE", 0x1f3c4, 0 },
39168		{ "SW", 3, 1 },
39169		{ "CIM", 1, 1 },
39170		{ "MPS", 0, 1 },
39171	{ "PL_PF_CTL", 0x1f3c8, 0 },
39172	{ "PL_PF_INT_CAUSE", 0x1f7c0, 0 },
39173		{ "SW", 3, 1 },
39174		{ "CIM", 1, 1 },
39175		{ "MPS", 0, 1 },
39176	{ "PL_PF_INT_ENABLE", 0x1f7c4, 0 },
39177		{ "SW", 3, 1 },
39178		{ "CIM", 1, 1 },
39179		{ "MPS", 0, 1 },
39180	{ "PL_PF_CTL", 0x1f7c8, 0 },
39181	{ "PL_PF_INT_CAUSE", 0x1fbc0, 0 },
39182		{ "SW", 3, 1 },
39183		{ "CIM", 1, 1 },
39184		{ "MPS", 0, 1 },
39185	{ "PL_PF_INT_ENABLE", 0x1fbc4, 0 },
39186		{ "SW", 3, 1 },
39187		{ "CIM", 1, 1 },
39188		{ "MPS", 0, 1 },
39189	{ "PL_PF_CTL", 0x1fbc8, 0 },
39190	{ "PL_PF_INT_CAUSE", 0x1ffc0, 0 },
39191		{ "SW", 3, 1 },
39192		{ "CIM", 1, 1 },
39193		{ "MPS", 0, 1 },
39194	{ "PL_PF_INT_ENABLE", 0x1ffc4, 0 },
39195		{ "SW", 3, 1 },
39196		{ "CIM", 1, 1 },
39197		{ "MPS", 0, 1 },
39198	{ "PL_PF_CTL", 0x1ffc8, 0 },
39199	{ "PL_WHOAMI", 0x19400, 0 },
39200		{ "PortxMap", 24, 3 },
39201		{ "SourceBus", 16, 2 },
39202		{ "SourcePF", 9, 3 },
39203		{ "IsVF", 8, 1 },
39204		{ "VFID", 0, 8 },
39205	{ "PL_PERR_CAUSE", 0x19404, 0 },
39206		{ "UART", 28, 1 },
39207		{ "ULP_TX", 27, 1 },
39208		{ "SGE", 26, 1 },
39209		{ "HMA", 25, 1 },
39210		{ "CPL_SWITCH", 24, 1 },
39211		{ "ULP_RX", 23, 1 },
39212		{ "PM_RX", 22, 1 },
39213		{ "PM_TX", 21, 1 },
39214		{ "MA", 20, 1 },
39215		{ "TP", 19, 1 },
39216		{ "LE", 18, 1 },
39217		{ "EDC1", 17, 1 },
39218		{ "EDC0", 16, 1 },
39219		{ "MC0", 15, 1 },
39220		{ "PCIE", 14, 1 },
39221		{ "PMU", 13, 1 },
39222		{ "MAC", 9, 1 },
39223		{ "SMB", 8, 1 },
39224		{ "SF", 7, 1 },
39225		{ "PL", 6, 1 },
39226		{ "NCSI", 5, 1 },
39227		{ "MPS", 4, 1 },
39228		{ "MI", 3, 1 },
39229		{ "DBG", 2, 1 },
39230		{ "I2CM", 1, 1 },
39231		{ "CIM", 0, 1 },
39232	{ "PL_PERR_ENABLE", 0x19408, 0 },
39233		{ "UART", 28, 1 },
39234		{ "ULP_TX", 27, 1 },
39235		{ "SGE", 26, 1 },
39236		{ "HMA", 25, 1 },
39237		{ "CPL_SWITCH", 24, 1 },
39238		{ "ULP_RX", 23, 1 },
39239		{ "PM_RX", 22, 1 },
39240		{ "PM_TX", 21, 1 },
39241		{ "MA", 20, 1 },
39242		{ "TP", 19, 1 },
39243		{ "LE", 18, 1 },
39244		{ "EDC1", 17, 1 },
39245		{ "EDC0", 16, 1 },
39246		{ "MC0", 15, 1 },
39247		{ "PCIE", 14, 1 },
39248		{ "PMU", 13, 1 },
39249		{ "MAC", 9, 1 },
39250		{ "SMB", 8, 1 },
39251		{ "SF", 7, 1 },
39252		{ "PL", 6, 1 },
39253		{ "NCSI", 5, 1 },
39254		{ "MPS", 4, 1 },
39255		{ "MI", 3, 1 },
39256		{ "DBG", 2, 1 },
39257		{ "I2CM", 1, 1 },
39258		{ "CIM", 0, 1 },
39259	{ "PL_INT_CAUSE", 0x1940c, 0 },
39260		{ "FLR", 30, 1 },
39261		{ "SW_CIM", 29, 1 },
39262		{ "UART", 28, 1 },
39263		{ "ULP_TX", 27, 1 },
39264		{ "SGE", 26, 1 },
39265		{ "HMA", 25, 1 },
39266		{ "CPL_SWITCH", 24, 1 },
39267		{ "ULP_RX", 23, 1 },
39268		{ "PM_RX", 22, 1 },
39269		{ "PM_TX", 21, 1 },
39270		{ "MA", 20, 1 },
39271		{ "TP", 19, 1 },
39272		{ "LE", 18, 1 },
39273		{ "EDC1", 17, 1 },
39274		{ "EDC0", 16, 1 },
39275		{ "MC0", 15, 1 },
39276		{ "PCIE", 14, 1 },
39277		{ "PMU", 13, 1 },
39278		{ "MAC1", 10, 1 },
39279		{ "MAC0", 9, 1 },
39280		{ "SMB", 8, 1 },
39281		{ "SF", 7, 1 },
39282		{ "PL", 6, 1 },
39283		{ "NCSI", 5, 1 },
39284		{ "MPS", 4, 1 },
39285		{ "MI", 3, 1 },
39286		{ "DBG", 2, 1 },
39287		{ "I2CM", 1, 1 },
39288		{ "CIM", 0, 1 },
39289	{ "PL_INT_ENABLE", 0x19410, 0 },
39290		{ "FLR", 30, 1 },
39291		{ "SW_CIM", 29, 1 },
39292		{ "UART", 28, 1 },
39293		{ "ULP_TX", 27, 1 },
39294		{ "SGE", 26, 1 },
39295		{ "HMA", 25, 1 },
39296		{ "CPL_SWITCH", 24, 1 },
39297		{ "ULP_RX", 23, 1 },
39298		{ "PM_RX", 22, 1 },
39299		{ "PM_TX", 21, 1 },
39300		{ "MA", 20, 1 },
39301		{ "TP", 19, 1 },
39302		{ "LE", 18, 1 },
39303		{ "EDC1", 17, 1 },
39304		{ "EDC0", 16, 1 },
39305		{ "MC0", 15, 1 },
39306		{ "PCIE", 14, 1 },
39307		{ "PMU", 13, 1 },
39308		{ "MAC1", 10, 1 },
39309		{ "MAC0", 9, 1 },
39310		{ "SMB", 8, 1 },
39311		{ "SF", 7, 1 },
39312		{ "PL", 6, 1 },
39313		{ "NCSI", 5, 1 },
39314		{ "MPS", 4, 1 },
39315		{ "MI", 3, 1 },
39316		{ "DBG", 2, 1 },
39317		{ "I2CM", 1, 1 },
39318		{ "CIM", 0, 1 },
39319	{ "PL_INT_MAP0", 0x19414, 0 },
39320		{ "MapNCSI", 16, 9 },
39321		{ "MapDefault", 0, 9 },
39322	{ "PL_INT_MAP1", 0x19418, 0 },
39323		{ "MapMAC1", 16, 9 },
39324		{ "MapMAC0", 0, 9 },
39325	{ "PL_INT_MAP3", 0x19420, 0 },
39326		{ "MapMI", 16, 9 },
39327		{ "MapSMB", 0, 9 },
39328	{ "PL_INT_MAP4", 0x19424, 0 },
39329		{ "MapDBG", 16, 9 },
39330		{ "MapI2CM", 0, 9 },
39331	{ "PL_RST", 0x19428, 0 },
39332		{ "AutoPciePause", 4, 1 },
39333		{ "FatalPerrEn", 3, 1 },
39334		{ "SWIntCIM", 2, 1 },
39335		{ "PIORst", 1, 1 },
39336		{ "PIORstMode", 0, 1 },
39337	{ "PL_PL_INT_CAUSE", 0x19430, 0 },
39338		{ "PL_BusPerr", 6, 1 },
39339		{ "FatalPerr", 4, 1 },
39340		{ "InvalidAccess", 3, 1 },
39341		{ "Timeout", 2, 1 },
39342		{ "PLErr", 1, 1 },
39343	{ "PL_PL_INT_ENABLE", 0x19434, 0 },
39344		{ "PL_BusPerr", 6, 1 },
39345		{ "FatalPerr", 4, 1 },
39346		{ "InvalidAccess", 3, 1 },
39347		{ "Timeout", 2, 1 },
39348		{ "PLErr", 1, 1 },
39349	{ "PL_PL_PERR_ENABLE", 0x19438, 0 },
39350		{ "PL_BusPerr", 6, 1 },
39351	{ "PL_REV", 0x1943c, 0 },
39352		{ "ChipID", 4, 4 },
39353		{ "Rev", 0, 4 },
39354	{ "PL_PCIE_LINK", 0x19440, 0 },
39355		{ "LN0_AESTAT", 27, 3 },
39356		{ "LN0_AECMD", 24, 3 },
39357		{ "StateCfgInitF", 16, 8 },
39358		{ "StateCfgInit", 12, 4 },
39359		{ "PHY_STATUS", 10, 1 },
39360		{ "SPEED", 8, 2 },
39361		{ "PERstTimeout", 7, 1 },
39362		{ "LTSSMEnable", 6, 1 },
39363		{ "LTSSM", 0, 6 },
39364	{ "PL_PCIE_CTL_STAT", 0x19444, 0 },
39365		{ "Status", 16, 16 },
39366		{ "Control", 0, 16 },
39367	{ "PL_SEMAPHORE_CTL", 0x1944c, 0 },
39368		{ "LockStatus", 16, 8 },
39369		{ "OwnerOverride", 8, 1 },
39370		{ "EnablePF", 0, 8 },
39371	{ "PL_SEMAPHORE_LOCK", 0x19450, 0 },
39372		{ "Lock", 31, 1 },
39373		{ "SourceBus", 3, 2 },
39374		{ "SourcePF", 0, 3 },
39375	{ "PL_SEMAPHORE_LOCK", 0x19454, 0 },
39376		{ "Lock", 31, 1 },
39377		{ "SourceBus", 3, 2 },
39378		{ "SourcePF", 0, 3 },
39379	{ "PL_SEMAPHORE_LOCK", 0x19458, 0 },
39380		{ "Lock", 31, 1 },
39381		{ "SourceBus", 3, 2 },
39382		{ "SourcePF", 0, 3 },
39383	{ "PL_SEMAPHORE_LOCK", 0x1945c, 0 },
39384		{ "Lock", 31, 1 },
39385		{ "SourceBus", 3, 2 },
39386		{ "SourcePF", 0, 3 },
39387	{ "PL_SEMAPHORE_LOCK", 0x19460, 0 },
39388		{ "Lock", 31, 1 },
39389		{ "SourceBus", 3, 2 },
39390		{ "SourcePF", 0, 3 },
39391	{ "PL_SEMAPHORE_LOCK", 0x19464, 0 },
39392		{ "Lock", 31, 1 },
39393		{ "SourceBus", 3, 2 },
39394		{ "SourcePF", 0, 3 },
39395	{ "PL_SEMAPHORE_LOCK", 0x19468, 0 },
39396		{ "Lock", 31, 1 },
39397		{ "SourceBus", 3, 2 },
39398		{ "SourcePF", 0, 3 },
39399	{ "PL_SEMAPHORE_LOCK", 0x1946c, 0 },
39400		{ "Lock", 31, 1 },
39401		{ "SourceBus", 3, 2 },
39402		{ "SourcePF", 0, 3 },
39403	{ "PL_PORTX_MAP", 0x19474, 0 },
39404		{ "MAP7", 28, 3 },
39405		{ "MAP6", 24, 3 },
39406		{ "MAP5", 20, 3 },
39407		{ "MAP4", 16, 3 },
39408		{ "MAP3", 12, 3 },
39409		{ "MAP2", 8, 3 },
39410		{ "MAP1", 4, 3 },
39411		{ "MAP0", 0, 3 },
39412	{ "PL_VF_SLICE_L", 0x19490, 0 },
39413		{ "LimitAddr", 16, 10 },
39414		{ "BaseAddr", 0, 10 },
39415	{ "PL_VF_SLICE_L", 0x19498, 0 },
39416		{ "LimitAddr", 16, 10 },
39417		{ "BaseAddr", 0, 10 },
39418	{ "PL_VF_SLICE_L", 0x194a0, 0 },
39419		{ "LimitAddr", 16, 10 },
39420		{ "BaseAddr", 0, 10 },
39421	{ "PL_VF_SLICE_L", 0x194a8, 0 },
39422		{ "LimitAddr", 16, 10 },
39423		{ "BaseAddr", 0, 10 },
39424	{ "PL_VF_SLICE_L", 0x194b0, 0 },
39425		{ "LimitAddr", 16, 10 },
39426		{ "BaseAddr", 0, 10 },
39427	{ "PL_VF_SLICE_L", 0x194b8, 0 },
39428		{ "LimitAddr", 16, 10 },
39429		{ "BaseAddr", 0, 10 },
39430	{ "PL_VF_SLICE_L", 0x194c0, 0 },
39431		{ "LimitAddr", 16, 10 },
39432		{ "BaseAddr", 0, 10 },
39433	{ "PL_VF_SLICE_L", 0x194c8, 0 },
39434		{ "LimitAddr", 16, 10 },
39435		{ "BaseAddr", 0, 10 },
39436	{ "PL_VF_SLICE_H", 0x19494, 0 },
39437		{ "ModIndx", 16, 3 },
39438		{ "ModOffset", 0, 10 },
39439	{ "PL_VF_SLICE_H", 0x1949c, 0 },
39440		{ "ModIndx", 16, 3 },
39441		{ "ModOffset", 0, 10 },
39442	{ "PL_VF_SLICE_H", 0x194a4, 0 },
39443		{ "ModIndx", 16, 3 },
39444		{ "ModOffset", 0, 10 },
39445	{ "PL_VF_SLICE_H", 0x194ac, 0 },
39446		{ "ModIndx", 16, 3 },
39447		{ "ModOffset", 0, 10 },
39448	{ "PL_VF_SLICE_H", 0x194b4, 0 },
39449		{ "ModIndx", 16, 3 },
39450		{ "ModOffset", 0, 10 },
39451	{ "PL_VF_SLICE_H", 0x194bc, 0 },
39452		{ "ModIndx", 16, 3 },
39453		{ "ModOffset", 0, 10 },
39454	{ "PL_VF_SLICE_H", 0x194c4, 0 },
39455		{ "ModIndx", 16, 3 },
39456		{ "ModOffset", 0, 10 },
39457	{ "PL_VF_SLICE_H", 0x194cc, 0 },
39458		{ "ModIndx", 16, 3 },
39459		{ "ModOffset", 0, 10 },
39460	{ "PL_TIMEOUT_CTL", 0x194f0, 0 },
39461		{ "PerrCapture", 16, 1 },
39462		{ "Timeout", 0, 16 },
39463	{ "PL_TIMEOUT_STATUS0", 0x194f4, 0 },
39464		{ "Addr", 2, 28 },
39465	{ "PL_TIMEOUT_STATUS1", 0x194f8, 0 },
39466		{ "Valid", 31, 1 },
39467		{ "ValidPerr", 30, 1 },
39468		{ "Write", 22, 1 },
39469		{ "Bus", 20, 2 },
39470		{ "PF", 16, 3 },
39471		{ "VFID", 0, 9 },
39472	{ NULL }
39473};
39474
39475struct reg_info t6_le_regs[] = {
39476	{ "LE_DB_ID", 0x19c00, 0 },
39477	{ "LE_DB_CONFIG", 0x19c04, 0 },
39478		{ "CHK_FUL_TUP_ZERO", 27, 1 },
39479		{ "PRI_HASH", 26, 1 },
39480		{ "EXTN_HASH_IPV4", 25, 1 },
39481		{ "PROTOCOLMASKEN", 24, 1 },
39482		{ "SRVRSRAMEN", 22, 1 },
39483		{ "HASHEN", 20, 1 },
39484		{ "ASLIPCOMPEN_IPV4", 18, 1 },
39485		{ "BUILD", 16, 1 },
39486		{ "IGNR_TUP_ZERO", 9, 1 },
39487		{ "IGNR_LIP_ZERO", 8, 1 },
39488		{ "CLCAM_INIT_BUSY", 7, 1 },
39489		{ "CLCAM_INIT", 6, 1 },
39490		{ "MTCAM_INIT_BUSY", 5, 1 },
39491		{ "MTCAM_INIT", 4, 1 },
39492		{ "REGION_EN", 0, 4 },
39493	{ "LE_DB_EXEC_CTRL", 0x19c08, 0 },
39494		{ "TPDB_IF_PAUSE_ACK", 10, 1 },
39495		{ "TPDB_IF_PAUSE_REQ", 9, 1 },
39496		{ "ERRSTOP_EN", 8, 1 },
39497		{ "CMDLIMIT", 0, 8 },
39498	{ "LE_DB_PS_CTRL", 0x19c0c, 0 },
39499		{ "SRAMDEEPSLEEP_STAT", 11, 1 },
39500		{ "CLTCAMDEEPSLEEP_STAT", 10, 1 },
39501		{ "TCAMDEEPSLEEP_STAT", 9, 1 },
39502		{ "SRAMDEEPSLEEP", 8, 1 },
39503		{ "CLTCAMDEEPSLEEP", 7, 1 },
39504		{ "TCAMDEEPSLEEP", 6, 1 },
39505		{ "SRVRAMCLKOFF", 5, 1 },
39506		{ "HASHCLKOFF", 4, 1 },
39507	{ "LE_DB_ACTIVE_TABLE_START_INDEX", 0x19c10, 0 },
39508	{ "LE_DB_NORM_FILT_TABLE_START_INDEX", 0x19c14, 0 },
39509	{ "LE_DB_SRVR_START_INDEX", 0x19c18, 0 },
39510	{ "LE_DB_HPRI_FILT_TABLE_START_INDEX", 0x19c1c, 0 },
39511	{ "LE_DB_ACT_CNT_IPV4", 0x19c20, 0 },
39512	{ "LE_DB_ACT_CNT_IPV6", 0x19c24, 0 },
39513	{ "LE_DB_ACT_CNT_IPV4_TCAM", 0x19c94, 0 },
39514	{ "LE_DB_ACT_CNT_IPV6_TCAM", 0x19c98, 0 },
39515	{ "LE_DB_REQ_RSP_CNT", 0x19ce4, 0 },
39516		{ "RspCnt", 16, 16 },
39517		{ "ReqCnt", 0, 16 },
39518	{ "LE_HASH_COLLISION", 0x19fc4, 0 },
39519	{ "LE_GLOBAL_COLLISION", 0x19fc8, 0 },
39520	{ "LE_DB_HASH_CONFIG", 0x19c28, 0 },
39521		{ "NUMHASHBKT", 20, 5 },
39522		{ "HASHTBLSIZE", 3, 17 },
39523	{ "LE_DB_MIN_NUM_ACTV_TCAM_ENTRIES", 0x19c2c, 0 },
39524	{ "LE_DB_MAX_NUM_HASH_ENTRIES", 0x19c70, 0 },
39525	{ "LE_DB_RSP_CODE_0", 0x19c74, 0 },
39526		{ "SUCCESS", 25, 5 },
39527		{ "TCAM_ACTV_SUCC", 20, 5 },
39528		{ "HASH_ACTV_SUCC", 15, 5 },
39529		{ "TCAM_SRVR_HIT", 10, 5 },
39530		{ "SRAM_SRVR_HIT", 5, 5 },
39531		{ "TCAM_ACTV_HIT", 0, 5 },
39532	{ "LE_DB_RSP_CODE_1", 0x19c78, 0 },
39533		{ "HASH_ACTV_HIT", 25, 5 },
39534		{ "MISS", 20, 5 },
39535		{ "NORM_FILT_HIT", 15, 5 },
39536		{ "HPRI_FILT_HIT", 10, 5 },
39537		{ "ACTV_OPEN_ERR", 5, 5 },
39538		{ "ACTV_FULL_ERR", 0, 5 },
39539	{ "LE_DB_RSP_CODE_2", 0x19c7c, 0 },
39540		{ "SRCH_RGN_HIT", 25, 5 },
39541		{ "CLIP_FAIL", 20, 5 },
39542		{ "LIP_ZERO_ERR", 15, 5 },
39543		{ "UNKNOWN_CMD", 10, 5 },
39544		{ "CMD_TID_ERR", 5, 5 },
39545		{ "INTERNAL_ERR", 0, 5 },
39546	{ "LE_DB_RSP_CODE_3", 0x19c80, 0 },
39547		{ "SRAM_SRVR_HIT_ACTF", 25, 5 },
39548		{ "TCAM_SRVR_HIT_ACTF", 20, 5 },
39549		{ "INVLDRD", 15, 5 },
39550		{ "TUPLZERO", 10, 5 },
39551	{ "LE_DB_HASH_TBL_BASE_ADDR", 0x19c30, 0 },
39552		{ "HASHTBLADDR", 4, 28 },
39553	{ "LE_TCAM_SIZE", 0x19c34, 0 },
39554	{ "LE_DB_INT_ENABLE", 0x19c38, 0 },
39555		{ "ClipSubErr", 29, 1 },
39556		{ "ClCamFifoerr", 28, 1 },
39557		{ "HashTblMemCrcErr", 27, 1 },
39558		{ "CTcamInvldEnt", 26, 1 },
39559		{ "TcamInvldEnt", 25, 1 },
39560		{ "TotCntErr", 24, 1 },
39561		{ "CmdPrsrIntErr", 23, 1 },
39562		{ "CmdTidErr", 22, 1 },
39563		{ "ActRgnFull", 21, 1 },
39564		{ "ActCntIPv6Tzero", 20, 1 },
39565		{ "ActCntIPv4Tzero", 19, 1 },
39566		{ "ActCntIPv6zero", 18, 1 },
39567		{ "ActCntIPv4zero", 17, 1 },
39568		{ "MaifwrIntPerr", 16, 1 },
39569		{ "HashTblMemAccErr", 15, 1 },
39570		{ "TcamCrcErr", 14, 1 },
39571		{ "TcamIntPerr", 13, 1 },
39572		{ "VfSramPerr", 12, 1 },
39573		{ "SrvSramPerr", 11, 1 },
39574		{ "SsramIntPerr", 10, 1 },
39575		{ "ClCamIntPerr", 9, 1 },
39576		{ "ClCamCrcParErr", 8, 1 },
39577		{ "HashTblAccFail", 7, 1 },
39578		{ "TcamAccFail", 6, 1 },
39579		{ "SrvSramAccFail", 5, 1 },
39580		{ "ClipTcamAccFail", 4, 1 },
39581		{ "UnknownCmd", 3, 1 },
39582		{ "LIP0", 2, 1 },
39583		{ "LIPMiss", 1, 1 },
39584		{ "PipelineErr", 0, 1 },
39585	{ "LE_DB_INT_CAUSE", 0x19c3c, 0 },
39586		{ "ClipSubErr", 29, 1 },
39587		{ "ClCamFifoerr", 28, 1 },
39588		{ "HashTblMemCrcErr", 27, 1 },
39589		{ "CTcamInvldEnt", 26, 1 },
39590		{ "TcamInvldEnt", 25, 1 },
39591		{ "TotCntErr", 24, 1 },
39592		{ "CmdPrsrIntErr", 23, 1 },
39593		{ "CmdTidErr", 22, 1 },
39594		{ "ActRgnFull", 21, 1 },
39595		{ "ActCntIPv6Tzero", 20, 1 },
39596		{ "ActCntIPv4Tzero", 19, 1 },
39597		{ "ActCntIPv6zero", 18, 1 },
39598		{ "ActCntIPv4zero", 17, 1 },
39599		{ "MaifwrIntPerr", 16, 1 },
39600		{ "HashTblMemAccErr", 15, 1 },
39601		{ "TcamCrcErr", 14, 1 },
39602		{ "TcamIntPerr", 13, 1 },
39603		{ "VfSramPerr", 12, 1 },
39604		{ "SrvSramPerr", 11, 1 },
39605		{ "SsramIntPerr", 10, 1 },
39606		{ "ClCamIntPerr", 9, 1 },
39607		{ "ClCamCrcParErr", 8, 1 },
39608		{ "HashTblAccFail", 7, 1 },
39609		{ "TcamAccFail", 6, 1 },
39610		{ "SrvSramAccFail", 5, 1 },
39611		{ "ClipTcamAccFail", 4, 1 },
39612		{ "UnknownCmd", 3, 1 },
39613		{ "LIP0", 2, 1 },
39614		{ "LIPMiss", 1, 1 },
39615		{ "PipelineErr", 0, 1 },
39616	{ "LE_PERR_ENABLE", 0x19cf8, 0 },
39617		{ "BkChkPeriod", 22, 10 },
39618		{ "TcamBkChkEn", 21, 1 },
39619		{ "MaifwrIntPerr", 16, 1 },
39620		{ "HashTblMemAccErr", 15, 1 },
39621		{ "TcamCrcErr", 14, 1 },
39622		{ "TcamIntPerr", 13, 1 },
39623		{ "VfSramPerr", 12, 1 },
39624		{ "SrvSramPerr", 11, 1 },
39625		{ "SsramIntPerr", 10, 1 },
39626		{ "ClCamIntPerr", 9, 1 },
39627		{ "ClCamCrcParErr", 8, 1 },
39628		{ "HashTblAccFail", 7, 1 },
39629		{ "TcamAccFail", 6, 1 },
39630		{ "SrvSramAccFail", 5, 1 },
39631		{ "ClipTcamAccFail", 4, 1 },
39632		{ "ClCamFifoerr", 2, 1 },
39633		{ "HashTblMemCrcErr", 1, 1 },
39634		{ "PipelineErr", 0, 1 },
39635	{ "LE_DB_ERR_CMD_TID", 0x19c48, 0 },
39636		{ "ERR_CID", 22, 8 },
39637		{ "ERR_PROT", 20, 2 },
39638		{ "ERR_TID", 0, 20 },
39639	{ "LE_DB_DBG_MATCH_DATA_MASK", 0x19c50, 0 },
39640	{ "LE_DB_DBG_MATCH_DATA_MASK", 0x19c54, 0 },
39641	{ "LE_DB_DBG_MATCH_DATA_MASK", 0x19c58, 0 },
39642	{ "LE_DB_DBG_MATCH_DATA_MASK", 0x19c5c, 0 },
39643	{ "LE_DB_DBG_MATCH_DATA_MASK", 0x19c60, 0 },
39644	{ "LE_DB_DBG_MATCH_DATA_MASK", 0x19c64, 0 },
39645	{ "LE_DB_DBG_MATCH_DATA_MASK", 0x19c68, 0 },
39646	{ "LE_DB_DBG_MATCH_DATA_MASK", 0x19c6c, 0 },
39647	{ "LE_DB_DBG_MATCH_DATA", 0x19ca0, 0 },
39648	{ "LE_DB_DBG_MATCH_DATA", 0x19ca4, 0 },
39649	{ "LE_DB_DBG_MATCH_DATA", 0x19ca8, 0 },
39650	{ "LE_DB_DBG_MATCH_DATA", 0x19cac, 0 },
39651	{ "LE_DB_DBG_MATCH_DATA", 0x19cb0, 0 },
39652	{ "LE_DB_DBG_MATCH_DATA", 0x19cb4, 0 },
39653	{ "LE_DB_DBG_MATCH_DATA", 0x19cb8, 0 },
39654	{ "LE_DB_DBG_MATCH_DATA", 0x19cbc, 0 },
39655	{ "LE_DB_DBG_MATCH_CMD_IDX_MASK", 0x19c40, 0 },
39656		{ "CMD_CMP_MASK", 20, 5 },
39657		{ "TID_CMP_MASK", 0, 20 },
39658	{ "LE_DB_DBG_MATCH_CMD_IDX_DATA", 0x19c44, 0 },
39659		{ "CMD_CMP", 20, 5 },
39660		{ "TID_CMP", 0, 20 },
39661	{ "LE_DB_DBGI_CONFIG", 0x19cf0, 0 },
39662		{ "DBGICMDRANGE", 22, 3 },
39663		{ "DBGICMDMSKREAD", 21, 1 },
39664		{ "DBGICMDSEARCH", 20, 1 },
39665		{ "DBGICMDREAD", 19, 1 },
39666		{ "DBGICMDLEARN", 18, 1 },
39667		{ "DBGICMDWRITE", 17, 1 },
39668		{ "DBGICMDIPv6", 16, 1 },
39669		{ "DBGICMDBUSY", 3, 1 },
39670		{ "DBGICMDSTRT", 2, 1 },
39671		{ "DBGICMDMODE", 0, 2 },
39672	{ "LE_DB_DBGI_REQ_CMD", 0x19cf4, 0 },
39673		{ "DBGICMD", 20, 4 },
39674		{ "DBGITID", 0, 20 },
39675	{ "LE_DB_DBGI_REQ_DATA", 0x19d00, 0 },
39676	{ "LE_DB_DBGI_REQ_DATA", 0x19d04, 0 },
39677	{ "LE_DB_DBGI_REQ_DATA", 0x19d08, 0 },
39678	{ "LE_DB_DBGI_REQ_DATA", 0x19d0c, 0 },
39679	{ "LE_DB_DBGI_REQ_DATA", 0x19d10, 0 },
39680	{ "LE_DB_DBGI_REQ_DATA", 0x19d14, 0 },
39681	{ "LE_DB_DBGI_REQ_DATA", 0x19d18, 0 },
39682	{ "LE_DB_DBGI_REQ_DATA", 0x19d1c, 0 },
39683	{ "LE_DB_DBGI_REQ_DATA", 0x19d20, 0 },
39684	{ "LE_DB_DBGI_REQ_DATA", 0x19d24, 0 },
39685	{ "LE_DB_DBGI_REQ_DATA", 0x19d28, 0 },
39686	{ "LE_DB_DBGI_REQ_MASK", 0x19d50, 0 },
39687	{ "LE_DB_DBGI_REQ_MASK", 0x19d54, 0 },
39688	{ "LE_DB_DBGI_REQ_MASK", 0x19d58, 0 },
39689	{ "LE_DB_DBGI_REQ_MASK", 0x19d5c, 0 },
39690	{ "LE_DB_DBGI_REQ_MASK", 0x19d60, 0 },
39691	{ "LE_DB_DBGI_REQ_MASK", 0x19d64, 0 },
39692	{ "LE_DB_DBGI_REQ_MASK", 0x19d68, 0 },
39693	{ "LE_DB_DBGI_REQ_MASK", 0x19d6c, 0 },
39694	{ "LE_DB_DBGI_REQ_MASK", 0x19d70, 0 },
39695	{ "LE_DB_DBGI_REQ_MASK", 0x19d74, 0 },
39696	{ "LE_DB_DBGI_REQ_MASK", 0x19d78, 0 },
39697	{ "LE_DB_DBGI_RSP_STATUS", 0x19d94, 0 },
39698		{ "DBGIRspTid", 12, 20 },
39699		{ "DBGIRspMsg", 8, 4 },
39700		{ "DBGIRspLearn", 2, 1 },
39701		{ "DBGIRspHit", 1, 1 },
39702		{ "DBGIRspValid", 0, 1 },
39703	{ "LE_DBG_SEL", 0x19d98, 0 },
39704	{ "LE_DB_DBGI_RSP_DATA", 0x19da0, 0 },
39705	{ "LE_DB_DBGI_RSP_DATA", 0x19da4, 0 },
39706	{ "LE_DB_DBGI_RSP_DATA", 0x19da8, 0 },
39707	{ "LE_DB_DBGI_RSP_DATA", 0x19dac, 0 },
39708	{ "LE_DB_DBGI_RSP_DATA", 0x19db0, 0 },
39709	{ "LE_DB_DBGI_RSP_DATA", 0x19db4, 0 },
39710	{ "LE_DB_DBGI_RSP_DATA", 0x19db8, 0 },
39711	{ "LE_DB_DBGI_RSP_DATA", 0x19dbc, 0 },
39712	{ "LE_DB_DBGI_RSP_DATA", 0x19dc0, 0 },
39713	{ "LE_DB_DBGI_RSP_DATA", 0x19dc4, 0 },
39714	{ "LE_DB_DBGI_RSP_DATA", 0x19dc8, 0 },
39715	{ "LE_DB_TCAM_TID_BASE", 0x19df0, 0 },
39716	{ "LE_DB_CLCAM_TID_BASE", 0x19df4, 0 },
39717	{ "LE_DB_HASH_TID_BASE", 0x19df8, 0 },
39718	{ "LE_DB_SSRAM_TID_BASE", 0x19dfc, 0 },
39719	{ "LE_DB_ACTIVE_MASK_IPV4", 0x19e00, 0 },
39720	{ "LE_DB_ACTIVE_MASK_IPV4", 0x19e04, 0 },
39721	{ "LE_DB_ACTIVE_MASK_IPV4", 0x19e08, 0 },
39722	{ "LE_DB_ACTIVE_MASK_IPV4", 0x19e0c, 0 },
39723	{ "LE_DB_ACTIVE_MASK_IPV4", 0x19e10, 0 },
39724	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e50, 0 },
39725	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e54, 0 },
39726	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e58, 0 },
39727	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e5c, 0 },
39728	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e60, 0 },
39729	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e64, 0 },
39730	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e68, 0 },
39731	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e6c, 0 },
39732	{ "LE_DB_HASH_MASK_GEN_IPV4", 0x19ea0, 0 },
39733	{ "LE_DB_HASH_MASK_GEN_IPV4", 0x19ea4, 0 },
39734	{ "LE_DB_HASH_MASK_GEN_IPV4", 0x19ea8, 0 },
39735	{ "LE_DB_HASH_MASK_GEN_IPV4", 0x19eac, 0 },
39736	{ "LE_DB_HASH_MASK_GEN_IPV4", 0x19eb0, 0 },
39737	{ "LE_DB_HASH_MASK_GEN_IPV4", 0x19eb4, 0 },
39738	{ "LE_DB_HASH_MASK_GEN_IPV4", 0x19eb8, 0 },
39739	{ "LE_DB_HASH_MASK_GEN_IPV4", 0x19ebc, 0 },
39740	{ "LE_DB_HASH_MASK_GEN_IPV6", 0x19ec4, 0 },
39741	{ "LE_DB_HASH_MASK_GEN_IPV6", 0x19ec8, 0 },
39742	{ "LE_DB_HASH_MASK_GEN_IPV6", 0x19ecc, 0 },
39743	{ "LE_DB_HASH_MASK_GEN_IPV6", 0x19ed0, 0 },
39744	{ "LE_DB_HASH_MASK_GEN_IPV6", 0x19ed4, 0 },
39745	{ "LE_DB_HASH_MASK_GEN_IPV6", 0x19ed8, 0 },
39746	{ "LE_DB_HASH_MASK_GEN_IPV6", 0x19edc, 0 },
39747	{ "LE_DB_HASH_MASK_GEN_IPV6", 0x19ee0, 0 },
39748	{ "LE_DB_PSV_FILTER_MASK_TUP_IPV4", 0x19ee4, 0 },
39749	{ "LE_DB_PSV_FILTER_MASK_TUP_IPV4", 0x19ee8, 0 },
39750	{ "LE_DB_PSV_FILTER_MASK_TUP_IPV4", 0x19eec, 0 },
39751	{ "LE_DB_PSV_FILTER_MASK_FLT_IPV4", 0x19ef0, 0 },
39752	{ "LE_DB_PSV_FILTER_MASK_FLT_IPV4", 0x19ef4, 0 },
39753	{ "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f04, 0 },
39754	{ "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f08, 0 },
39755	{ "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f0c, 0 },
39756	{ "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f10, 0 },
39757	{ "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f14, 0 },
39758	{ "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f18, 0 },
39759	{ "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f1c, 0 },
39760	{ "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f20, 0 },
39761	{ "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f24, 0 },
39762	{ "LE_DB_PSV_FILTER_MASK_FLT_IPV6", 0x19f28, 0 },
39763	{ "LE_DB_PSV_FILTER_MASK_FLT_IPV6", 0x19f2c, 0 },
39764	{ "LE_DB_SRVR_SRAM_CONFIG", 0x19f34, 0 },
39765		{ "PRI_HFILT", 4, 1 },
39766		{ "PRI_SRVR", 3, 1 },
39767		{ "PRI_FILT", 2, 1 },
39768		{ "SRVRINITBUSY", 1, 1 },
39769		{ "SRVRINIT", 0, 1 },
39770	{ "LE_DB_SRVR_VF_SRCH_TABLE_CTRL", 0x19f38, 0 },
39771		{ "VFLUTBUSY", 10, 1 },
39772		{ "VFLUTSTART", 9, 1 },
39773		{ "RDWR", 8, 1 },
39774		{ "VFINDEX", 0, 8 },
39775	{ "LE_DB_SRVR_VF_SRCH_TABLE_DATA", 0x19f3c, 0 },
39776		{ "SRCHHADDR", 12, 12 },
39777		{ "SRCHLADDR", 0, 12 },
39778	{ "LE_DB_SECOND_ACTIVE_MASK_IPV4", 0x19f40, 0 },
39779	{ "LE_DB_SECOND_ACTIVE_MASK_IPV4", 0x19f44, 0 },
39780	{ "LE_DB_SECOND_ACTIVE_MASK_IPV4", 0x19f48, 0 },
39781	{ "LE_DB_SECOND_ACTIVE_MASK_IPV4", 0x19f4c, 0 },
39782	{ "LE_DB_SECOND_ACTIVE_MASK_IPV4", 0x19f50, 0 },
39783	{ "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19f90, 0 },
39784	{ "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19f94, 0 },
39785	{ "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19f98, 0 },
39786	{ "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19f9c, 0 },
39787	{ "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19fa0, 0 },
39788	{ "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19fa4, 0 },
39789	{ "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19fa8, 0 },
39790	{ "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19fac, 0 },
39791	{ "LE_DEBUG_LA_CONFIG", 0x19fd0, 0 },
39792	{ "LE_REQ_DEBUG_LA_DATA", 0x19fd4, 0 },
39793	{ "LE_REQ_DEBUG_LA_WRPTR", 0x19fd8, 0 },
39794	{ "LE_RSP_DEBUG_LA_DATA", 0x19fdc, 0 },
39795	{ "LE_RSP_DEBUG_LA_WRPTR", 0x19fe0, 0 },
39796	{ "LE_DEBUG_LA_SEL_DATA", 0x19fe4, 0 },
39797	{ NULL }
39798};
39799
39800struct reg_info t6_ncsi_regs[] = {
39801	{ "NCSI_PORT_CFGREG", 0x1a000, 0 },
39802		{ "WireEn", 28, 4 },
39803		{ "strp_crc", 24, 4 },
39804		{ "rx_halt", 22, 1 },
39805		{ "flush_rx_fifo", 21, 1 },
39806		{ "hw_arb_en", 20, 1 },
39807		{ "soft_pkg_sel", 19, 1 },
39808		{ "err_discard_en", 18, 1 },
39809		{ "max_pkt_size", 4, 14 },
39810		{ "rx_byte_swap", 3, 1 },
39811		{ "tx_byte_swap", 2, 1 },
39812	{ "NCSI_RST_CTRL", 0x1a004, 0 },
39813		{ "mac_ref_rst", 2, 1 },
39814		{ "mac_rx_rst", 1, 1 },
39815		{ "mac_tx_rst", 0, 1 },
39816	{ "NCSI_CH0_SADDR_LOW", 0x1a010, 0 },
39817	{ "NCSI_CH0_SADDR_HIGH", 0x1a014, 0 },
39818		{ "CHO_SADDR_EN", 31, 1 },
39819		{ "CH0_SADDR_HIGH", 0, 16 },
39820	{ "NCSI_CH1_SADDR_LOW", 0x1a018, 0 },
39821	{ "NCSI_CH1_SADDR_HIGH", 0x1a01c, 0 },
39822		{ "CH1_SADDR_EN", 31, 1 },
39823		{ "CH1_SADDR_HIGH", 0, 16 },
39824	{ "NCSI_CH2_SADDR_LOW", 0x1a020, 0 },
39825	{ "NCSI_CH2_SADDR_HIGH", 0x1a024, 0 },
39826		{ "CH2_SADDR_EN", 31, 1 },
39827		{ "CH2_SADDR_HIGH", 0, 16 },
39828	{ "NCSI_CH3_SADDR_LOW", 0x1a028, 0 },
39829	{ "NCSI_CH3_SADDR_HIGH", 0x1a02c, 0 },
39830		{ "CH3_SADDR_EN", 31, 1 },
39831		{ "CH3_SADDR_HIGH", 0, 16 },
39832	{ "NCSI_WORK_REQHDR_0", 0x1a030, 0 },
39833	{ "NCSI_WORK_REQHDR_1", 0x1a034, 0 },
39834	{ "NCSI_WORK_REQHDR_2", 0x1a038, 0 },
39835	{ "NCSI_WORK_REQHDR_3", 0x1a03c, 0 },
39836	{ "NCSI_MPS_HDR_LO", 0x1a040, 0 },
39837	{ "NCSI_MPS_HDR_HI", 0x1a044, 0 },
39838	{ "NCSI_CTL", 0x1a048, 0 },
39839		{ "STRIP_OVLAN", 3, 1 },
39840		{ "bmc_drop_non_bc", 2, 1 },
39841		{ "bmc_rx_fwd_all", 1, 1 },
39842		{ "FWD_BMC", 0, 1 },
39843	{ "NCSI_NCSI_ETYPE", 0x1a04c, 0 },
39844	{ "NCSI_RX_FIFO_CNT", 0x1a050, 0 },
39845	{ "NCSI_RX_ERR_CNT", 0x1a054, 0 },
39846	{ "NCSI_RX_OF_CNT", 0x1a058, 0 },
39847	{ "NCSI_RX_MS_CNT", 0x1a05c, 0 },
39848	{ "NCSI_RX_IE_CNT", 0x1a060, 0 },
39849	{ "NCSI_MPS_DEMUX_CNT", 0x1a064, 0 },
39850		{ "MPS2CIM_CNT", 16, 9 },
39851		{ "MPS2BMC_CNT", 0, 9 },
39852	{ "NCSI_CIM_DEMUX_CNT", 0x1a068, 0 },
39853		{ "CIM2MPS_CNT", 16, 9 },
39854		{ "CIM2BMC_CNT", 0, 9 },
39855	{ "NCSI_TX_FIFO_CNT", 0x1a06c, 0 },
39856	{ "NCSI_SE_CNT_CTL", 0x1a0b0, 0 },
39857	{ "NCSI_SE_CNT_MPS", 0x1a0b4, 0 },
39858	{ "NCSI_SE_CNT_CIM", 0x1a0b8, 0 },
39859	{ "NCSI_BUS_DEBUG", 0x1a0bc, 0 },
39860	{ "NCSI_LA_RDPTR", 0x1a0c0, 0 },
39861	{ "NCSI_LA_RDDATA", 0x1a0c4, 0 },
39862	{ "NCSI_LA_WRPTR", 0x1a0c8, 0 },
39863	{ "NCSI_LA_RESERVED", 0x1a0cc, 0 },
39864	{ "NCSI_LA_CTL", 0x1a0d0, 0 },
39865	{ "NCSI_INT_ENABLE", 0x1a0d4, 0 },
39866		{ "CIM_DM_prty_err", 8, 1 },
39867		{ "MPS_DM_prty_err", 7, 1 },
39868		{ "token", 6, 1 },
39869		{ "arb_done", 5, 1 },
39870		{ "arb_started", 4, 1 },
39871		{ "WOL", 3, 1 },
39872		{ "MACInt", 2, 1 },
39873		{ "TXFIFO_prty_err", 1, 1 },
39874		{ "RXFIFO_prty_err", 0, 1 },
39875	{ "NCSI_INT_CAUSE", 0x1a0d8, 0 },
39876		{ "CIM_DM_prty_err", 8, 1 },
39877		{ "MPS_DM_prty_err", 7, 1 },
39878		{ "token", 6, 1 },
39879		{ "arb_done", 5, 1 },
39880		{ "arb_started", 4, 1 },
39881		{ "WOL", 3, 1 },
39882		{ "MACInt", 2, 1 },
39883		{ "TXFIFO_prty_err", 1, 1 },
39884		{ "RXFIFO_prty_err", 0, 1 },
39885	{ "NCSI_STATUS", 0x1a0dc, 0 },
39886		{ "Master", 1, 1 },
39887		{ "arb_status", 0, 1 },
39888	{ "NCSI_PAUSE_CTRL", 0x1a0e0, 0 },
39889	{ "NCSI_PAUSE_TIMEOUT", 0x1a0e4, 0 },
39890	{ "NCSI_PAUSE_WM", 0x1a0ec, 0 },
39891		{ "PauseHWM", 16, 11 },
39892		{ "PauseLWM", 0, 11 },
39893	{ "NCSI_DEBUG", 0x1a0f0, 0 },
39894		{ "TxFIFO_empty", 4, 1 },
39895		{ "TxFIFO_full", 3, 1 },
39896		{ "PKG_ID", 0, 3 },
39897	{ "NCSI_PERR_INJECT", 0x1a0f4, 0 },
39898		{ "MemSel", 1, 1 },
39899		{ "InjectDataErr", 0, 1 },
39900	{ "NCSI_PERR_ENABLE", 0x1a0f8, 0 },
39901		{ "CIM_DM_prty_err", 8, 1 },
39902		{ "MPS_DM_prty_err", 7, 1 },
39903		{ "TXFIFO_prty_err", 1, 1 },
39904		{ "RXFIFO_prty_err", 0, 1 },
39905	{ "NCSI_MACB_NETWORK_CTRL", 0x1a100, 0 },
39906		{ "TxSndZeroPause", 12, 1 },
39907		{ "TxSndPause", 11, 1 },
39908		{ "TxStop", 10, 1 },
39909		{ "TxStart", 9, 1 },
39910		{ "BackPress", 8, 1 },
39911		{ "StatWrEn", 7, 1 },
39912		{ "IncrStat", 6, 1 },
39913		{ "ClearStat", 5, 1 },
39914		{ "EnMgmtPort", 4, 1 },
39915		{ "TxEn", 3, 1 },
39916		{ "RxEn", 2, 1 },
39917		{ "LoopLocal", 1, 1 },
39918		{ "LoopPHY", 0, 1 },
39919	{ "NCSI_MACB_NETWORK_CFG", 0x1a104, 0 },
39920		{ "PClkDiv128", 22, 1 },
39921		{ "CopyPause", 21, 1 },
39922		{ "NonStdPreOK", 20, 1 },
39923		{ "NoFCS", 19, 1 },
39924		{ "RxEnHalfDup", 18, 1 },
39925		{ "NoCopyFCS", 17, 1 },
39926		{ "LenChkEn", 16, 1 },
39927		{ "RxBufOffset", 14, 2 },
39928		{ "PauseEn", 13, 1 },
39929		{ "RetryTest", 12, 1 },
39930		{ "PClkDiv", 10, 2 },
39931		{ "ExtClass", 9, 1 },
39932		{ "En1536Frame", 8, 1 },
39933		{ "UCastHashEn", 7, 1 },
39934		{ "MCastHashEn", 6, 1 },
39935		{ "RxBCastDis", 5, 1 },
39936		{ "CopyAllFrames", 4, 1 },
39937		{ "JumboEn", 3, 1 },
39938		{ "SerEn", 2, 1 },
39939		{ "FullDuplex", 1, 1 },
39940		{ "Speed", 0, 1 },
39941	{ "NCSI_MACB_NETWORK_STATUS", 0x1a108, 0 },
39942		{ "PHYMgmtStatus", 2, 1 },
39943		{ "MDIStatus", 1, 1 },
39944		{ "LinkStatus", 0, 1 },
39945	{ "NCSI_MACB_TX_STATUS", 0x1a114, 0 },
39946		{ "UnderrunErr", 6, 1 },
39947		{ "TxComplete", 5, 1 },
39948		{ "BufferExhausted", 4, 1 },
39949		{ "TxProgress", 3, 1 },
39950		{ "RetryLimit", 2, 1 },
39951		{ "ColEvent", 1, 1 },
39952		{ "UsedBitRead", 0, 1 },
39953	{ "NCSI_MACB_RX_BUF_QPTR", 0x1a118, 0 },
39954		{ "RxBufQPtr", 2, 30 },
39955	{ "NCSI_MACB_TX_BUF_QPTR", 0x1a11c, 0 },
39956		{ "TxBufQPtr", 2, 30 },
39957	{ "NCSI_MACB_RX_STATUS", 0x1a120, 0 },
39958		{ "RxOverrunErr", 2, 1 },
39959		{ "FrameRcvd", 1, 1 },
39960		{ "NoRxBuf", 0, 1 },
39961	{ "NCSI_MACB_INT_STATUS", 0x1a124, 0 },
39962		{ "PauseTimeZero", 13, 1 },
39963		{ "PauseRcvd", 12, 1 },
39964		{ "HRespNotOK", 11, 1 },
39965		{ "RxOverrun", 10, 1 },
39966		{ "LinkChange", 9, 1 },
39967		{ "TxComplete", 7, 1 },
39968		{ "TxBufErr", 6, 1 },
39969		{ "RetryLimitErr", 5, 1 },
39970		{ "TxBufUnderrun", 4, 1 },
39971		{ "TxUsedBitRead", 3, 1 },
39972		{ "RxUsedBitRead", 2, 1 },
39973		{ "RxComplete", 1, 1 },
39974		{ "MgmtFrameSent", 0, 1 },
39975	{ "NCSI_MACB_INT_EN", 0x1a128, 0 },
39976		{ "PauseTimeZero", 13, 1 },
39977		{ "PauseRcvd", 12, 1 },
39978		{ "HRespNotOK", 11, 1 },
39979		{ "RxOverrun", 10, 1 },
39980		{ "LinkChange", 9, 1 },
39981		{ "TxComplete", 7, 1 },
39982		{ "TxBufErr", 6, 1 },
39983		{ "RetryLimitErr", 5, 1 },
39984		{ "TxBufUnderrun", 4, 1 },
39985		{ "TxUsedBitRead", 3, 1 },
39986		{ "RxUsedBitRead", 2, 1 },
39987		{ "RxComplete", 1, 1 },
39988		{ "MgmtFrameSent", 0, 1 },
39989	{ "NCSI_MACB_INT_DIS", 0x1a12c, 0 },
39990		{ "PauseTimeZero", 13, 1 },
39991		{ "PauseRcvd", 12, 1 },
39992		{ "HRespNotOK", 11, 1 },
39993		{ "RxOverrun", 10, 1 },
39994		{ "LinkChange", 9, 1 },
39995		{ "TxComplete", 7, 1 },
39996		{ "TxBufErr", 6, 1 },
39997		{ "RetryLimitErr", 5, 1 },
39998		{ "TxBufUnderrun", 4, 1 },
39999		{ "TxUsedBitRead", 3, 1 },
40000		{ "RxUsedBitRead", 2, 1 },
40001		{ "RxComplete", 1, 1 },
40002		{ "MgmtFrameSent", 0, 1 },
40003	{ "NCSI_MACB_INT_MASK", 0x1a130, 0 },
40004		{ "PauseTimeZero", 13, 1 },
40005		{ "PauseRcvd", 12, 1 },
40006		{ "HRespNotOK", 11, 1 },
40007		{ "RxOverrun", 10, 1 },
40008		{ "LinkChange", 9, 1 },
40009		{ "TxComplete", 7, 1 },
40010		{ "TxBufErr", 6, 1 },
40011		{ "RetryLimitErr", 5, 1 },
40012		{ "TxBufUnderrun", 4, 1 },
40013		{ "TxUsedBitRead", 3, 1 },
40014		{ "RxUsedBitRead", 2, 1 },
40015		{ "RxComplete", 1, 1 },
40016		{ "MgmtFrameSent", 0, 1 },
40017	{ "NCSI_MACB_PAUSE_TIME", 0x1a138, 0 },
40018	{ "NCSI_MACB_PAUSE_FRAMES_RCVD", 0x1a13c, 0 },
40019	{ "NCSI_MACB_TX_FRAMES_OK", 0x1a140, 0 },
40020	{ "NCSI_MACB_SINGLE_COL_FRAMES", 0x1a144, 0 },
40021	{ "NCSI_MACB_MUL_COL_FRAMES", 0x1a148, 0 },
40022	{ "NCSI_MACB_RX_FRAMES_OK", 0x1a14c, 0 },
40023	{ "NCSI_MACB_FCS_ERR", 0x1a150, 0 },
40024	{ "NCSI_MACB_ALIGN_ERR", 0x1a154, 0 },
40025	{ "NCSI_MACB_DEF_TX_FRAMES", 0x1a158, 0 },
40026	{ "NCSI_MACB_LATE_COL", 0x1a15c, 0 },
40027	{ "NCSI_MACB_EXCESSIVE_COL", 0x1a160, 0 },
40028	{ "NCSI_MACB_TX_UNDERRUN_ERR", 0x1a164, 0 },
40029	{ "NCSI_MACB_CARRIER_SENSE_ERR", 0x1a168, 0 },
40030	{ "NCSI_MACB_RX_RESOURCE_ERR", 0x1a16c, 0 },
40031	{ "NCSI_MACB_RX_OVERRUN_ERR", 0x1a170, 0 },
40032	{ "NCSI_MACB_RX_SYMBOL_ERR", 0x1a174, 0 },
40033	{ "NCSI_MACB_RX_OVERSIZE_FRAME", 0x1a178, 0 },
40034	{ "NCSI_MACB_RX_JABBER_ERR", 0x1a17c, 0 },
40035	{ "NCSI_MACB_RX_UNDERSIZE_FRAME", 0x1a180, 0 },
40036	{ "NCSI_MACB_SQE_TEST_ERR", 0x1a184, 0 },
40037	{ "NCSI_MACB_LENGTH_ERR", 0x1a188, 0 },
40038	{ "NCSI_MACB_TX_PAUSE_FRAMES", 0x1a18c, 0 },
40039	{ "NCSI_MACB_HASH_LOW", 0x1a190, 0 },
40040	{ "NCSI_MACB_HASH_HIGH", 0x1a194, 0 },
40041	{ "NCSI_MACB_SPECIFIC_1_LOW", 0x1a198, 0 },
40042	{ "NCSI_MACB_SPECIFIC_1_HIGH", 0x1a19c, 0 },
40043	{ "NCSI_MACB_SPECIFIC_2_LOW", 0x1a1a0, 0 },
40044	{ "NCSI_MACB_SPECIFIC_2_HIGH", 0x1a1a4, 0 },
40045	{ "NCSI_MACB_SPECIFIC_3_LOW", 0x1a1a8, 0 },
40046	{ "NCSI_MACB_SPECIFIC_3_HIGH", 0x1a1ac, 0 },
40047	{ "NCSI_MACB_SPECIFIC_4_LOW", 0x1a1b0, 0 },
40048	{ "NCSI_MACB_SPECIFIC_4_HIGH", 0x1a1b4, 0 },
40049	{ "NCSI_MACB_TYPE_ID", 0x1a1b8, 0 },
40050	{ "NCSI_MACB_TX_PAUSE_QUANTUM", 0x1a1bc, 0 },
40051	{ "NCSI_MACB_USER_IO", 0x1a1c0, 0 },
40052		{ "UserProgInput", 16, 16 },
40053		{ "UserProgOutput", 0, 16 },
40054	{ "NCSI_MACB_WOL_CFG", 0x1a1c4, 0 },
40055		{ "MCHashEn", 19, 1 },
40056		{ "Specific1En", 18, 1 },
40057		{ "ARPEn", 17, 1 },
40058		{ "MagicPktEn", 16, 1 },
40059		{ "ARPIPAddr", 0, 16 },
40060	{ "NCSI_MACB_REV_STATUS", 0x1a1fc, 0 },
40061		{ "PartRef", 16, 16 },
40062		{ "DesRev", 0, 16 },
40063	{ NULL }
40064};
40065
40066struct reg_info t6_mac_regs[] = {
40067	{ "MAC_PORT_CFG", 0x30800, 0 },
40068		{ "MAC_Clk_Sel", 29, 3 },
40069		{ "Ena_err_rsp", 28, 1 },
40070		{ "SinkTx", 27, 1 },
40071		{ "SinkTxOnLinkDown", 26, 1 },
40072		{ "debug_clr", 25, 1 },
40073		{ "LoopNoFwd", 24, 1 },
40074		{ "pll_sel", 23, 1 },
40075		{ "port_map", 20, 3 },
40076		{ "Smux_Rx_Loop", 19, 1 },
40077		{ "Rx_Lane_Swap", 18, 1 },
40078		{ "Tx_Lane_Swap", 17, 1 },
40079		{ "Aec_pat_data", 15, 1 },
40080		{ "Signal_Det", 14, 1 },
40081		{ "macclk_sel", 13, 1 },
40082		{ "xgmii_sel", 12, 1 },
40083		{ "debug_port_sel", 10, 2 },
40084		{ "SmuxTxSel", 9, 1 },
40085		{ "SmuxRxSel", 8, 1 },
40086		{ "Enable_25G", 7, 1 },
40087		{ "Enable_50G", 6, 1 },
40088		{ "PortSpeed", 4, 2 },
40089		{ "Rx_Byte_Swap", 3, 1 },
40090		{ "Tx_Byte_Swap", 2, 1 },
40091		{ "debug_tx_rx_sel", 1, 1 },
40092		{ "Port_Sel", 0, 1 },
40093	{ "MAC_PORT_RESET_CTRL", 0x30804, 0 },
40094		{ "TWGDSK_HSSC16B", 31, 1 },
40095		{ "EEE_RESET", 30, 1 },
40096		{ "PTP_TIMER", 29, 1 },
40097		{ "MtipRefReset", 28, 1 },
40098		{ "MAC100G40G_RESET", 27, 1 },
40099		{ "MAC10G1G_RESET", 26, 1 },
40100		{ "MtipRegReset", 25, 1 },
40101		{ "PCS1G_RESET", 24, 1 },
40102		{ "AEC3Reset", 23, 1 },
40103		{ "AEC2Reset", 22, 1 },
40104		{ "AEC1Reset", 21, 1 },
40105		{ "AEC0Reset", 20, 1 },
40106		{ "AET3Reset", 19, 1 },
40107		{ "AET2Reset", 18, 1 },
40108		{ "AET1Reset", 17, 1 },
40109		{ "AET0Reset", 16, 1 },
40110		{ "PCS10G_RESET", 15, 1 },
40111		{ "PCS40G_RESET", 14, 1 },
40112		{ "PCS100G_RESET", 13, 1 },
40113		{ "TXIF_Reset", 12, 1 },
40114		{ "RXIF_Reset", 11, 1 },
40115		{ "AuxExt_Reset", 10, 1 },
40116		{ "MtipSd3TxRst", 9, 1 },
40117		{ "MtipSd2TxRst", 8, 1 },
40118		{ "MtipSd1TxRst", 7, 1 },
40119		{ "MtipSd0TxRst", 6, 1 },
40120		{ "MtipSd3RxRst", 5, 1 },
40121		{ "MtipSd2RxRst", 4, 1 },
40122		{ "MtipSd1RxRst", 3, 1 },
40123		{ "WOL_Reset", 2, 1 },
40124		{ "MtipSd0RxRst", 1, 1 },
40125		{ "HSS_Reset", 0, 1 },
40126	{ "MAC_PORT_LED_CFG", 0x30808, 0 },
40127		{ "Led1_Cfg1", 14, 2 },
40128		{ "Led0_Cfg1", 12, 2 },
40129		{ "Led1_tlo", 11, 1 },
40130		{ "Led1_thi", 10, 1 },
40131		{ "Led0_tlo", 9, 1 },
40132		{ "Led0_thi", 8, 1 },
40133		{ "Led1_Cfg", 5, 3 },
40134		{ "Led1_Polarity_Inv", 4, 1 },
40135		{ "Led0_Cfg", 1, 3 },
40136		{ "Led0_Polarity_Inv", 0, 1 },
40137	{ "MAC_PORT_LED_COUNTHI", 0x3080c, 0 },
40138	{ "MAC_PORT_LED_COUNTLO", 0x30810, 0 },
40139	{ "MAC_PORT_CFG3", 0x30814, 0 },
40140		{ "REF_Clk_Sel", 30, 2 },
40141		{ "sgmii_sd_sig_det", 29, 1 },
40142		{ "sgmii_sgpcs_ena", 28, 1 },
40143		{ "FPGA_PTP_PORT", 26, 2 },
40144		{ "FCSDisCtrl", 25, 1 },
40145		{ "SigDetCtrl", 24, 1 },
40146		{ "tx_lane", 23, 1 },
40147		{ "rx_lane", 22, 1 },
40148		{ "se_clr", 21, 1 },
40149		{ "an_ena", 17, 4 },
40150		{ "sd_rx_clk_ena", 13, 4 },
40151		{ "sd_tx_clk_ena", 9, 4 },
40152		{ "SGMIISEL", 8, 1 },
40153		{ "HSSPLLSEL", 4, 4 },
40154		{ "HSSC16C20SEL", 0, 4 },
40155	{ "MAC_PORT_CFG2", 0x30818, 0 },
40156		{ "Rx_Polarity_Inv", 28, 4 },
40157		{ "Tx_Polarity_Inv", 24, 4 },
40158		{ "InstanceNum", 22, 2 },
40159		{ "StopOnPerr", 21, 1 },
40160		{ "an_data_ctl", 19, 1 },
40161		{ "PatEn", 18, 1 },
40162		{ "MagicEn", 17, 1 },
40163		{ "T5_AEC_PMA_TX_READY", 4, 4 },
40164		{ "T5_AEC_PMA_RX_READY", 0, 4 },
40165	{ "MAC_PORT_PKT_COUNT", 0x3081c, 0 },
40166		{ "tx_sop_count", 24, 8 },
40167		{ "tx_eop_count", 16, 8 },
40168		{ "rx_sop_count", 8, 8 },
40169		{ "rx_eop_count", 0, 8 },
40170	{ "MAC_PORT_CFG4", 0x30820, 0 },
40171		{ "AEC3_RX_WIDTH", 14, 2 },
40172		{ "AEC2_RX_WIDTH", 12, 2 },
40173		{ "AEC1_RX_WIDTH", 10, 2 },
40174		{ "AEC0_RX_WIDTH", 8, 2 },
40175		{ "AEC3_TX_WIDTH", 6, 2 },
40176		{ "AEC2_TX_WIDTH", 4, 2 },
40177		{ "AEC1_TX_WIDTH", 2, 2 },
40178		{ "AEC0_TX_WIDTH", 0, 2 },
40179	{ "MAC_PORT_MAGIC_MACID_LO", 0x30824, 0 },
40180	{ "MAC_PORT_MAGIC_MACID_HI", 0x30828, 0 },
40181	{ "MAC_PORT_MTIP_RESET_CTRL", 0x3082c, 0 },
40182		{ "an_reset_sd_tx_clk", 31, 1 },
40183		{ "an_reset_sd_rx_clk", 30, 1 },
40184		{ "sgmii_reset_tx_clk", 29, 1 },
40185		{ "sgmii_reset_rx_clk", 28, 1 },
40186		{ "sgmii_reset_ref_clk", 27, 1 },
40187		{ "pcs10g_reset_xfi_rxclk", 26, 1 },
40188		{ "pcs10g_reset_xfi_txclk", 25, 1 },
40189		{ "pcs10g_reset_sd_tx_clk", 24, 1 },
40190		{ "pcs10g_reset_sd_rx_clk", 23, 1 },
40191		{ "pcs40g_reset_rxclk", 22, 1 },
40192		{ "pcs40g_reset_sd_tx_clk", 21, 1 },
40193		{ "pcs40g_reset_sd0_rx_clk", 20, 1 },
40194		{ "pcs40g_reset_sd1_rx_clk", 19, 1 },
40195		{ "pcs40g_reset_sd2_rx_clk", 18, 1 },
40196		{ "pcs40g_reset_sd3_rx_clk", 17, 1 },
40197		{ "pcs100g_reset_cgmii_rxclk", 16, 1 },
40198		{ "pcs100g_reset_cgmii_txclk", 15, 1 },
40199		{ "pcs100g_reset_tx_clk", 14, 1 },
40200		{ "pcs100g_reset_sd0_rx_clk", 13, 1 },
40201		{ "pcs100g_reset_sd1_rx_clk", 12, 1 },
40202		{ "pcs100g_reset_sd2_rx_clk", 11, 1 },
40203		{ "pcs100g_reset_sd3_rx_clk", 10, 1 },
40204		{ "mac40g100g_reset_txclk", 9, 1 },
40205		{ "mac40g100g_reset_rxclk", 8, 1 },
40206		{ "mac40g100g_reset_ff_tx_clk", 7, 1 },
40207		{ "mac40g100g_reset_ff_rx_clk", 6, 1 },
40208		{ "mac40g100g_reset_ts_clk", 5, 1 },
40209		{ "mac1g10g_reset_rxclk", 4, 1 },
40210		{ "mac1g10g_reset_txclk", 3, 1 },
40211		{ "mac1g10g_reset_ff_rx_clk", 2, 1 },
40212		{ "mac1g10g_reset_ff_tx_clk", 1, 1 },
40213		{ "xgmii_clk_reset", 0, 1 },
40214	{ "MAC_PORT_MTIP_GATE_CTRL", 0x30830, 0 },
40215		{ "an_gate_sd_tx_clk", 31, 1 },
40216		{ "an_gate_sd_rx_clk", 30, 1 },
40217		{ "sgmii_gate_tx_clk", 29, 1 },
40218		{ "sgmii_gate_rx_clk", 28, 1 },
40219		{ "sgmii_gate_ref_clk", 27, 1 },
40220		{ "pcs10g_gate_xfi_rxclk", 26, 1 },
40221		{ "pcs10g_gate_xfi_txclk", 25, 1 },
40222		{ "pcs10g_gate_sd_tx_clk", 24, 1 },
40223		{ "pcs10g_gate_sd_rx_clk", 23, 1 },
40224		{ "pcs40g_gate_rxclk", 22, 1 },
40225		{ "pcs40g_gate_sd_tx_clk", 21, 1 },
40226		{ "pcs40g_gate_sd_rx_clk", 20, 1 },
40227		{ "pcs100g_gate_cgmii_rxclk", 19, 1 },
40228		{ "pcs100g_gate_cgmii_txclk", 18, 1 },
40229		{ "pcs100g_gate_tx_clk", 17, 1 },
40230		{ "pcs100g_gate_sd_rx_clk", 16, 1 },
40231		{ "mac40g100g_gate_txclk", 15, 1 },
40232		{ "mac40g100g_gate_rxclk", 14, 1 },
40233		{ "mac40g100g_gate_ff_tx_clk", 13, 1 },
40234		{ "mac40g100g_gate_ff_rx_clk", 12, 1 },
40235		{ "mac40g100g_ts_clk", 11, 1 },
40236		{ "mac1g10g_gate_rxclk", 10, 1 },
40237		{ "mac1g10g_gate_txclk", 9, 1 },
40238		{ "mac1g10g_gate_ff_rx_clk", 8, 1 },
40239		{ "mac1g10g_gate_ff_tx_clk", 7, 1 },
40240		{ "aec_rx", 6, 1 },
40241		{ "aec_tx", 5, 1 },
40242		{ "pcs100g_clk_enable", 4, 1 },
40243		{ "pcs40g_clk_enable", 3, 1 },
40244		{ "pcs10g_clk_enable", 2, 1 },
40245		{ "pcs1g_clk_enable", 1, 1 },
40246		{ "an_clk_enable", 0, 1 },
40247	{ "MAC_PORT_LINK_STATUS", 0x30834, 0 },
40248		{ "hi_ber", 7, 1 },
40249		{ "an_done", 6, 1 },
40250		{ "align_done", 5, 1 },
40251		{ "block_lock", 4, 1 },
40252		{ "remflt", 3, 1 },
40253		{ "locflt", 2, 1 },
40254		{ "linkup", 1, 1 },
40255		{ "linkdn", 0, 1 },
40256	{ "MAC_PORT_AEC_ADD_CTL_STAT_0", 0x30838, 0 },
40257		{ "AEC_SYS_LANE_TYPE_3", 11, 1 },
40258		{ "AEC_SYS_LANE_TYPE_2", 10, 1 },
40259		{ "AEC_SYS_LANE_TYPE_1", 9, 1 },
40260		{ "AEC_SYS_LANE_TYPE_0", 8, 1 },
40261		{ "AEC_SYS_LANE_SELECT_3", 6, 2 },
40262		{ "AEC_SYS_LANE_SELECT_2", 4, 2 },
40263		{ "AEC_SYS_LANE_SELECT_1", 2, 2 },
40264		{ "AEC_SYS_LANE_SELECT_O", 0, 2 },
40265	{ "MAC_PORT_AEC_ADD_CTL_STAT_1", 0x3083c, 0 },
40266		{ "AEC_RX_UNKNOWN_LANE_3", 11, 1 },
40267		{ "AEC_RX_UNKNOWN_LANE_2", 10, 1 },
40268		{ "AEC_RX_UNKNOWN_LANE_1", 9, 1 },
40269		{ "AEC_RX_UNKNOWN_LANE_0", 8, 1 },
40270		{ "AEC_RX_LANE_ID_3", 6, 2 },
40271		{ "AEC_RX_LANE_ID_2", 4, 2 },
40272		{ "AEC_RX_LANE_ID_1", 2, 2 },
40273		{ "AEC_RX_LANE_ID_O", 0, 2 },
40274	{ "MAC_PORT_AEC_XGMII_TIMER_LO_40G", 0x30840, 0 },
40275	{ "MAC_PORT_AEC_XGMII_TIMER_HI_40G", 0x30844, 0 },
40276	{ "MAC_PORT_AEC_XGMII_TIMER_LO_100G", 0x30848, 0 },
40277	{ "MAC_PORT_AEC_XGMII_TIMER_HI_100G", 0x3084c, 0 },
40278	{ "MAC_PORT_AEC_DEBUG_LO_0", 0x30850, 0 },
40279		{ "CTL_FSM_CUR_STATE", 28, 3 },
40280		{ "CIN_FSM_CUR_STATE", 26, 2 },
40281		{ "CRI_FSM_CUR_STATE", 23, 3 },
40282		{ "CU_C3_ACK_VALUE", 21, 2 },
40283		{ "CU_C2_ACK_VALUE", 19, 2 },
40284		{ "CU_C1_ACK_VALUE", 17, 2 },
40285		{ "CU_C0_ACK_VALUE", 15, 2 },
40286		{ "CX_INIT", 13, 1 },
40287		{ "CX_PRESET", 12, 1 },
40288		{ "CUF_C3_UPDATE", 9, 2 },
40289		{ "CUF_C2_UPDATE", 7, 2 },
40290		{ "CUF_C1_UPDATE", 5, 2 },
40291		{ "CUF_C0_UPDATE", 3, 2 },
40292		{ "REG_FPH_ATTR_TXUPDAT_VALID", 2, 1 },
40293		{ "REG_FPH_ATTR_TXSTAT_VALID", 1, 1 },
40294		{ "REG_MAN_DEC_REQ", 0, 1 },
40295	{ "MAC_PORT_AEC_DEBUG_HI_0", 0x30854, 0 },
40296		{ "FC_LSNA_", 12, 1 },
40297		{ "CUF_C0_FSM_DEBUG", 9, 3 },
40298		{ "CUF_C1_FSM_DEBUG", 6, 3 },
40299		{ "CUF_C2_FSM_DEBUG", 3, 3 },
40300		{ "LCK_FSM_CUR_STATE", 0, 3 },
40301	{ "MAC_PORT_AEC_DEBUG_LO_1", 0x30858, 0 },
40302		{ "CTL_FSM_CUR_STATE", 28, 3 },
40303		{ "CIN_FSM_CUR_STATE", 26, 2 },
40304		{ "CRI_FSM_CUR_STATE", 23, 3 },
40305		{ "CU_C3_ACK_VALUE", 21, 2 },
40306		{ "CU_C2_ACK_VALUE", 19, 2 },
40307		{ "CU_C1_ACK_VALUE", 17, 2 },
40308		{ "CU_C0_ACK_VALUE", 15, 2 },
40309		{ "CX_INIT", 13, 1 },
40310		{ "CX_PRESET", 12, 1 },
40311		{ "CUF_C3_UPDATE", 9, 2 },
40312		{ "CUF_C2_UPDATE", 7, 2 },
40313		{ "CUF_C1_UPDATE", 5, 2 },
40314		{ "CUF_C0_UPDATE", 3, 2 },
40315		{ "REG_FPH_ATTR_TXUPDAT_VALID", 2, 1 },
40316		{ "REG_FPH_ATTR_TXSTAT_VALID", 1, 1 },
40317		{ "REG_MAN_DEC_REQ", 0, 1 },
40318	{ "MAC_PORT_AEC_DEBUG_HI_1", 0x3085c, 0 },
40319		{ "FC_LSNA_", 12, 1 },
40320		{ "CUF_C0_FSM_DEBUG", 9, 3 },
40321		{ "CUF_C1_FSM_DEBUG", 6, 3 },
40322		{ "CUF_C2_FSM_DEBUG", 3, 3 },
40323		{ "LCK_FSM_CUR_STATE", 0, 3 },
40324	{ "MAC_PORT_AEC_DEBUG_LO_2", 0x30860, 0 },
40325		{ "CTL_FSM_CUR_STATE", 28, 3 },
40326		{ "CIN_FSM_CUR_STATE", 26, 2 },
40327		{ "CRI_FSM_CUR_STATE", 23, 3 },
40328		{ "CU_C3_ACK_VALUE", 21, 2 },
40329		{ "CU_C2_ACK_VALUE", 19, 2 },
40330		{ "CU_C1_ACK_VALUE", 17, 2 },
40331		{ "CU_C0_ACK_VALUE", 15, 2 },
40332		{ "CX_INIT", 13, 1 },
40333		{ "CX_PRESET", 12, 1 },
40334		{ "CUF_C3_UPDATE", 9, 2 },
40335		{ "CUF_C2_UPDATE", 7, 2 },
40336		{ "CUF_C1_UPDATE", 5, 2 },
40337		{ "CUF_C0_UPDATE", 3, 2 },
40338		{ "REG_FPH_ATTR_TXUPDAT_VALID", 2, 1 },
40339		{ "REG_FPH_ATTR_TXSTAT_VALID", 1, 1 },
40340		{ "REG_MAN_DEC_REQ", 0, 1 },
40341	{ "MAC_PORT_AEC_DEBUG_HI_2", 0x30864, 0 },
40342		{ "FC_LSNA_", 12, 1 },
40343		{ "CUF_C0_FSM_DEBUG", 9, 3 },
40344		{ "CUF_C1_FSM_DEBUG", 6, 3 },
40345		{ "CUF_C2_FSM_DEBUG", 3, 3 },
40346		{ "LCK_FSM_CUR_STATE", 0, 3 },
40347	{ "MAC_PORT_AEC_DEBUG_LO_3", 0x30868, 0 },
40348		{ "CTL_FSM_CUR_STATE", 28, 3 },
40349		{ "CIN_FSM_CUR_STATE", 26, 2 },
40350		{ "CRI_FSM_CUR_STATE", 23, 3 },
40351		{ "CU_C3_ACK_VALUE", 21, 2 },
40352		{ "CU_C2_ACK_VALUE", 19, 2 },
40353		{ "CU_C1_ACK_VALUE", 17, 2 },
40354		{ "CU_C0_ACK_VALUE", 15, 2 },
40355		{ "CX_INIT", 13, 1 },
40356		{ "CX_PRESET", 12, 1 },
40357		{ "CUF_C3_UPDATE", 9, 2 },
40358		{ "CUF_C2_UPDATE", 7, 2 },
40359		{ "CUF_C1_UPDATE", 5, 2 },
40360		{ "CUF_C0_UPDATE", 3, 2 },
40361		{ "REG_FPH_ATTR_TXUPDAT_VALID", 2, 1 },
40362		{ "REG_FPH_ATTR_TXSTAT_VALID", 1, 1 },
40363		{ "REG_MAN_DEC_REQ", 0, 1 },
40364	{ "MAC_PORT_AEC_DEBUG_HI_3", 0x3086c, 0 },
40365		{ "FC_LSNA_", 12, 1 },
40366		{ "CUF_C0_FSM_DEBUG", 9, 3 },
40367		{ "CUF_C1_FSM_DEBUG", 6, 3 },
40368		{ "CUF_C2_FSM_DEBUG", 3, 3 },
40369		{ "LCK_FSM_CUR_STATE", 0, 3 },
40370	{ "MAC_PORT_MAC_DEBUG_RO", 0x30870, 0 },
40371		{ "mac40g100g_tx_underflow", 13, 1 },
40372		{ "mac1g10g_magic_ind", 12, 1 },
40373		{ "mac1g10g_ff_rx_empty", 11, 1 },
40374		{ "mac1g10g_ff_tx_ovr_err", 10, 1 },
40375		{ "mac1g10g_if_mode_ena", 8, 2 },
40376		{ "mac1g10g_mii_ena_10", 7, 1 },
40377		{ "mac1g10g_pause_on", 6, 1 },
40378		{ "mac1g10g_pfc_mode", 5, 1 },
40379		{ "mac1g10g_rx_sfd_o", 4, 1 },
40380		{ "mac1g10g_tx_empty", 3, 1 },
40381		{ "mac1g10g_tx_sfd_o", 2, 1 },
40382		{ "mac1g10g_tx_ts_frm_out", 1, 1 },
40383		{ "mac1g10g_tx_underflow", 0, 1 },
40384	{ "MAC_PORT_MAC_CTRL_RW", 0x30874, 0 },
40385		{ "mac40g100g_ff_tx_pfc_xoff", 17, 8 },
40386		{ "mac40g100g_tx_loc_fault", 16, 1 },
40387		{ "mac40g100g_tx_rem_fault", 15, 1 },
40388		{ "mac40g_loop_bck", 14, 1 },
40389		{ "mac1g10g_magic_ena", 13, 1 },
40390		{ "mac1g10g_if_mode_set", 11, 2 },
40391		{ "mac1g10g_tx_loc_fault", 10, 1 },
40392		{ "mac1g10g_tx_rem_fault", 9, 1 },
40393		{ "mac1g10g_xoff_gen", 1, 8 },
40394		{ "mac1g_loop_bck", 0, 1 },
40395	{ "MAC_PORT_PCS_DEBUG0_RO", 0x30878, 0 },
40396		{ "fpga_lock", 26, 4 },
40397		{ "an_done", 25, 1 },
40398		{ "an_int", 24, 1 },
40399		{ "an_pcs_rx_clk_ena", 23, 1 },
40400		{ "an_pcs_tx_clk_ena", 22, 1 },
40401		{ "an_select", 17, 5 },
40402		{ "an_prog", 16, 1 },
40403		{ "pcs40g_block_lock", 12, 4 },
40404		{ "pcs40g_ber_timer_done", 11, 1 },
40405		{ "pcs10g_fec_locked", 10, 1 },
40406		{ "pcs10g_block_lock", 9, 1 },
40407		{ "sgmii_gmii_col", 8, 1 },
40408		{ "sgmii_gmii_crs", 7, 1 },
40409		{ "sgmii_sd_loopback", 6, 1 },
40410		{ "sgmii_sg_an_done", 5, 1 },
40411		{ "sgmii_sg_hd", 4, 1 },
40412		{ "sgmii_sg_page_rx", 3, 1 },
40413		{ "sgmii_sg_rx_sync", 2, 1 },
40414		{ "sgmii_sg_speed", 0, 2 },
40415	{ "MAC_PORT_PCS_CTRL_RW", 0x3087c, 0 },
40416		{ "tx_li_fault", 31, 1 },
40417		{ "pad", 30, 1 },
40418		{ "blk_stb_val", 22, 8 },
40419		{ "debug_sel", 18, 4 },
40420		{ "sgmii_loop", 15, 3 },
40421		{ "an_dis_timer", 14, 1 },
40422		{ "pcs100g_ber_timer_short", 13, 1 },
40423		{ "pcs100g_tx_lane_thresh", 9, 4 },
40424		{ "pcs100g_vl_intvl", 8, 1 },
40425		{ "sgmii_tx_lane_ckmult", 4, 3 },
40426		{ "sgmii_tx_lane_thresh", 0, 4 },
40427	{ "MAC_PORT_PCS_DEBUG1_RO", 0x30880, 0 },
40428		{ "pcs100g_align_lock", 21, 1 },
40429		{ "pcs100g_ber_timer_done", 20, 1 },
40430		{ "pcs100g_block_lock", 0, 20 },
40431	{ "MAC_PORT_PERR_INT_EN_100G", 0x30884, 0 },
40432		{ "Perr_rx_fec100g_dly", 29, 1 },
40433		{ "Perr_rx_fec100g", 28, 1 },
40434		{ "Perr_rx3_fec100g_dk", 27, 1 },
40435		{ "Perr_rx2_fec100g_dk", 26, 1 },
40436		{ "Perr_rx1_fec100g_dk", 25, 1 },
40437		{ "Perr_rx0_fec100g_dk", 24, 1 },
40438		{ "Perr_tx3_pcs100g", 23, 1 },
40439		{ "Perr_tx2_pcs100g", 22, 1 },
40440		{ "Perr_tx1_pcs100g", 21, 1 },
40441		{ "Perr_tx0_pcs100g", 20, 1 },
40442		{ "Perr_rx19_pcs100g", 19, 1 },
40443		{ "Perr_rx18_pcs100g", 18, 1 },
40444		{ "Perr_rx17_pcs100g", 17, 1 },
40445		{ "Perr_rx16_pcs100g", 16, 1 },
40446		{ "Perr_rx15_pcs100g", 15, 1 },
40447		{ "Perr_rx14_pcs100g", 14, 1 },
40448		{ "Perr_rx13_pcs100g", 13, 1 },
40449		{ "Perr_rx12_pcs100g", 12, 1 },
40450		{ "Perr_rx11_pcs100g", 11, 1 },
40451		{ "Perr_rx10_pcs100g", 10, 1 },
40452		{ "Perr_rx9_pcs100g", 9, 1 },
40453		{ "Perr_rx8_pcs100g", 8, 1 },
40454		{ "Perr_rx7_pcs100g", 7, 1 },
40455		{ "Perr_rx6_pcs100g", 6, 1 },
40456		{ "Perr_rx5_pcs100g", 5, 1 },
40457		{ "Perr_rx4_pcs100g", 4, 1 },
40458		{ "Perr_rx3_pcs100g", 3, 1 },
40459		{ "Perr_rx2_pcs100g", 2, 1 },
40460		{ "Perr_rx1_pcs100g", 1, 1 },
40461		{ "Perr_rx0_pcs100g", 0, 1 },
40462	{ "MAC_PORT_PERR_INT_CAUSE_100G", 0x30888, 0 },
40463		{ "Perr_rx_fec100g_dly", 29, 1 },
40464		{ "Perr_rx_fec100g", 28, 1 },
40465		{ "Perr_rx3_fec100g_dk", 27, 1 },
40466		{ "Perr_rx2_fec100g_dk", 26, 1 },
40467		{ "Perr_rx1_fec100g_dk", 25, 1 },
40468		{ "Perr_rx0_fec100g_dk", 24, 1 },
40469		{ "Perr_tx3_pcs100g", 23, 1 },
40470		{ "Perr_tx2_pcs100g", 22, 1 },
40471		{ "Perr_tx1_pcs100g", 21, 1 },
40472		{ "Perr_tx0_pcs100g", 20, 1 },
40473		{ "Perr_rx19_pcs100g", 19, 1 },
40474		{ "Perr_rx18_pcs100g", 18, 1 },
40475		{ "Perr_rx17_pcs100g", 17, 1 },
40476		{ "Perr_rx16_pcs100g", 16, 1 },
40477		{ "Perr_rx15_pcs100g", 15, 1 },
40478		{ "Perr_rx14_pcs100g", 14, 1 },
40479		{ "Perr_rx13_pcs100g", 13, 1 },
40480		{ "Perr_rx12_pcs100g", 12, 1 },
40481		{ "Perr_rx11_pcs100g", 11, 1 },
40482		{ "Perr_rx10_pcs100g", 10, 1 },
40483		{ "Perr_rx9_pcs100g", 9, 1 },
40484		{ "Perr_rx8_pcs100g", 8, 1 },
40485		{ "Perr_rx7_pcs100g", 7, 1 },
40486		{ "Perr_rx6_pcs100g", 6, 1 },
40487		{ "Perr_rx5_pcs100g", 5, 1 },
40488		{ "Perr_rx4_pcs100g", 4, 1 },
40489		{ "Perr_rx3_pcs100g", 3, 1 },
40490		{ "Perr_rx2_pcs100g", 2, 1 },
40491		{ "Perr_rx1_pcs100g", 1, 1 },
40492		{ "Perr_rx0_pcs100g", 0, 1 },
40493	{ "MAC_PORT_PERR_ENABLE_100G", 0x3088c, 0 },
40494		{ "Perr_rx_fec100g_dly", 29, 1 },
40495		{ "Perr_rx_fec100g", 28, 1 },
40496		{ "Perr_rx3_fec100g_dk", 27, 1 },
40497		{ "Perr_rx2_fec100g_dk", 26, 1 },
40498		{ "Perr_rx1_fec100g_dk", 25, 1 },
40499		{ "Perr_rx0_fec100g_dk", 24, 1 },
40500		{ "Perr_tx3_pcs100g", 23, 1 },
40501		{ "Perr_tx2_pcs100g", 22, 1 },
40502		{ "Perr_tx1_pcs100g", 21, 1 },
40503		{ "Perr_tx0_pcs100g", 20, 1 },
40504		{ "Perr_rx19_pcs100g", 19, 1 },
40505		{ "Perr_rx18_pcs100g", 18, 1 },
40506		{ "Perr_rx17_pcs100g", 17, 1 },
40507		{ "Perr_rx16_pcs100g", 16, 1 },
40508		{ "Perr_rx15_pcs100g", 15, 1 },
40509		{ "Perr_rx14_pcs100g", 14, 1 },
40510		{ "Perr_rx13_pcs100g", 13, 1 },
40511		{ "Perr_rx12_pcs100g", 12, 1 },
40512		{ "Perr_rx11_pcs100g", 11, 1 },
40513		{ "Perr_rx10_pcs100g", 10, 1 },
40514		{ "Perr_rx9_pcs100g", 9, 1 },
40515		{ "Perr_rx8_pcs100g", 8, 1 },
40516		{ "Perr_rx7_pcs100g", 7, 1 },
40517		{ "Perr_rx6_pcs100g", 6, 1 },
40518		{ "Perr_rx5_pcs100g", 5, 1 },
40519		{ "Perr_rx4_pcs100g", 4, 1 },
40520		{ "Perr_rx3_pcs100g", 3, 1 },
40521		{ "Perr_rx2_pcs100g", 2, 1 },
40522		{ "Perr_rx1_pcs100g", 1, 1 },
40523		{ "Perr_rx0_pcs100g", 0, 1 },
40524	{ "MAC_PORT_MAC_STAT_DEBUG", 0x30890, 0 },
40525	{ "MAC_PORT_MAC_25G_50G_AM0", 0x30894, 0 },
40526	{ "MAC_PORT_MAC_25G_50G_AM1", 0x30898, 0 },
40527	{ "MAC_PORT_MAC_25G_50G_AM2", 0x3089c, 0 },
40528	{ "MAC_PORT_MAC_25G_50G_AM3", 0x308a0, 0 },
40529	{ "MAC_PORT_MAC_AN_STATE_STATUS", 0x308a4, 0 },
40530	{ "MAC_PORT_EPIO_DATA0", 0x308c0, 0 },
40531	{ "MAC_PORT_EPIO_DATA1", 0x308c4, 0 },
40532	{ "MAC_PORT_EPIO_DATA2", 0x308c8, 0 },
40533	{ "MAC_PORT_EPIO_DATA3", 0x308cc, 0 },
40534	{ "MAC_PORT_EPIO_OP", 0x308d0, 0 },
40535		{ "Busy", 31, 1 },
40536		{ "Write", 8, 1 },
40537		{ "Address", 0, 8 },
40538	{ "MAC_PORT_WOL_STATUS", 0x308d4, 0 },
40539		{ "MagicDetected", 31, 1 },
40540		{ "PatDetected", 30, 1 },
40541		{ "ClearMagic", 4, 1 },
40542		{ "ClearMatch", 3, 1 },
40543		{ "MatchedFilter", 0, 3 },
40544	{ "MAC_PORT_INT_EN", 0x308d8, 0 },
40545		{ "pps", 30, 1 },
40546		{ "tx_ts_avail", 29, 1 },
40547		{ "single_alarm", 28, 1 },
40548		{ "periodic_alarm", 27, 1 },
40549		{ "PatDetWake", 26, 1 },
40550		{ "MagicWake", 25, 1 },
40551		{ "SigDetChg", 24, 1 },
40552		{ "AE_Train_Local", 22, 1 },
40553		{ "HSSPLL_LOCK", 21, 1 },
40554		{ "HSSPRT_READY", 20, 1 },
40555		{ "AutoNeg_Done", 19, 1 },
40556		{ "PCS_Link_Good", 12, 1 },
40557		{ "PCS_Link_Fail", 11, 1 },
40558		{ "RxFifoOverFlow", 10, 1 },
40559		{ "HSSPRBSErr", 9, 1 },
40560		{ "HSSEyeQual", 8, 1 },
40561		{ "RemoteFault", 7, 1 },
40562		{ "LocalFault", 6, 1 },
40563		{ "MAC_Link_Down", 5, 1 },
40564		{ "MAC_Link_Up", 4, 1 },
40565		{ "an_page_rcvd", 2, 1 },
40566		{ "TxFifo_prty_err", 1, 1 },
40567		{ "RxFifo_prty_err", 0, 1 },
40568	{ "MAC_PORT_INT_CAUSE", 0x308dc, 0 },
40569		{ "pps", 30, 1 },
40570		{ "tx_ts_avail", 29, 1 },
40571		{ "single_alarm", 28, 1 },
40572		{ "periodic_alarm", 27, 1 },
40573		{ "PatDetWake", 26, 1 },
40574		{ "MagicWake", 25, 1 },
40575		{ "SigDetChg", 24, 1 },
40576		{ "AE_Train_Local", 22, 1 },
40577		{ "HSSPLL_LOCK", 21, 1 },
40578		{ "HSSPRT_READY", 20, 1 },
40579		{ "AutoNeg_Done", 19, 1 },
40580		{ "PCS_Link_Good", 12, 1 },
40581		{ "PCS_Link_Fail", 11, 1 },
40582		{ "RxFifoOverFlow", 10, 1 },
40583		{ "HSSPRBSErr", 9, 1 },
40584		{ "HSSEyeQual", 8, 1 },
40585		{ "RemoteFault", 7, 1 },
40586		{ "LocalFault", 6, 1 },
40587		{ "MAC_Link_Down", 5, 1 },
40588		{ "MAC_Link_Up", 4, 1 },
40589		{ "an_page_rcvd", 2, 1 },
40590		{ "TxFifo_prty_err", 1, 1 },
40591		{ "RxFifo_prty_err", 0, 1 },
40592	{ "MAC_PORT_PERR_INT_EN", 0x308e0, 0 },
40593		{ "Perr_pkt_ram", 31, 1 },
40594		{ "Perr_mask_ram", 30, 1 },
40595		{ "Perr_crc_ram", 29, 1 },
40596		{ "rx_mac40g", 28, 1 },
40597		{ "tx_mac40g", 27, 1 },
40598		{ "rx_st_mac40g", 26, 1 },
40599		{ "tx_st_mac40g", 25, 1 },
40600		{ "tx_mac1g10g", 24, 1 },
40601		{ "rx_mac1g10g", 23, 1 },
40602		{ "rx_status_mac1g10g", 22, 1 },
40603		{ "rx_st_mac1g10g", 21, 1 },
40604		{ "tx_st_mac1g10g", 20, 1 },
40605		{ "Perr_tx0_pcs40g", 19, 1 },
40606		{ "Perr_tx1_pcs40g", 18, 1 },
40607		{ "Perr_tx2_pcs40g", 17, 1 },
40608		{ "Perr_tx3_pcs40g", 16, 1 },
40609		{ "Perr_tx0_fec40g", 15, 1 },
40610		{ "Perr_tx1_fec40g", 14, 1 },
40611		{ "Perr_tx2_fec40g", 13, 1 },
40612		{ "Perr_tx3_fec40g", 12, 1 },
40613		{ "Perr_rx0_pcs40g", 11, 1 },
40614		{ "Perr_rx1_pcs40g", 10, 1 },
40615		{ "Perr_rx2_pcs40g", 9, 1 },
40616		{ "Perr_rx3_pcs40g", 8, 1 },
40617		{ "Perr_rx0_fec40g", 7, 1 },
40618		{ "Perr_rx1_fec40g", 6, 1 },
40619		{ "Perr_rx2_fec40g", 5, 1 },
40620		{ "Perr_rx3_fec40g", 4, 1 },
40621		{ "Perr_rx_pcs10g_lpbk", 3, 1 },
40622		{ "Perr_rx_pcs10g", 2, 1 },
40623		{ "Perr_rx_pcs1g", 1, 1 },
40624		{ "Perr_tx_pcs1g", 0, 1 },
40625	{ "MAC_PORT_PERR_INT_CAUSE", 0x308e4, 0 },
40626		{ "Perr_pkt_ram", 31, 1 },
40627		{ "Perr_mask_ram", 30, 1 },
40628		{ "Perr_crc_ram", 29, 1 },
40629		{ "rx_mac40g", 28, 1 },
40630		{ "tx_mac40g", 27, 1 },
40631		{ "rx_st_mac40g", 26, 1 },
40632		{ "tx_st_mac40g", 25, 1 },
40633		{ "tx_mac1g10g", 24, 1 },
40634		{ "rx_mac1g10g", 23, 1 },
40635		{ "rx_status_mac1g10g", 22, 1 },
40636		{ "rx_st_mac1g10g", 21, 1 },
40637		{ "tx_st_mac1g10g", 20, 1 },
40638		{ "Perr_tx0_pcs40g", 19, 1 },
40639		{ "Perr_tx1_pcs40g", 18, 1 },
40640		{ "Perr_tx2_pcs40g", 17, 1 },
40641		{ "Perr_tx3_pcs40g", 16, 1 },
40642		{ "Perr_tx0_fec40g", 15, 1 },
40643		{ "Perr_tx1_fec40g", 14, 1 },
40644		{ "Perr_tx2_fec40g", 13, 1 },
40645		{ "Perr_tx3_fec40g", 12, 1 },
40646		{ "Perr_rx0_pcs40g", 11, 1 },
40647		{ "Perr_rx1_pcs40g", 10, 1 },
40648		{ "Perr_rx2_pcs40g", 9, 1 },
40649		{ "Perr_rx3_pcs40g", 8, 1 },
40650		{ "Perr_rx0_fec40g", 7, 1 },
40651		{ "Perr_rx1_fec40g", 6, 1 },
40652		{ "Perr_rx2_fec40g", 5, 1 },
40653		{ "Perr_rx3_fec40g", 4, 1 },
40654		{ "Perr_rx_pcs10g_lpbk", 3, 1 },
40655		{ "Perr_rx_pcs10g", 2, 1 },
40656		{ "Perr_rx_pcs1g", 1, 1 },
40657		{ "Perr_tx_pcs1g", 0, 1 },
40658	{ "MAC_PORT_PERR_ENABLE", 0x308e8, 0 },
40659		{ "Perr_pkt_ram", 31, 1 },
40660		{ "Perr_mask_ram", 30, 1 },
40661		{ "Perr_crc_ram", 29, 1 },
40662		{ "rx_mac40g", 28, 1 },
40663		{ "tx_mac40g", 27, 1 },
40664		{ "rx_st_mac40g", 26, 1 },
40665		{ "tx_st_mac40g", 25, 1 },
40666		{ "tx_mac1g10g", 24, 1 },
40667		{ "rx_mac1g10g", 23, 1 },
40668		{ "rx_status_mac1g10g", 22, 1 },
40669		{ "rx_st_mac1g10g", 21, 1 },
40670		{ "tx_st_mac1g10g", 20, 1 },
40671		{ "Perr_tx0_pcs40g", 19, 1 },
40672		{ "Perr_tx1_pcs40g", 18, 1 },
40673		{ "Perr_tx2_pcs40g", 17, 1 },
40674		{ "Perr_tx3_pcs40g", 16, 1 },
40675		{ "Perr_tx0_fec40g", 15, 1 },
40676		{ "Perr_tx1_fec40g", 14, 1 },
40677		{ "Perr_tx2_fec40g", 13, 1 },
40678		{ "Perr_tx3_fec40g", 12, 1 },
40679		{ "Perr_rx0_pcs40g", 11, 1 },
40680		{ "Perr_rx1_pcs40g", 10, 1 },
40681		{ "Perr_rx2_pcs40g", 9, 1 },
40682		{ "Perr_rx3_pcs40g", 8, 1 },
40683		{ "Perr_rx0_fec40g", 7, 1 },
40684		{ "Perr_rx1_fec40g", 6, 1 },
40685		{ "Perr_rx2_fec40g", 5, 1 },
40686		{ "Perr_rx3_fec40g", 4, 1 },
40687		{ "Perr_rx_pcs10g_lpbk", 3, 1 },
40688		{ "Perr_rx_pcs10g", 2, 1 },
40689		{ "Perr_rx_pcs1g", 1, 1 },
40690		{ "Perr_tx_pcs1g", 0, 1 },
40691	{ "MAC_PORT_PERR_INJECT", 0x308ec, 0 },
40692		{ "MemSel", 1, 6 },
40693		{ "InjectDataErr", 0, 1 },
40694	{ "MAC_PORT_HSS_CFG0", 0x308f0, 0 },
40695		{ "TXDTS", 31, 1 },
40696		{ "TXCTS", 30, 1 },
40697		{ "TXBTS", 29, 1 },
40698		{ "TXATS", 28, 1 },
40699		{ "TXDOBS", 27, 1 },
40700		{ "TXCOBS", 26, 1 },
40701		{ "TXBOBS", 25, 1 },
40702		{ "TXAOBS", 24, 1 },
40703		{ "HSSREFCLKVALIDA", 20, 1 },
40704		{ "HSSREFCLKVALIDB", 19, 1 },
40705		{ "HSSRESYNCA", 18, 1 },
40706		{ "HSSAVDHI", 17, 1 },
40707		{ "HSSRESYNCB", 16, 1 },
40708		{ "HSSRECCALA", 15, 1 },
40709		{ "HSSRXACMODE", 14, 1 },
40710		{ "HSSRECCALB", 13, 1 },
40711		{ "HSSPLLBYPA", 12, 1 },
40712		{ "HSSPLLBYPB", 11, 1 },
40713		{ "HSSPDWNPLLA", 10, 1 },
40714		{ "HSSPDWNPLLB", 9, 1 },
40715		{ "HSSVCOSELA", 8, 1 },
40716		{ "HSSVCOSELB", 7, 1 },
40717		{ "HSSCALCOMP", 6, 1 },
40718		{ "HSSCALENAB", 5, 1 },
40719		{ "HSSEXTC16SEL", 4, 1 },
40720	{ "MAC_PORT_HSS_CFG1", 0x308f4, 0 },
40721		{ "RXACONFIGSEL", 30, 2 },
40722		{ "RXAQUIET", 29, 1 },
40723		{ "RXAREFRESH", 28, 1 },
40724		{ "RXBCONFIGSEL", 26, 2 },
40725		{ "RXBQUIET", 25, 1 },
40726		{ "RXBREFRESH", 24, 1 },
40727		{ "RXCCONFIGSEL", 22, 2 },
40728		{ "RXCQUIET", 21, 1 },
40729		{ "RXCREFRESH", 20, 1 },
40730		{ "RXDCONFIGSEL", 18, 2 },
40731		{ "RXDQUIET", 17, 1 },
40732		{ "RXDREFRESH", 16, 1 },
40733		{ "TXACONFIGSEL", 14, 2 },
40734		{ "TXAQUIET", 13, 1 },
40735		{ "TXAREFRESH", 12, 1 },
40736		{ "TXBCONFIGSEL", 10, 2 },
40737		{ "TXBQUIET", 9, 1 },
40738		{ "TXBREFRESH", 8, 1 },
40739		{ "TXCCONFIGSEL", 6, 2 },
40740		{ "TXCQUIET", 5, 1 },
40741		{ "TXCREFRESH", 4, 1 },
40742		{ "TXDCONFIGSEL", 2, 2 },
40743		{ "TXDQUIET", 1, 1 },
40744		{ "TXDREFRESH", 0, 1 },
40745	{ "MAC_PORT_HSS_CFG2", 0x308f8, 0 },
40746		{ "RXAASSTCLK", 31, 1 },
40747		{ "T5RXAPRBSRST", 30, 1 },
40748		{ "RXBASSTCLK", 29, 1 },
40749		{ "T5RXBPRBSRST", 28, 1 },
40750		{ "RXCASSTCLK", 27, 1 },
40751		{ "T5RXCPRBSRST", 26, 1 },
40752		{ "RXDASSTCLK", 25, 1 },
40753		{ "T5RXDPRBSRST", 24, 1 },
40754		{ "RXDDATASYNC", 23, 1 },
40755		{ "RXCDATASYNC", 22, 1 },
40756		{ "RXBDATASYNC", 21, 1 },
40757		{ "RXADATASYNC", 20, 1 },
40758		{ "RXDEARLYIN", 19, 1 },
40759		{ "RXDLATEIN", 18, 1 },
40760		{ "RXDPHSLOCK", 17, 1 },
40761		{ "RXDPHSDNIN", 16, 1 },
40762		{ "RXDPHSUPIN", 15, 1 },
40763		{ "RXCEARLYIN", 14, 1 },
40764		{ "RXCLATEIN", 13, 1 },
40765		{ "RXCPHSLOCK", 12, 1 },
40766		{ "RXCPHSDNIN", 11, 1 },
40767		{ "RXCPHSUPIN", 10, 1 },
40768		{ "RXBEARLYIN", 9, 1 },
40769		{ "RXBLATEIN", 8, 1 },
40770		{ "RXBPHSLOCK", 7, 1 },
40771		{ "RXBPHSDNIN", 6, 1 },
40772		{ "RXBPHSUPIN", 5, 1 },
40773		{ "RXAEARLYIN", 4, 1 },
40774		{ "RXALATEIN", 3, 1 },
40775		{ "RXAPHSLOCK", 2, 1 },
40776		{ "RXAPHSDNIN", 1, 1 },
40777		{ "RXAPHSUPIN", 0, 1 },
40778	{ "MAC_PORT_HSS_CFG3", 0x308fc, 0 },
40779		{ "HSSCALSSTN", 22, 6 },
40780		{ "HSSCALSSTP", 16, 6 },
40781		{ "HSSPLLCONFIGB", 8, 8 },
40782		{ "HSSPLLCONFIGA", 0, 8 },
40783	{ "MAC_PORT_HSS_CFG4", 0x30900, 0 },
40784		{ "HSSREFDIVA", 24, 4 },
40785		{ "HSSREFDIVB", 20, 4 },
40786		{ "HSSPLLDIV2B", 19, 1 },
40787		{ "HSSPLLDIV2A", 18, 1 },
40788		{ "HSSDIVSELA", 9, 9 },
40789		{ "HSSDIVSELB", 0, 9 },
40790	{ "MAC_PORT_HSS_STATUS", 0x30904, 0 },
40791		{ "RXDERROFLOW", 19, 1 },
40792		{ "RXCERROFLOW", 18, 1 },
40793		{ "RXBERROFLOW", 17, 1 },
40794		{ "RXAERROFLOW", 16, 1 },
40795		{ "RXDPRBSSYNC", 15, 1 },
40796		{ "RXCPRBSSYNC", 14, 1 },
40797		{ "RXBPRBSSYNC", 13, 1 },
40798		{ "RXAPRBSSYNC", 12, 1 },
40799		{ "RXDPRBSERR", 11, 1 },
40800		{ "RXCPRBSERR", 10, 1 },
40801		{ "RXBPRBSERR", 9, 1 },
40802		{ "RXAPRBSERR", 8, 1 },
40803		{ "RXDSIGDET", 7, 1 },
40804		{ "RXCSIGDET", 6, 1 },
40805		{ "RXBSIGDET", 5, 1 },
40806		{ "RXASIGDET", 4, 1 },
40807		{ "HSSPLLLOCKB", 3, 1 },
40808		{ "HSSPLLLOCKA", 2, 1 },
40809		{ "HSSPRTREADYB", 1, 1 },
40810		{ "HSSPRTREADYA", 0, 1 },
40811	{ "MAC_PORT_HSS_EEE_STATUS", 0x30908, 0 },
40812		{ "RXAQUIET_STATUS", 15, 1 },
40813		{ "RXAREFRESH_STATUS", 14, 1 },
40814		{ "RXBQUIET_STATUS", 13, 1 },
40815		{ "RXBREFRESH_STATUS", 12, 1 },
40816		{ "RXCQUIET_STATUS", 11, 1 },
40817		{ "RXCREFRESH_STATUS", 10, 1 },
40818		{ "RXDQUIET_STATUS", 9, 1 },
40819		{ "RXDREFRESH_STATUS", 8, 1 },
40820		{ "TXAQUIET_STATUS", 7, 1 },
40821		{ "TXAREFRESH_STATUS", 6, 1 },
40822		{ "TXBQUIET_STATUS", 5, 1 },
40823		{ "TXBREFRESH_STATUS", 4, 1 },
40824		{ "TXCQUIET_STATUS", 3, 1 },
40825		{ "TXCREFRESH_STATUS", 2, 1 },
40826		{ "TXDQUIET_STATUS", 1, 1 },
40827		{ "TXDREFRESH_STATUS", 0, 1 },
40828	{ "MAC_PORT_HSS_SIGDET_STATUS", 0x3090c, 0 },
40829	{ "MAC_PORT_HSS_PL_CTL", 0x30910, 0 },
40830		{ "TOV", 16, 8 },
40831		{ "TSU", 8, 8 },
40832		{ "IPW", 0, 8 },
40833	{ "MAC_PORT_RUNT_FRAME", 0x30914, 0 },
40834		{ "runtclear", 16, 1 },
40835		{ "runt", 0, 16 },
40836	{ "MAC_PORT_EEE_STATUS", 0x30918, 0 },
40837		{ "eee_tx_10g_state", 10, 2 },
40838		{ "eee_rx_10g_state", 8, 2 },
40839		{ "eee_tx_1g_state", 6, 2 },
40840		{ "eee_rx_1g_state", 4, 2 },
40841		{ "pma_rx_refresh", 3, 1 },
40842		{ "pma_rx_quiet", 2, 1 },
40843		{ "pma_tx_refresh", 1, 1 },
40844		{ "pma_tx_quiet", 0, 1 },
40845	{ "MAC_PORT_CGEN", 0x3091c, 0 },
40846		{ "CGEN", 8, 1 },
40847		{ "sd7_CGEN", 7, 1 },
40848		{ "sd6_CGEN", 6, 1 },
40849		{ "sd5_CGEN", 5, 1 },
40850		{ "sd4_CGEN", 4, 1 },
40851		{ "sd3_CGEN", 3, 1 },
40852		{ "sd2_CGEN", 2, 1 },
40853		{ "sd1_CGEN", 1, 1 },
40854		{ "sd0_CGEN", 0, 1 },
40855	{ "MAC_PORT_CGEN_MTIP", 0x30920, 0 },
40856		{ "MACSEG5_CGEN", 11, 1 },
40857		{ "PCSSEG5_CGEN", 10, 1 },
40858		{ "MACSEG4_CGEN", 9, 1 },
40859		{ "PCSSEG4_CGEN", 8, 1 },
40860		{ "MACSEG3_CGEN", 7, 1 },
40861		{ "PCSSEG3_CGEN", 6, 1 },
40862		{ "MACSEG2_CGEN", 5, 1 },
40863		{ "PCSSEG2_CGEN", 4, 1 },
40864		{ "MACSEG1_CGEN", 3, 1 },
40865		{ "PCSSEG1_CGEN", 2, 1 },
40866		{ "MACSEG0_CGEN", 1, 1 },
40867		{ "PCSSEG0_CGEN", 0, 1 },
40868	{ "MAC_PORT_TX_TS_ID", 0x30924, 0 },
40869	{ "MAC_PORT_TX_TS_VAL_LO", 0x30928, 0 },
40870	{ "MAC_PORT_TX_TS_VAL_HI", 0x3092c, 0 },
40871	{ "MAC_PORT_EEE_CTL", 0x30930, 0 },
40872		{ "EEE_CTRL", 2, 30 },
40873		{ "TICK_START", 1, 1 },
40874		{ "En", 0, 1 },
40875	{ "MAC_PORT_EEE_TX_CTL", 0x30934, 0 },
40876		{ "WAKE_TIMER", 16, 16 },
40877		{ "HSS_TIMER", 5, 4 },
40878		{ "HSS_CTL", 4, 1 },
40879		{ "LPI_ACTIVE", 3, 1 },
40880		{ "LPI_TXHOLD", 2, 1 },
40881		{ "LPI_REQ", 1, 1 },
40882		{ "EEE_TX_RESET", 0, 1 },
40883	{ "MAC_PORT_EEE_RX_CTL", 0x30938, 0 },
40884		{ "WAKE_TIMER", 16, 16 },
40885		{ "HSS_TIMER", 5, 4 },
40886		{ "HSS_CTL", 4, 1 },
40887		{ "LPI_IND", 1, 1 },
40888		{ "EEE_RX_RESET", 0, 1 },
40889	{ "MAC_PORT_EEE_TX_10G_SLEEP_TIMER", 0x3093c, 0 },
40890	{ "MAC_PORT_EEE_TX_10G_QUIET_TIMER", 0x30940, 0 },
40891	{ "MAC_PORT_EEE_TX_10G_WAKE_TIMER", 0x30944, 0 },
40892	{ "MAC_PORT_EEE_TX_1G_SLEEP_TIMER", 0x30948, 0 },
40893	{ "MAC_PORT_EEE_TX_1G_QUIET_TIMER", 0x3094c, 0 },
40894	{ "MAC_PORT_EEE_TX_1G_REFRESH_TIMER", 0x30950, 0 },
40895	{ "MAC_PORT_EEE_RX_10G_QUIET_TIMER", 0x30954, 0 },
40896	{ "MAC_PORT_EEE_RX_10G_WAKE_TIMER", 0x30958, 0 },
40897	{ "MAC_PORT_EEE_RX_10G_WF_TIMER", 0x3095c, 0 },
40898	{ "MAC_PORT_EEE_RX_1G_QUIET_TIMER", 0x30960, 0 },
40899	{ "MAC_PORT_EEE_RX_1G_WAKE_TIMER", 0x30964, 0 },
40900	{ "MAC_PORT_EEE_WF_COUNT", 0x30968, 0 },
40901		{ "wake_cnt_clr", 16, 1 },
40902		{ "wake_cnt", 0, 16 },
40903	{ "MAC_PORT_PTP_TIMER_RD0_LO", 0x3096c, 0 },
40904	{ "MAC_PORT_PTP_TIMER_RD0_HI", 0x30970, 0 },
40905	{ "MAC_PORT_PTP_TIMER_RD1_LO", 0x30974, 0 },
40906	{ "MAC_PORT_PTP_TIMER_RD1_HI", 0x30978, 0 },
40907	{ "MAC_PORT_PTP_TIMER_WR_LO", 0x3097c, 0 },
40908	{ "MAC_PORT_PTP_TIMER_WR_HI", 0x30980, 0 },
40909	{ "MAC_PORT_PTP_TIMER_OFFSET_0", 0x30984, 0 },
40910	{ "MAC_PORT_PTP_TIMER_OFFSET_1", 0x30988, 0 },
40911	{ "MAC_PORT_PTP_TIMER_OFFSET_2", 0x3098c, 0 },
40912	{ "MAC_PORT_PTP_SUM_LO", 0x30990, 0 },
40913	{ "MAC_PORT_PTP_SUM_HI", 0x30994, 0 },
40914	{ "MAC_PORT_PTP_TIMER_INCR0", 0x30998, 0 },
40915		{ "Y", 16, 16 },
40916		{ "X", 0, 16 },
40917	{ "MAC_PORT_PTP_TIMER_INCR1", 0x3099c, 0 },
40918		{ "Y_TICK", 16, 16 },
40919		{ "X_TICK", 0, 16 },
40920	{ "MAC_PORT_PTP_DRIFT_ADJUST_COUNT", 0x309a0, 0 },
40921	{ "MAC_PORT_PTP_OFFSET_ADJUST_FINE", 0x309a4, 0 },
40922		{ "B", 16, 16 },
40923		{ "A", 0, 16 },
40924	{ "MAC_PORT_PTP_OFFSET_ADJUST_TOTAL", 0x309a8, 0 },
40925	{ "MAC_PORT_PTP_CFG", 0x309ac, 0 },
40926		{ "ALARM_EN", 21, 1 },
40927		{ "ALARM_START", 20, 1 },
40928		{ "PPS_EN", 19, 1 },
40929		{ "FRZ", 18, 1 },
40930		{ "OFFSER_ADJUST_SIGN", 17, 1 },
40931		{ "ADD_OFFSET", 16, 1 },
40932		{ "CYCLE1", 8, 8 },
40933		{ "Q", 0, 8 },
40934	{ "MAC_PORT_PTP_PPS", 0x309b0, 0 },
40935	{ "MAC_PORT_PTP_SINGLE_ALARM", 0x309b4, 0 },
40936	{ "MAC_PORT_PTP_PERIODIC_ALARM", 0x309b8, 0 },
40937	{ "MAC_PORT_PTP_STATUS", 0x309bc, 0 },
40938	{ "MAC_PORT_MTIP_REVISION", 0x30a00, 0 },
40939		{ "CUSTREV", 16, 16 },
40940		{ "VER", 8, 8 },
40941		{ "REV", 0, 8 },
40942	{ "MAC_PORT_MTIP_SCRATCH", 0x30a04, 0 },
40943	{ "MAC_PORT_MTIP_COMMAND_CONFIG", 0x30a08, 0 },
40944		{ "TX_FLUSH", 22, 1 },
40945		{ "RX_SFD_ANY", 21, 1 },
40946		{ "PAUSE_PFC_COMP", 20, 1 },
40947		{ "PFC_MODE", 19, 1 },
40948		{ "RS_COL_CNT_EXT", 18, 1 },
40949		{ "NO_LGTH_CHECK", 17, 1 },
40950		{ "SEND_IDLE", 16, 1 },
40951		{ "PHY_TXENA", 15, 1 },
40952		{ "RX_ERR_DISC", 14, 1 },
40953		{ "CMD_FRAME_ENA", 13, 1 },
40954		{ "SW_RESET", 12, 1 },
40955		{ "TX_PAD_EN", 11, 1 },
40956		{ "LOOPBACK_EN", 10, 1 },
40957		{ "TX_ADDR_INS", 9, 1 },
40958		{ "PAUSE_IGNORE", 8, 1 },
40959		{ "PAUSE_FWD", 7, 1 },
40960		{ "CRC_FWD", 6, 1 },
40961		{ "PAD_EN", 5, 1 },
40962		{ "PROMIS_EN", 4, 1 },
40963		{ "WAN_MODE", 3, 1 },
40964		{ "RX_ENA", 1, 1 },
40965		{ "TX_ENA", 0, 1 },
40966	{ "MAC_PORT_MTIP_MAC_ADDR_0", 0x30a0c, 0 },
40967	{ "MAC_PORT_MTIP_MAC_ADDR_1", 0x30a10, 0 },
40968	{ "MAC_PORT_MTIP_FRM_LENGTH", 0x30a14, 0 },
40969	{ "MAC_PORT_MTIP_RX_FIFO_SECTIONS", 0x30a1c, 0 },
40970		{ "AVAIL", 16, 16 },
40971		{ "EMPTY", 0, 16 },
40972	{ "MAC_PORT_MTIP_TX_FIFO_SECTIONS", 0x30a20, 0 },
40973		{ "AVAIL", 16, 16 },
40974		{ "EMPTY", 0, 16 },
40975	{ "MAC_PORT_MTIP_RX_FIFO_ALMOST_F_E", 0x30a24, 0 },
40976		{ "AlmstFull", 16, 16 },
40977		{ "AlmstEmpty", 0, 16 },
40978	{ "MAC_PORT_MTIP_TX_FIFO_ALMOST_F_E", 0x30a28, 0 },
40979		{ "AlmstFull", 16, 16 },
40980		{ "AlmstEmpty", 0, 16 },
40981	{ "MAC_PORT_MTIP_HASHTABLE_LOAD", 0x30a2c, 0 },
40982		{ "ENABLE", 8, 1 },
40983		{ "ADDR", 0, 6 },
40984	{ "MAC_PORT_MTIP_MAC_STATUS", 0x30a40, 0 },
40985		{ "TS_AVAIL", 3, 1 },
40986		{ "PHY_LOS", 2, 1 },
40987		{ "RX_REM_FAULT", 1, 1 },
40988		{ "RX_LOC_FAULT", 0, 1 },
40989	{ "MAC_PORT_MTIP_TX_IPG_LENGTH", 0x30a44, 0 },
40990	{ "MAC_PORT_MTIP_MAC_CREDIT_TRIGGER", 0x30a48, 0 },
40991	{ "MAC_PORT_MTIP_INIT_CREDIT", 0x30a4c, 0 },
40992	{ "MAC_PORT_MTIP_CURRENT_CREDIT", 0x30a50, 0 },
40993	{ "MAC_PORT_RX_PAUSE_STATUS", 0x30a74, 0 },
40994	{ "MAC_PORT_MTIP_TS_TIMESTAMP", 0x30a7c, 0 },
40995	{ "MAC_PORT_AFRAMESTRANSMITTEDOK", 0x30a80, 0 },
40996	{ "MAC_PORT_AFRAMESTRANSMITTEDOKHI", 0x30a84, 0 },
40997	{ "MAC_PORT_AFRAMESRECEIVEDOK", 0x30a88, 0 },
40998	{ "MAC_PORT_AFRAMESRECEIVEDOKHI", 0x30a8c, 0 },
40999	{ "MAC_PORT_AFRAMECHECKSEQUENCEERRORS", 0x30a90, 0 },
41000	{ "MAC_PORT_AFRAMECHECKSEQUENCEERRORSHI", 0x30a94, 0 },
41001	{ "MAC_PORT_AALIGNMENTERRORS", 0x30a98, 0 },
41002	{ "MAC_PORT_AALIGNMENTERRORSHI", 0x30a9c, 0 },
41003	{ "MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTED", 0x30aa0, 0 },
41004	{ "MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTEDHI", 0x30aa4, 0 },
41005	{ "MAC_PORT_APAUSEMACCTRLFRAMESRECEIVED", 0x30aa8, 0 },
41006	{ "MAC_PORT_APAUSEMACCTRLFRAMESRECEIVEDHI", 0x30aac, 0 },
41007	{ "MAC_PORT_AFRAMETOOLONGERRORS", 0x30ab0, 0 },
41008	{ "MAC_PORT_AFRAMETOOLONGERRORSHI", 0x30ab4, 0 },
41009	{ "MAC_PORT_AINRANGELENGTHERRORS", 0x30ab8, 0 },
41010	{ "MAC_PORT_AINRANGELENGTHERRORSHI", 0x30abc, 0 },
41011	{ "MAC_PORT_VLANTRANSMITTEDOK", 0x30ac0, 0 },
41012	{ "MAC_PORT_VLANTRANSMITTEDOKHI", 0x30ac4, 0 },
41013	{ "MAC_PORT_VLANRECEIVEDOK", 0x30ac8, 0 },
41014	{ "MAC_PORT_VLANRECEIVEDOKHI", 0x30acc, 0 },
41015	{ "MAC_PORT_AOCTETSTRANSMITTEDOK", 0x30ad0, 0 },
41016	{ "MAC_PORT_AOCTETSTRANSMITTEDOKHI", 0x30ad4, 0 },
41017	{ "MAC_PORT_AOCTETSRECEIVEDOK", 0x30ad8, 0 },
41018	{ "MAC_PORT_AOCTETSRECEIVEDOKHI", 0x30adc, 0 },
41019	{ "MAC_PORT_IFINUCASTPKTS", 0x30ae0, 0 },
41020	{ "MAC_PORT_IFINUCASTPKTSHI", 0x30ae4, 0 },
41021	{ "MAC_PORT_IFINMULTICASTPKTS", 0x30ae8, 0 },
41022	{ "MAC_PORT_IFINMULTICASTPKTSHI", 0x30aec, 0 },
41023	{ "MAC_PORT_IFINBROADCASTPKTS", 0x30af0, 0 },
41024	{ "MAC_PORT_IFINBROADCASTPKTSHI", 0x30af4, 0 },
41025	{ "MAC_PORT_IFOUTERRORS", 0x30af8, 0 },
41026	{ "MAC_PORT_IFOUTERRORSHI", 0x30afc, 0 },
41027	{ "MAC_PORT_IFOUTUCASTPKTS", 0x30b08, 0 },
41028	{ "MAC_PORT_IFOUTUCASTPKTSHI", 0x30b0c, 0 },
41029	{ "MAC_PORT_IFOUTMULTICASTPKTS", 0x30b10, 0 },
41030	{ "MAC_PORT_IFOUTMULTICASTPKTSHI", 0x30b14, 0 },
41031	{ "MAC_PORT_IFOUTBROADCASTPKTS", 0x30b18, 0 },
41032	{ "MAC_PORT_IFOUTBROADCASTPKTSHI", 0x30b1c, 0 },
41033	{ "MAC_PORT_ETHERSTATSDROPEVENTS", 0x30b20, 0 },
41034	{ "MAC_PORT_ETHERSTATSDROPEVENTSHI", 0x30b24, 0 },
41035	{ "MAC_PORT_ETHERSTATSOCTETS", 0x30b28, 0 },
41036	{ "MAC_PORT_ETHERSTATSOCTETSHI", 0x30b2c, 0 },
41037	{ "MAC_PORT_ETHERSTATSPKTS", 0x30b30, 0 },
41038	{ "MAC_PORT_ETHERSTATSPKTSHI", 0x30b34, 0 },
41039	{ "MAC_PORT_ETHERSTATSUNDERSIZEPKTS", 0x30b38, 0 },
41040	{ "MAC_PORT_ETHERSTATSUNDERSIZEPKTSHI", 0x30b3c, 0 },
41041	{ "MAC_PORT_ETHERSTATSPKTS64OCTETS", 0x30b40, 0 },
41042	{ "MAC_PORT_ETHERSTATSPKTS64OCTETSHI", 0x30b44, 0 },
41043	{ "MAC_PORT_ETHERSTATSPKTS65TO127OCTETS", 0x30b48, 0 },
41044	{ "MAC_PORT_ETHERSTATSPKTS65TO127OCTETSHI", 0x30b4c, 0 },
41045	{ "MAC_PORT_ETHERSTATSPKTS128TO255OCTETS", 0x30b50, 0 },
41046	{ "MAC_PORT_ETHERSTATSPKTS128TO255OCTETSHI", 0x30b54, 0 },
41047	{ "MAC_PORT_ETHERSTATSPKTS256TO511OCTETS", 0x30b58, 0 },
41048	{ "MAC_PORT_ETHERSTATSPKTS256TO511OCTETSHI", 0x30b5c, 0 },
41049	{ "MAC_PORT_ETHERSTATSPKTS512TO1023OCTETS", 0x30b60, 0 },
41050	{ "MAC_PORT_ETHERSTATSPKTS512TO1023OCTETSHI", 0x30b64, 0 },
41051	{ "MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETS", 0x30b68, 0 },
41052	{ "MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETSHI", 0x30b6c, 0 },
41053	{ "MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETS", 0x30b70, 0 },
41054	{ "MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETSHI", 0x30b74, 0 },
41055	{ "MAC_PORT_ETHERSTATSOVERSIZEPKTS", 0x30b78, 0 },
41056	{ "MAC_PORT_ETHERSTATSOVERSIZEPKTSHI", 0x30b7c, 0 },
41057	{ "MAC_PORT_ETHERSTATSJABBERS", 0x30b80, 0 },
41058	{ "MAC_PORT_ETHERSTATSJABBERSHI", 0x30b84, 0 },
41059	{ "MAC_PORT_ETHERSTATSFRAGMENTS", 0x30b88, 0 },
41060	{ "MAC_PORT_ETHERSTATSFRAGMENTSHI", 0x30b8c, 0 },
41061	{ "MAC_PORT_IFINERRORS", 0x30b90, 0 },
41062	{ "MAC_PORT_IFINERRORSHI", 0x30b94, 0 },
41063	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0", 0x30b98, 0 },
41064	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0HI", 0x30b9c, 0 },
41065	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1", 0x30ba0, 0 },
41066	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1HI", 0x30ba4, 0 },
41067	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2", 0x30ba8, 0 },
41068	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2HI", 0x30bac, 0 },
41069	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3", 0x30bb0, 0 },
41070	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3HI", 0x30bb4, 0 },
41071	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4", 0x30bb8, 0 },
41072	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4HI", 0x30bbc, 0 },
41073	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5", 0x30bc0, 0 },
41074	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5HI", 0x30bc4, 0 },
41075	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6", 0x30bc8, 0 },
41076	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6HI", 0x30bcc, 0 },
41077	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7", 0x30bd0, 0 },
41078	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7HI", 0x30bd4, 0 },
41079	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0", 0x30bd8, 0 },
41080	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0HI", 0x30bdc, 0 },
41081	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1", 0x30be0, 0 },
41082	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1HI", 0x30be4, 0 },
41083	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2", 0x30be8, 0 },
41084	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2HI", 0x30bec, 0 },
41085	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3", 0x30bf0, 0 },
41086	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3HI", 0x30bf4, 0 },
41087	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4", 0x30bf8, 0 },
41088	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4HI", 0x30bfc, 0 },
41089	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5", 0x30c00, 0 },
41090	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5HI", 0x30c04, 0 },
41091	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6", 0x30c08, 0 },
41092	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6HI", 0x30c0c, 0 },
41093	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7", 0x30c10, 0 },
41094	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7HI", 0x30c14, 0 },
41095	{ "MAC_PORT_AMACCONTROLFRAMESTRANSMITTED", 0x30c18, 0 },
41096	{ "MAC_PORT_AMACCONTROLFRAMESTRANSMITTEDHI", 0x30c1c, 0 },
41097	{ "MAC_PORT_AMACCONTROLFRAMESRECEIVED", 0x30c20, 0 },
41098	{ "MAC_PORT_AMACCONTROLFRAMESRECEIVEDHI", 0x30c24, 0 },
41099	{ "MAC_PORT_MTIP_1G10G_REVISION", 0x30d00, 0 },
41100		{ "CUSTREV", 16, 16 },
41101		{ "VER", 8, 8 },
41102		{ "REV", 0, 8 },
41103	{ "MAC_PORT_MTIP_1G10G_SCRATCH", 0x30d04, 0 },
41104	{ "MAC_PORT_MTIP_1G10G_COMMAND_CONFIG", 0x30d08, 0 },
41105		{ "SHORT_DISCARD", 25, 1 },
41106		{ "REG_LOWP_RXEMPTY", 24, 1 },
41107		{ "TX_LOWP_ENA", 23, 1 },
41108		{ "TX_FLUSH", 22, 1 },
41109		{ "SFD_ANY", 21, 1 },
41110		{ "PAUSE_PFC_COMP", 20, 1 },
41111		{ "PFC_MODE", 19, 1 },
41112		{ "COL_CNT_ExT", 18, 1 },
41113		{ "NO_LGTH_CHECK", 17, 1 },
41114		{ "FORCE_SEND_IDLE", 16, 1 },
41115		{ "PHY_TXENA", 15, 1 },
41116		{ "RX_ERR_DISC", 14, 1 },
41117		{ "CNTL_FRM_ENA", 13, 1 },
41118		{ "SW_RESET", 12, 1 },
41119		{ "TX_PAD_EN", 11, 1 },
41120		{ "LOOP_ENA", 10, 1 },
41121		{ "TX_ADDR_INS", 9, 1 },
41122		{ "PAUSE_IGNORE", 8, 1 },
41123		{ "PAUSE_FWD", 7, 1 },
41124		{ "CRC_FWD", 6, 1 },
41125		{ "PAD_EN", 5, 1 },
41126		{ "PROMIS_EN", 4, 1 },
41127		{ "WAN_MODE", 3, 1 },
41128		{ "RX_ENAMAC", 1, 1 },
41129		{ "TX_ENAMAC", 0, 1 },
41130	{ "MAC_PORT_MTIP_1G10G_MAC_ADDR_0", 0x30d0c, 0 },
41131	{ "MAC_PORT_MTIP_1G10G_MAC_ADDR_1", 0x30d10, 0 },
41132	{ "MAC_PORT_MTIP_1G10G_FRM_LENGTH_TX_MTU", 0x30d14, 0 },
41133		{ "SET_LEN", 16, 16 },
41134		{ "FRM_LEN_SET", 0, 16 },
41135	{ "MAC_PORT_MTIP_1G10G_RX_FIFO_SECTIONS", 0x30d1c, 0 },
41136		{ "EMPTY", 16, 16 },
41137		{ "AVAIL", 0, 16 },
41138	{ "MAC_PORT_MTIP_1G10G_TX_FIFO_SECTIONS", 0x30d20, 0 },
41139		{ "EMPTY", 16, 16 },
41140		{ "AVAIL", 0, 16 },
41141	{ "MAC_PORT_MTIP_1G10G_RX_FIFO_ALMOST_F_E", 0x30d24, 0 },
41142		{ "AlmostFull", 16, 16 },
41143		{ "AlmostEmpty", 0, 16 },
41144	{ "MAC_PORT_MTIP_1G10G_TX_FIFO_ALMOST_F_E", 0x30d28, 0 },
41145		{ "AlmostFull", 16, 16 },
41146		{ "AlmostEmpty", 0, 16 },
41147	{ "MAC_PORT_MTIP_1G10G_HASHTABLE_LOAD", 0x30d2c, 0 },
41148	{ "MAC_PORT_MTIP_1G10G_MDIO_CFG_STATUS", 0x30d30, 0 },
41149		{ "Clk_divisor", 7, 9 },
41150		{ "ENA_CLAUSE", 6, 1 },
41151		{ "PREAMBLE_DISABLE", 5, 1 },
41152		{ "Hold_time_setting", 2, 3 },
41153		{ "MDIO_read_error", 1, 1 },
41154		{ "MDIO_Busy", 0, 1 },
41155	{ "MAC_PORT_MTIP_1G10G_MDIO_COMMAND", 0x30d34, 0 },
41156		{ "READ_MODE", 15, 1 },
41157		{ "POST_INCR_READ", 14, 1 },
41158		{ "Port_PHY_Addr", 5, 5 },
41159		{ "Device_Reg_Addr", 0, 5 },
41160	{ "MAC_PORT_MTIP_1G10G_MDIO_DATA", 0x30d38, 0 },
41161	{ "MAC_PORT_MTIP_1G10G_MDIO_REGADDR", 0x30d3c, 0 },
41162	{ "MAC_PORT_MTIP_1G10G_STATUS", 0x30d40, 0 },
41163		{ "RX_LINT_FAULT", 7, 1 },
41164		{ "RX_EMPTY", 6, 1 },
41165		{ "TX_EMPTY", 5, 1 },
41166		{ "RX_LOWP", 4, 1 },
41167		{ "TS_AVAIL", 3, 1 },
41168		{ "PHY_LOS", 2, 1 },
41169		{ "RX_REM_FAULT", 1, 1 },
41170		{ "RX_LOC_FAULT", 0, 1 },
41171	{ "MAC_PORT_MTIP_1G10G_TX_IPG_LENGTH", 0x30d44, 0 },
41172	{ "MAC_PORT_MTIP_1G10G_CREDIT_TRIGGER", 0x30d48, 0 },
41173	{ "MAC_PORT_MTIP_1G10G_INIT_CREDIT", 0x30d4c, 0 },
41174	{ "MAC_PORT_MTIP_1G10G_CL01_PAUSE_QUANTA", 0x30d54, 0 },
41175		{ "CL1_PAUSE_QUANTA", 16, 16 },
41176		{ "CL0_PAUSE_QUANTA", 0, 16 },
41177	{ "MAC_PORT_MTIP_1G10G_CL23_PAUSE_QUANTA", 0x30d58, 0 },
41178		{ "CL3_PAUSE_QUANTA", 16, 16 },
41179		{ "CL2_PAUSE_QUANTA", 0, 16 },
41180	{ "MAC_PORT_MTIP_1G10G_CL45_PAUSE_QUANTA", 0x30d5c, 0 },
41181		{ "CL5_PAUSE_QUANTA", 16, 16 },
41182		{ "CL4_PAUSE_QUANTA", 0, 16 },
41183	{ "MAC_PORT_MTIP_1G10G_CL67_PAUSE_QUANTA", 0x30d60, 0 },
41184		{ "CL7_PAUSE_QUANTA", 16, 16 },
41185		{ "CL6_PAUSE_QUANTA", 0, 16 },
41186	{ "MAC_PORT_MTIP_1G10G_CL01_QUANTA_THRESH", 0x30d64, 0 },
41187		{ "CL1_QUANTA_THRESH", 16, 16 },
41188		{ "CL0_QUANTA_THRESH", 0, 16 },
41189	{ "MAC_PORT_MTIP_1G10G_CL23_QUANTA_THRESH", 0x30d68, 0 },
41190		{ "CL3_QUANTA_THRESH", 16, 16 },
41191		{ "CL2_QUANTA_THRESH", 0, 16 },
41192	{ "MAC_PORT_MTIP_1G10G_CL45_QUANTA_THRESH", 0x30d6c, 0 },
41193		{ "CL5_QUANTA_THRESH", 16, 16 },
41194		{ "CL4_QUANTA_THRESH", 0, 16 },
41195	{ "MAC_PORT_MTIP_1G10G_CL67_QUANTA_THRESH", 0x30d70, 0 },
41196		{ "CL7_QUANTA_THRESH", 16, 16 },
41197		{ "CL6_QUANTA_THRESH", 0, 16 },
41198	{ "MAC_PORT_MTIP_1G10G_RX_PAUSE_STATUS", 0x30d74, 0 },
41199	{ "MAC_PORT_MTIP_1G10G_TS_TIMESTAMP", 0x30d7c, 0 },
41200	{ "MAC_PORT_MTIP_1G10G_STATN_CONFIG", 0x30de0, 0 },
41201		{ "CLEAR", 2, 1 },
41202		{ "CLEAR_ON_READ", 1, 1 },
41203		{ "SATURATE", 0, 1 },
41204	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOCTETS", 0x30e00, 0 },
41205	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOCTETSHI", 0x30e04, 0 },
41206	{ "MAC_PORT_MTIP_1G10G_RX_OCTETSOK", 0x30e08, 0 },
41207	{ "MAC_PORT_MTIP_1G10G_RX_OCTETSOKHI", 0x30e0c, 0 },
41208	{ "MAC_PORT_MTIP_1G10G_RX_AALIGNMENTERRORS", 0x30e10, 0 },
41209	{ "MAC_PORT_MTIP_1G10G_RX_AALIGNMENTERRORSHI", 0x30e14, 0 },
41210	{ "MAC_PORT_MTIP_1G10G_RX_APAUSEMACCTRLFRAMES", 0x30e18, 0 },
41211	{ "MAC_PORT_MTIP_1G10G_RX_APAUSEMACCTRLFRAMESHI", 0x30e1c, 0 },
41212	{ "MAC_PORT_MTIP_1G10G_RX_FRAMESOK", 0x30e20, 0 },
41213	{ "MAC_PORT_MTIP_1G10G_RX_FRAMESOKHI", 0x30e24, 0 },
41214	{ "MAC_PORT_MTIP_1G10G_RX_CRCERRORS", 0x30e28, 0 },
41215	{ "MAC_PORT_MTIP_1G10G_RX_CRCERRORSHI", 0x30e2c, 0 },
41216	{ "MAC_PORT_MTIP_1G10G_RX_VLANOK", 0x30e30, 0 },
41217	{ "MAC_PORT_MTIP_1G10G_RX_VLANOKHI", 0x30e34, 0 },
41218	{ "MAC_PORT_MTIP_1G10G_RX_IFINERRORS", 0x30e38, 0 },
41219	{ "MAC_PORT_MTIP_1G10G_RX_IFINERRORSHI", 0x30e3c, 0 },
41220	{ "MAC_PORT_MTIP_1G10G_RX_IFINUCASTPKTS", 0x30e40, 0 },
41221	{ "MAC_PORT_MTIP_1G10G_RX_IFINUCASTPKTSHI", 0x30e44, 0 },
41222	{ "MAC_PORT_MTIP_1G10G_RX_IFINMULTICASTPKTS", 0x30e48, 0 },
41223	{ "MAC_PORT_MTIP_1G10G_RX_IFINMULTICASTPKTSHI", 0x30e4c, 0 },
41224	{ "MAC_PORT_MTIP_1G10G_RX_IFINBROADCASTPKTS", 0x30e50, 0 },
41225	{ "MAC_PORT_MTIP_1G10G_RX_IFINBROADCASTPKTSHI", 0x30e54, 0 },
41226	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSDROPEVENTS", 0x30e58, 0 },
41227	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSDROPEVENTSHI", 0x30e5c, 0 },
41228	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS", 0x30e60, 0 },
41229	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTSHI", 0x30e64, 0 },
41230	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSUNDERSIZEPKTS", 0x30e68, 0 },
41231	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSUNDERSIZEPKTSHI", 0x30e6c, 0 },
41232	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS64OCTETS", 0x30e70, 0 },
41233	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS64OCTETSHI", 0x30e74, 0 },
41234	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS65TO127OCTETS", 0x30e78, 0 },
41235	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS65TO127OCTETSHI", 0x30e7c, 0 },
41236	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS128TO255OCTETS", 0x30e80, 0 },
41237	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS128TO255OCTETSHI", 0x30e84, 0 },
41238	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS256TO511OCTETS", 0x30e88, 0 },
41239	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS256TO511OCTETSHI", 0x30e8c, 0 },
41240	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS512TO1023OCTETS", 0x30e90, 0 },
41241	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS512TO1023OCTETSHI", 0x30e94, 0 },
41242	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1024TO1518OCTETS", 0x30e98, 0 },
41243	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1024TO1518OCTETSHI", 0x30e9c, 0 },
41244	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1519TOMAX", 0x30ea0, 0 },
41245	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1519TOMAXHI", 0x30ea4, 0 },
41246	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOVERSIZEPKTS", 0x30ea8, 0 },
41247	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOVERSIZEPKTSHI", 0x30eac, 0 },
41248	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSJABBERS", 0x30eb0, 0 },
41249	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSJABBERSHI", 0x30eb4, 0 },
41250	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSFRAGMENTS", 0x30eb8, 0 },
41251	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSFRAGMENTSHI", 0x30ebc, 0 },
41252	{ "MAC_PORT_MTIP_1G10G_AMACCONTROLFRAMESRECEIVED", 0x30ec0, 0 },
41253	{ "MAC_PORT_MTIP_1G10G_AMACCONTROLFRAMESRECEIVEDHI", 0x30ec4, 0 },
41254	{ "MAC_PORT_MTIP_1G10G_RX_AFRAMETOOLONG", 0x30ec8, 0 },
41255	{ "MAC_PORT_MTIP_1G10G_RX_AFRAMETOOLONGHI", 0x30ecc, 0 },
41256	{ "MAC_PORT_MTIP_1G10G_RX_AINRANGELENGTHERRORS", 0x30ed0, 0 },
41257	{ "MAC_PORT_MTIP_1G10G_RX_AINRANGELENGTHERRORSHI", 0x30ed4, 0 },
41258	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSOCTETS", 0x30f00, 0 },
41259	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSOCTETSHI", 0x30f04, 0 },
41260	{ "MAC_PORT_MTIP_1G10G_TX_OCTETSOK", 0x30f08, 0 },
41261	{ "MAC_PORT_MTIP_1G10G_TX_OCTETSOKHI", 0x30f0c, 0 },
41262	{ "MAC_PORT_MTIP_1G10G_TX_AALIGNMENTERRORS", 0x30f10, 0 },
41263	{ "MAC_PORT_MTIP_1G10G_TX_AALIGNMENTERRORSHI", 0x30f14, 0 },
41264	{ "MAC_PORT_MTIP_1G10G_TX_APAUSEMACCTRLFRAMES", 0x30f18, 0 },
41265	{ "MAC_PORT_MTIP_1G10G_TX_APAUSEMACCTRLFRAMESHI", 0x30f1c, 0 },
41266	{ "MAC_PORT_MTIP_1G10G_TX_FRAMESOK", 0x30f20, 0 },
41267	{ "MAC_PORT_MTIP_1G10G_TX_FRAMESOKHI", 0x30f24, 0 },
41268	{ "MAC_PORT_MTIP_1G10G_TX_CRCERRORS", 0x30f28, 0 },
41269	{ "MAC_PORT_MTIP_1G10G_TX_CRCERRORSHI", 0x30f2c, 0 },
41270	{ "MAC_PORT_MTIP_1G10G_TX_VLANOK", 0x30f30, 0 },
41271	{ "MAC_PORT_MTIP_1G10G_TX_VLANOKHI", 0x30f34, 0 },
41272	{ "MAC_PORT_MTIP_1G10G_TX_IFOUTERRORS", 0x30f38, 0 },
41273	{ "MAC_PORT_MTIP_1G10G_TX_IFOUTERRORSHI", 0x30f3c, 0 },
41274	{ "MAC_PORT_MTIP_1G10G_TX_IFUCASTPKTS", 0x30f40, 0 },
41275	{ "MAC_PORT_MTIP_1G10G_TX_IFUCASTPKTSHI", 0x30f44, 0 },
41276	{ "MAC_PORT_MTIP_1G10G_TX_IFMULTICASTPKTS", 0x30f48, 0 },
41277	{ "MAC_PORT_MTIP_1G10G_TX_IFMULTICASTPKTSHI", 0x30f4c, 0 },
41278	{ "MAC_PORT_MTIP_1G10G_TX_IFBROADCASTPKTS", 0x30f50, 0 },
41279	{ "MAC_PORT_MTIP_1G10G_TX_IFBROADCASTPKTSHI", 0x30f54, 0 },
41280	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSDROPEVENTS", 0x30f58, 0 },
41281	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSDROPEVENTSHI", 0x30f5c, 0 },
41282	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS", 0x30f60, 0 },
41283	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTSHI", 0x30f64, 0 },
41284	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSUNDERSIZEPKTS", 0x30f68, 0 },
41285	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSUNDERSIZEPKTSHI", 0x30f6c, 0 },
41286	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS64OCTETS", 0x30f70, 0 },
41287	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS64OCTETSHI", 0x30f74, 0 },
41288	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS65TO127OCTETS", 0x30f78, 0 },
41289	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS65TO127OCTETSHI", 0x30f7c, 0 },
41290	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS128TO255OCTETS", 0x30f80, 0 },
41291	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS128TO255OCTETSHI", 0x30f84, 0 },
41292	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS256TO511OCTETS", 0x30f88, 0 },
41293	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS256TO511OCTETSHI", 0x30f8c, 0 },
41294	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS512TO1023OCTETS", 0x30f90, 0 },
41295	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS512TO1023OCTETSHI", 0x30f94, 0 },
41296	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS1024TO1518OCTETS", 0x30f98, 0 },
41297	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS1024TO1518OCTETSHI", 0x30f9c, 0 },
41298	{ "MAC_PORT_MTIP_1G10G_ETHERSTATSPKTS1519TOTX_MTU", 0x30fa0, 0 },
41299	{ "MAC_PORT_MTIP_1G10G_ETHERSTATSPKTS1519TOTX_MTUHI", 0x30fa4, 0 },
41300	{ "MAC_PORT_MTIP_1G10G_TX_AMACCONTROLFRAMES", 0x30fc0, 0 },
41301	{ "MAC_PORT_MTIP_1G10G_TX_AMACCONTROLFRAMESHI", 0x30fc4, 0 },
41302	{ "MAC_PORT_MTIP_1G10G_IF_MODE", 0x31000, 0 },
41303		{ "MII_ENA_10", 4, 1 },
41304		{ "IF_MODE", 0, 2 },
41305	{ "MAC_PORT_MTIP_1G10G_IF_STATUS", 0x31004, 0 },
41306	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_0", 0x31080, 0 },
41307	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_0HI", 0x31084, 0 },
41308	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_1", 0x31088, 0 },
41309	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_1HI", 0x3108c, 0 },
41310	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_2", 0x31090, 0 },
41311	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_2HI", 0x31094, 0 },
41312	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_3", 0x31098, 0 },
41313	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_3HI", 0x3109c, 0 },
41314	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_4", 0x310a0, 0 },
41315	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_4HI", 0x310a4, 0 },
41316	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_5", 0x310a8, 0 },
41317	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_5HI", 0x310ac, 0 },
41318	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_6", 0x310b0, 0 },
41319	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_6HI", 0x310b4, 0 },
41320	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_7", 0x310b8, 0 },
41321	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_7HI", 0x310bc, 0 },
41322	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_0", 0x310c0, 0 },
41323	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_0HI", 0x310c4, 0 },
41324	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_1", 0x310c8, 0 },
41325	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_1HI", 0x310cc, 0 },
41326	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_2", 0x310d0, 0 },
41327	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_2HI", 0x310d4, 0 },
41328	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_3", 0x310d8, 0 },
41329	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_3HI", 0x310dc, 0 },
41330	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_4", 0x310e0, 0 },
41331	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_4HI", 0x310e4, 0 },
41332	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_5", 0x310e8, 0 },
41333	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_5HI", 0x310ec, 0 },
41334	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_6", 0x310f0, 0 },
41335	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_6HI", 0x310f4, 0 },
41336	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_7", 0x310f8, 0 },
41337	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_7HI", 0x310fc, 0 },
41338	{ "MAC_PORT_MTIP_SGMII_CONTROL", 0x31200, 0 },
41339		{ "Reset", 15, 1 },
41340		{ "Loopback", 14, 1 },
41341		{ "Speed_Sel", 13, 1 },
41342		{ "AN_EN", 12, 1 },
41343		{ "PWR_DWN", 11, 1 },
41344		{ "Isolate", 10, 1 },
41345		{ "AN_RESTART", 9, 1 },
41346		{ "DUPLEx_MODE", 8, 1 },
41347		{ "Collision_Test", 7, 1 },
41348		{ "Speed_Sel1", 6, 1 },
41349	{ "MAC_PORT_MTIP_SGMII_STATUS", 0x31204, 0 },
41350		{ "100BaseT4", 15, 1 },
41351		{ "100BasexFullDplx", 14, 1 },
41352		{ "100BasexHalfDplx", 13, 1 },
41353		{ "10MbpsFullDplx", 12, 1 },
41354		{ "10MbpsHalfDplx", 11, 1 },
41355		{ "100BaseT2FullDplx", 10, 1 },
41356		{ "100BaseT2HalfDplx", 9, 1 },
41357		{ "ExtdStatus", 8, 1 },
41358		{ "AN_Complete", 5, 1 },
41359		{ "REM_FAULT", 4, 1 },
41360		{ "AN_Ability", 3, 1 },
41361		{ "LINK_STATUS", 2, 1 },
41362		{ "JabberDetect", 1, 1 },
41363		{ "ExtdCapability", 0, 1 },
41364	{ "MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_0", 0x31208, 0 },
41365	{ "MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_1", 0x3120c, 0 },
41366	{ "MAC_PORT_MTIP_SGMII_DEV_ABILITY", 0x31210, 0 },
41367		{ "NP", 15, 1 },
41368		{ "ACK", 14, 1 },
41369		{ "RF2", 13, 1 },
41370		{ "RF1", 12, 1 },
41371		{ "PS2", 8, 1 },
41372		{ "PS1", 7, 1 },
41373		{ "HD", 6, 1 },
41374		{ "FD", 5, 1 },
41375	{ "MAC_PORT_MTIP_SGMII_PARTNER_ABILITY", 0x31214, 0 },
41376		{ "CuLinkStatus", 15, 1 },
41377		{ "ACK", 14, 1 },
41378		{ "CuDplxStatus", 12, 1 },
41379		{ "CuSpeed", 10, 2 },
41380	{ "MAC_PORT_MTIP_SGMII_AN_EXPANSION", 0x31218, 0 },
41381		{ "Next_Page_Able", 2, 1 },
41382		{ "PAGE_RECEIVE", 1, 1 },
41383	{ "MAC_PORT_MTIP_SGMII_NP_TX", 0x3121c, 0 },
41384	{ "MAC_PORT_MTIP_SGMII_LP_NP_RX", 0x31220, 0 },
41385	{ "MAC_PORT_MTIP_SGMII_EXTENDED_STATUS", 0x3123c, 0 },
41386	{ "MAC_PORT_MTIP_SGMII_SCRATCH", 0x31240, 0 },
41387	{ "MAC_PORT_MTIP_SGMII_REV", 0x31244, 0 },
41388		{ "CUSTREV", 16, 16 },
41389		{ "VER", 8, 8 },
41390		{ "REV", 0, 8 },
41391	{ "MAC_PORT_MTIP_SGMII_LINK_TIMER_LO", 0x31248, 0 },
41392	{ "MAC_PORT_MTIP_SGMII_LINK_TIMER_HI", 0x3124c, 0 },
41393	{ "MAC_PORT_MTIP_SGMII_IF_MODE", 0x31250, 0 },
41394		{ "SGMII_DUPLEx", 4, 1 },
41395		{ "SGMII_SPEED", 2, 2 },
41396		{ "USE_SGMII_AN", 1, 1 },
41397		{ "SGMII_ENA", 0, 1 },
41398	{ "MAC_PORT_MTIP_SGMII_DECODE_ERROR", 0x31254, 0 },
41399	{ "MAC_PORT_MTIP_KR_PCS_CONTROL_1", 0x31300, 0 },
41400		{ "Reset", 15, 1 },
41401		{ "Loopback", 14, 1 },
41402		{ "Speed_SEL", 13, 1 },
41403		{ "Low_Power", 11, 1 },
41404		{ "Speed_SEL1", 6, 1 },
41405		{ "Speed_SEL2", 2, 4 },
41406	{ "MAC_PORT_MTIP_KR_PCS_STATUS_1", 0x31304, 0 },
41407		{ "TX_LPI", 11, 1 },
41408		{ "RX_LPI", 10, 1 },
41409		{ "TX_LPI_ACTIVE", 9, 1 },
41410		{ "RX_LPI_ACTIVE", 8, 1 },
41411		{ "Fault", 7, 1 },
41412		{ "PCS_RX_Link_STAT", 2, 1 },
41413		{ "Low_power_Ability", 1, 1 },
41414	{ "MAC_PORT_MTIP_KR_PCS_DEVICE_IDENTIFIER_1", 0x31308, 0 },
41415	{ "MAC_PORT_MTIP_KR_PCS_DEVICE_IDENTIFIER_2", 0x3130c, 0 },
41416	{ "MAC_PORT_MTIP_KR_PCS_SPEED_ABILITY", 0x31310, 0 },
41417	{ "MAC_PORT_MTIP_KR_PCS_DEVICES_IN_PACKAGELO", 0x31314, 0 },
41418		{ "Auto_Negotiation_Present", 7, 1 },
41419		{ "DTE_xS_present", 5, 1 },
41420		{ "PHY_xS_present", 4, 1 },
41421		{ "PCS_present", 3, 1 },
41422		{ "WIS_present", 2, 1 },
41423		{ "PMD_PMA_Present", 1, 1 },
41424		{ "Clause_22_Reg_Present", 0, 1 },
41425	{ "MAC_PORT_MTIP_KR_PCS_DEVICES_IN_PACKAGEHI", 0x31318, 0 },
41426		{ "Auto_Negotiation_Present", 7, 1 },
41427		{ "DTE_xS_present", 5, 1 },
41428		{ "PHY_xS_present", 4, 1 },
41429		{ "PCS_present", 3, 1 },
41430		{ "WIS_present", 2, 1 },
41431		{ "PMD_PMA_Present", 1, 1 },
41432		{ "Clause_22_Reg_Present", 0, 1 },
41433	{ "MAC_PORT_MTIP_KR_PCS_CONTROL_2", 0x3131c, 0 },
41434	{ "MAC_PORT_MTIP_KR_PCS_STATUS_2", 0x31320, 0 },
41435		{ "Device_Present", 14, 2 },
41436		{ "Transmit_Fault", 11, 1 },
41437		{ "Receive_Fault", 10, 1 },
41438		{ "10GBASE_W_Capable", 2, 1 },
41439		{ "10GBASE_x_Capable", 1, 1 },
41440		{ "10GBASE_R_Capable", 0, 1 },
41441	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_PACKAGE_IDENTIFIER_LO", 0x31338, 0 },
41442	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_PACKAGE_IDENTIFIER_HI", 0x3133c, 0 },
41443	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_STATUS_1", 0x31380, 0 },
41444		{ "10GBASE_R_RX_Link_Status", 12, 1 },
41445		{ "PRBS9_Pttrn_Tstng_Ability", 3, 1 },
41446		{ "PRBS31_Pttrn_Tstng_Ability", 2, 1 },
41447		{ "10GBASE_R_PCS_High_BER", 1, 1 },
41448		{ "10GBASE_R_PCS_Block_Lock", 0, 1 },
41449	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_STATUS_2", 0x31384, 0 },
41450		{ "Latched_Block_Lock", 15, 1 },
41451		{ "Latched_High_BER", 14, 1 },
41452		{ "BERBER_Counter", 8, 6 },
41453		{ "ErrBlkCnt", 0, 8 },
41454	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_0", 0x31388, 0 },
41455	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_1", 0x3138c, 0 },
41456	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_2", 0x31390, 0 },
41457	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_3", 0x31394, 0 },
41458	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_0", 0x31398, 0 },
41459	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_1", 0x3139c, 0 },
41460	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_2", 0x313a0, 0 },
41461	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_3", 0x313a4, 0 },
41462	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_CONTROL", 0x313a8, 0 },
41463		{ "PRBS9_TX_Tst_Pttrn_En", 6, 1 },
41464		{ "PRBS31_RX_Tst_Pttrn_En", 5, 1 },
41465		{ "PRBS31_TX_Tst_Pttrn_En", 4, 1 },
41466		{ "TX_Test_Pattern_En", 3, 1 },
41467		{ "RX_Test_Pattern_En", 2, 1 },
41468		{ "Test_Pattern_Select", 1, 1 },
41469		{ "Data_Pattern_Select", 0, 1 },
41470	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_ERROR_COUNTER", 0x313ac, 0 },
41471	{ "MAC_PORT_MTIP_KR_VENDOR_SPECIFIC_PCS_STATUS", 0x313b4, 0 },
41472		{ "Transmit_FIFO_Fault", 1, 1 },
41473		{ "Receive_FIFO_Fault", 0, 1 },
41474	{ "MAC_PORT_MTIP_KR4_CONTROL_1", 0x31400, 0 },
41475		{ "RESET", 15, 1 },
41476		{ "Loopback", 14, 1 },
41477		{ "Speed_selection", 13, 1 },
41478		{ "Low_power", 11, 1 },
41479		{ "Speed_selection1", 6, 1 },
41480		{ "Speed_selection2", 2, 4 },
41481	{ "MAC_PORT_MTIP_KR4_STATUS_1", 0x31404, 0 },
41482		{ "Fault", 7, 1 },
41483		{ "Receive_link_STAT", 2, 1 },
41484		{ "Low_power_ability", 1, 1 },
41485	{ "MAC_PORT_MTIP_KR4_DEVICE_ID0", 0x31408, 0 },
41486	{ "MAC_PORT_MTIP_KR4_DEVICE_ID1", 0x3140c, 0 },
41487		{ "DEVICE_ID1", 16, 16 },
41488	{ "MAC_PORT_MTIP_KR4_SPEED_ABILITY", 0x31410, 0 },
41489		{ "100G_capable", 3, 1 },
41490		{ "40G_capable", 2, 1 },
41491		{ "10PASS_TS_2Base_TL_capable", 1, 1 },
41492		{ "10G_capable", 0, 1 },
41493	{ "MAC_PORT_MTIP_KR4_DEVICES_IN_PKG1", 0x31414, 0 },
41494		{ "TC_present", 6, 1 },
41495		{ "DTE_xS_present", 5, 1 },
41496		{ "PHY_xS_present", 4, 1 },
41497		{ "PCS_present", 3, 1 },
41498		{ "WIS_present", 2, 1 },
41499		{ "PMD_PMA_present", 1, 1 },
41500		{ "Clause_22_reg", 0, 1 },
41501	{ "MAC_PORT_MTIP_KR4_DEVICES_IN_PKG2", 0x31418, 0 },
41502		{ "Vendor_specific_device", 15, 1 },
41503		{ "Vendor_specific_device1", 14, 1 },
41504		{ "Clause_22_ExT", 13, 1 },
41505	{ "MAC_PORT_MTIP_KR4_CONTROL_2", 0x3141c, 0 },
41506	{ "MAC_PORT_MTIP_KR4_STATUS_2", 0x31420, 0 },
41507		{ "Device_present", 14, 2 },
41508		{ "Transmit_fault", 11, 1 },
41509		{ "Receive_fault", 10, 1 },
41510		{ "100GBase_R_capable", 5, 1 },
41511		{ "40GBase_R_capable", 4, 1 },
41512		{ "10GBase_T_capable", 3, 1 },
41513		{ "10GBase_W_capable", 2, 1 },
41514		{ "10GBase_x_capable", 1, 1 },
41515		{ "10GBase_R_capable", 0, 1 },
41516	{ "MAC_PORT_MTIP_KR4_PKG_ID0", 0x31438, 0 },
41517	{ "MAC_PORT_MTIP_KR4_PKG_ID1", 0x3143c, 0 },
41518	{ "MAC_PORT_MTIP_KR4_BASE_R_STATUS_1", 0x31480, 0 },
41519		{ "RX_link_status", 12, 1 },
41520		{ "High_BER", 1, 1 },
41521		{ "Block_lock", 0, 1 },
41522	{ "MAC_PORT_MTIP_KR4_BASE_R_STATUS_2", 0x31484, 0 },
41523		{ "Latched_bl_lk", 15, 1 },
41524		{ "Latched_hg_br", 14, 1 },
41525		{ "Ber_cnt", 8, 6 },
41526		{ "Err_bl_cnt", 0, 8 },
41527	{ "MAC_PORT_MTIP_KR4_BASE_R_TEST_CONTROL", 0x314a8, 0 },
41528		{ "TX_TP_EN", 3, 1 },
41529		{ "RX_TP_EN", 2, 1 },
41530	{ "MAC_PORT_MTIP_KR4_BASE_R_TEST_ERR_CNT", 0x314ac, 0 },
41531	{ "MAC_PORT_MTIP_KR4_BER_HIGH_ORDER_CNT", 0x314b0, 0 },
41532	{ "MAC_PORT_MTIP_KR4_ERR_BLK_HIGH_ORDER_CNT", 0x314b4, 0 },
41533		{ "HI_ORDER_CNT_EN", 15, 1 },
41534		{ "ERR_BLK_CNTR", 0, 14 },
41535	{ "MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_1", 0x314c8, 0 },
41536		{ "LANE_ALIGN_STATUS", 12, 1 },
41537		{ "LANE_3_BLK_LCK", 3, 1 },
41538		{ "LANE_2_BLK_LC32_6431K", 2, 1 },
41539		{ "LANE_1_BLK_LCK", 1, 1 },
41540		{ "LANE_0_BLK_LCK", 0, 1 },
41541	{ "MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_2", 0x314cc, 0 },
41542	{ "MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_3", 0x314d0, 0 },
41543		{ "LANE_3_ALIGN_MRKR_LCK", 3, 1 },
41544		{ "LANE_2_ALIGN_MRKR_LCK", 2, 1 },
41545		{ "LANE_1_ALIGN_MRKR_LCK", 1, 1 },
41546		{ "LANE_0_ALIGN_MRKR_LCK", 0, 1 },
41547	{ "MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_4", 0x314d4, 0 },
41548	{ "MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_0", 0x31720, 0 },
41549	{ "MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_1", 0x31724, 0 },
41550	{ "MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_2", 0x31728, 0 },
41551	{ "MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_3", 0x3172c, 0 },
41552	{ "MAC_PORT_MTIP_KR4_LANE_0_MAPPING", 0x31a40, 0 },
41553	{ "MAC_PORT_MTIP_KR4_LANE_1_MAPPING", 0x31a44, 0 },
41554	{ "MAC_PORT_MTIP_KR4_LANE_2_MAPPING", 0x31a48, 0 },
41555	{ "MAC_PORT_MTIP_KR4_LANE_3_MAPPING", 0x31a4c, 0 },
41556	{ "MAC_PORT_MTIP_KR4_SCRATCH", 0x31af0, 0 },
41557	{ "MAC_PORT_MTIP_KR4_CORE_REVISION", 0x31af4, 0 },
41558	{ "MAC_PORT_MTIP_KR4_VL_INTVL", 0x31af8, 0 },
41559	{ "MAC_PORT_MTIP_KR4_TX_LANE_THRESH", 0x31afc, 0 },
41560	{ "MAC_PORT_MTIP_CR4_CONTROL_1", 0x31b00, 0 },
41561		{ "Reset", 15, 1 },
41562		{ "Loopback", 14, 1 },
41563		{ "Speed_selection", 13, 1 },
41564		{ "Low_power", 11, 1 },
41565		{ "Speed_selection1", 6, 1 },
41566		{ "Speed_selection2", 2, 4 },
41567	{ "MAC_PORT_MTIP_CR4_STATUS_1", 0x31b04, 0 },
41568		{ "Fault", 7, 1 },
41569		{ "RX_Link_Status", 2, 1 },
41570		{ "Low_power_ability", 1, 1 },
41571	{ "MAC_PORT_MTIP_CR4_DEVICE_ID0", 0x31b08, 0 },
41572	{ "MAC_PORT_MTIP_CR4_DEVICE_ID1", 0x31b0c, 0 },
41573	{ "MAC_PORT_MTIP_CR4_SPEED_ABILITY", 0x31b10, 0 },
41574		{ "100G_capable", 8, 1 },
41575		{ "40G_capable", 7, 1 },
41576		{ "10PASS_TS_2Base_TL_capable", 1, 1 },
41577		{ "10G_capable", 0, 1 },
41578	{ "MAC_PORT_MTIP_CR4_DEVICES_IN_PKG1", 0x31b14, 0 },
41579		{ "TC_present", 6, 1 },
41580		{ "DTE_xS_present", 5, 1 },
41581		{ "PHY_xS_present", 4, 1 },
41582		{ "PCS_present", 3, 1 },
41583		{ "WIS_present", 2, 1 },
41584		{ "PMD_PMA_present", 1, 1 },
41585		{ "Clause22reg_present", 0, 1 },
41586	{ "MAC_PORT_MTIP_CR4_DEVICES_IN_PKG2", 0x31b18, 0 },
41587		{ "VSD_2_PRESENT", 15, 1 },
41588		{ "VSD_1_PRESENT", 14, 1 },
41589		{ "Clause22_ExT_Present", 13, 1 },
41590	{ "MAC_PORT_MTIP_CR4_CONTROL_2", 0x31b1c, 0 },
41591	{ "MAC_PORT_MTIP_CR4_STATUS_2", 0x31b20, 0 },
41592		{ "Device_present", 14, 2 },
41593		{ "Transmit_fault", 11, 1 },
41594		{ "Receive_fault", 10, 1 },
41595		{ "100GBase_R_capable", 5, 1 },
41596		{ "40GBase_R_capable", 4, 1 },
41597		{ "10GBase_T_capable", 3, 1 },
41598		{ "10GBase_W_capable", 2, 1 },
41599		{ "10GBase_x_capable", 1, 1 },
41600		{ "10GBase_R_capable", 0, 1 },
41601	{ "MAC_PORT_MTIP_CR4_PKG_ID0", 0x31b38, 0 },
41602	{ "MAC_PORT_MTIP_CR4_PKG_ID1", 0x31b3c, 0 },
41603	{ "MAC_PORT_MTIP_CR4_BASE_R_STATUS_1", 0x31b80, 0 },
41604		{ "RX_Link_STAT", 12, 1 },
41605		{ "High_BER", 1, 1 },
41606		{ "Block_Lock", 0, 1 },
41607	{ "MAC_PORT_MTIP_CR4_BASE_R_STATUS_2", 0x31b84, 0 },
41608		{ "Latched_block_lock", 15, 1 },
41609		{ "Latched_high_BER", 14, 1 },
41610		{ "BER_counter", 8, 6 },
41611		{ "Errored_blocks_cntr", 0, 8 },
41612	{ "MAC_PORT_MTIP_CR4_BASE_R_TEST_CONTROL", 0x31ba8, 0 },
41613		{ "Scrambled_ID_TP_EN", 7, 1 },
41614	{ "MAC_PORT_MTIP_CR4_BASE_R_TEST_ERR_CNT", 0x31bac, 0 },
41615	{ "MAC_PORT_MTIP_CR4_BER_HIGH_ORDER_CNT", 0x31bb0, 0 },
41616	{ "MAC_PORT_MTIP_CR4_ERR_BLK_HIGH_ORDER_CNT", 0x31bb4, 0 },
41617		{ "Hi_ORDER_CNT_Present", 15, 1 },
41618		{ "ERR_BLKS_CNTR", 0, 14 },
41619	{ "MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_1", 0x31bc8, 0 },
41620		{ "LANE_ALIGN_STAT", 12, 1 },
41621		{ "Lane_7_blck_lck", 7, 1 },
41622		{ "Lane_6_blck_lck", 6, 1 },
41623		{ "Lane_5_blck_lck", 5, 1 },
41624		{ "Lane_4_blck_lck", 4, 1 },
41625		{ "Lane_3_blck_lck", 3, 1 },
41626		{ "Lane_2_blck_lck", 2, 1 },
41627		{ "Lane_1_blck_lck", 1, 1 },
41628		{ "Lane_0_blck_lck", 0, 1 },
41629	{ "MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_2", 0x31bcc, 0 },
41630		{ "Lane_19_blck_lck", 11, 1 },
41631		{ "Lane_18_blck_lck", 10, 1 },
41632		{ "Lane_17_blck_lck", 9, 1 },
41633		{ "Lane_16_blck_lck", 8, 1 },
41634		{ "Lane_15_blck_lck", 7, 1 },
41635		{ "Lane_14_blck_lck", 6, 1 },
41636		{ "Lane_13_blck_lck", 5, 1 },
41637		{ "Lane_12_blck_lck", 4, 1 },
41638		{ "Lane_11_blck_lck", 3, 1 },
41639		{ "Lane_10_blck_lck", 2, 1 },
41640		{ "Lane_9_blck_lck", 1, 1 },
41641		{ "Lane_8_blck_lck", 0, 1 },
41642	{ "MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_3", 0x31bd0, 0 },
41643		{ "Lane7_algn_mrkr_lck", 7, 1 },
41644		{ "Lane6_algn_mrkr_lck", 6, 1 },
41645		{ "Lane5_algn_mrkr_lck", 5, 1 },
41646		{ "Lane4_algn_mrkr_lck", 4, 1 },
41647		{ "Lane3_algn_mrkr_lck", 3, 1 },
41648		{ "Lane2_algn_mrkr_lck", 2, 1 },
41649		{ "Lane1_algn_mrkr_lck", 1, 1 },
41650		{ "Lane0_algn_mrkr_lck", 0, 1 },
41651	{ "MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_4", 0x31bd4, 0 },
41652		{ "Lane19_algn_mrkr_lck", 11, 1 },
41653		{ "Lane18_algn_mrkr_lck", 10, 1 },
41654		{ "Lane17_algn_mrkr_lck", 9, 1 },
41655		{ "Lane16_algn_mrkr_lck", 8, 1 },
41656		{ "Lane15_algn_mrkr_lck", 7, 1 },
41657		{ "Lane14_algn_mrkr_lck", 6, 1 },
41658		{ "Lane13_algn_mrkr_lck", 5, 1 },
41659		{ "Lane12_algn_mrkr_lck", 4, 1 },
41660		{ "Lane11_algn_mrkr_lck", 3, 1 },
41661		{ "Lane10_algn_mrkr_lck", 2, 1 },
41662		{ "Lane9_algn_mrkr_lck", 1, 1 },
41663		{ "Lane8_algn_mrkr_lck", 0, 1 },
41664	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_0", 0x31e20, 0 },
41665	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_1", 0x31e24, 0 },
41666	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_2", 0x31e28, 0 },
41667	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_3", 0x31e2c, 0 },
41668	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_4", 0x31e30, 0 },
41669	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_5", 0x31e34, 0 },
41670	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_6", 0x31e38, 0 },
41671	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_7", 0x31e3c, 0 },
41672	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_8", 0x31e40, 0 },
41673	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_9", 0x31e44, 0 },
41674	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_10", 0x31e48, 0 },
41675	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_11", 0x31e4c, 0 },
41676	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_12", 0x31e50, 0 },
41677	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_13", 0x31e54, 0 },
41678	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_14", 0x31e58, 0 },
41679	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_15", 0x31e5c, 0 },
41680	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_16", 0x31e60, 0 },
41681	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_17", 0x31e64, 0 },
41682	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_18", 0x31e68, 0 },
41683	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_19", 0x31e6c, 0 },
41684	{ "MAC_PORT_MTIP_CR4_LANE_0_MAPPING", 0x32140, 0 },
41685	{ "MAC_PORT_MTIP_CR4_LANE_1_MAPPING", 0x32144, 0 },
41686	{ "MAC_PORT_MTIP_CR4_LANE_2_MAPPING", 0x32148, 0 },
41687	{ "MAC_PORT_MTIP_CR4_LANE_3_MAPPING", 0x3214c, 0 },
41688	{ "MAC_PORT_MTIP_CR4_LANE_4_MAPPING", 0x32150, 0 },
41689	{ "MAC_PORT_MTIP_CR4_LANE_5_MAPPING", 0x32154, 0 },
41690	{ "MAC_PORT_MTIP_CR4_LANE_6_MAPPING", 0x32158, 0 },
41691	{ "MAC_PORT_MTIP_CR4_LANE_7_MAPPING", 0x3215c, 0 },
41692	{ "MAC_PORT_MTIP_CR4_LANE_8_MAPPING", 0x32160, 0 },
41693	{ "MAC_PORT_MTIP_CR4_LANE_9_MAPPING", 0x32164, 0 },
41694	{ "MAC_PORT_MTIP_CR4_LANE_10_MAPPING", 0x32168, 0 },
41695	{ "MAC_PORT_MTIP_CR4_LANE_11_MAPPING", 0x3216c, 0 },
41696	{ "MAC_PORT_MTIP_CR4_LANE_12_MAPPING", 0x32170, 0 },
41697	{ "MAC_PORT_MTIP_CR4_LANE_13_MAPPING", 0x32174, 0 },
41698	{ "MAC_PORT_MTIP_CR4_LANE_14_MAPPING", 0x32178, 0 },
41699	{ "MAC_PORT_MTIP_CR4_LANE_15_MAPPING", 0x3217c, 0 },
41700	{ "MAC_PORT_MTIP_CR4_LANE_16_MAPPING", 0x32180, 0 },
41701	{ "MAC_PORT_MTIP_CR4_LANE_17_MAPPING", 0x32184, 0 },
41702	{ "MAC_PORT_MTIP_CR4_LANE_18_MAPPING", 0x32188, 0 },
41703	{ "MAC_PORT_MTIP_CR4_LANE_19_MAPPING", 0x3218c, 0 },
41704	{ "MAC_PORT_MTIP_CR4_SCRATCH", 0x321f0, 0 },
41705	{ "MAC_PORT_MTIP_CR4_CORE_REVISION", 0x321f4, 0 },
41706	{ "MAC_PORT_MTIP_RS_FEC_CONTROL", 0x32200, 0 },
41707		{ "RS_FEC_Bypass_Error_Indication", 1, 1 },
41708		{ "RS_FEC_Bypass_Correction", 0, 1 },
41709	{ "MAC_PORT_MTIP_RS_FEC_STATUS", 0x32204, 0 },
41710		{ "RS_FEC_PCS_align_status", 15, 1 },
41711		{ "fec_align_status", 14, 1 },
41712		{ "RS_FEC_high_SER", 2, 1 },
41713		{ "RS_FEC_bypass_error_indication_ability", 1, 1 },
41714		{ "RS_FEC_bypass_correction_ability", 0, 1 },
41715	{ "MAC_PORT_MTIP_RS_FEC_CCW_LO", 0x32208, 0 },
41716	{ "MAC_PORT_MTIP_RS_FEC_CCW_HI", 0x3220c, 0 },
41717	{ "MAC_PORT_MTIP_RS_FEC_NCCW_LO", 0x32210, 0 },
41718	{ "MAC_PORT_MTIP_RS_FEC_NCCW_HI", 0x32214, 0 },
41719	{ "MAC_PORT_MTIP_RS_FEC_LANEMAPRS_FEC_NCCW_HI", 0x32218, 0 },
41720	{ "MAC_PORT_MTIP_RS_FEC_SYMBLERR0_LO", 0x32228, 0 },
41721	{ "MAC_PORT_MTIP_RS_FEC_SYMBLERR0_HI", 0x3222c, 0 },
41722	{ "MAC_PORT_MTIP_RS_FEC_SYMBLERR1_LO", 0x32230, 0 },
41723	{ "MAC_PORT_MTIP_RS_FEC_SYMBLERR1_HI", 0x32234, 0 },
41724	{ "MAC_PORT_MTIP_RS_FEC_SYMBLERR2_LO", 0x32238, 0 },
41725	{ "MAC_PORT_MTIP_RS_FEC_SYMBLERR2_HI", 0x3223c, 0 },
41726	{ "MAC_PORT_MTIP_RS_FEC_SYMBLERR3_LO", 0x32240, 0 },
41727	{ "MAC_PORT_MTIP_RS_FEC_SYMBLERR3_HI", 0x32244, 0 },
41728	{ "MAC_PORT_MTIP_RS_FEC_VENDOR_CONTROL", 0x32400, 0 },
41729		{ "RS_FEC_enabled_status", 15, 1 },
41730		{ "RS_FEC_Enable", 2, 1 },
41731	{ "MAC_PORT_MTIP_RS_FEC_VENDOR_INFO_1", 0x32404, 0 },
41732		{ "deskew_empty", 12, 4 },
41733		{ "fec_align_status_lh", 10, 1 },
41734		{ "tx_dp_overflow", 9, 1 },
41735		{ "rx_dp_overflow", 8, 1 },
41736		{ "tx_datapath_restart", 7, 1 },
41737		{ "rx_datapath_restart", 6, 1 },
41738		{ "marker_check_restart", 5, 1 },
41739		{ "fec_align_status_ll", 4, 1 },
41740		{ "amps_lock", 0, 4 },
41741	{ "MAC_PORT_MTIP_RS_FEC_VENDOR_INFO_2", 0x32408, 0 },
41742	{ "MAC_PORT_MTIP_RS_FEC_VENDOR_REVISION", 0x3240c, 0 },
41743	{ "MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_KEY", 0x32410, 0 },
41744	{ "MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_SYMBOLS", 0x32414, 0 },
41745	{ "MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_PATTERN", 0x32418, 0 },
41746	{ "MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_TRIGGER", 0x3241c, 0 },
41747	{ "MAC_PORT_MTIP_FEC_ABILITY", 0x32618, 0 },
41748		{ "BASE_R_FEC_Error_Indication_Ability", 1, 1 },
41749		{ "BASE_R_FEC_Ability", 0, 1 },
41750	{ "MAC_PORT_FEC_CONTROL", 0x3261c, 0 },
41751		{ "fec_en_err_ind", 1, 1 },
41752		{ "fec_en", 0, 1 },
41753	{ "MAC_PORT_FEC_STATUS", 0x32620, 0 },
41754		{ "FEC_LOCKED0", 1, 4 },
41755		{ "FEC_LOCKED", 0, 1 },
41756	{ "MAC_PORT_MTIP_FEC0_CERR_CNT_0", 0x32624, 0 },
41757	{ "MAC_PORT_MTIP_FEC0_CERR_CNT_1", 0x32628, 0 },
41758	{ "MAC_PORT_MTIP_FEC0_NCERR_CNT_0", 0x3262c, 0 },
41759	{ "MAC_PORT_MTIP_FEC0_NCERR_CNT_1", 0x32630, 0 },
41760	{ "MAC_PORT_MTIP_FEC_STATUS1", 0x32664, 0 },
41761		{ "FEC_LOCKED0", 1, 4 },
41762		{ "FEC_LOCKED", 0, 1 },
41763	{ "MAC_PORT_MTIP_FEC1_CERR_CNT_0", 0x32668, 0 },
41764	{ "MAC_PORT_MTIP_FEC1_CERR_CNT_1", 0x3266c, 0 },
41765	{ "MAC_PORT_MTIP_FEC1_NCERR_CNT_0", 0x32670, 0 },
41766	{ "MAC_PORT_MTIP_FEC1_NCERR_CNT_1", 0x32674, 0 },
41767	{ "MAC_PORT_MTIP_FEC_STATUS2", 0x326a8, 0 },
41768		{ "FEC_LOCKED0", 1, 4 },
41769		{ "FEC_LOCKED", 0, 1 },
41770	{ "MAC_PORT_MTIP_FEC2_CERR_CNT_0", 0x326ac, 0 },
41771	{ "MAC_PORT_MTIP_FEC2_CERR_CNT_1", 0x326b0, 0 },
41772	{ "MAC_PORT_MTIP_FEC2_NCERR_CNT_0", 0x326b4, 0 },
41773	{ "MAC_PORT_MTIP_FEC2_NCERR_CNT_1", 0x326b8, 0 },
41774	{ "MAC_PORT_MTIP_FEC_STATUS3", 0x326ec, 0 },
41775		{ "FEC_LOCKED0", 1, 4 },
41776		{ "FEC_LOCKED", 0, 1 },
41777	{ "MAC_PORT_MTIP_FEC3_CERR_CNT_0", 0x326f0, 0 },
41778	{ "MAC_PORT_MTIP_FEC3_CERR_CNT_1", 0x326f4, 0 },
41779	{ "MAC_PORT_MTIP_FEC3_NCERR_CNT_0", 0x326f8, 0 },
41780	{ "MAC_PORT_MTIP_FEC3_NCERR_CNT_1", 0x326fc, 0 },
41781	{ "MAC_PORT_BEAN_CTL", 0x32c00, 0 },
41782		{ "AN_RESET", 15, 1 },
41783		{ "EXT_NXP_CTRL", 13, 1 },
41784		{ "BEAN_EN", 12, 1 },
41785		{ "RESTART_BEAN", 9, 1 },
41786	{ "MAC_PORT_BEAN_STATUS", 0x32c04, 0 },
41787		{ "PDF", 9, 1 },
41788		{ "EXT_NXP_STATUS", 7, 1 },
41789		{ "PAGE_RCVD", 6, 1 },
41790		{ "BEAN_COMPLETE", 5, 1 },
41791		{ "REM_FAULT_STATUS", 4, 1 },
41792		{ "BEAN_ABILITY", 3, 1 },
41793		{ "LINK_STATUS", 2, 1 },
41794		{ "LP_BEAN_ABILITY", 0, 1 },
41795	{ "MAC_PORT_BEAN_ABILITY_0", 0x32c08, 0 },
41796		{ "NXP", 15, 1 },
41797		{ "ACK", 14, 1 },
41798		{ "REM_FAULT", 13, 1 },
41799		{ "PAUSE_ABILITY", 10, 3 },
41800		{ "ECHO_NONCE", 5, 5 },
41801		{ "SELECTOR", 0, 5 },
41802	{ "MAC_PORT_BEAN_ABILITY_1", 0x32c0c, 0 },
41803		{ "TECH_ABILITY_1", 5, 11 },
41804		{ "TX_NONCE", 0, 5 },
41805	{ "MAC_PORT_BEAN_ABILITY_2", 0x32c10, 0 },
41806		{ "T5_FEC_ABILITY", 14, 2 },
41807		{ "TECH_ABILITY_2", 0, 14 },
41808	{ "MAC_PORT_BEAN_REM_ABILITY_0", 0x32c14, 0 },
41809		{ "NXP", 15, 1 },
41810		{ "ACK", 14, 1 },
41811		{ "REM_FAULT", 13, 1 },
41812		{ "PAUSE_ABILITY", 10, 3 },
41813		{ "ECHO_NONCE", 5, 5 },
41814		{ "SELECTOR", 0, 5 },
41815	{ "MAC_PORT_BEAN_REM_ABILITY_1", 0x32c18, 0 },
41816		{ "TECH_ABILITY_1", 5, 11 },
41817		{ "TX_NONCE", 0, 5 },
41818	{ "MAC_PORT_BEAN_REM_ABILITY_2", 0x32c1c, 0 },
41819		{ "T5_FEC_ABILITY", 14, 2 },
41820		{ "TECH_ABILITY_2", 0, 14 },
41821	{ "MAC_PORT_BEAN_MS_COUNT", 0x32c20, 0 },
41822	{ "MAC_PORT_BEAN_XNP_0", 0x32c24, 0 },
41823		{ "XNP", 15, 1 },
41824		{ "ACKNOWLEDGE", 14, 1 },
41825		{ "MP", 13, 1 },
41826		{ "ACK2", 12, 1 },
41827		{ "TOGGLE", 11, 1 },
41828		{ "MU", 0, 11 },
41829	{ "MAC_PORT_BEAN_XNP_1", 0x32c28, 0 },
41830	{ "MAC_PORT_BEAN_XNP_2", 0x32c2c, 0 },
41831	{ "MAC_PORT_LP_BEAN_XNP_0", 0x32c30, 0 },
41832		{ "XNP", 15, 1 },
41833		{ "ACKNOWLEDGE", 14, 1 },
41834		{ "MP", 13, 1 },
41835		{ "ACK2", 12, 1 },
41836		{ "TOGGLE", 11, 1 },
41837		{ "MU", 0, 11 },
41838	{ "MAC_PORT_LP_BEAN_XNP_1", 0x32c34, 0 },
41839	{ "MAC_PORT_LP_BEAN_XNP_2", 0x32c38, 0 },
41840	{ "MAC_PORT_BEAN_ETH_STATUS", 0x32c3c, 0 },
41841		{ "100GCR4", 11, 1 },
41842		{ "100GKR4", 10, 1 },
41843		{ "100GKP4", 9, 1 },
41844		{ "100GCR10", 8, 1 },
41845		{ "40GCR4", 6, 1 },
41846		{ "40GKR4", 5, 1 },
41847		{ "FEC", 4, 1 },
41848		{ "10GKR", 3, 1 },
41849		{ "10GKX4", 2, 1 },
41850		{ "1GKX", 1, 1 },
41851	{ "MAC_PORT_AE_RX_COEF_REQ", 0x32a00, 0 },
41852		{ "RXREQ_CPRE", 13, 1 },
41853		{ "RXREQ_CINIT", 12, 1 },
41854		{ "T5_RXREQ_C3", 6, 2 },
41855		{ "T5_RXREQ_C2", 4, 2 },
41856		{ "T5_RXREQ_C1", 2, 2 },
41857		{ "T5_RXREQ_C0", 0, 2 },
41858	{ "MAC_PORT_AE_RX_COEF_STAT", 0x32a04, 0 },
41859		{ "T5_AE0_RXSTAT_RDY", 15, 1 },
41860		{ "T5_AE0_RXSTAT_LSNA", 14, 1 },
41861		{ "T5_AE0_RXSTAT_FEC", 13, 1 },
41862		{ "T5_AE0_RXSTAT_TF", 12, 1 },
41863		{ "T5_AE0_RXSTAT_C3", 6, 2 },
41864		{ "T5_AE0_RXSTAT_C2", 4, 2 },
41865		{ "T5_AE0_RXSTAT_C1", 2, 2 },
41866		{ "T5_AE0_RXSTAT_C0", 0, 2 },
41867	{ "MAC_PORT_AE_TX_COEF_REQ", 0x32a08, 0 },
41868		{ "TXREQ_CPRE", 13, 1 },
41869		{ "TXREQ_CINIT", 12, 1 },
41870		{ "TXREQ_FEC", 11, 1 },
41871		{ "T5_TXREQ_C3", 6, 2 },
41872		{ "T5_TXREQ_C2", 4, 2 },
41873		{ "T5_TXREQ_C1", 2, 2 },
41874		{ "T5_TXREQ_C0", 0, 2 },
41875	{ "MAC_PORT_AE_TX_COEF_STAT", 0x32a0c, 0 },
41876		{ "TXSTAT_RDY", 15, 1 },
41877		{ "T5_TXSTAT_C3", 6, 2 },
41878		{ "T5_TXSTAT_C2", 4, 2 },
41879		{ "T5_TXSTAT_C1", 2, 2 },
41880		{ "T5_TXSTAT_C0", 0, 2 },
41881	{ "MAC_PORT_AE_REG_MODE", 0x32a10, 0 },
41882		{ "SET_WAIT_TIMER", 13, 2 },
41883		{ "C2_C3_STATE_SEL", 12, 1 },
41884		{ "FFE4_EN", 11, 1 },
41885		{ "FEC_REQUEST", 10, 1 },
41886		{ "FEC_SUPPORTED", 9, 1 },
41887		{ "TX_FIXED", 8, 1 },
41888		{ "AET_RSVD", 7, 1 },
41889		{ "AET_ENABLE", 6, 1 },
41890		{ "MAN_DEC", 4, 2 },
41891		{ "MANUAL_RDY", 3, 1 },
41892		{ "MWT_DISABLE", 2, 1 },
41893		{ "MDIO_OVR", 1, 1 },
41894		{ "STICKY_MODE", 0, 1 },
41895	{ "MAC_PORT_AE_PRBS_CTL", 0x32a14, 0 },
41896		{ "PRBS_CHK_ERRCNT", 8, 8 },
41897		{ "PRBS_SYNCCNT", 5, 3 },
41898		{ "PRBS_CHK_SYNC", 4, 1 },
41899		{ "PRBS_CHK_RST", 3, 1 },
41900		{ "PRBS_CHK_OFF", 2, 1 },
41901		{ "PRBS_GEN_FRCERR", 1, 1 },
41902		{ "PRBS_GEN_OFF", 0, 1 },
41903	{ "MAC_PORT_AE_FSM_CTL", 0x32a18, 0 },
41904		{ "CIN_ENABLE", 15, 1 },
41905		{ "FSM_TR_LCL", 14, 1 },
41906		{ "FSM_GDMRK", 11, 3 },
41907		{ "FSM_BADMRK", 8, 3 },
41908		{ "FSM_TR_FAIL", 7, 1 },
41909		{ "FSM_TR_ACT", 6, 1 },
41910		{ "FSM_FRM_LCK", 5, 1 },
41911		{ "FSM_TR_COMP", 4, 1 },
41912		{ "MC_RX_RDY", 3, 1 },
41913		{ "FSM_CU_DIS", 2, 1 },
41914		{ "FSM_TR_RST", 1, 1 },
41915		{ "FSM_TR_EN", 0, 1 },
41916	{ "MAC_PORT_AE_FSM_STATE", 0x32a1c, 0 },
41917		{ "CC2FSM_STATE", 13, 3 },
41918		{ "CC1FSM_STATE", 10, 3 },
41919		{ "CC0FSM_STATE", 7, 3 },
41920		{ "FLFSM_STATE", 4, 3 },
41921		{ "TFSM_STATE", 0, 3 },
41922	{ "MAC_PORT_AE_RX_COEF_REQ_1", 0x32a20, 0 },
41923		{ "RXREQ_CPRE", 13, 1 },
41924		{ "RXREQ_CINIT", 12, 1 },
41925		{ "T5_RXREQ_C3", 6, 2 },
41926		{ "T5_RXREQ_C2", 4, 2 },
41927		{ "T5_RXREQ_C1", 2, 2 },
41928		{ "T5_RXREQ_C0", 0, 2 },
41929	{ "MAC_PORT_AE_RX_COEF_STAT_1", 0x32a24, 0 },
41930		{ "T5_AE1_RXSTAT_RDY", 15, 1 },
41931		{ "T5_AE1_RXSTAT_LSNA", 14, 1 },
41932		{ "T5_AE1_RXSTAT_FEC", 13, 1 },
41933		{ "T5_AE1_RXSTAT_TF", 12, 1 },
41934		{ "T5_AE1_RXSTAT_C3", 6, 2 },
41935		{ "T5_AE1_RXSTAT_C2", 4, 2 },
41936		{ "T5_AE1_RXSTAT_C1", 2, 2 },
41937		{ "T5_AE1_RXSTAT_C0", 0, 2 },
41938	{ "MAC_PORT_AE_TX_COEF_REQ_1", 0x32a28, 0 },
41939		{ "TXREQ_CPRE", 13, 1 },
41940		{ "TXREQ_CINIT", 12, 1 },
41941		{ "TXREQ_FEC", 11, 1 },
41942		{ "T5_TXREQ_C3", 6, 2 },
41943		{ "T5_TXREQ_C2", 4, 2 },
41944		{ "T5_TXREQ_C1", 2, 2 },
41945		{ "T5_TXREQ_C0", 0, 2 },
41946	{ "MAC_PORT_AE_TX_COEF_STAT_1", 0x32a2c, 0 },
41947		{ "TXSTAT_RDY", 15, 1 },
41948		{ "T5_TXSTAT_C3", 6, 2 },
41949		{ "T5_TXSTAT_C2", 4, 2 },
41950		{ "T5_TXSTAT_C1", 2, 2 },
41951		{ "T5_TXSTAT_C0", 0, 2 },
41952	{ "MAC_PORT_AE_REG_MODE_1", 0x32a30, 0 },
41953		{ "SET_WAIT_TIMER", 13, 2 },
41954		{ "C2_C3_STATE_SEL", 12, 1 },
41955		{ "FFE4_EN", 11, 1 },
41956		{ "FEC_REQUEST", 10, 1 },
41957		{ "FEC_SUPPORTED", 9, 1 },
41958		{ "TX_FIXED", 8, 1 },
41959		{ "AET_RSVD", 7, 1 },
41960		{ "AET_ENABLE", 6, 1 },
41961		{ "MAN_DEC", 4, 2 },
41962		{ "MANUAL_RDY", 3, 1 },
41963		{ "MWT_DISABLE", 2, 1 },
41964		{ "MDIO_OVR", 1, 1 },
41965		{ "STICKY_MODE", 0, 1 },
41966	{ "MAC_PORT_AE_PRBS_CTL_1", 0x32a34, 0 },
41967		{ "PRBS_CHK_ERRCNT", 8, 8 },
41968		{ "PRBS_SYNCCNT", 5, 3 },
41969		{ "PRBS_CHK_SYNC", 4, 1 },
41970		{ "PRBS_CHK_RST", 3, 1 },
41971		{ "PRBS_CHK_OFF", 2, 1 },
41972		{ "PRBS_GEN_FRCERR", 1, 1 },
41973		{ "PRBS_GEN_OFF", 0, 1 },
41974	{ "MAC_PORT_AE_FSM_CTL_1", 0x32a38, 0 },
41975		{ "CIN_ENABLE", 15, 1 },
41976		{ "FSM_TR_LCL", 14, 1 },
41977		{ "FSM_GDMRK", 11, 3 },
41978		{ "FSM_BADMRK", 8, 3 },
41979		{ "FSM_TR_FAIL", 7, 1 },
41980		{ "FSM_TR_ACT", 6, 1 },
41981		{ "FSM_FRM_LCK", 5, 1 },
41982		{ "FSM_TR_COMP", 4, 1 },
41983		{ "MC_RX_RDY", 3, 1 },
41984		{ "FSM_CU_DIS", 2, 1 },
41985		{ "FSM_TR_RST", 1, 1 },
41986		{ "FSM_TR_EN", 0, 1 },
41987	{ "MAC_PORT_AE_FSM_STATE_1", 0x32a3c, 0 },
41988		{ "CC2FSM_STATE", 13, 3 },
41989		{ "CC1FSM_STATE", 10, 3 },
41990		{ "CC0FSM_STATE", 7, 3 },
41991		{ "FLFSM_STATE", 4, 3 },
41992		{ "TFSM_STATE", 0, 3 },
41993	{ "MAC_PORT_AE_RX_COEF_REQ_2", 0x32a40, 0 },
41994		{ "RXREQ_CPRE", 13, 1 },
41995		{ "RXREQ_CINIT", 12, 1 },
41996		{ "T5_RXREQ_C3", 6, 2 },
41997		{ "T5_RXREQ_C2", 4, 2 },
41998		{ "T5_RXREQ_C1", 2, 2 },
41999		{ "T5_RXREQ_C0", 0, 2 },
42000	{ "MAC_PORT_AE_RX_COEF_STAT_2", 0x32a44, 0 },
42001		{ "T5_AE2_RXSTAT_RDY", 15, 1 },
42002		{ "T5_AE2_RXSTAT_LSNA", 14, 1 },
42003		{ "T5_AE2_RXSTAT_FEC", 13, 1 },
42004		{ "T5_AE2_RXSTAT_TF", 12, 1 },
42005		{ "T5_AE2_RXSTAT_C3", 6, 2 },
42006		{ "T5_AE2_RXSTAT_C2", 4, 2 },
42007		{ "T5_AE2_RXSTAT_C1", 2, 2 },
42008		{ "T5_AE2_RXSTAT_C0", 0, 2 },
42009	{ "MAC_PORT_AE_TX_COEF_REQ_2", 0x32a48, 0 },
42010		{ "TXREQ_CPRE", 13, 1 },
42011		{ "TXREQ_CINIT", 12, 1 },
42012		{ "TXREQ_FEC", 11, 1 },
42013		{ "T5_TXREQ_C3", 6, 2 },
42014		{ "T5_TXREQ_C2", 4, 2 },
42015		{ "T5_TXREQ_C1", 2, 2 },
42016		{ "T5_TXREQ_C0", 0, 2 },
42017	{ "MAC_PORT_AE_TX_COEF_STAT_2", 0x32a4c, 0 },
42018		{ "TXSTAT_RDY", 15, 1 },
42019		{ "T5_TXSTAT_C3", 6, 2 },
42020		{ "T5_TXSTAT_C2", 4, 2 },
42021		{ "T5_TXSTAT_C1", 2, 2 },
42022		{ "T5_TXSTAT_C0", 0, 2 },
42023	{ "MAC_PORT_AE_REG_MODE_2", 0x32a50, 0 },
42024		{ "SET_WAIT_TIMER", 13, 2 },
42025		{ "C2_C3_STATE_SEL", 12, 1 },
42026		{ "FFE4_EN", 11, 1 },
42027		{ "FEC_REQUEST", 10, 1 },
42028		{ "FEC_SUPPORTED", 9, 1 },
42029		{ "TX_FIXED", 8, 1 },
42030		{ "AET_RSVD", 7, 1 },
42031		{ "AET_ENABLE", 6, 1 },
42032		{ "MAN_DEC", 4, 2 },
42033		{ "MANUAL_RDY", 3, 1 },
42034		{ "MWT_DISABLE", 2, 1 },
42035		{ "MDIO_OVR", 1, 1 },
42036		{ "STICKY_MODE", 0, 1 },
42037	{ "MAC_PORT_AE_PRBS_CTL_2", 0x32a54, 0 },
42038		{ "PRBS_CHK_ERRCNT", 8, 8 },
42039		{ "PRBS_SYNCCNT", 5, 3 },
42040		{ "PRBS_CHK_SYNC", 4, 1 },
42041		{ "PRBS_CHK_RST", 3, 1 },
42042		{ "PRBS_CHK_OFF", 2, 1 },
42043		{ "PRBS_GEN_FRCERR", 1, 1 },
42044		{ "PRBS_GEN_OFF", 0, 1 },
42045	{ "MAC_PORT_AE_FSM_CTL_2", 0x32a58, 0 },
42046		{ "CIN_ENABLE", 15, 1 },
42047		{ "FSM_TR_LCL", 14, 1 },
42048		{ "FSM_GDMRK", 11, 3 },
42049		{ "FSM_BADMRK", 8, 3 },
42050		{ "FSM_TR_FAIL", 7, 1 },
42051		{ "FSM_TR_ACT", 6, 1 },
42052		{ "FSM_FRM_LCK", 5, 1 },
42053		{ "FSM_TR_COMP", 4, 1 },
42054		{ "MC_RX_RDY", 3, 1 },
42055		{ "FSM_CU_DIS", 2, 1 },
42056		{ "FSM_TR_RST", 1, 1 },
42057		{ "FSM_TR_EN", 0, 1 },
42058	{ "MAC_PORT_AE_FSM_STATE_2", 0x32a5c, 0 },
42059		{ "CC2FSM_STATE", 13, 3 },
42060		{ "CC1FSM_STATE", 10, 3 },
42061		{ "CC0FSM_STATE", 7, 3 },
42062		{ "FLFSM_STATE", 4, 3 },
42063		{ "TFSM_STATE", 0, 3 },
42064	{ "MAC_PORT_AE_RX_COEF_REQ_3", 0x32a60, 0 },
42065		{ "RXREQ_CPRE", 13, 1 },
42066		{ "RXREQ_CINIT", 12, 1 },
42067		{ "T5_RXREQ_C3", 6, 2 },
42068		{ "T5_RXREQ_C2", 4, 2 },
42069		{ "T5_RXREQ_C1", 2, 2 },
42070		{ "T5_RXREQ_C0", 0, 2 },
42071	{ "MAC_PORT_AE_RX_COEF_STAT_3", 0x32a64, 0 },
42072		{ "T5_AE3_RXSTAT_RDY", 15, 1 },
42073		{ "T5_AE3_RXSTAT_LSNA", 14, 1 },
42074		{ "T5_AE3_RXSTAT_FEC", 13, 1 },
42075		{ "T5_AE3_RXSTAT_TF", 12, 1 },
42076		{ "T5_AE3_RXSTAT_C3", 6, 2 },
42077		{ "T5_AE3_RXSTAT_C2", 4, 2 },
42078		{ "T5_AE3_RXSTAT_C1", 2, 2 },
42079		{ "T5_AE3_RXSTAT_C0", 0, 2 },
42080	{ "MAC_PORT_AE_TX_COEF_REQ_3", 0x32a68, 0 },
42081		{ "TXREQ_CPRE", 13, 1 },
42082		{ "TXREQ_CINIT", 12, 1 },
42083		{ "TXREQ_FEC", 11, 1 },
42084		{ "T5_TXREQ_C3", 6, 2 },
42085		{ "T5_TXREQ_C2", 4, 2 },
42086		{ "T5_TXREQ_C1", 2, 2 },
42087		{ "T5_TXREQ_C0", 0, 2 },
42088	{ "MAC_PORT_AE_TX_COEF_STAT_3", 0x32a6c, 0 },
42089		{ "TXSTAT_RDY", 15, 1 },
42090		{ "T5_TXSTAT_C3", 6, 2 },
42091		{ "T5_TXSTAT_C2", 4, 2 },
42092		{ "T5_TXSTAT_C1", 2, 2 },
42093		{ "T5_TXSTAT_C0", 0, 2 },
42094	{ "MAC_PORT_AE_REG_MODE_3", 0x32a70, 0 },
42095		{ "SET_WAIT_TIMER", 13, 2 },
42096		{ "C2_C3_STATE_SEL", 12, 1 },
42097		{ "FFE4_EN", 11, 1 },
42098		{ "FEC_REQUEST", 10, 1 },
42099		{ "FEC_SUPPORTED", 9, 1 },
42100		{ "TX_FIXED", 8, 1 },
42101		{ "AET_RSVD", 7, 1 },
42102		{ "AET_ENABLE", 6, 1 },
42103		{ "MAN_DEC", 4, 2 },
42104		{ "MANUAL_RDY", 3, 1 },
42105		{ "MWT_DISABLE", 2, 1 },
42106		{ "MDIO_OVR", 1, 1 },
42107		{ "STICKY_MODE", 0, 1 },
42108	{ "MAC_PORT_AE_PRBS_CTL_3", 0x32a74, 0 },
42109		{ "PRBS_CHK_ERRCNT", 8, 8 },
42110		{ "PRBS_SYNCCNT", 5, 3 },
42111		{ "PRBS_CHK_SYNC", 4, 1 },
42112		{ "PRBS_CHK_RST", 3, 1 },
42113		{ "PRBS_CHK_OFF", 2, 1 },
42114		{ "PRBS_GEN_FRCERR", 1, 1 },
42115		{ "PRBS_GEN_OFF", 0, 1 },
42116	{ "MAC_PORT_AE_FSM_CTL_3", 0x32a78, 0 },
42117		{ "CIN_ENABLE", 15, 1 },
42118		{ "FSM_TR_LCL", 14, 1 },
42119		{ "FSM_GDMRK", 11, 3 },
42120		{ "FSM_BADMRK", 8, 3 },
42121		{ "FSM_TR_FAIL", 7, 1 },
42122		{ "FSM_TR_ACT", 6, 1 },
42123		{ "FSM_FRM_LCK", 5, 1 },
42124		{ "FSM_TR_COMP", 4, 1 },
42125		{ "MC_RX_RDY", 3, 1 },
42126		{ "FSM_CU_DIS", 2, 1 },
42127		{ "FSM_TR_RST", 1, 1 },
42128		{ "FSM_TR_EN", 0, 1 },
42129	{ "MAC_PORT_AE_FSM_STATE_3", 0x32a7c, 0 },
42130		{ "CC2FSM_STATE", 13, 3 },
42131		{ "CC1FSM_STATE", 10, 3 },
42132		{ "CC0FSM_STATE", 7, 3 },
42133		{ "FLFSM_STATE", 4, 3 },
42134		{ "TFSM_STATE", 0, 3 },
42135	{ "MAC_PORT_AE_TX_DIS", 0x32a80, 0 },
42136	{ "MAC_PORT_AE_KR_CTRL", 0x32a84, 0 },
42137		{ "Training_Enable", 1, 1 },
42138		{ "Restart_Training", 0, 1 },
42139	{ "MAC_PORT_AE_RX_SIGDET", 0x32a88, 0 },
42140	{ "MAC_PORT_AE_KR_STATUS", 0x32a8c, 0 },
42141		{ "Training_Failure", 3, 1 },
42142		{ "Training", 2, 1 },
42143		{ "Frame_Lock", 1, 1 },
42144		{ "RX_Trained", 0, 1 },
42145	{ "MAC_PORT_AE_TX_DIS_1", 0x32a90, 0 },
42146	{ "MAC_PORT_AE_KR_CTRL_1", 0x32a94, 0 },
42147		{ "Training_Enable", 1, 1 },
42148		{ "Restart_Training", 0, 1 },
42149	{ "MAC_PORT_AE_RX_SIGDET_1", 0x32a98, 0 },
42150	{ "MAC_PORT_AE_KR_STATUS_1", 0x32a9c, 0 },
42151		{ "Training_Failure", 3, 1 },
42152		{ "Training", 2, 1 },
42153		{ "Frame_Lock", 1, 1 },
42154		{ "RX_Trained", 0, 1 },
42155	{ "MAC_PORT_AE_TX_DIS_2", 0x32aa0, 0 },
42156	{ "MAC_PORT_AE_KR_CTRL_2", 0x32aa4, 0 },
42157		{ "Training_Enable", 1, 1 },
42158		{ "Restart_Training", 0, 1 },
42159	{ "MAC_PORT_AE_RX_SIGDET_2", 0x32aa8, 0 },
42160	{ "MAC_PORT_AE_KR_STATUS_2", 0x32aac, 0 },
42161		{ "Training_Failure", 3, 1 },
42162		{ "Training", 2, 1 },
42163		{ "Frame_Lock", 1, 1 },
42164		{ "RX_Trained", 0, 1 },
42165	{ "MAC_PORT_AE_TX_DIS_3", 0x32ab0, 0 },
42166	{ "MAC_PORT_AE_KR_CTRL_3", 0x32ab4, 0 },
42167		{ "Training_Enable", 1, 1 },
42168		{ "Restart_Training", 0, 1 },
42169	{ "MAC_PORT_AE_RX_SIGDET_3", 0x32ab8, 0 },
42170	{ "MAC_PORT_AE_KR_STATUS_3", 0x32abc, 0 },
42171		{ "Training_Failure", 3, 1 },
42172		{ "Training", 2, 1 },
42173		{ "Frame_Lock", 1, 1 },
42174		{ "RX_Trained", 0, 1 },
42175	{ "MAC_PORT_AET_STAGE_CONFIGURATION_0", 0x32b00, 0 },
42176		{ "INIT_METH", 12, 4 },
42177		{ "INIT_CNT", 8, 4 },
42178		{ "EN_ZFE", 7, 1 },
42179		{ "EN_GAIN_TOG", 6, 1 },
42180		{ "EN_AI_N0", 5, 1 },
42181		{ "EN_H1T_EQ", 3, 1 },
42182		{ "H1TEQ_GOAL", 0, 3 },
42183	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_0", 0x32b04, 0 },
42184		{ "FEC_CNV", 15, 1 },
42185		{ "EN_RETRY", 14, 1 },
42186		{ "DPC_METH", 12, 2 },
42187		{ "EN_P2", 11, 1 },
42188		{ "GAIN_TH", 6, 5 },
42189		{ "EN_SD_TH", 5, 1 },
42190		{ "EN_AMIN_TH", 4, 1 },
42191		{ "AMIN_TH", 0, 4 },
42192	{ "MAC_PORT_AET_ZFE_LIMITS_0", 0x32b08, 0 },
42193		{ "ACC_LIM", 8, 4 },
42194		{ "CNV_LIM", 4, 4 },
42195		{ "TOG_LIM", 0, 4 },
42196	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_0", 0x32b0c, 0 },
42197		{ "BOOT_LUT7", 12, 4 },
42198		{ "BOOT_LUT5", 8, 4 },
42199		{ "BOOT_LUT45", 4, 4 },
42200		{ "BOOT_LUT0123", 2, 2 },
42201		{ "BOOT_DEC_C0", 1, 1 },
42202	{ "MAC_PORT_AET_STATUS_0", 0x32b10, 0 },
42203		{ "CTRL_STAT", 8, 5 },
42204		{ "NEU_STATE", 4, 4 },
42205		{ "CTRL_STATE", 0, 4 },
42206	{ "MAC_PORT_AET_STATUS_20", 0x32b14, 0 },
42207	{ "MAC_PORT_AET_LIMITS0", 0x32b18, 0 },
42208	{ "MAC_PORT_AET_STAGE_CONFIGURATION_1", 0x32b20, 0 },
42209		{ "INIT_METH", 12, 4 },
42210		{ "INIT_CNT", 8, 4 },
42211		{ "EN_ZFE", 7, 1 },
42212		{ "EN_GAIN_TOG", 6, 1 },
42213		{ "EN_AI_N0", 5, 1 },
42214		{ "EN_H1T_EQ", 3, 1 },
42215		{ "H1TEQ_GOAL", 0, 3 },
42216	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_1", 0x32b24, 0 },
42217		{ "FEC_CNV", 15, 1 },
42218		{ "EN_RETRY", 14, 1 },
42219		{ "DPC_METH", 12, 2 },
42220		{ "EN_P2", 11, 1 },
42221		{ "GAIN_TH", 6, 5 },
42222		{ "EN_SD_TH", 5, 1 },
42223		{ "EN_AMIN_TH", 4, 1 },
42224		{ "AMIN_TH", 0, 4 },
42225	{ "MAC_PORT_AET_ZFE_LIMITS_1", 0x32b28, 0 },
42226		{ "ACC_LIM", 8, 4 },
42227		{ "CNV_LIM", 4, 4 },
42228		{ "TOG_LIM", 0, 4 },
42229	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_1", 0x32b2c, 0 },
42230		{ "BOOT_LUT7", 12, 4 },
42231		{ "BOOT_LUT5", 8, 4 },
42232		{ "BOOT_LUT45", 4, 4 },
42233		{ "BOOT_LUT0123", 2, 2 },
42234		{ "BOOT_DEC_C0", 1, 1 },
42235	{ "MAC_PORT_AET_STATUS_1", 0x32b30, 0 },
42236		{ "CTRL_STAT", 8, 5 },
42237		{ "NEU_STATE", 4, 4 },
42238		{ "CTRL_STATE", 0, 4 },
42239	{ "MAC_PORT_AET_STATUS_21", 0x32b34, 0 },
42240	{ "MAC_PORT_AET_LIMITS1", 0x32b38, 0 },
42241	{ "MAC_PORT_AET_STAGE_CONFIGURATION_2", 0x32b40, 0 },
42242		{ "INIT_METH", 12, 4 },
42243		{ "INIT_CNT", 8, 4 },
42244		{ "EN_ZFE", 7, 1 },
42245		{ "EN_GAIN_TOG", 6, 1 },
42246		{ "EN_AI_N0", 5, 1 },
42247		{ "EN_H1T_EQ", 3, 1 },
42248		{ "H1TEQ_GOAL", 0, 3 },
42249	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_2", 0x32b44, 0 },
42250		{ "FEC_CNV", 15, 1 },
42251		{ "EN_RETRY", 14, 1 },
42252		{ "DPC_METH", 12, 2 },
42253		{ "EN_P2", 11, 1 },
42254		{ "GAIN_TH", 6, 5 },
42255		{ "EN_SD_TH", 5, 1 },
42256		{ "EN_AMIN_TH", 4, 1 },
42257		{ "AMIN_TH", 0, 4 },
42258	{ "MAC_PORT_AET_ZFE_LIMITS_2", 0x32b48, 0 },
42259		{ "ACC_LIM", 8, 4 },
42260		{ "CNV_LIM", 4, 4 },
42261		{ "TOG_LIM", 0, 4 },
42262	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_2", 0x32b4c, 0 },
42263		{ "BOOT_LUT7", 12, 4 },
42264		{ "BOOT_LUT5", 8, 4 },
42265		{ "BOOT_LUT45", 4, 4 },
42266		{ "BOOT_LUT0123", 2, 2 },
42267		{ "BOOT_DEC_C0", 1, 1 },
42268	{ "MAC_PORT_AET_STATUS_2", 0x32b50, 0 },
42269		{ "CTRL_STAT", 8, 5 },
42270		{ "NEU_STATE", 4, 4 },
42271		{ "CTRL_STATE", 0, 4 },
42272	{ "MAC_PORT_AET_STATUS_22", 0x32b54, 0 },
42273	{ "MAC_PORT_AET_LIMITS2", 0x32b58, 0 },
42274	{ "MAC_PORT_AET_STAGE_CONFIGURATION_3", 0x32b60, 0 },
42275		{ "INIT_METH", 12, 4 },
42276		{ "INIT_CNT", 8, 4 },
42277		{ "EN_ZFE", 7, 1 },
42278		{ "EN_GAIN_TOG", 6, 1 },
42279		{ "EN_AI_N0", 5, 1 },
42280		{ "EN_H1T_EQ", 3, 1 },
42281		{ "H1TEQ_GOAL", 0, 3 },
42282	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_3", 0x32b64, 0 },
42283		{ "FEC_CNV", 15, 1 },
42284		{ "EN_RETRY", 14, 1 },
42285		{ "DPC_METH", 12, 2 },
42286		{ "EN_P2", 11, 1 },
42287		{ "GAIN_TH", 6, 5 },
42288		{ "EN_SD_TH", 5, 1 },
42289		{ "EN_AMIN_TH", 4, 1 },
42290		{ "AMIN_TH", 0, 4 },
42291	{ "MAC_PORT_AET_ZFE_LIMITS_3", 0x32b68, 0 },
42292		{ "ACC_LIM", 8, 4 },
42293		{ "CNV_LIM", 4, 4 },
42294		{ "TOG_LIM", 0, 4 },
42295	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_3", 0x32b6c, 0 },
42296		{ "BOOT_LUT7", 12, 4 },
42297		{ "BOOT_LUT5", 8, 4 },
42298		{ "BOOT_LUT45", 4, 4 },
42299		{ "BOOT_LUT0123", 2, 2 },
42300		{ "BOOT_DEC_C0", 1, 1 },
42301	{ "MAC_PORT_AET_STATUS_3", 0x32b70, 0 },
42302		{ "CTRL_STAT", 8, 5 },
42303		{ "NEU_STATE", 4, 4 },
42304		{ "CTRL_STATE", 0, 4 },
42305	{ "MAC_PORT_AET_STATUS_23", 0x32b74, 0 },
42306	{ "MAC_PORT_AET_LIMITS3", 0x32b78, 0 },
42307	{ "MAC_PORT_ANALOG_TEST_MUX", 0x33814, 0 },
42308	{ "MAC_PORT_PLLREFSEL_CONTROL", 0x33854, 0 },
42309	{ "MAC_PORT_REFISINK_CONTROL", 0x33858, 0 },
42310	{ "MAC_PORT_REFISRC_CONTROL", 0x3385c, 0 },
42311	{ "MAC_PORT_REFVREG_CONTROL", 0x33860, 0 },
42312	{ "MAC_PORT_VBGENDOC_CONTROL", 0x33864, 0 },
42313		{ "BGCLKSEL", 2, 1 },
42314		{ "VBGENDOC", 0, 2 },
42315	{ "MAC_PORT_VREFTUNE_CONTROL", 0x33868, 0 },
42316	{ "MAC_PORT_IMPEDENCE_CALIBRATION_CONTROL", 0x33880, 0 },
42317		{ "FRCCAL_COMP", 6, 1 },
42318		{ "FRCERR", 5, 1 },
42319		{ "CAL_BISTENAB", 4, 1 },
42320		{ "RCAL_RESET", 0, 1 },
42321	{ "MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_1", 0x33884, 0 },
42322		{ "RCALBENAB", 3, 1 },
42323		{ "RCALBUSY", 2, 1 },
42324		{ "RCALERR", 1, 1 },
42325		{ "RCALCOMP", 0, 1 },
42326	{ "MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_2", 0x33888, 0 },
42327	{ "MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_3", 0x3388c, 0 },
42328	{ "MAC_PORT_INEQUALITY_CONTROL_AND_RESULT", 0x338c0, 0 },
42329		{ "ISGT", 7, 1 },
42330		{ "ISLT", 6, 1 },
42331		{ "ISEQ", 5, 1 },
42332		{ "ISVAL", 3, 2 },
42333		{ "GTORLT", 1, 2 },
42334		{ "INEQ", 0, 1 },
42335	{ "MAC_PORT_INEQUALITY_LOW_LIMIT", 0x338c4, 0 },
42336	{ "MAC_PORT_INEQUALITY_LOW_LIMIT_MASK", 0x338c8, 0 },
42337	{ "MAC_PORT_INEQUALITY_HIGH_LIMIT", 0x338cc, 0 },
42338	{ "MAC_PORT_INEQUALITY_HIGH_LIMIT_MASK", 0x338d0, 0 },
42339	{ "MAC_PORT_MACRO_TEST_CONTROL_6", 0x338e8, 0 },
42340		{ "JTAGMD", 3, 1 },
42341		{ "RXACMODE", 2, 1 },
42342		{ "HSSACJPC", 1, 1 },
42343		{ "HSSACJAC", 0, 1 },
42344	{ "MAC_PORT_MACRO_TEST_CONTROL_5", 0x338ec, 0 },
42345		{ "REFVALIDD", 6, 1 },
42346		{ "REFVALIDC", 5, 1 },
42347		{ "REFVALIDB", 4, 1 },
42348		{ "REFVALIDA", 3, 1 },
42349		{ "REFSELRESET", 2, 1 },
42350		{ "SOFTRESET", 1, 1 },
42351		{ "MACROTEST", 0, 1 },
42352	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_0", 0x33b00, 0 },
42353	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_1", 0x33b04, 0 },
42354		{ "LDET", 4, 1 },
42355		{ "CCERR", 3, 1 },
42356		{ "CCCMP", 2, 1 },
42357	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_2", 0x33b08, 0 },
42358	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_3", 0x33b0c, 0 },
42359		{ "FMIN", 3, 1 },
42360		{ "FMAX", 2, 1 },
42361		{ "CVHOLD", 1, 1 },
42362	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_4", 0x33b10, 0 },
42363		{ "CMETH", 2, 1 },
42364		{ "RECAL", 1, 1 },
42365		{ "CCLD", 0, 1 },
42366	{ "MAC_PORT_PLLA_POWER_CONTROL", 0x33b24, 0 },
42367		{ "SPWRENA", 1, 1 },
42368		{ "NPWRENA", 0, 1 },
42369	{ "MAC_PORT_PLLA_CHARGE_PUMP_CONTROL", 0x33b28, 0 },
42370	{ "MAC_PORT_PLLA_PLL_MICELLANEOUS_CONTROL", 0x33b38, 0 },
42371	{ "MAC_PORT_PLLA_PCLK_CONTROL", 0x33b3c, 0 },
42372		{ "SPEDIV", 3, 5 },
42373		{ "PCKSEL", 0, 3 },
42374	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_CONTROL", 0x33b40, 0 },
42375		{ "EMIL", 2, 1 },
42376		{ "EMID", 1, 1 },
42377		{ "EMIS", 0, 1 },
42378	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_1", 0x33b44, 0 },
42379	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_2", 0x33b48, 0 },
42380	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_3", 0x33b4c, 0 },
42381	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_4", 0x33b50, 0 },
42382	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_4", 0x33bf0, 0 },
42383		{ "PLLDIVA", 4, 1 },
42384		{ "REFDIV", 0, 4 },
42385	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_3", 0x33bf4, 0 },
42386		{ "RESYNC", 6, 1 },
42387		{ "RXCLKSEL", 5, 1 },
42388		{ "FRCBAND", 4, 1 },
42389		{ "PLLBYP", 3, 1 },
42390		{ "VCOSEL", 1, 1 },
42391		{ "DIVSEL8", 0, 1 },
42392	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_2", 0x33bf8, 0 },
42393	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_1", 0x33bfc, 0 },
42394	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_0", 0x33c00, 0 },
42395	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_1", 0x33c04, 0 },
42396		{ "LDET", 4, 1 },
42397		{ "CCERR", 3, 1 },
42398		{ "CCCMP", 2, 1 },
42399	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_2", 0x33c08, 0 },
42400	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_3", 0x33c0c, 0 },
42401		{ "FMIN", 3, 1 },
42402		{ "FMAX", 2, 1 },
42403		{ "CVHOLD", 1, 1 },
42404	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_4", 0x33c10, 0 },
42405		{ "CMETH", 2, 1 },
42406		{ "RECAL", 1, 1 },
42407		{ "CCLD", 0, 1 },
42408	{ "MAC_PORT_PLLB_POWER_CONTROL", 0x33c24, 0 },
42409		{ "SPWRENA", 1, 1 },
42410		{ "NPWRENA", 0, 1 },
42411	{ "MAC_PORT_PLLB_CHARGE_PUMP_CONTROL", 0x33c28, 0 },
42412	{ "MAC_PORT_PLLB_PLL_MICELLANEOUS_CONTROL", 0x33c38, 0 },
42413	{ "MAC_PORT_PLLB_PCLK_CONTROL", 0x33c3c, 0 },
42414		{ "SPEDIV", 3, 5 },
42415		{ "PCKSEL", 0, 3 },
42416	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_CONTROL", 0x33c40, 0 },
42417		{ "EMIL", 2, 1 },
42418		{ "EMID", 1, 1 },
42419		{ "EMIS", 0, 1 },
42420	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_1", 0x33c44, 0 },
42421	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_2", 0x33c48, 0 },
42422	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_3", 0x33c4c, 0 },
42423	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_4", 0x33c50, 0 },
42424	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_4", 0x33cf0, 0 },
42425		{ "PLLDIVA", 4, 1 },
42426		{ "REFDIV", 0, 4 },
42427	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_3", 0x33cf4, 0 },
42428		{ "RESYNC", 6, 1 },
42429		{ "RXCLKSEL", 5, 1 },
42430		{ "FRCBAND", 4, 1 },
42431		{ "PLLBYP", 3, 1 },
42432		{ "VCOSEL", 1, 1 },
42433		{ "DIVSEL8", 0, 1 },
42434	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_2", 0x33cf8, 0 },
42435	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_1", 0x33cfc, 0 },
42436	{ "MAC_PORT_TX_LINKA_TRANSMIT_CONFIGURATION_MODE", 0x33000, 0 },
42437		{ "T5_TX_LINKEN", 15, 1 },
42438		{ "T5_TX_LINKRST", 14, 1 },
42439		{ "T5_TX_CFGWRT", 13, 1 },
42440		{ "T5_TX_CFGPTR", 11, 2 },
42441		{ "T5_TX_CFGEXT", 10, 1 },
42442		{ "T5_TX_CFGACT", 9, 1 },
42443		{ "T5_TX_RSYNCC", 8, 1 },
42444		{ "T5_TX_PLLSEL", 6, 2 },
42445		{ "T5_TX_RXLOOP", 5, 1 },
42446		{ "T5_TX_ENFFE4", 4, 1 },
42447		{ "T5_TX_BWSEL", 2, 2 },
42448		{ "T5_TX_RTSEL", 0, 2 },
42449	{ "MAC_PORT_TX_LINKA_TRANSMIT_TEST_CONTROL", 0x33004, 0 },
42450		{ "SPSEL", 11, 3 },
42451		{ "FRCERR", 10, 1 },
42452		{ "ERROR", 9, 1 },
42453		{ "SYNC", 8, 1 },
42454		{ "P7CHK", 5, 1 },
42455		{ "PRST", 4, 1 },
42456		{ "TPGMD", 3, 1 },
42457		{ "TPSEL", 0, 3 },
42458	{ "MAC_PORT_TX_LINKA_TRANSMIT_COEFFICIENT_CONTROL", 0x33008, 0 },
42459		{ "ZCALOVRD", 8, 1 },
42460		{ "SASMODE", 7, 1 },
42461		{ "AEPOL", 6, 1 },
42462		{ "AESRC", 5, 1 },
42463		{ "EQMODE", 4, 1 },
42464		{ "OCOEF", 3, 1 },
42465		{ "COEFRST", 2, 1 },
42466		{ "ALOAD", 0, 1 },
42467	{ "MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_MODE_CONTROL", 0x3300c, 0 },
42468		{ "T5DRVHIZ", 5, 1 },
42469		{ "T5SLEW", 2, 2 },
42470	{ "MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x33010, 0 },
42471		{ "T5DCCEN", 4, 1 },
42472	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x33014, 0 },
42473		{ "RSTEP", 15, 1 },
42474		{ "RLOCK", 14, 1 },
42475		{ "RPOS", 8, 6 },
42476		{ "DCLKSAM", 7, 1 },
42477	{ "MAC_PORT_TX_LINKA_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x33018, 0 },
42478		{ "CALSSTN", 8, 6 },
42479		{ "CALSSTP", 0, 6 },
42480	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3301c, 0 },
42481		{ "DRTOL", 2, 3 },
42482	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT", 0x33020, 0 },
42483	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT", 0x33024, 0 },
42484	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT", 0x33028, 0 },
42485	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_3_COEFFICIENT", 0x3302c, 0 },
42486	{ "MAC_PORT_TX_LINKA_TRANSMIT_POLARITY", 0x33034, 0 },
42487	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x33038, 0 },
42488		{ "CPREST", 13, 1 },
42489		{ "CINIT", 12, 1 },
42490		{ "SASCMD", 10, 2 },
42491		{ "C0UPDT", 6, 2 },
42492		{ "C3UPDT", 4, 2 },
42493		{ "C2UPDT", 2, 2 },
42494		{ "C1UPDT", 0, 2 },
42495	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3303c, 0 },
42496		{ "C0STAT", 6, 2 },
42497		{ "C3STAT", 4, 2 },
42498		{ "C2STAT", 2, 2 },
42499		{ "C1STAT", 0, 2 },
42500	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x33040, 0 },
42501	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x33044, 0 },
42502	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x33048, 0 },
42503	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3304c, 0 },
42504	{ "MAC_PORT_TX_LINKA_TRANSMIT_APPLIED_TUNE_REGISTER", 0x33050, 0 },
42505		{ "ATUNEN", 8, 8 },
42506		{ "ATUNEP", 0, 8 },
42507	{ "MAC_PORT_TX_LINKA_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x33058, 0 },
42508		{ "DCCCOMPINV", 8, 1 },
42509	{ "MAC_PORT_TX_LINKA_TRANSMIT_4X_SEGMENT_APPLIED", 0x33060, 0 },
42510		{ "AS4X7", 14, 2 },
42511		{ "AS4X6", 12, 2 },
42512		{ "AS4X5", 10, 2 },
42513		{ "AS4X4", 8, 2 },
42514		{ "AS4X3", 6, 2 },
42515		{ "AS4X2", 4, 2 },
42516		{ "AS4X1", 2, 2 },
42517		{ "AS4X0", 0, 2 },
42518	{ "MAC_PORT_TX_LINKA_TRANSMIT_2X_SEGMENT_APPLIED", 0x33064, 0 },
42519		{ "AS2X3", 6, 2 },
42520		{ "AS2X2", 4, 2 },
42521		{ "AS2X1", 2, 2 },
42522		{ "AS2X0", 0, 2 },
42523	{ "MAC_PORT_TX_LINKA_TRANSMIT_1X_SEGMENT_APPLIED", 0x33068, 0 },
42524		{ "AS1X7", 14, 2 },
42525		{ "AS1X6", 12, 2 },
42526		{ "AS1X5", 10, 2 },
42527		{ "AS1X4", 8, 2 },
42528		{ "AS1X3", 6, 2 },
42529		{ "AS1X2", 4, 2 },
42530		{ "AS1X1", 2, 2 },
42531		{ "AS1X0", 0, 2 },
42532	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3306c, 0 },
42533	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x33070, 0 },
42534		{ "AT2X", 8, 4 },
42535		{ "AT4X", 0, 8 },
42536	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x33074, 0 },
42537	{ "MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x33078, 0 },
42538	{ "MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3307c, 0 },
42539		{ "XADDR", 1, 5 },
42540		{ "XWR", 0, 1 },
42541	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x33080, 0 },
42542	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x33084, 0 },
42543	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x33088, 0 },
42544	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3308c, 0 },
42545	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AZ_CONTROL", 0x3309c, 0 },
42546	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_CONTROL", 0x330a0, 0 },
42547		{ "DCCTIMEDOUT", 15, 1 },
42548		{ "DCCTIMEEN", 13, 2 },
42549		{ "DCCLOCK", 11, 2 },
42550		{ "DCCOFFSET", 8, 3 },
42551		{ "DCCSTEP", 6, 2 },
42552	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_OVERRIDE", 0x330a4, 0 },
42553		{ "DCCOUT", 12, 1 },
42554		{ "DCCCLK", 11, 1 },
42555		{ "DCCHOLD", 10, 1 },
42556		{ "DCCSIGN", 8, 2 },
42557		{ "DCCAMP", 1, 7 },
42558		{ "DCCOEN", 0, 1 },
42559	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_APPLIED", 0x330a8, 0 },
42560		{ "DCCASIGN", 7, 2 },
42561		{ "DCCAAMP", 0, 7 },
42562	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_TIME_OUT", 0x330ac, 0 },
42563	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SIGN_OVERRIDE", 0x330c0, 0 },
42564	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x330c8, 0 },
42565		{ "OS4X7", 14, 2 },
42566		{ "OS4X6", 12, 2 },
42567		{ "OS4X5", 10, 2 },
42568		{ "OS4X4", 8, 2 },
42569		{ "OS4X3", 6, 2 },
42570		{ "OS4X2", 4, 2 },
42571		{ "OS4X1", 2, 2 },
42572		{ "OS4X0", 0, 2 },
42573	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x330cc, 0 },
42574		{ "OS2X3", 6, 2 },
42575		{ "OS2X2", 4, 2 },
42576		{ "OS2X1", 2, 2 },
42577		{ "OS2X0", 0, 2 },
42578	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x330d0, 0 },
42579		{ "OS1X7", 14, 2 },
42580		{ "OS1X6", 12, 2 },
42581		{ "OS1X5", 10, 2 },
42582		{ "OS1X4", 8, 2 },
42583		{ "OS1X3", 6, 2 },
42584		{ "OS1X2", 4, 2 },
42585		{ "OS1X1", 2, 2 },
42586		{ "OS1X0", 0, 2 },
42587	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x330d8, 0 },
42588	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x330dc, 0 },
42589	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x330e0, 0 },
42590	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_5", 0x330ec, 0 },
42591		{ "ERRORP", 15, 1 },
42592		{ "ERRORN", 14, 1 },
42593		{ "TESTENA", 13, 1 },
42594		{ "TUNEBIT", 10, 3 },
42595		{ "DATAPOS", 8, 2 },
42596		{ "SEGSEL", 3, 5 },
42597		{ "TAPSEL", 1, 2 },
42598		{ "DATASIGN", 0, 1 },
42599	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_4", 0x330f0, 0 },
42600	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_3", 0x330f4, 0 },
42601	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_2", 0x330f8, 0 },
42602		{ "AECMDVAL", 14, 1 },
42603		{ "AECMD1312", 12, 2 },
42604		{ "AECMD70", 0, 8 },
42605	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_1", 0x330fc, 0 },
42606		{ "SDOVRDEN", 15, 1 },
42607		{ "BSOUTN", 7, 1 },
42608		{ "BSOUTP", 6, 1 },
42609		{ "BSIN", 5, 1 },
42610		{ "JTAGAMPL", 3, 2 },
42611		{ "JTAGTS", 2, 1 },
42612		{ "TS", 1, 1 },
42613		{ "OBS", 0, 1 },
42614	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x30000, 0 },
42615	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x30008, 0 },
42616		{ "C0PRESET", 8, 7 },
42617		{ "C0INIT1", 0, 7 },
42618	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x30010, 0 },
42619		{ "C0MAX", 8, 7 },
42620		{ "C0MIN", 0, 7 },
42621	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_INIT_EXTENDED", 0x30018, 0 },
42622		{ "C1PRESET", 8, 7 },
42623		{ "C1INIT1", 0, 7 },
42624	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x30020, 0 },
42625		{ "C1MAX", 8, 7 },
42626		{ "C1MIN", 0, 7 },
42627	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_INIT_EXTENDED", 0x30028, 0 },
42628		{ "C2PRESET", 8, 7 },
42629		{ "C2INIT1", 0, 7 },
42630	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x30030, 0 },
42631		{ "C2MAX", 8, 7 },
42632		{ "C2MIN", 0, 7 },
42633	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x30038, 0 },
42634	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x30040, 0 },
42635	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_INIT_EXTENDED", 0x30048, 0 },
42636		{ "C3PRESET", 8, 7 },
42637		{ "C3INIT1", 0, 7 },
42638	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x30050, 0 },
42639		{ "C3MAX", 8, 7 },
42640		{ "C3MIN", 0, 7 },
42641	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3005c, 0 },
42642	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x30060, 0 },
42643	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x30068, 0 },
42644	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x30070, 0 },
42645	{ "MAC_PORT_TX_LINKB_TRANSMIT_CONFIGURATION_MODE", 0x33100, 0 },
42646		{ "T5_TX_LINKEN", 15, 1 },
42647		{ "T5_TX_LINKRST", 14, 1 },
42648		{ "T5_TX_CFGWRT", 13, 1 },
42649		{ "T5_TX_CFGPTR", 11, 2 },
42650		{ "T5_TX_CFGEXT", 10, 1 },
42651		{ "T5_TX_CFGACT", 9, 1 },
42652		{ "T5_TX_RSYNCC", 8, 1 },
42653		{ "T5_TX_PLLSEL", 6, 2 },
42654		{ "T5_TX_RXLOOP", 5, 1 },
42655		{ "T5_TX_ENFFE4", 4, 1 },
42656		{ "T5_TX_BWSEL", 2, 2 },
42657		{ "T5_TX_RTSEL", 0, 2 },
42658	{ "MAC_PORT_TX_LINKB_TRANSMIT_TEST_CONTROL", 0x33104, 0 },
42659		{ "SPSEL", 11, 3 },
42660		{ "FRCERR", 10, 1 },
42661		{ "ERROR", 9, 1 },
42662		{ "SYNC", 8, 1 },
42663		{ "P7CHK", 5, 1 },
42664		{ "PRST", 4, 1 },
42665		{ "TPGMD", 3, 1 },
42666		{ "TPSEL", 0, 3 },
42667	{ "MAC_PORT_TX_LINKB_TRANSMIT_COEFFICIENT_CONTROL", 0x33108, 0 },
42668		{ "ZCALOVRD", 8, 1 },
42669		{ "SASMODE", 7, 1 },
42670		{ "AEPOL", 6, 1 },
42671		{ "AESRC", 5, 1 },
42672		{ "EQMODE", 4, 1 },
42673		{ "OCOEF", 3, 1 },
42674		{ "COEFRST", 2, 1 },
42675		{ "ALOAD", 0, 1 },
42676	{ "MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_MODE_CONTROL", 0x3310c, 0 },
42677		{ "T5DRVHIZ", 5, 1 },
42678		{ "T5SLEW", 2, 2 },
42679	{ "MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x33110, 0 },
42680		{ "T5DCCEN", 4, 1 },
42681	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x33114, 0 },
42682		{ "RSTEP", 15, 1 },
42683		{ "RLOCK", 14, 1 },
42684		{ "RPOS", 8, 6 },
42685		{ "DCLKSAM", 7, 1 },
42686	{ "MAC_PORT_TX_LINKB_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x33118, 0 },
42687		{ "CALSSTN", 8, 6 },
42688		{ "CALSSTP", 0, 6 },
42689	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3311c, 0 },
42690		{ "DRTOL", 2, 3 },
42691	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT", 0x33120, 0 },
42692	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT", 0x33124, 0 },
42693	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT", 0x33128, 0 },
42694	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_3_COEFFICIENT", 0x3312c, 0 },
42695	{ "MAC_PORT_TX_LINKB_TRANSMIT_POLARITY", 0x33134, 0 },
42696	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x33138, 0 },
42697		{ "CPREST", 13, 1 },
42698		{ "CINIT", 12, 1 },
42699		{ "SASCMD", 10, 2 },
42700		{ "C0UPDT", 6, 2 },
42701		{ "C3UPDT", 4, 2 },
42702		{ "C2UPDT", 2, 2 },
42703		{ "C1UPDT", 0, 2 },
42704	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3313c, 0 },
42705		{ "C0STAT", 6, 2 },
42706		{ "C3STAT", 4, 2 },
42707		{ "C2STAT", 2, 2 },
42708		{ "C1STAT", 0, 2 },
42709	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x33140, 0 },
42710	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x33144, 0 },
42711	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x33148, 0 },
42712	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3314c, 0 },
42713	{ "MAC_PORT_TX_LINKB_TRANSMIT_APPLIED_TUNE_REGISTER", 0x33150, 0 },
42714		{ "ATUNEN", 8, 8 },
42715		{ "ATUNEP", 0, 8 },
42716	{ "MAC_PORT_TX_LINKB_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x33158, 0 },
42717		{ "DCCCOMPINV", 8, 1 },
42718	{ "MAC_PORT_TX_LINKB_TRANSMIT_4X_SEGMENT_APPLIED", 0x33160, 0 },
42719		{ "AS4X7", 14, 2 },
42720		{ "AS4X6", 12, 2 },
42721		{ "AS4X5", 10, 2 },
42722		{ "AS4X4", 8, 2 },
42723		{ "AS4X3", 6, 2 },
42724		{ "AS4X2", 4, 2 },
42725		{ "AS4X1", 2, 2 },
42726		{ "AS4X0", 0, 2 },
42727	{ "MAC_PORT_TX_LINKB_TRANSMIT_2X_SEGMENT_APPLIED", 0x33164, 0 },
42728		{ "AS2X3", 6, 2 },
42729		{ "AS2X2", 4, 2 },
42730		{ "AS2X1", 2, 2 },
42731		{ "AS2X0", 0, 2 },
42732	{ "MAC_PORT_TX_LINKB_TRANSMIT_1X_SEGMENT_APPLIED", 0x33168, 0 },
42733		{ "AS1X7", 14, 2 },
42734		{ "AS1X6", 12, 2 },
42735		{ "AS1X5", 10, 2 },
42736		{ "AS1X4", 8, 2 },
42737		{ "AS1X3", 6, 2 },
42738		{ "AS1X2", 4, 2 },
42739		{ "AS1X1", 2, 2 },
42740		{ "AS1X0", 0, 2 },
42741	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3316c, 0 },
42742	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x33170, 0 },
42743		{ "AT2X", 8, 4 },
42744		{ "AT4X", 0, 8 },
42745	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x33174, 0 },
42746	{ "MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x33178, 0 },
42747	{ "MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3317c, 0 },
42748		{ "XADDR", 1, 5 },
42749		{ "XWR", 0, 1 },
42750	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x33180, 0 },
42751	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x33184, 0 },
42752	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x33188, 0 },
42753	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3318c, 0 },
42754	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AZ_CONTROL", 0x3319c, 0 },
42755	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_CONTROL", 0x331a0, 0 },
42756		{ "DCCTIMEDOUT", 15, 1 },
42757		{ "DCCTIMEEN", 13, 2 },
42758		{ "DCCLOCK", 11, 2 },
42759		{ "DCCOFFSET", 8, 3 },
42760		{ "DCCSTEP", 6, 2 },
42761	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_OVERRIDE", 0x331a4, 0 },
42762		{ "DCCOUT", 12, 1 },
42763		{ "DCCCLK", 11, 1 },
42764		{ "DCCHOLD", 10, 1 },
42765		{ "DCCSIGN", 8, 2 },
42766		{ "DCCAMP", 1, 7 },
42767		{ "DCCOEN", 0, 1 },
42768	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_APPLIED", 0x331a8, 0 },
42769		{ "DCCASIGN", 7, 2 },
42770		{ "DCCAAMP", 0, 7 },
42771	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_TIME_OUT", 0x331ac, 0 },
42772	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SIGN_OVERRIDE", 0x331c0, 0 },
42773	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x331c8, 0 },
42774		{ "OS4X7", 14, 2 },
42775		{ "OS4X6", 12, 2 },
42776		{ "OS4X5", 10, 2 },
42777		{ "OS4X4", 8, 2 },
42778		{ "OS4X3", 6, 2 },
42779		{ "OS4X2", 4, 2 },
42780		{ "OS4X1", 2, 2 },
42781		{ "OS4X0", 0, 2 },
42782	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x331cc, 0 },
42783		{ "OS2X3", 6, 2 },
42784		{ "OS2X2", 4, 2 },
42785		{ "OS2X1", 2, 2 },
42786		{ "OS2X0", 0, 2 },
42787	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x331d0, 0 },
42788		{ "OS1X7", 14, 2 },
42789		{ "OS1X6", 12, 2 },
42790		{ "OS1X5", 10, 2 },
42791		{ "OS1X4", 8, 2 },
42792		{ "OS1X3", 6, 2 },
42793		{ "OS1X2", 4, 2 },
42794		{ "OS1X1", 2, 2 },
42795		{ "OS1X0", 0, 2 },
42796	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x331d8, 0 },
42797	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x331dc, 0 },
42798	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x331e0, 0 },
42799	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_5", 0x331ec, 0 },
42800		{ "ERRORP", 15, 1 },
42801		{ "ERRORN", 14, 1 },
42802		{ "TESTENA", 13, 1 },
42803		{ "TUNEBIT", 10, 3 },
42804		{ "DATAPOS", 8, 2 },
42805		{ "SEGSEL", 3, 5 },
42806		{ "TAPSEL", 1, 2 },
42807		{ "DATASIGN", 0, 1 },
42808	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_4", 0x331f0, 0 },
42809	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_3", 0x331f4, 0 },
42810	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_2", 0x331f8, 0 },
42811		{ "AECMDVAL", 14, 1 },
42812		{ "AECMD1312", 12, 2 },
42813		{ "AECMD70", 0, 8 },
42814	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_1", 0x331fc, 0 },
42815		{ "SDOVRDEN", 15, 1 },
42816		{ "BSOUTN", 7, 1 },
42817		{ "BSOUTP", 6, 1 },
42818		{ "BSIN", 5, 1 },
42819		{ "JTAGAMPL", 3, 2 },
42820		{ "JTAGTS", 2, 1 },
42821		{ "TS", 1, 1 },
42822		{ "OBS", 0, 1 },
42823	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x30000, 0 },
42824	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x30008, 0 },
42825		{ "C0PRESET", 8, 7 },
42826		{ "C0INIT1", 0, 7 },
42827	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x30010, 0 },
42828		{ "C0MAX", 8, 7 },
42829		{ "C0MIN", 0, 7 },
42830	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_INIT_EXTENDED", 0x30018, 0 },
42831		{ "C1PRESET", 8, 7 },
42832		{ "C1INIT1", 0, 7 },
42833	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x30020, 0 },
42834		{ "C1MAX", 8, 7 },
42835		{ "C1MIN", 0, 7 },
42836	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_INIT_EXTENDED", 0x30028, 0 },
42837		{ "C2PRESET", 8, 7 },
42838		{ "C2INIT1", 0, 7 },
42839	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x30030, 0 },
42840		{ "C2MAX", 8, 7 },
42841		{ "C2MIN", 0, 7 },
42842	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x30038, 0 },
42843	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x30040, 0 },
42844	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_INIT_EXTENDED", 0x30048, 0 },
42845		{ "C3PRESET", 8, 7 },
42846		{ "C3INIT1", 0, 7 },
42847	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x30050, 0 },
42848		{ "C3MAX", 8, 7 },
42849		{ "C3MIN", 0, 7 },
42850	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3005c, 0 },
42851	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x30060, 0 },
42852	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x30068, 0 },
42853	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x30070, 0 },
42854	{ "MAC_PORT_TX_LINKC_TRANSMIT_CONFIGURATION_MODE", 0x33400, 0 },
42855		{ "T5_TX_LINKEN", 15, 1 },
42856		{ "T5_TX_LINKRST", 14, 1 },
42857		{ "T5_TX_CFGWRT", 13, 1 },
42858		{ "T5_TX_CFGPTR", 11, 2 },
42859		{ "T5_TX_CFGEXT", 10, 1 },
42860		{ "T5_TX_CFGACT", 9, 1 },
42861		{ "T5_TX_RSYNCC", 8, 1 },
42862		{ "T5_TX_PLLSEL", 6, 2 },
42863		{ "T5_TX_RXLOOP", 5, 1 },
42864		{ "T5_TX_ENFFE4", 4, 1 },
42865		{ "T5_TX_BWSEL", 2, 2 },
42866		{ "T5_TX_RTSEL", 0, 2 },
42867	{ "MAC_PORT_TX_LINKC_TRANSMIT_TEST_CONTROL", 0x33404, 0 },
42868		{ "SPSEL", 11, 3 },
42869		{ "FRCERR", 10, 1 },
42870		{ "ERROR", 9, 1 },
42871		{ "SYNC", 8, 1 },
42872		{ "P7CHK", 5, 1 },
42873		{ "PRST", 4, 1 },
42874		{ "TPGMD", 3, 1 },
42875		{ "TPSEL", 0, 3 },
42876	{ "MAC_PORT_TX_LINKC_TRANSMIT_COEFFICIENT_CONTROL", 0x33408, 0 },
42877		{ "ZCALOVRD", 8, 1 },
42878		{ "SASMODE", 7, 1 },
42879		{ "AEPOL", 6, 1 },
42880		{ "AESRC", 5, 1 },
42881		{ "EQMODE", 4, 1 },
42882		{ "OCOEF", 3, 1 },
42883		{ "COEFRST", 2, 1 },
42884		{ "ALOAD", 0, 1 },
42885	{ "MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_MODE_CONTROL", 0x3340c, 0 },
42886		{ "T5DRVHIZ", 5, 1 },
42887		{ "T5SLEW", 2, 2 },
42888	{ "MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x33410, 0 },
42889		{ "T5DCCEN", 4, 1 },
42890	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x33414, 0 },
42891		{ "RSTEP", 15, 1 },
42892		{ "RLOCK", 14, 1 },
42893		{ "RPOS", 8, 6 },
42894		{ "DCLKSAM", 7, 1 },
42895	{ "MAC_PORT_TX_LINKC_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x33418, 0 },
42896		{ "CALSSTN", 8, 6 },
42897		{ "CALSSTP", 0, 6 },
42898	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3341c, 0 },
42899		{ "DRTOL", 2, 3 },
42900	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT", 0x33420, 0 },
42901	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT", 0x33424, 0 },
42902	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT", 0x33428, 0 },
42903	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_3_COEFFICIENT", 0x3342c, 0 },
42904	{ "MAC_PORT_TX_LINKC_TRANSMIT_POLARITY", 0x33434, 0 },
42905	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x33438, 0 },
42906		{ "CPREST", 13, 1 },
42907		{ "CINIT", 12, 1 },
42908		{ "SASCMD", 10, 2 },
42909		{ "C0UPDT", 6, 2 },
42910		{ "C3UPDT", 4, 2 },
42911		{ "C2UPDT", 2, 2 },
42912		{ "C1UPDT", 0, 2 },
42913	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3343c, 0 },
42914		{ "C0STAT", 6, 2 },
42915		{ "C3STAT", 4, 2 },
42916		{ "C2STAT", 2, 2 },
42917		{ "C1STAT", 0, 2 },
42918	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x33440, 0 },
42919	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x33444, 0 },
42920	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x33448, 0 },
42921	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3344c, 0 },
42922	{ "MAC_PORT_TX_LINKC_TRANSMIT_APPLIED_TUNE_REGISTER", 0x33450, 0 },
42923		{ "ATUNEN", 8, 8 },
42924		{ "ATUNEP", 0, 8 },
42925	{ "MAC_PORT_TX_LINKC_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x33458, 0 },
42926		{ "DCCCOMPINV", 8, 1 },
42927	{ "MAC_PORT_TX_LINKC_TRANSMIT_4X_SEGMENT_APPLIED", 0x33460, 0 },
42928		{ "AS4X7", 14, 2 },
42929		{ "AS4X6", 12, 2 },
42930		{ "AS4X5", 10, 2 },
42931		{ "AS4X4", 8, 2 },
42932		{ "AS4X3", 6, 2 },
42933		{ "AS4X2", 4, 2 },
42934		{ "AS4X1", 2, 2 },
42935		{ "AS4X0", 0, 2 },
42936	{ "MAC_PORT_TX_LINKC_TRANSMIT_2X_SEGMENT_APPLIED", 0x33464, 0 },
42937		{ "AS2X3", 6, 2 },
42938		{ "AS2X2", 4, 2 },
42939		{ "AS2X1", 2, 2 },
42940		{ "AS2X0", 0, 2 },
42941	{ "MAC_PORT_TX_LINKC_TRANSMIT_1X_SEGMENT_APPLIED", 0x33468, 0 },
42942		{ "AS1X7", 14, 2 },
42943		{ "AS1X6", 12, 2 },
42944		{ "AS1X5", 10, 2 },
42945		{ "AS1X4", 8, 2 },
42946		{ "AS1X3", 6, 2 },
42947		{ "AS1X2", 4, 2 },
42948		{ "AS1X1", 2, 2 },
42949		{ "AS1X0", 0, 2 },
42950	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3346c, 0 },
42951	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x33470, 0 },
42952		{ "AT2X", 8, 4 },
42953		{ "AT4X", 0, 8 },
42954	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x33474, 0 },
42955	{ "MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x33478, 0 },
42956	{ "MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3347c, 0 },
42957		{ "XADDR", 1, 5 },
42958		{ "XWR", 0, 1 },
42959	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x33480, 0 },
42960	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x33484, 0 },
42961	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x33488, 0 },
42962	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3348c, 0 },
42963	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AZ_CONTROL", 0x3349c, 0 },
42964	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_CONTROL", 0x334a0, 0 },
42965		{ "DCCTIMEDOUT", 15, 1 },
42966		{ "DCCTIMEEN", 13, 2 },
42967		{ "DCCLOCK", 11, 2 },
42968		{ "DCCOFFSET", 8, 3 },
42969		{ "DCCSTEP", 6, 2 },
42970	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_OVERRIDE", 0x334a4, 0 },
42971		{ "DCCOUT", 12, 1 },
42972		{ "DCCCLK", 11, 1 },
42973		{ "DCCHOLD", 10, 1 },
42974		{ "DCCSIGN", 8, 2 },
42975		{ "DCCAMP", 1, 7 },
42976		{ "DCCOEN", 0, 1 },
42977	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_APPLIED", 0x334a8, 0 },
42978		{ "DCCASIGN", 7, 2 },
42979		{ "DCCAAMP", 0, 7 },
42980	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_TIME_OUT", 0x334ac, 0 },
42981	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SIGN_OVERRIDE", 0x334c0, 0 },
42982	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x334c8, 0 },
42983		{ "OS4X7", 14, 2 },
42984		{ "OS4X6", 12, 2 },
42985		{ "OS4X5", 10, 2 },
42986		{ "OS4X4", 8, 2 },
42987		{ "OS4X3", 6, 2 },
42988		{ "OS4X2", 4, 2 },
42989		{ "OS4X1", 2, 2 },
42990		{ "OS4X0", 0, 2 },
42991	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x334cc, 0 },
42992		{ "OS2X3", 6, 2 },
42993		{ "OS2X2", 4, 2 },
42994		{ "OS2X1", 2, 2 },
42995		{ "OS2X0", 0, 2 },
42996	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x334d0, 0 },
42997		{ "OS1X7", 14, 2 },
42998		{ "OS1X6", 12, 2 },
42999		{ "OS1X5", 10, 2 },
43000		{ "OS1X4", 8, 2 },
43001		{ "OS1X3", 6, 2 },
43002		{ "OS1X2", 4, 2 },
43003		{ "OS1X1", 2, 2 },
43004		{ "OS1X0", 0, 2 },
43005	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x334d8, 0 },
43006	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x334dc, 0 },
43007	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x334e0, 0 },
43008	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_5", 0x334ec, 0 },
43009		{ "ERRORP", 15, 1 },
43010		{ "ERRORN", 14, 1 },
43011		{ "TESTENA", 13, 1 },
43012		{ "TUNEBIT", 10, 3 },
43013		{ "DATAPOS", 8, 2 },
43014		{ "SEGSEL", 3, 5 },
43015		{ "TAPSEL", 1, 2 },
43016		{ "DATASIGN", 0, 1 },
43017	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_4", 0x334f0, 0 },
43018	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_3", 0x334f4, 0 },
43019	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_2", 0x334f8, 0 },
43020		{ "AECMDVAL", 14, 1 },
43021		{ "AECMD1312", 12, 2 },
43022		{ "AECMD70", 0, 8 },
43023	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_1", 0x334fc, 0 },
43024		{ "SDOVRDEN", 15, 1 },
43025		{ "BSOUTN", 7, 1 },
43026		{ "BSOUTP", 6, 1 },
43027		{ "BSIN", 5, 1 },
43028		{ "JTAGAMPL", 3, 2 },
43029		{ "JTAGTS", 2, 1 },
43030		{ "TS", 1, 1 },
43031		{ "OBS", 0, 1 },
43032	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x30000, 0 },
43033	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x30008, 0 },
43034		{ "C0PRESET", 8, 7 },
43035		{ "C0INIT1", 0, 7 },
43036	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x30010, 0 },
43037		{ "C0MAX", 8, 7 },
43038		{ "C0MIN", 0, 7 },
43039	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_INIT_EXTENDED", 0x30018, 0 },
43040		{ "C1PRESET", 8, 7 },
43041		{ "C1INIT1", 0, 7 },
43042	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x30020, 0 },
43043		{ "C1MAX", 8, 7 },
43044		{ "C1MIN", 0, 7 },
43045	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_INIT_EXTENDED", 0x30028, 0 },
43046		{ "C2PRESET", 8, 7 },
43047		{ "C2INIT1", 0, 7 },
43048	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x30030, 0 },
43049		{ "C2MAX", 8, 7 },
43050		{ "C2MIN", 0, 7 },
43051	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x30038, 0 },
43052	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x30040, 0 },
43053	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_INIT_EXTENDED", 0x30048, 0 },
43054		{ "C3PRESET", 8, 7 },
43055		{ "C3INIT1", 0, 7 },
43056	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x30050, 0 },
43057		{ "C3MAX", 8, 7 },
43058		{ "C3MIN", 0, 7 },
43059	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3005c, 0 },
43060	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x30060, 0 },
43061	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x30068, 0 },
43062	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x30070, 0 },
43063	{ "MAC_PORT_TX_LINKD_TRANSMIT_CONFIGURATION_MODE", 0x33500, 0 },
43064		{ "T5_TX_LINKEN", 15, 1 },
43065		{ "T5_TX_LINKRST", 14, 1 },
43066		{ "T5_TX_CFGWRT", 13, 1 },
43067		{ "T5_TX_CFGPTR", 11, 2 },
43068		{ "T5_TX_CFGEXT", 10, 1 },
43069		{ "T5_TX_CFGACT", 9, 1 },
43070		{ "T5_TX_RSYNCC", 8, 1 },
43071		{ "T5_TX_PLLSEL", 6, 2 },
43072		{ "T5_TX_RXLOOP", 5, 1 },
43073		{ "T5_TX_ENFFE4", 4, 1 },
43074		{ "T5_TX_BWSEL", 2, 2 },
43075		{ "T5_TX_RTSEL", 0, 2 },
43076	{ "MAC_PORT_TX_LINKD_TRANSMIT_TEST_CONTROL", 0x33504, 0 },
43077		{ "SPSEL", 11, 3 },
43078		{ "FRCERR", 10, 1 },
43079		{ "ERROR", 9, 1 },
43080		{ "SYNC", 8, 1 },
43081		{ "P7CHK", 5, 1 },
43082		{ "PRST", 4, 1 },
43083		{ "TPGMD", 3, 1 },
43084		{ "TPSEL", 0, 3 },
43085	{ "MAC_PORT_TX_LINKD_TRANSMIT_COEFFICIENT_CONTROL", 0x33508, 0 },
43086		{ "ZCALOVRD", 8, 1 },
43087		{ "SASMODE", 7, 1 },
43088		{ "AEPOL", 6, 1 },
43089		{ "AESRC", 5, 1 },
43090		{ "EQMODE", 4, 1 },
43091		{ "OCOEF", 3, 1 },
43092		{ "COEFRST", 2, 1 },
43093		{ "ALOAD", 0, 1 },
43094	{ "MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_MODE_CONTROL", 0x3350c, 0 },
43095		{ "T5DRVHIZ", 5, 1 },
43096		{ "T5SLEW", 2, 2 },
43097	{ "MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x33510, 0 },
43098		{ "T5DCCEN", 4, 1 },
43099	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x33514, 0 },
43100		{ "RSTEP", 15, 1 },
43101		{ "RLOCK", 14, 1 },
43102		{ "RPOS", 8, 6 },
43103		{ "DCLKSAM", 7, 1 },
43104	{ "MAC_PORT_TX_LINKD_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x33518, 0 },
43105		{ "CALSSTN", 8, 6 },
43106		{ "CALSSTP", 0, 6 },
43107	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3351c, 0 },
43108		{ "DRTOL", 2, 3 },
43109	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT", 0x33520, 0 },
43110	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT", 0x33524, 0 },
43111	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT", 0x33528, 0 },
43112	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_3_COEFFICIENT", 0x3352c, 0 },
43113	{ "MAC_PORT_TX_LINKD_TRANSMIT_POLARITY", 0x33534, 0 },
43114	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x33538, 0 },
43115		{ "CPREST", 13, 1 },
43116		{ "CINIT", 12, 1 },
43117		{ "SASCMD", 10, 2 },
43118		{ "C0UPDT", 6, 2 },
43119		{ "C3UPDT", 4, 2 },
43120		{ "C2UPDT", 2, 2 },
43121		{ "C1UPDT", 0, 2 },
43122	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3353c, 0 },
43123		{ "C0STAT", 6, 2 },
43124		{ "C3STAT", 4, 2 },
43125		{ "C2STAT", 2, 2 },
43126		{ "C1STAT", 0, 2 },
43127	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x33540, 0 },
43128	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x33544, 0 },
43129	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x33548, 0 },
43130	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3354c, 0 },
43131	{ "MAC_PORT_TX_LINKD_TRANSMIT_APPLIED_TUNE_REGISTER", 0x33550, 0 },
43132		{ "ATUNEN", 8, 8 },
43133		{ "ATUNEP", 0, 8 },
43134	{ "MAC_PORT_TX_LINKD_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x33558, 0 },
43135		{ "DCCCOMPINV", 8, 1 },
43136	{ "MAC_PORT_TX_LINKD_TRANSMIT_4X_SEGMENT_APPLIED", 0x33560, 0 },
43137		{ "AS4X7", 14, 2 },
43138		{ "AS4X6", 12, 2 },
43139		{ "AS4X5", 10, 2 },
43140		{ "AS4X4", 8, 2 },
43141		{ "AS4X3", 6, 2 },
43142		{ "AS4X2", 4, 2 },
43143		{ "AS4X1", 2, 2 },
43144		{ "AS4X0", 0, 2 },
43145	{ "MAC_PORT_TX_LINKD_TRANSMIT_2X_SEGMENT_APPLIED", 0x33564, 0 },
43146		{ "AS2X3", 6, 2 },
43147		{ "AS2X2", 4, 2 },
43148		{ "AS2X1", 2, 2 },
43149		{ "AS2X0", 0, 2 },
43150	{ "MAC_PORT_TX_LINKD_TRANSMIT_1X_SEGMENT_APPLIED", 0x33568, 0 },
43151		{ "AS1X7", 14, 2 },
43152		{ "AS1X6", 12, 2 },
43153		{ "AS1X5", 10, 2 },
43154		{ "AS1X4", 8, 2 },
43155		{ "AS1X3", 6, 2 },
43156		{ "AS1X2", 4, 2 },
43157		{ "AS1X1", 2, 2 },
43158		{ "AS1X0", 0, 2 },
43159	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3356c, 0 },
43160	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x33570, 0 },
43161		{ "AT2X", 8, 4 },
43162		{ "AT4X", 0, 8 },
43163	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x33574, 0 },
43164	{ "MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x33578, 0 },
43165	{ "MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3357c, 0 },
43166		{ "XADDR", 1, 5 },
43167		{ "XWR", 0, 1 },
43168	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x33580, 0 },
43169	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x33584, 0 },
43170	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x33588, 0 },
43171	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3358c, 0 },
43172	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AZ_CONTROL", 0x3359c, 0 },
43173	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_CONTROL", 0x335a0, 0 },
43174		{ "DCCTIMEDOUT", 15, 1 },
43175		{ "DCCTIMEEN", 13, 2 },
43176		{ "DCCLOCK", 11, 2 },
43177		{ "DCCOFFSET", 8, 3 },
43178		{ "DCCSTEP", 6, 2 },
43179	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_OVERRIDE", 0x335a4, 0 },
43180		{ "DCCOUT", 12, 1 },
43181		{ "DCCCLK", 11, 1 },
43182		{ "DCCHOLD", 10, 1 },
43183		{ "DCCSIGN", 8, 2 },
43184		{ "DCCAMP", 1, 7 },
43185		{ "DCCOEN", 0, 1 },
43186	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_APPLIED", 0x335a8, 0 },
43187		{ "DCCASIGN", 7, 2 },
43188		{ "DCCAAMP", 0, 7 },
43189	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_TIME_OUT", 0x335ac, 0 },
43190	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SIGN_OVERRIDE", 0x335c0, 0 },
43191	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x335c8, 0 },
43192		{ "OS4X7", 14, 2 },
43193		{ "OS4X6", 12, 2 },
43194		{ "OS4X5", 10, 2 },
43195		{ "OS4X4", 8, 2 },
43196		{ "OS4X3", 6, 2 },
43197		{ "OS4X2", 4, 2 },
43198		{ "OS4X1", 2, 2 },
43199		{ "OS4X0", 0, 2 },
43200	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x335cc, 0 },
43201		{ "OS2X3", 6, 2 },
43202		{ "OS2X2", 4, 2 },
43203		{ "OS2X1", 2, 2 },
43204		{ "OS2X0", 0, 2 },
43205	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x335d0, 0 },
43206		{ "OS1X7", 14, 2 },
43207		{ "OS1X6", 12, 2 },
43208		{ "OS1X5", 10, 2 },
43209		{ "OS1X4", 8, 2 },
43210		{ "OS1X3", 6, 2 },
43211		{ "OS1X2", 4, 2 },
43212		{ "OS1X1", 2, 2 },
43213		{ "OS1X0", 0, 2 },
43214	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x335d8, 0 },
43215	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x335dc, 0 },
43216	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x335e0, 0 },
43217	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_5", 0x335ec, 0 },
43218		{ "ERRORP", 15, 1 },
43219		{ "ERRORN", 14, 1 },
43220		{ "TESTENA", 13, 1 },
43221		{ "TUNEBIT", 10, 3 },
43222		{ "DATAPOS", 8, 2 },
43223		{ "SEGSEL", 3, 5 },
43224		{ "TAPSEL", 1, 2 },
43225		{ "DATASIGN", 0, 1 },
43226	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_4", 0x335f0, 0 },
43227	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_3", 0x335f4, 0 },
43228	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_2", 0x335f8, 0 },
43229		{ "AECMDVAL", 14, 1 },
43230		{ "AECMD1312", 12, 2 },
43231		{ "AECMD70", 0, 8 },
43232	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_1", 0x335fc, 0 },
43233		{ "SDOVRDEN", 15, 1 },
43234		{ "BSOUTN", 7, 1 },
43235		{ "BSOUTP", 6, 1 },
43236		{ "BSIN", 5, 1 },
43237		{ "JTAGAMPL", 3, 2 },
43238		{ "JTAGTS", 2, 1 },
43239		{ "TS", 1, 1 },
43240		{ "OBS", 0, 1 },
43241	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x30000, 0 },
43242	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x30008, 0 },
43243		{ "C0PRESET", 8, 7 },
43244		{ "C0INIT1", 0, 7 },
43245	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x30010, 0 },
43246		{ "C0MAX", 8, 7 },
43247		{ "C0MIN", 0, 7 },
43248	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_INIT_EXTENDED", 0x30018, 0 },
43249		{ "C1PRESET", 8, 7 },
43250		{ "C1INIT1", 0, 7 },
43251	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x30020, 0 },
43252		{ "C1MAX", 8, 7 },
43253		{ "C1MIN", 0, 7 },
43254	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_INIT_EXTENDED", 0x30028, 0 },
43255		{ "C2PRESET", 8, 7 },
43256		{ "C2INIT1", 0, 7 },
43257	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x30030, 0 },
43258		{ "C2MAX", 8, 7 },
43259		{ "C2MIN", 0, 7 },
43260	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x30038, 0 },
43261	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x30040, 0 },
43262	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_INIT_EXTENDED", 0x30048, 0 },
43263		{ "C3PRESET", 8, 7 },
43264		{ "C3INIT1", 0, 7 },
43265	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x30050, 0 },
43266		{ "C3MAX", 8, 7 },
43267		{ "C3MIN", 0, 7 },
43268	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3005c, 0 },
43269	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x30060, 0 },
43270	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x30068, 0 },
43271	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x30070, 0 },
43272	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_CONFIGURATION_MODE", 0x33900, 0 },
43273		{ "T5_TX_LINKEN", 15, 1 },
43274		{ "T5_TX_LINKRST", 14, 1 },
43275		{ "T5_TX_CFGWRT", 13, 1 },
43276		{ "T5_TX_CFGPTR", 11, 2 },
43277		{ "T5_TX_CFGEXT", 10, 1 },
43278		{ "T5_TX_CFGACT", 9, 1 },
43279		{ "T5_TX_RSYNCC", 8, 1 },
43280		{ "T5_TX_PLLSEL", 6, 2 },
43281		{ "T5_TX_RXLOOP", 5, 1 },
43282		{ "T5_TX_ENFFE4", 4, 1 },
43283		{ "T5_TX_BWSEL", 2, 2 },
43284		{ "T5_TX_RTSEL", 0, 2 },
43285	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TEST_CONTROL", 0x33904, 0 },
43286		{ "SPSEL", 11, 3 },
43287		{ "FRCERR", 10, 1 },
43288		{ "ERROR", 9, 1 },
43289		{ "SYNC", 8, 1 },
43290		{ "P7CHK", 5, 1 },
43291		{ "PRST", 4, 1 },
43292		{ "TPGMD", 3, 1 },
43293		{ "TPSEL", 0, 3 },
43294	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_COEFFICIENT_CONTROL", 0x33908, 0 },
43295		{ "ZCALOVRD", 8, 1 },
43296		{ "SASMODE", 7, 1 },
43297		{ "AEPOL", 6, 1 },
43298		{ "AESRC", 5, 1 },
43299		{ "EQMODE", 4, 1 },
43300		{ "OCOEF", 3, 1 },
43301		{ "COEFRST", 2, 1 },
43302		{ "ALOAD", 0, 1 },
43303	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_MODE_CONTROL", 0x3390c, 0 },
43304		{ "T5DRVHIZ", 5, 1 },
43305		{ "T5SLEW", 2, 2 },
43306	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x33910, 0 },
43307		{ "T5DCCEN", 4, 1 },
43308	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x33914, 0 },
43309		{ "RSTEP", 15, 1 },
43310		{ "RLOCK", 14, 1 },
43311		{ "RPOS", 8, 6 },
43312		{ "DCLKSAM", 7, 1 },
43313	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x33918, 0 },
43314		{ "CALSSTN", 8, 6 },
43315		{ "CALSSTP", 0, 6 },
43316	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3391c, 0 },
43317		{ "DRTOL", 2, 3 },
43318	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT", 0x33920, 0 },
43319	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT", 0x33924, 0 },
43320	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT", 0x33928, 0 },
43321	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_3_COEFFICIENT", 0x3392c, 0 },
43322	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_POLARITY", 0x33934, 0 },
43323	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x33938, 0 },
43324		{ "CPREST", 13, 1 },
43325		{ "CINIT", 12, 1 },
43326		{ "SASCMD", 10, 2 },
43327		{ "C0UPDT", 6, 2 },
43328		{ "C3UPDT", 4, 2 },
43329		{ "C2UPDT", 2, 2 },
43330		{ "C1UPDT", 0, 2 },
43331	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3393c, 0 },
43332		{ "C0STAT", 6, 2 },
43333		{ "C3STAT", 4, 2 },
43334		{ "C2STAT", 2, 2 },
43335		{ "C1STAT", 0, 2 },
43336	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x33940, 0 },
43337	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x33944, 0 },
43338	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x33948, 0 },
43339	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3394c, 0 },
43340	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_APPLIED_TUNE_REGISTER", 0x33950, 0 },
43341		{ "ATUNEN", 8, 8 },
43342		{ "ATUNEP", 0, 8 },
43343	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x33958, 0 },
43344		{ "DCCCOMPINV", 8, 1 },
43345	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_4X_SEGMENT_APPLIED", 0x33960, 0 },
43346		{ "AS4X7", 14, 2 },
43347		{ "AS4X6", 12, 2 },
43348		{ "AS4X5", 10, 2 },
43349		{ "AS4X4", 8, 2 },
43350		{ "AS4X3", 6, 2 },
43351		{ "AS4X2", 4, 2 },
43352		{ "AS4X1", 2, 2 },
43353		{ "AS4X0", 0, 2 },
43354	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_2X_SEGMENT_APPLIED", 0x33964, 0 },
43355		{ "AS2X3", 6, 2 },
43356		{ "AS2X2", 4, 2 },
43357		{ "AS2X1", 2, 2 },
43358		{ "AS2X0", 0, 2 },
43359	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_1X_SEGMENT_APPLIED", 0x33968, 0 },
43360		{ "AS1X7", 14, 2 },
43361		{ "AS1X6", 12, 2 },
43362		{ "AS1X5", 10, 2 },
43363		{ "AS1X4", 8, 2 },
43364		{ "AS1X3", 6, 2 },
43365		{ "AS1X2", 4, 2 },
43366		{ "AS1X1", 2, 2 },
43367		{ "AS1X0", 0, 2 },
43368	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3396c, 0 },
43369	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x33970, 0 },
43370		{ "AT2X", 8, 4 },
43371		{ "AT4X", 0, 8 },
43372	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x33974, 0 },
43373	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x33978, 0 },
43374	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3397c, 0 },
43375		{ "XADDR", 1, 5 },
43376		{ "XWR", 0, 1 },
43377	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x33980, 0 },
43378	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x33984, 0 },
43379	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x33988, 0 },
43380	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3398c, 0 },
43381	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AZ_CONTROL", 0x3399c, 0 },
43382	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_CONTROL", 0x339a0, 0 },
43383		{ "DCCTIMEDOUT", 15, 1 },
43384		{ "DCCTIMEEN", 13, 2 },
43385		{ "DCCLOCK", 11, 2 },
43386		{ "DCCOFFSET", 8, 3 },
43387		{ "DCCSTEP", 6, 2 },
43388	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_OVERRIDE", 0x339a4, 0 },
43389		{ "DCCOUT", 12, 1 },
43390		{ "DCCCLK", 11, 1 },
43391		{ "DCCHOLD", 10, 1 },
43392		{ "DCCSIGN", 8, 2 },
43393		{ "DCCAMP", 1, 7 },
43394		{ "DCCOEN", 0, 1 },
43395	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_APPLIED", 0x339a8, 0 },
43396		{ "DCCASIGN", 7, 2 },
43397		{ "DCCAAMP", 0, 7 },
43398	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_TIME_OUT", 0x339ac, 0 },
43399	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SIGN_OVERRIDE", 0x339c0, 0 },
43400	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x339c8, 0 },
43401		{ "OS4X7", 14, 2 },
43402		{ "OS4X6", 12, 2 },
43403		{ "OS4X5", 10, 2 },
43404		{ "OS4X4", 8, 2 },
43405		{ "OS4X3", 6, 2 },
43406		{ "OS4X2", 4, 2 },
43407		{ "OS4X1", 2, 2 },
43408		{ "OS4X0", 0, 2 },
43409	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x339cc, 0 },
43410		{ "OS2X3", 6, 2 },
43411		{ "OS2X2", 4, 2 },
43412		{ "OS2X1", 2, 2 },
43413		{ "OS2X0", 0, 2 },
43414	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x339d0, 0 },
43415		{ "OS1X7", 14, 2 },
43416		{ "OS1X6", 12, 2 },
43417		{ "OS1X5", 10, 2 },
43418		{ "OS1X4", 8, 2 },
43419		{ "OS1X3", 6, 2 },
43420		{ "OS1X2", 4, 2 },
43421		{ "OS1X1", 2, 2 },
43422		{ "OS1X0", 0, 2 },
43423	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x339d8, 0 },
43424	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x339dc, 0 },
43425	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x339e0, 0 },
43426	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_5", 0x339ec, 0 },
43427		{ "ERRORP", 15, 1 },
43428		{ "ERRORN", 14, 1 },
43429		{ "TESTENA", 13, 1 },
43430		{ "TUNEBIT", 10, 3 },
43431		{ "DATAPOS", 8, 2 },
43432		{ "SEGSEL", 3, 5 },
43433		{ "TAPSEL", 1, 2 },
43434		{ "DATASIGN", 0, 1 },
43435	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_4", 0x339f0, 0 },
43436	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_3", 0x339f4, 0 },
43437	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_2", 0x339f8, 0 },
43438		{ "AECMDVAL", 14, 1 },
43439		{ "AECMD1312", 12, 2 },
43440		{ "AECMD70", 0, 8 },
43441	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_1", 0x339fc, 0 },
43442		{ "SDOVRDEN", 15, 1 },
43443		{ "BSOUTN", 7, 1 },
43444		{ "BSOUTP", 6, 1 },
43445		{ "BSIN", 5, 1 },
43446		{ "JTAGAMPL", 3, 2 },
43447		{ "JTAGTS", 2, 1 },
43448		{ "TS", 1, 1 },
43449		{ "OBS", 0, 1 },
43450	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x30000, 0 },
43451	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x30008, 0 },
43452		{ "C0PRESET", 8, 7 },
43453		{ "C0INIT1", 0, 7 },
43454	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x30010, 0 },
43455		{ "C0MAX", 8, 7 },
43456		{ "C0MIN", 0, 7 },
43457	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_INIT_EXTENDED", 0x30018, 0 },
43458		{ "C1PRESET", 8, 7 },
43459		{ "C1INIT1", 0, 7 },
43460	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x30020, 0 },
43461		{ "C1MAX", 8, 7 },
43462		{ "C1MIN", 0, 7 },
43463	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_INIT_EXTENDED", 0x30028, 0 },
43464		{ "C2PRESET", 8, 7 },
43465		{ "C2INIT1", 0, 7 },
43466	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x30030, 0 },
43467		{ "C2MAX", 8, 7 },
43468		{ "C2MIN", 0, 7 },
43469	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x30038, 0 },
43470	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x30040, 0 },
43471	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_INIT_EXTENDED", 0x30048, 0 },
43472		{ "C3PRESET", 8, 7 },
43473		{ "C3INIT1", 0, 7 },
43474	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x30050, 0 },
43475		{ "C3MAX", 8, 7 },
43476		{ "C3MIN", 0, 7 },
43477	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3005c, 0 },
43478	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x30060, 0 },
43479	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x30068, 0 },
43480	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x30070, 0 },
43481	{ "MAC_PORT_RX_LINKA_RECEIVER_CONFIGURATION_MODE", 0x33200, 0 },
43482		{ "T5_RX_LINKEN", 15, 1 },
43483		{ "T5_RX_LINKRST", 14, 1 },
43484		{ "T5_RX_CFGWRT", 13, 1 },
43485		{ "T5_RX_CFGPTR", 11, 2 },
43486		{ "T5_RX_CFGEXT", 10, 1 },
43487		{ "T5_RX_CFGACT", 9, 1 },
43488		{ "T5_RX_MODE8023AZ", 8, 1 },
43489		{ "T5_RX_PLLSEL", 6, 2 },
43490		{ "T5_RX_DMSEL", 4, 2 },
43491		{ "T5_RX_BWSEL", 2, 2 },
43492		{ "T5_RX_RTSEL", 0, 2 },
43493	{ "MAC_PORT_RX_LINKA_RECEIVER_TEST_CONTROL", 0x33204, 0 },
43494		{ "APLYDCD", 15, 1 },
43495		{ "PPOL", 13, 2 },
43496		{ "PCLKSEL", 11, 2 },
43497		{ "FERRST", 10, 1 },
43498		{ "ERRST", 9, 1 },
43499		{ "SYNCST", 8, 1 },
43500		{ "WRPSM", 7, 1 },
43501		{ "WPLPEN", 6, 1 },
43502		{ "WRPMD", 5, 1 },
43503		{ "PRST", 4, 1 },
43504		{ "PCHKEN", 3, 1 },
43505		{ "PATSEL", 0, 3 },
43506	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_CONTROL", 0x33208, 0 },
43507		{ "FTHROT", 12, 4 },
43508		{ "RTHROT", 11, 1 },
43509		{ "FILTCTL", 7, 4 },
43510		{ "RSRVO", 5, 2 },
43511		{ "EXTEL", 4, 1 },
43512		{ "RSTUCK", 3, 1 },
43513		{ "FRZFW", 2, 1 },
43514		{ "RSTFW", 1, 1 },
43515		{ "SSCEN", 0, 1 },
43516	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_OFFSET_CONTROL", 0x3320c, 0 },
43517		{ "H1ANOFST", 12, 4 },
43518		{ "RSNP", 11, 1 },
43519		{ "TSOEN", 10, 1 },
43520		{ "TMSCAL", 8, 2 },
43521		{ "APADJ", 7, 1 },
43522		{ "RSEL", 6, 1 },
43523		{ "PHOFFS", 0, 6 },
43524	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_1", 0x33210, 0 },
43525		{ "ROTA", 8, 6 },
43526		{ "ROTD", 0, 6 },
43527	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_2", 0x33214, 0 },
43528		{ "FREQFW", 8, 8 },
43529		{ "FWSNAP", 7, 1 },
43530		{ "ROTE", 0, 6 },
43531	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x33218, 0 },
43532		{ "RCALER", 15, 1 },
43533		{ "RAOFFF", 8, 4 },
43534		{ "RAOFF", 0, 5 },
43535	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3321c, 0 },
43536		{ "RCALER", 15, 1 },
43537		{ "RDOFF", 0, 5 },
43538	{ "MAC_PORT_RX_LINKA_DFE_CONTROL", 0x33220, 0 },
43539		{ "REQCMP", 15, 1 },
43540		{ "DFEREQ", 14, 1 },
43541		{ "SPCEN", 13, 1 },
43542		{ "GATEEN", 12, 1 },
43543		{ "SPIFMT", 8, 4 },
43544		{ "STNDBY", 5, 1 },
43545		{ "FRCH", 4, 1 },
43546		{ "NONRND", 3, 1 },
43547		{ "NONRNF", 2, 1 },
43548		{ "FSTLCK", 1, 1 },
43549		{ "DFERST", 0, 1 },
43550	{ "MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_1", 0x33224, 0 },
43551		{ "T5BYTE1", 8, 8 },
43552		{ "T5BYTE0", 0, 8 },
43553	{ "MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_2", 0x33228, 0 },
43554		{ "REQWOV", 15, 1 },
43555		{ "RASEL", 11, 3 },
43556		{ "T5_RX_SMODE", 8, 3 },
43557		{ "T5_RX_ADCORR", 7, 1 },
43558		{ "T5_RX_TRAINEN", 6, 1 },
43559		{ "T5_RX_ASAMPQ", 3, 3 },
43560		{ "T5_RX_ASAMP", 0, 3 },
43561	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_1", 0x3322c, 0 },
43562		{ "WRAPSEL", 15, 1 },
43563		{ "ACTL", 14, 1 },
43564		{ "PEAK", 9, 5 },
43565		{ "VOFFA", 0, 6 },
43566	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_2", 0x33230, 0 },
43567		{ "FVOFFSKP", 15, 1 },
43568		{ "FGAINCHK", 14, 1 },
43569		{ "FH1ACAL", 13, 1 },
43570		{ "FH1AFLTR", 11, 2 },
43571		{ "T5SHORTV", 10, 1 },
43572		{ "WGAIN", 8, 2 },
43573		{ "GAIN_STAT", 7, 1 },
43574		{ "T5VGAIN", 0, 7 },
43575	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_3", 0x33234, 0 },
43576		{ "HBND1", 10, 1 },
43577		{ "HBND0", 9, 1 },
43578		{ "VLCKD", 8, 1 },
43579		{ "VLCKDF", 7, 1 },
43580		{ "AMAXT", 0, 7 },
43581	{ "MAC_PORT_RX_LINKA_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x33238, 0 },
43582		{ "PMCFG", 6, 2 },
43583		{ "PMOFFTIME", 0, 6 },
43584	{ "MAC_PORT_RX_LINKA_RECEIVER_IQAMP_CONTROL_1", 0x3323c, 0 },
43585		{ "SELI", 9, 1 },
43586		{ "SERVREF", 5, 3 },
43587		{ "IQAMP", 0, 5 },
43588	{ "MAC_PORT_RX_LINKA_RECEIVER_IQAMP_CONTROL_2", 0x33240, 0 },
43589	{ "MAC_PORT_RX_LINKA_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x33244, 0 },
43590		{ "SAVEADAC", 8, 1 },
43591		{ "LOAD2", 7, 1 },
43592		{ "LOAD1", 6, 1 },
43593		{ "WRTACC2", 5, 1 },
43594		{ "WRTACC1", 4, 1 },
43595		{ "SELAPAN", 3, 1 },
43596		{ "DASEL", 0, 3 },
43597	{ "MAC_PORT_RX_LINKA_RECEIVER_DACAP_AND_DACAN", 0x33248, 0 },
43598		{ "DACAN", 8, 8 },
43599		{ "DACAP", 0, 8 },
43600	{ "MAC_PORT_RX_LINKA_RECEIVER_DACA_MIN", 0x3324c, 0 },
43601		{ "DACAZ", 8, 8 },
43602		{ "DACAM", 0, 8 },
43603	{ "MAC_PORT_RX_LINKA_RECEIVER_ADAC_CONTROL", 0x33250, 0 },
43604		{ "ADAC2", 8, 8 },
43605		{ "ADAC1", 0, 8 },
43606	{ "MAC_PORT_RX_LINKA_RECEIVER_AC_COUPLING_CONTROL", 0x33254, 0 },
43607		{ "FACCPLDYN", 13, 1 },
43608		{ "ACCPLGAIN", 10, 3 },
43609		{ "ACCPLREF", 8, 2 },
43610		{ "ACCPLSTEP", 6, 2 },
43611		{ "ACCPLASTEP", 1, 5 },
43612		{ "FACCPL", 0, 1 },
43613	{ "MAC_PORT_RX_LINKA_RECEIVER_AC_COUPLING_VALUE", 0x33258, 0 },
43614		{ "ACCPLMEANS", 15, 1 },
43615		{ "CDROVREN", 8, 1 },
43616		{ "ACCPLBIAS", 0, 8 },
43617	{ "MAC_PORT_RX_LINKA_DFE_H1H2H3_LOCAL_OFFSET", 0x3325c, 0 },
43618	{ "MAC_PORT_RX_LINKA_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x33260, 0 },
43619		{ "H1OX", 8, 6 },
43620		{ "H1EX", 0, 6 },
43621	{ "MAC_PORT_RX_LINKA_PEAKED_INTEGRATOR", 0x33264, 0 },
43622		{ "PILOCK", 10, 1 },
43623		{ "UNPKPKA", 2, 6 },
43624		{ "UNPKVGA", 0, 2 },
43625	{ "MAC_PORT_RX_LINKA_CDR_ANALOG_SWITCH", 0x33268, 0 },
43626		{ "OVRAC", 15, 1 },
43627		{ "OVRPK", 14, 1 },
43628		{ "OVRTAILS", 12, 2 },
43629		{ "OVRTAILV", 9, 3 },
43630		{ "OVRCAP", 8, 1 },
43631		{ "OVRDCDPRE", 7, 1 },
43632		{ "OVRDCDPST", 6, 1 },
43633		{ "DCVSCTMODE", 2, 1 },
43634		{ "CDRANLGSW", 0, 2 },
43635	{ "MAC_PORT_RX_LINKA_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x3326c, 0 },
43636		{ "PFLAG", 5, 2 },
43637	{ "MAC_PORT_RX_LINKA_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x33270, 0 },
43638		{ "DACCLIP", 15, 1 },
43639		{ "DPCFRZ", 14, 1 },
43640		{ "DPCCVG", 13, 1 },
43641		{ "DACCVG", 12, 1 },
43642		{ "DPCLKNQ", 11, 1 },
43643		{ "DPCWDFE", 10, 1 },
43644		{ "DPCWPK", 9, 1 },
43645		{ "BLKH1T", 8, 1 },
43646		{ "BLKOAE", 7, 1 },
43647		{ "H1TGT", 4, 3 },
43648		{ "OAE", 0, 4 },
43649	{ "MAC_PORT_RX_LINKA_DYNAMIC_DATA_CENTERING_DDC", 0x33274, 0 },
43650		{ "OLS", 11, 5 },
43651		{ "OES", 6, 5 },
43652		{ "BLKODEC", 5, 1 },
43653		{ "VIEWSCAN", 4, 1 },
43654		{ "ODEC", 0, 4 },
43655	{ "MAC_PORT_RX_LINKA_RECEIVER_INTERNAL_STATUS", 0x33278, 0 },
43656		{ "T5BER6VAL", 15, 1 },
43657		{ "T5BER6", 14, 1 },
43658		{ "T5BER3VAL", 13, 1 },
43659		{ "T5TOOFAST", 12, 1 },
43660		{ "ACCCMP", 11, 1 },
43661		{ "DCCCMP", 10, 1 },
43662		{ "T5DPCCMP", 9, 1 },
43663		{ "T5DACCMP", 8, 1 },
43664		{ "T5DDCCMP", 7, 1 },
43665		{ "T5AERRFLG", 6, 1 },
43666		{ "T5WERRFLG", 5, 1 },
43667		{ "T5TRCMP", 4, 1 },
43668		{ "T5VLCKF", 3, 1 },
43669		{ "T5ROCCMP", 2, 1 },
43670		{ "T5IQCMP", 1, 1 },
43671		{ "T5OCCMP", 0, 1 },
43672	{ "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_1", 0x3327c, 0 },
43673		{ "FDPC", 15, 1 },
43674		{ "FDAC", 14, 1 },
43675		{ "FDDC", 13, 1 },
43676		{ "FNRND", 12, 1 },
43677		{ "FVGAIN", 11, 1 },
43678		{ "FVOFF", 10, 1 },
43679		{ "FSDET", 9, 1 },
43680		{ "FBER6", 8, 1 },
43681		{ "FROTO", 7, 1 },
43682		{ "FH4H5", 6, 1 },
43683		{ "FH2H3", 5, 1 },
43684		{ "FH1", 4, 1 },
43685		{ "FH1SN", 3, 1 },
43686		{ "FNRDF", 2, 1 },
43687		{ "FLOFF", 1, 1 },
43688		{ "FADAC", 0, 1 },
43689	{ "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_2", 0x33280, 0 },
43690		{ "H25SPC", 15, 1 },
43691		{ "FDCCAL", 14, 1 },
43692		{ "FROTCAL", 13, 1 },
43693		{ "FIQAMP", 12, 1 },
43694		{ "FRPTCALF", 11, 1 },
43695		{ "FINTCALGS", 10, 1 },
43696		{ "FDCC", 9, 1 },
43697		{ "FTOOFAST", 8, 1 },
43698		{ "FDCD", 7, 1 },
43699		{ "FDINV", 6, 1 },
43700		{ "FHGS", 5, 1 },
43701		{ "FH6H12", 4, 1 },
43702		{ "FH1CAL", 3, 1 },
43703		{ "FINTCAL", 2, 1 },
43704		{ "FINTRCALDYN", 1, 1 },
43705		{ "FQCC", 0, 1 },
43706	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_CHANNEL", 0x33284, 0 },
43707		{ "QCCIND", 13, 1 },
43708		{ "DCDIND", 10, 3 },
43709		{ "DCCIND", 8, 2 },
43710		{ "CFSEL", 5, 1 },
43711		{ "LOFCH", 0, 5 },
43712	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_VALUE", 0x33288, 0 },
43713		{ "LOFU", 8, 7 },
43714		{ "LOFL", 0, 7 },
43715	{ "MAC_PORT_RX_LINKA_H_COEFFICIENBT_BIST", 0x3328c, 0 },
43716		{ "HBISTMAN", 12, 1 },
43717		{ "HBISTRES", 11, 1 },
43718		{ "HBISTSP", 8, 3 },
43719		{ "HBISTEN", 7, 1 },
43720		{ "HBISTRST", 6, 1 },
43721		{ "HCOMP", 5, 1 },
43722		{ "HPASS", 4, 1 },
43723		{ "HSEL", 0, 4 },
43724	{ "MAC_PORT_RX_LINKA_AC_CAPACITOR_BIST", 0x33290, 0 },
43725		{ "ACCCMP", 13, 1 },
43726		{ "ACCEN", 12, 1 },
43727		{ "ACCRST", 11, 1 },
43728		{ "ACCIND", 8, 3 },
43729		{ "ACCRD", 0, 8 },
43730	{ "MAC_PORT_RX_LINKA_RECEIVER_LOFF_CONTROL_REGISTER", 0x33298, 0 },
43731		{ "LFREG", 15, 1 },
43732		{ "LFRC", 14, 1 },
43733		{ "LGIDLE", 13, 1 },
43734		{ "LFTGT", 8, 5 },
43735		{ "LGTGT", 7, 1 },
43736		{ "LRDY", 6, 1 },
43737		{ "LIDLE", 5, 1 },
43738		{ "LCURR", 0, 5 },
43739	{ "MAC_PORT_RX_LINKA_RECEIVER_SIGDET_CONTROL", 0x3329c, 0 },
43740		{ "OFFSN", 13, 2 },
43741		{ "OFFAMP", 8, 5 },
43742		{ "SDACDC", 7, 1 },
43743		{ "SDPDN", 6, 1 },
43744		{ "SIGDET", 5, 1 },
43745		{ "SDLVL", 0, 5 },
43746	{ "MAC_PORT_RX_LINKA_RECEIVER_ANALOG_CONTROL_SWITCH", 0x332a0, 0 },
43747		{ "RX_OVRSUMPD", 15, 1 },
43748		{ "RX_OVRKBPD", 14, 1 },
43749		{ "RX_OVRDIVPD", 13, 1 },
43750		{ "RX_OFFVGADIS", 12, 1 },
43751		{ "RX_OFFACDIS", 11, 1 },
43752		{ "RX_VTERM", 10, 1 },
43753		{ "RX_DISSPY2D", 8, 1 },
43754		{ "RX_OBSOVEN", 7, 1 },
43755		{ "RX_LINKANLGSW", 0, 7 },
43756	{ "MAC_PORT_RX_LINKA_INTEGRATOR_DAC_OFFSET", 0x332a4, 0 },
43757		{ "INTDACEGS", 13, 3 },
43758		{ "INTDACE", 8, 5 },
43759		{ "INTDACGS", 6, 2 },
43760		{ "INTDAC", 0, 6 },
43761	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_CONTROL", 0x332a8, 0 },
43762		{ "BLKAZ", 15, 1 },
43763		{ "WIDTH", 10, 5 },
43764		{ "MINWDTH", 5, 5 },
43765		{ "MINAMP", 0, 5 },
43766	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS", 0x332ac, 0 },
43767		{ "SMQM", 13, 3 },
43768		{ "SMQ", 5, 8 },
43769		{ "EMMD", 3, 2 },
43770		{ "EMBRDY", 2, 1 },
43771		{ "EMBUMP", 1, 1 },
43772		{ "EMEN", 0, 1 },
43773	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x332b0, 0 },
43774		{ "EMSF", 13, 1 },
43775		{ "EMDATA59", 12, 1 },
43776		{ "EMCNT", 4, 8 },
43777		{ "EMOFLO", 2, 1 },
43778		{ "EMCRST", 1, 1 },
43779		{ "EMCEN", 0, 1 },
43780	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x332b4, 0 },
43781		{ "SM2RDY", 15, 1 },
43782		{ "SM2RST", 14, 1 },
43783		{ "APDF", 0, 12 },
43784	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x332b8, 0 },
43785	{ "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_3", 0x332bc, 0 },
43786		{ "FTIMEOUT", 15, 1 },
43787		{ "FROTCAL4", 14, 1 },
43788		{ "FDCD2", 13, 1 },
43789		{ "FPRBSPOLTOG", 12, 1 },
43790		{ "FPRBSOFF2", 11, 1 },
43791		{ "FDDCAL2", 10, 1 },
43792		{ "FDDCFLTR", 9, 1 },
43793		{ "FDAC6", 8, 1 },
43794		{ "FDDC5", 7, 1 },
43795		{ "FDDC3456", 6, 1 },
43796		{ "FSPY2DATA", 5, 1 },
43797		{ "FPHSLOCK", 4, 1 },
43798		{ "FCLKALGN", 3, 1 },
43799		{ "FCLKALDYN", 2, 1 },
43800		{ "FDFE", 1, 1 },
43801		{ "FPRBSOFF", 0, 1 },
43802	{ "MAC_PORT_RX_LINKA_DFE_TAP_CONTROL", 0x332c0, 0 },
43803	{ "MAC_PORT_RX_LINKA_DFE_TAP", 0x332c4, 0 },
43804	{ "MAC_PORT_RX_LINKA_DFE_TAP_ENABLE", 0x32a00, 0 },
43805		{ "INDEX", 1, 15 },
43806	{ "MAC_PORT_RX_LINKA_DFE_H1", 0x32a04, 0 },
43807		{ "H1OSN", 13, 3 },
43808		{ "H1OMAG", 8, 5 },
43809		{ "H1ESN", 6, 2 },
43810		{ "H1EMAG", 0, 6 },
43811	{ "MAC_PORT_RX_LINKA_DFE_H2", 0x32a08, 0 },
43812		{ "H2OSN", 13, 2 },
43813		{ "H2OMAG", 8, 5 },
43814		{ "H2ESN", 5, 2 },
43815		{ "H2EMAG", 0, 5 },
43816	{ "MAC_PORT_RX_LINKA_DFE_H3", 0x32a0c, 0 },
43817		{ "H3OSN", 12, 2 },
43818		{ "H3OMAG", 8, 4 },
43819		{ "H3ESN", 4, 2 },
43820		{ "H3EMAG", 0, 4 },
43821	{ "MAC_PORT_RX_LINKA_DFE_H4", 0x32a10, 0 },
43822		{ "H4SN", 4, 2 },
43823		{ "H4MAG", 0, 4 },
43824	{ "MAC_PORT_RX_LINKA_DFE_H5", 0x32a14, 0 },
43825		{ "H5GS", 6, 2 },
43826		{ "H5SN", 4, 2 },
43827		{ "H5MAG", 0, 4 },
43828	{ "MAC_PORT_RX_LINKA_DFE_H6_AND_H7", 0x32a18, 0 },
43829		{ "H7GS", 14, 2 },
43830		{ "H7SN", 12, 2 },
43831		{ "H7MAG", 8, 4 },
43832		{ "H6GS", 6, 2 },
43833		{ "H6SN", 4, 2 },
43834		{ "H6MAG", 0, 4 },
43835	{ "MAC_PORT_RX_LINKA_DFE_H8_AND_H9", 0x32a1c, 0 },
43836		{ "H9GS", 14, 2 },
43837		{ "H9SN", 12, 2 },
43838		{ "H9MAG", 8, 4 },
43839		{ "H8GS", 6, 2 },
43840		{ "H8SN", 4, 2 },
43841		{ "H8MAG", 0, 4 },
43842	{ "MAC_PORT_RX_LINKA_DFE_H10_AND_H11", 0x32a20, 0 },
43843		{ "H11GS", 14, 2 },
43844		{ "H11SN", 12, 2 },
43845		{ "H11MAG", 8, 4 },
43846		{ "H10GS", 6, 2 },
43847		{ "H10SN", 4, 2 },
43848		{ "H10MAG", 0, 4 },
43849	{ "MAC_PORT_RX_LINKA_DFE_H12_13", 0x32a24, 0 },
43850		{ "H13GS", 13, 3 },
43851		{ "H13SN", 10, 3 },
43852		{ "H13MAG", 8, 2 },
43853		{ "H12GS", 6, 2 },
43854		{ "H12SN", 4, 2 },
43855		{ "H12MAG", 0, 4 },
43856	{ "MAC_PORT_RX_LINKA_DFE_H14_15", 0x32a28, 0 },
43857		{ "H15GS", 13, 3 },
43858		{ "H15SN", 10, 3 },
43859		{ "H15MAG", 8, 2 },
43860		{ "H14GS", 6, 2 },
43861		{ "H14SN", 4, 2 },
43862		{ "H14MAG", 0, 4 },
43863	{ "MAC_PORT_RX_LINKA_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x32a2c, 0 },
43864		{ "H1ODELTA", 8, 5 },
43865		{ "H1EDELTA", 0, 6 },
43866	{ "MAC_PORT_RX_LINKA_RECEIVER_INTERNAL_STATUS_2", 0x332e4, 0 },
43867		{ "STNDBYSTAT", 15, 1 },
43868		{ "CALSDONE", 14, 1 },
43869		{ "ACISRCCMP", 5, 1 },
43870		{ "PRBSOFFCMP", 4, 1 },
43871		{ "CLKALGNCMP", 3, 1 },
43872		{ "ROTFCMP", 2, 1 },
43873		{ "DCDCMP", 1, 1 },
43874		{ "QCCCMP", 0, 1 },
43875	{ "MAC_PORT_RX_LINKA_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x332e8, 0 },
43876		{ "FCSADJ", 6, 1 },
43877		{ "CSIND", 3, 2 },
43878		{ "CSVAL", 0, 3 },
43879	{ "MAC_PORT_RX_LINKA_RECEIVER_DCD_CONTROL", 0x332ec, 0 },
43880		{ "DCDTMDOUT", 15, 1 },
43881		{ "DCDTOEN", 14, 1 },
43882		{ "DCDLOCK", 13, 1 },
43883		{ "DCDSTEP", 11, 2 },
43884		{ "DCDALTWPDIS", 10, 1 },
43885		{ "DCDOVRDEN", 9, 1 },
43886		{ "DCCAOVRDEN", 8, 1 },
43887		{ "DCDSIGN", 6, 2 },
43888		{ "DCDAMP", 0, 6 },
43889	{ "MAC_PORT_RX_LINKA_RECEIVER_DCC_CONTROL", 0x332f0, 0 },
43890		{ "PRBSMODE", 14, 2 },
43891		{ "DCCSTEP", 10, 2 },
43892		{ "DCCOVRDEN", 9, 1 },
43893		{ "DCCLOCK", 8, 1 },
43894		{ "DCDSIGN", 6, 2 },
43895		{ "DCDAMP", 0, 6 },
43896	{ "MAC_PORT_RX_LINKA_RECEIVER_QCC_CONTROL", 0x332f4, 0 },
43897		{ "DCCQCCMODE", 15, 1 },
43898		{ "DCCQCCDYN", 14, 1 },
43899		{ "DCCQCCHOLD", 13, 1 },
43900		{ "QCCSTEP", 10, 2 },
43901		{ "QCCOVRDEN", 9, 1 },
43902		{ "QCCLOCK", 8, 1 },
43903		{ "QCCSIGN", 6, 2 },
43904		{ "QCDAMP", 0, 6 },
43905	{ "MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x332f8, 0 },
43906		{ "TSTCMP", 15, 1 },
43907		{ "SDLSSD", 5, 1 },
43908		{ "DFEOBSBIAS", 4, 1 },
43909		{ "GBOFSTLSSD", 3, 1 },
43910		{ "RXDOBS", 2, 1 },
43911		{ "ACJZPT", 1, 1 },
43912		{ "ACJZNT", 0, 1 },
43913	{ "MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_1", 0x332fc, 0 },
43914		{ "CALMODEEDGE", 14, 1 },
43915		{ "TESTCAP", 13, 1 },
43916		{ "SNAPEN", 12, 1 },
43917		{ "ASYNCDIR", 11, 1 },
43918		{ "PHSLOCK", 10, 1 },
43919		{ "TESTMODE", 9, 1 },
43920		{ "CALMODE", 8, 1 },
43921		{ "ACJPDP", 3, 1 },
43922		{ "ACJPDN", 2, 1 },
43923		{ "LSSDT", 1, 1 },
43924		{ "MTHOLD", 0, 1 },
43925	{ "MAC_PORT_RX_LINKB_RECEIVER_CONFIGURATION_MODE", 0x33300, 0 },
43926		{ "T5_RX_LINKEN", 15, 1 },
43927		{ "T5_RX_LINKRST", 14, 1 },
43928		{ "T5_RX_CFGWRT", 13, 1 },
43929		{ "T5_RX_CFGPTR", 11, 2 },
43930		{ "T5_RX_CFGEXT", 10, 1 },
43931		{ "T5_RX_CFGACT", 9, 1 },
43932		{ "T5_RX_MODE8023AZ", 8, 1 },
43933		{ "T5_RX_PLLSEL", 6, 2 },
43934		{ "T5_RX_DMSEL", 4, 2 },
43935		{ "T5_RX_BWSEL", 2, 2 },
43936		{ "T5_RX_RTSEL", 0, 2 },
43937	{ "MAC_PORT_RX_LINKB_RECEIVER_TEST_CONTROL", 0x33304, 0 },
43938		{ "APLYDCD", 15, 1 },
43939		{ "PPOL", 13, 2 },
43940		{ "PCLKSEL", 11, 2 },
43941		{ "FERRST", 10, 1 },
43942		{ "ERRST", 9, 1 },
43943		{ "SYNCST", 8, 1 },
43944		{ "WRPSM", 7, 1 },
43945		{ "WPLPEN", 6, 1 },
43946		{ "WRPMD", 5, 1 },
43947		{ "PRST", 4, 1 },
43948		{ "PCHKEN", 3, 1 },
43949		{ "PATSEL", 0, 3 },
43950	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_CONTROL", 0x33308, 0 },
43951		{ "FTHROT", 12, 4 },
43952		{ "RTHROT", 11, 1 },
43953		{ "FILTCTL", 7, 4 },
43954		{ "RSRVO", 5, 2 },
43955		{ "EXTEL", 4, 1 },
43956		{ "RSTUCK", 3, 1 },
43957		{ "FRZFW", 2, 1 },
43958		{ "RSTFW", 1, 1 },
43959		{ "SSCEN", 0, 1 },
43960	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_OFFSET_CONTROL", 0x3330c, 0 },
43961		{ "H1ANOFST", 12, 4 },
43962		{ "RSNP", 11, 1 },
43963		{ "TSOEN", 10, 1 },
43964		{ "TMSCAL", 8, 2 },
43965		{ "APADJ", 7, 1 },
43966		{ "RSEL", 6, 1 },
43967		{ "PHOFFS", 0, 6 },
43968	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_1", 0x33310, 0 },
43969		{ "ROTA", 8, 6 },
43970		{ "ROTD", 0, 6 },
43971	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_2", 0x33314, 0 },
43972		{ "FREQFW", 8, 8 },
43973		{ "FWSNAP", 7, 1 },
43974		{ "ROTE", 0, 6 },
43975	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x33318, 0 },
43976		{ "RCALER", 15, 1 },
43977		{ "RAOFFF", 8, 4 },
43978		{ "RAOFF", 0, 5 },
43979	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3331c, 0 },
43980		{ "RCALER", 15, 1 },
43981		{ "RDOFF", 0, 5 },
43982	{ "MAC_PORT_RX_LINKB_DFE_CONTROL", 0x33320, 0 },
43983		{ "REQCMP", 15, 1 },
43984		{ "DFEREQ", 14, 1 },
43985		{ "SPCEN", 13, 1 },
43986		{ "GATEEN", 12, 1 },
43987		{ "SPIFMT", 8, 4 },
43988		{ "STNDBY", 5, 1 },
43989		{ "FRCH", 4, 1 },
43990		{ "NONRND", 3, 1 },
43991		{ "NONRNF", 2, 1 },
43992		{ "FSTLCK", 1, 1 },
43993		{ "DFERST", 0, 1 },
43994	{ "MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_1", 0x33324, 0 },
43995		{ "T5BYTE1", 8, 8 },
43996		{ "T5BYTE0", 0, 8 },
43997	{ "MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_2", 0x33328, 0 },
43998		{ "REQWOV", 15, 1 },
43999		{ "RASEL", 11, 3 },
44000		{ "T5_RX_SMODE", 8, 3 },
44001		{ "T5_RX_ADCORR", 7, 1 },
44002		{ "T5_RX_TRAINEN", 6, 1 },
44003		{ "T5_RX_ASAMPQ", 3, 3 },
44004		{ "T5_RX_ASAMP", 0, 3 },
44005	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_1", 0x3332c, 0 },
44006		{ "WRAPSEL", 15, 1 },
44007		{ "ACTL", 14, 1 },
44008		{ "PEAK", 9, 5 },
44009		{ "VOFFA", 0, 6 },
44010	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_2", 0x33330, 0 },
44011		{ "FVOFFSKP", 15, 1 },
44012		{ "FGAINCHK", 14, 1 },
44013		{ "FH1ACAL", 13, 1 },
44014		{ "FH1AFLTR", 11, 2 },
44015		{ "T5SHORTV", 10, 1 },
44016		{ "WGAIN", 8, 2 },
44017		{ "GAIN_STAT", 7, 1 },
44018		{ "T5VGAIN", 0, 7 },
44019	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_3", 0x33334, 0 },
44020		{ "HBND1", 10, 1 },
44021		{ "HBND0", 9, 1 },
44022		{ "VLCKD", 8, 1 },
44023		{ "VLCKDF", 7, 1 },
44024		{ "AMAXT", 0, 7 },
44025	{ "MAC_PORT_RX_LINKB_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x33338, 0 },
44026		{ "PMCFG", 6, 2 },
44027		{ "PMOFFTIME", 0, 6 },
44028	{ "MAC_PORT_RX_LINKB_RECEIVER_IQAMP_CONTROL_1", 0x3333c, 0 },
44029		{ "SELI", 9, 1 },
44030		{ "SERVREF", 5, 3 },
44031		{ "IQAMP", 0, 5 },
44032	{ "MAC_PORT_RX_LINKB_RECEIVER_IQAMP_CONTROL_2", 0x33340, 0 },
44033	{ "MAC_PORT_RX_LINKB_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x33344, 0 },
44034		{ "SAVEADAC", 8, 1 },
44035		{ "LOAD2", 7, 1 },
44036		{ "LOAD1", 6, 1 },
44037		{ "WRTACC2", 5, 1 },
44038		{ "WRTACC1", 4, 1 },
44039		{ "SELAPAN", 3, 1 },
44040		{ "DASEL", 0, 3 },
44041	{ "MAC_PORT_RX_LINKB_RECEIVER_DACAP_AND_DACAN", 0x33348, 0 },
44042		{ "DACAN", 8, 8 },
44043		{ "DACAP", 0, 8 },
44044	{ "MAC_PORT_RX_LINKB_RECEIVER_DACA_MIN", 0x3334c, 0 },
44045		{ "DACAZ", 8, 8 },
44046		{ "DACAM", 0, 8 },
44047	{ "MAC_PORT_RX_LINKB_RECEIVER_ADAC_CONTROL", 0x33350, 0 },
44048		{ "ADAC2", 8, 8 },
44049		{ "ADAC1", 0, 8 },
44050	{ "MAC_PORT_RX_LINKB_RECEIVER_AC_COUPLING_CONTROL", 0x33354, 0 },
44051		{ "FACCPLDYN", 13, 1 },
44052		{ "ACCPLGAIN", 10, 3 },
44053		{ "ACCPLREF", 8, 2 },
44054		{ "ACCPLSTEP", 6, 2 },
44055		{ "ACCPLASTEP", 1, 5 },
44056		{ "FACCPL", 0, 1 },
44057	{ "MAC_PORT_RX_LINKB_RECEIVER_AC_COUPLING_VALUE", 0x33358, 0 },
44058		{ "ACCPLMEANS", 15, 1 },
44059		{ "CDROVREN", 8, 1 },
44060		{ "ACCPLBIAS", 0, 8 },
44061	{ "MAC_PORT_RX_LINKB_DFE_H1H2H3_LOCAL_OFFSET", 0x3335c, 0 },
44062	{ "MAC_PORT_RX_LINKB_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x33360, 0 },
44063		{ "H1OX", 8, 6 },
44064		{ "H1EX", 0, 6 },
44065	{ "MAC_PORT_RX_LINKB_PEAKED_INTEGRATOR", 0x33364, 0 },
44066		{ "PILOCK", 10, 1 },
44067		{ "UNPKPKA", 2, 6 },
44068		{ "UNPKVGA", 0, 2 },
44069	{ "MAC_PORT_RX_LINKB_CDR_ANALOG_SWITCH", 0x33368, 0 },
44070		{ "OVRAC", 15, 1 },
44071		{ "OVRPK", 14, 1 },
44072		{ "OVRTAILS", 12, 2 },
44073		{ "OVRTAILV", 9, 3 },
44074		{ "OVRCAP", 8, 1 },
44075		{ "OVRDCDPRE", 7, 1 },
44076		{ "OVRDCDPST", 6, 1 },
44077		{ "DCVSCTMODE", 2, 1 },
44078		{ "CDRANLGSW", 0, 2 },
44079	{ "MAC_PORT_RX_LINKB_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x3336c, 0 },
44080		{ "PFLAG", 5, 2 },
44081	{ "MAC_PORT_RX_LINKB_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x33370, 0 },
44082		{ "DACCLIP", 15, 1 },
44083		{ "DPCFRZ", 14, 1 },
44084		{ "DPCCVG", 13, 1 },
44085		{ "DACCVG", 12, 1 },
44086		{ "DPCLKNQ", 11, 1 },
44087		{ "DPCWDFE", 10, 1 },
44088		{ "DPCWPK", 9, 1 },
44089		{ "BLKH1T", 8, 1 },
44090		{ "BLKOAE", 7, 1 },
44091		{ "H1TGT", 4, 3 },
44092		{ "OAE", 0, 4 },
44093	{ "MAC_PORT_RX_LINKB_DYNAMIC_DATA_CENTERING_DDC", 0x33374, 0 },
44094		{ "OLS", 11, 5 },
44095		{ "OES", 6, 5 },
44096		{ "BLKODEC", 5, 1 },
44097		{ "VIEWSCAN", 4, 1 },
44098		{ "ODEC", 0, 4 },
44099	{ "MAC_PORT_RX_LINKB_RECEIVER_INTERNAL_STATUS", 0x33378, 0 },
44100		{ "T5BER6VAL", 15, 1 },
44101		{ "T5BER6", 14, 1 },
44102		{ "T5BER3VAL", 13, 1 },
44103		{ "T5TOOFAST", 12, 1 },
44104		{ "ACCCMP", 11, 1 },
44105		{ "DCCCMP", 10, 1 },
44106		{ "T5DPCCMP", 9, 1 },
44107		{ "T5DACCMP", 8, 1 },
44108		{ "T5DDCCMP", 7, 1 },
44109		{ "T5AERRFLG", 6, 1 },
44110		{ "T5WERRFLG", 5, 1 },
44111		{ "T5TRCMP", 4, 1 },
44112		{ "T5VLCKF", 3, 1 },
44113		{ "T5ROCCMP", 2, 1 },
44114		{ "T5IQCMP", 1, 1 },
44115		{ "T5OCCMP", 0, 1 },
44116	{ "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_1", 0x3337c, 0 },
44117		{ "FDPC", 15, 1 },
44118		{ "FDAC", 14, 1 },
44119		{ "FDDC", 13, 1 },
44120		{ "FNRND", 12, 1 },
44121		{ "FVGAIN", 11, 1 },
44122		{ "FVOFF", 10, 1 },
44123		{ "FSDET", 9, 1 },
44124		{ "FBER6", 8, 1 },
44125		{ "FROTO", 7, 1 },
44126		{ "FH4H5", 6, 1 },
44127		{ "FH2H3", 5, 1 },
44128		{ "FH1", 4, 1 },
44129		{ "FH1SN", 3, 1 },
44130		{ "FNRDF", 2, 1 },
44131		{ "FLOFF", 1, 1 },
44132		{ "FADAC", 0, 1 },
44133	{ "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_2", 0x33380, 0 },
44134		{ "H25SPC", 15, 1 },
44135		{ "FDCCAL", 14, 1 },
44136		{ "FROTCAL", 13, 1 },
44137		{ "FIQAMP", 12, 1 },
44138		{ "FRPTCALF", 11, 1 },
44139		{ "FINTCALGS", 10, 1 },
44140		{ "FDCC", 9, 1 },
44141		{ "FTOOFAST", 8, 1 },
44142		{ "FDCD", 7, 1 },
44143		{ "FDINV", 6, 1 },
44144		{ "FHGS", 5, 1 },
44145		{ "FH6H12", 4, 1 },
44146		{ "FH1CAL", 3, 1 },
44147		{ "FINTCAL", 2, 1 },
44148		{ "FINTRCALDYN", 1, 1 },
44149		{ "FQCC", 0, 1 },
44150	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_CHANNEL", 0x33384, 0 },
44151		{ "QCCIND", 13, 1 },
44152		{ "DCDIND", 10, 3 },
44153		{ "DCCIND", 8, 2 },
44154		{ "CFSEL", 5, 1 },
44155		{ "LOFCH", 0, 5 },
44156	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_VALUE", 0x33388, 0 },
44157		{ "LOFU", 8, 7 },
44158		{ "LOFL", 0, 7 },
44159	{ "MAC_PORT_RX_LINKB_H_COEFFICIENBT_BIST", 0x3338c, 0 },
44160		{ "HBISTMAN", 12, 1 },
44161		{ "HBISTRES", 11, 1 },
44162		{ "HBISTSP", 8, 3 },
44163		{ "HBISTEN", 7, 1 },
44164		{ "HBISTRST", 6, 1 },
44165		{ "HCOMP", 5, 1 },
44166		{ "HPASS", 4, 1 },
44167		{ "HSEL", 0, 4 },
44168	{ "MAC_PORT_RX_LINKB_AC_CAPACITOR_BIST", 0x33390, 0 },
44169		{ "ACCCMP", 13, 1 },
44170		{ "ACCEN", 12, 1 },
44171		{ "ACCRST", 11, 1 },
44172		{ "ACCIND", 8, 3 },
44173		{ "ACCRD", 0, 8 },
44174	{ "MAC_PORT_RX_LINKB_RECEIVER_LOFF_CONTROL_REGISTER", 0x33398, 0 },
44175		{ "LFREG", 15, 1 },
44176		{ "LFRC", 14, 1 },
44177		{ "LGIDLE", 13, 1 },
44178		{ "LFTGT", 8, 5 },
44179		{ "LGTGT", 7, 1 },
44180		{ "LRDY", 6, 1 },
44181		{ "LIDLE", 5, 1 },
44182		{ "LCURR", 0, 5 },
44183	{ "MAC_PORT_RX_LINKB_RECEIVER_SIGDET_CONTROL", 0x3339c, 0 },
44184		{ "OFFSN", 13, 2 },
44185		{ "OFFAMP", 8, 5 },
44186		{ "SDACDC", 7, 1 },
44187		{ "SDPDN", 6, 1 },
44188		{ "SIGDET", 5, 1 },
44189		{ "SDLVL", 0, 5 },
44190	{ "MAC_PORT_RX_LINKB_RECEIVER_ANALOG_CONTROL_SWITCH", 0x333a0, 0 },
44191		{ "RX_OVRSUMPD", 15, 1 },
44192		{ "RX_OVRKBPD", 14, 1 },
44193		{ "RX_OVRDIVPD", 13, 1 },
44194		{ "RX_OFFVGADIS", 12, 1 },
44195		{ "RX_OFFACDIS", 11, 1 },
44196		{ "RX_VTERM", 10, 1 },
44197		{ "RX_DISSPY2D", 8, 1 },
44198		{ "RX_OBSOVEN", 7, 1 },
44199		{ "RX_LINKANLGSW", 0, 7 },
44200	{ "MAC_PORT_RX_LINKB_INTEGRATOR_DAC_OFFSET", 0x333a4, 0 },
44201		{ "INTDACEGS", 13, 3 },
44202		{ "INTDACE", 8, 5 },
44203		{ "INTDACGS", 6, 2 },
44204		{ "INTDAC", 0, 6 },
44205	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_CONTROL", 0x333a8, 0 },
44206		{ "BLKAZ", 15, 1 },
44207		{ "WIDTH", 10, 5 },
44208		{ "MINWDTH", 5, 5 },
44209		{ "MINAMP", 0, 5 },
44210	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS", 0x333ac, 0 },
44211		{ "SMQM", 13, 3 },
44212		{ "SMQ", 5, 8 },
44213		{ "EMMD", 3, 2 },
44214		{ "EMBRDY", 2, 1 },
44215		{ "EMBUMP", 1, 1 },
44216		{ "EMEN", 0, 1 },
44217	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x333b0, 0 },
44218		{ "EMSF", 13, 1 },
44219		{ "EMDATA59", 12, 1 },
44220		{ "EMCNT", 4, 8 },
44221		{ "EMOFLO", 2, 1 },
44222		{ "EMCRST", 1, 1 },
44223		{ "EMCEN", 0, 1 },
44224	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x333b4, 0 },
44225		{ "SM2RDY", 15, 1 },
44226		{ "SM2RST", 14, 1 },
44227		{ "APDF", 0, 12 },
44228	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x333b8, 0 },
44229	{ "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_3", 0x333bc, 0 },
44230		{ "FTIMEOUT", 15, 1 },
44231		{ "FROTCAL4", 14, 1 },
44232		{ "FDCD2", 13, 1 },
44233		{ "FPRBSPOLTOG", 12, 1 },
44234		{ "FPRBSOFF2", 11, 1 },
44235		{ "FDDCAL2", 10, 1 },
44236		{ "FDDCFLTR", 9, 1 },
44237		{ "FDAC6", 8, 1 },
44238		{ "FDDC5", 7, 1 },
44239		{ "FDDC3456", 6, 1 },
44240		{ "FSPY2DATA", 5, 1 },
44241		{ "FPHSLOCK", 4, 1 },
44242		{ "FCLKALGN", 3, 1 },
44243		{ "FCLKALDYN", 2, 1 },
44244		{ "FDFE", 1, 1 },
44245		{ "FPRBSOFF", 0, 1 },
44246	{ "MAC_PORT_RX_LINKB_DFE_TAP_CONTROL", 0x333c0, 0 },
44247	{ "MAC_PORT_RX_LINKB_DFE_TAP", 0x333c4, 0 },
44248	{ "MAC_PORT_RX_LINKB_DFE_TAP_ENABLE", 0x32b00, 0 },
44249		{ "INDEX", 1, 15 },
44250	{ "MAC_PORT_RX_LINKB_DFE_H1", 0x32b04, 0 },
44251		{ "H1OSN", 13, 3 },
44252		{ "H1OMAG", 8, 5 },
44253		{ "H1ESN", 6, 2 },
44254		{ "H1EMAG", 0, 6 },
44255	{ "MAC_PORT_RX_LINKB_DFE_H2", 0x32b08, 0 },
44256		{ "H2OSN", 13, 2 },
44257		{ "H2OMAG", 8, 5 },
44258		{ "H2ESN", 5, 2 },
44259		{ "H2EMAG", 0, 5 },
44260	{ "MAC_PORT_RX_LINKB_DFE_H3", 0x32b0c, 0 },
44261		{ "H3OSN", 12, 2 },
44262		{ "H3OMAG", 8, 4 },
44263		{ "H3ESN", 4, 2 },
44264		{ "H3EMAG", 0, 4 },
44265	{ "MAC_PORT_RX_LINKB_DFE_H4", 0x32b10, 0 },
44266		{ "H4SN", 4, 2 },
44267		{ "H4MAG", 0, 4 },
44268	{ "MAC_PORT_RX_LINKB_DFE_H5", 0x32b14, 0 },
44269		{ "H5GS", 6, 2 },
44270		{ "H5SN", 4, 2 },
44271		{ "H5MAG", 0, 4 },
44272	{ "MAC_PORT_RX_LINKB_DFE_H6_AND_H7", 0x32b18, 0 },
44273		{ "H7GS", 14, 2 },
44274		{ "H7SN", 12, 2 },
44275		{ "H7MAG", 8, 4 },
44276		{ "H6GS", 6, 2 },
44277		{ "H6SN", 4, 2 },
44278		{ "H6MAG", 0, 4 },
44279	{ "MAC_PORT_RX_LINKB_DFE_H8_AND_H9", 0x32b1c, 0 },
44280		{ "H9GS", 14, 2 },
44281		{ "H9SN", 12, 2 },
44282		{ "H9MAG", 8, 4 },
44283		{ "H8GS", 6, 2 },
44284		{ "H8SN", 4, 2 },
44285		{ "H8MAG", 0, 4 },
44286	{ "MAC_PORT_RX_LINKB_DFE_H10_AND_H11", 0x32b20, 0 },
44287		{ "H11GS", 14, 2 },
44288		{ "H11SN", 12, 2 },
44289		{ "H11MAG", 8, 4 },
44290		{ "H10GS", 6, 2 },
44291		{ "H10SN", 4, 2 },
44292		{ "H10MAG", 0, 4 },
44293	{ "MAC_PORT_RX_LINKB_DFE_H12_13", 0x32b24, 0 },
44294		{ "H13GS", 13, 3 },
44295		{ "H13SN", 10, 3 },
44296		{ "H13MAG", 8, 2 },
44297		{ "H12GS", 6, 2 },
44298		{ "H12SN", 4, 2 },
44299		{ "H12MAG", 0, 4 },
44300	{ "MAC_PORT_RX_LINKB_DFE_H14_15", 0x32b28, 0 },
44301		{ "H15GS", 13, 3 },
44302		{ "H15SN", 10, 3 },
44303		{ "H15MAG", 8, 2 },
44304		{ "H14GS", 6, 2 },
44305		{ "H14SN", 4, 2 },
44306		{ "H14MAG", 0, 4 },
44307	{ "MAC_PORT_RX_LINKB_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x32b2c, 0 },
44308		{ "H1ODELTA", 8, 5 },
44309		{ "H1EDELTA", 0, 6 },
44310	{ "MAC_PORT_RX_LINKB_RECEIVER_INTERNAL_STATUS_2", 0x333e4, 0 },
44311		{ "STNDBYSTAT", 15, 1 },
44312		{ "CALSDONE", 14, 1 },
44313		{ "ACISRCCMP", 5, 1 },
44314		{ "PRBSOFFCMP", 4, 1 },
44315		{ "CLKALGNCMP", 3, 1 },
44316		{ "ROTFCMP", 2, 1 },
44317		{ "DCDCMP", 1, 1 },
44318		{ "QCCCMP", 0, 1 },
44319	{ "MAC_PORT_RX_LINKB_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x333e8, 0 },
44320		{ "FCSADJ", 6, 1 },
44321		{ "CSIND", 3, 2 },
44322		{ "CSVAL", 0, 3 },
44323	{ "MAC_PORT_RX_LINKB_RECEIVER_DCD_CONTROL", 0x333ec, 0 },
44324		{ "DCDTMDOUT", 15, 1 },
44325		{ "DCDTOEN", 14, 1 },
44326		{ "DCDLOCK", 13, 1 },
44327		{ "DCDSTEP", 11, 2 },
44328		{ "DCDALTWPDIS", 10, 1 },
44329		{ "DCDOVRDEN", 9, 1 },
44330		{ "DCCAOVRDEN", 8, 1 },
44331		{ "DCDSIGN", 6, 2 },
44332		{ "DCDAMP", 0, 6 },
44333	{ "MAC_PORT_RX_LINKB_RECEIVER_DCC_CONTROL", 0x333f0, 0 },
44334		{ "PRBSMODE", 14, 2 },
44335		{ "DCCSTEP", 10, 2 },
44336		{ "DCCOVRDEN", 9, 1 },
44337		{ "DCCLOCK", 8, 1 },
44338		{ "DCDSIGN", 6, 2 },
44339		{ "DCDAMP", 0, 6 },
44340	{ "MAC_PORT_RX_LINKB_RECEIVER_QCC_CONTROL", 0x333f4, 0 },
44341		{ "DCCQCCMODE", 15, 1 },
44342		{ "DCCQCCDYN", 14, 1 },
44343		{ "DCCQCCHOLD", 13, 1 },
44344		{ "QCCSTEP", 10, 2 },
44345		{ "QCCOVRDEN", 9, 1 },
44346		{ "QCCLOCK", 8, 1 },
44347		{ "QCCSIGN", 6, 2 },
44348		{ "QCDAMP", 0, 6 },
44349	{ "MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x333f8, 0 },
44350		{ "TSTCMP", 15, 1 },
44351		{ "SDLSSD", 5, 1 },
44352		{ "DFEOBSBIAS", 4, 1 },
44353		{ "GBOFSTLSSD", 3, 1 },
44354		{ "RXDOBS", 2, 1 },
44355		{ "ACJZPT", 1, 1 },
44356		{ "ACJZNT", 0, 1 },
44357	{ "MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_1", 0x333fc, 0 },
44358		{ "CALMODEEDGE", 14, 1 },
44359		{ "TESTCAP", 13, 1 },
44360		{ "SNAPEN", 12, 1 },
44361		{ "ASYNCDIR", 11, 1 },
44362		{ "PHSLOCK", 10, 1 },
44363		{ "TESTMODE", 9, 1 },
44364		{ "CALMODE", 8, 1 },
44365		{ "ACJPDP", 3, 1 },
44366		{ "ACJPDN", 2, 1 },
44367		{ "LSSDT", 1, 1 },
44368		{ "MTHOLD", 0, 1 },
44369	{ "MAC_PORT_RX_LINKC_RECEIVER_CONFIGURATION_MODE", 0x33600, 0 },
44370		{ "T5_RX_LINKEN", 15, 1 },
44371		{ "T5_RX_LINKRST", 14, 1 },
44372		{ "T5_RX_CFGWRT", 13, 1 },
44373		{ "T5_RX_CFGPTR", 11, 2 },
44374		{ "T5_RX_CFGEXT", 10, 1 },
44375		{ "T5_RX_CFGACT", 9, 1 },
44376		{ "T5_RX_MODE8023AZ", 8, 1 },
44377		{ "T5_RX_PLLSEL", 6, 2 },
44378		{ "T5_RX_DMSEL", 4, 2 },
44379		{ "T5_RX_BWSEL", 2, 2 },
44380		{ "T5_RX_RTSEL", 0, 2 },
44381	{ "MAC_PORT_RX_LINKC_RECEIVER_TEST_CONTROL", 0x33604, 0 },
44382		{ "APLYDCD", 15, 1 },
44383		{ "PPOL", 13, 2 },
44384		{ "PCLKSEL", 11, 2 },
44385		{ "FERRST", 10, 1 },
44386		{ "ERRST", 9, 1 },
44387		{ "SYNCST", 8, 1 },
44388		{ "WRPSM", 7, 1 },
44389		{ "WPLPEN", 6, 1 },
44390		{ "WRPMD", 5, 1 },
44391		{ "PRST", 4, 1 },
44392		{ "PCHKEN", 3, 1 },
44393		{ "PATSEL", 0, 3 },
44394	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_CONTROL", 0x33608, 0 },
44395		{ "FTHROT", 12, 4 },
44396		{ "RTHROT", 11, 1 },
44397		{ "FILTCTL", 7, 4 },
44398		{ "RSRVO", 5, 2 },
44399		{ "EXTEL", 4, 1 },
44400		{ "RSTUCK", 3, 1 },
44401		{ "FRZFW", 2, 1 },
44402		{ "RSTFW", 1, 1 },
44403		{ "SSCEN", 0, 1 },
44404	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_OFFSET_CONTROL", 0x3360c, 0 },
44405		{ "H1ANOFST", 12, 4 },
44406		{ "RSNP", 11, 1 },
44407		{ "TSOEN", 10, 1 },
44408		{ "TMSCAL", 8, 2 },
44409		{ "APADJ", 7, 1 },
44410		{ "RSEL", 6, 1 },
44411		{ "PHOFFS", 0, 6 },
44412	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_1", 0x33610, 0 },
44413		{ "ROTA", 8, 6 },
44414		{ "ROTD", 0, 6 },
44415	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_2", 0x33614, 0 },
44416		{ "FREQFW", 8, 8 },
44417		{ "FWSNAP", 7, 1 },
44418		{ "ROTE", 0, 6 },
44419	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x33618, 0 },
44420		{ "RCALER", 15, 1 },
44421		{ "RAOFFF", 8, 4 },
44422		{ "RAOFF", 0, 5 },
44423	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3361c, 0 },
44424		{ "RCALER", 15, 1 },
44425		{ "RDOFF", 0, 5 },
44426	{ "MAC_PORT_RX_LINKC_DFE_CONTROL", 0x33620, 0 },
44427		{ "REQCMP", 15, 1 },
44428		{ "DFEREQ", 14, 1 },
44429		{ "SPCEN", 13, 1 },
44430		{ "GATEEN", 12, 1 },
44431		{ "SPIFMT", 8, 4 },
44432		{ "STNDBY", 5, 1 },
44433		{ "FRCH", 4, 1 },
44434		{ "NONRND", 3, 1 },
44435		{ "NONRNF", 2, 1 },
44436		{ "FSTLCK", 1, 1 },
44437		{ "DFERST", 0, 1 },
44438	{ "MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_1", 0x33624, 0 },
44439		{ "T5BYTE1", 8, 8 },
44440		{ "T5BYTE0", 0, 8 },
44441	{ "MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_2", 0x33628, 0 },
44442		{ "REQWOV", 15, 1 },
44443		{ "RASEL", 11, 3 },
44444		{ "T5_RX_SMODE", 8, 3 },
44445		{ "T5_RX_ADCORR", 7, 1 },
44446		{ "T5_RX_TRAINEN", 6, 1 },
44447		{ "T5_RX_ASAMPQ", 3, 3 },
44448		{ "T5_RX_ASAMP", 0, 3 },
44449	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_1", 0x3362c, 0 },
44450		{ "WRAPSEL", 15, 1 },
44451		{ "ACTL", 14, 1 },
44452		{ "PEAK", 9, 5 },
44453		{ "VOFFA", 0, 6 },
44454	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_2", 0x33630, 0 },
44455		{ "FVOFFSKP", 15, 1 },
44456		{ "FGAINCHK", 14, 1 },
44457		{ "FH1ACAL", 13, 1 },
44458		{ "FH1AFLTR", 11, 2 },
44459		{ "T5SHORTV", 10, 1 },
44460		{ "WGAIN", 8, 2 },
44461		{ "GAIN_STAT", 7, 1 },
44462		{ "T5VGAIN", 0, 7 },
44463	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_3", 0x33634, 0 },
44464		{ "HBND1", 10, 1 },
44465		{ "HBND0", 9, 1 },
44466		{ "VLCKD", 8, 1 },
44467		{ "VLCKDF", 7, 1 },
44468		{ "AMAXT", 0, 7 },
44469	{ "MAC_PORT_RX_LINKC_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x33638, 0 },
44470		{ "PMCFG", 6, 2 },
44471		{ "PMOFFTIME", 0, 6 },
44472	{ "MAC_PORT_RX_LINKC_RECEIVER_IQAMP_CONTROL_1", 0x3363c, 0 },
44473		{ "SELI", 9, 1 },
44474		{ "SERVREF", 5, 3 },
44475		{ "IQAMP", 0, 5 },
44476	{ "MAC_PORT_RX_LINKC_RECEIVER_IQAMP_CONTROL_2", 0x33640, 0 },
44477	{ "MAC_PORT_RX_LINKC_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x33644, 0 },
44478		{ "SAVEADAC", 8, 1 },
44479		{ "LOAD2", 7, 1 },
44480		{ "LOAD1", 6, 1 },
44481		{ "WRTACC2", 5, 1 },
44482		{ "WRTACC1", 4, 1 },
44483		{ "SELAPAN", 3, 1 },
44484		{ "DASEL", 0, 3 },
44485	{ "MAC_PORT_RX_LINKC_RECEIVER_DACAP_AND_DACAN", 0x33648, 0 },
44486		{ "DACAN", 8, 8 },
44487		{ "DACAP", 0, 8 },
44488	{ "MAC_PORT_RX_LINKC_RECEIVER_DACA_MIN", 0x3364c, 0 },
44489		{ "DACAZ", 8, 8 },
44490		{ "DACAM", 0, 8 },
44491	{ "MAC_PORT_RX_LINKC_RECEIVER_ADAC_CONTROL", 0x33650, 0 },
44492		{ "ADAC2", 8, 8 },
44493		{ "ADAC1", 0, 8 },
44494	{ "MAC_PORT_RX_LINKC_RECEIVER_AC_COUPLING_CONTROL", 0x33654, 0 },
44495		{ "FACCPLDYN", 13, 1 },
44496		{ "ACCPLGAIN", 10, 3 },
44497		{ "ACCPLREF", 8, 2 },
44498		{ "ACCPLSTEP", 6, 2 },
44499		{ "ACCPLASTEP", 1, 5 },
44500		{ "FACCPL", 0, 1 },
44501	{ "MAC_PORT_RX_LINKC_RECEIVER_AC_COUPLING_VALUE", 0x33658, 0 },
44502		{ "ACCPLMEANS", 15, 1 },
44503		{ "CDROVREN", 8, 1 },
44504		{ "ACCPLBIAS", 0, 8 },
44505	{ "MAC_PORT_RX_LINKC_DFE_H1H2H3_LOCAL_OFFSET", 0x3365c, 0 },
44506	{ "MAC_PORT_RX_LINKC_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x33660, 0 },
44507		{ "H1OX", 8, 6 },
44508		{ "H1EX", 0, 6 },
44509	{ "MAC_PORT_RX_LINKC_PEAKED_INTEGRATOR", 0x33664, 0 },
44510		{ "PILOCK", 10, 1 },
44511		{ "UNPKPKA", 2, 6 },
44512		{ "UNPKVGA", 0, 2 },
44513	{ "MAC_PORT_RX_LINKC_CDR_ANALOG_SWITCH", 0x33668, 0 },
44514		{ "OVRAC", 15, 1 },
44515		{ "OVRPK", 14, 1 },
44516		{ "OVRTAILS", 12, 2 },
44517		{ "OVRTAILV", 9, 3 },
44518		{ "OVRCAP", 8, 1 },
44519		{ "OVRDCDPRE", 7, 1 },
44520		{ "OVRDCDPST", 6, 1 },
44521		{ "DCVSCTMODE", 2, 1 },
44522		{ "CDRANLGSW", 0, 2 },
44523	{ "MAC_PORT_RX_LINKC_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x3366c, 0 },
44524		{ "PFLAG", 5, 2 },
44525	{ "MAC_PORT_RX_LINKC_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x33670, 0 },
44526		{ "DACCLIP", 15, 1 },
44527		{ "DPCFRZ", 14, 1 },
44528		{ "DPCCVG", 13, 1 },
44529		{ "DACCVG", 12, 1 },
44530		{ "DPCLKNQ", 11, 1 },
44531		{ "DPCWDFE", 10, 1 },
44532		{ "DPCWPK", 9, 1 },
44533		{ "BLKH1T", 8, 1 },
44534		{ "BLKOAE", 7, 1 },
44535		{ "H1TGT", 4, 3 },
44536		{ "OAE", 0, 4 },
44537	{ "MAC_PORT_RX_LINKC_DYNAMIC_DATA_CENTERING_DDC", 0x33674, 0 },
44538		{ "OLS", 11, 5 },
44539		{ "OES", 6, 5 },
44540		{ "BLKODEC", 5, 1 },
44541		{ "VIEWSCAN", 4, 1 },
44542		{ "ODEC", 0, 4 },
44543	{ "MAC_PORT_RX_LINKC_RECEIVER_INTERNAL_STATUS", 0x33678, 0 },
44544		{ "T5BER6VAL", 15, 1 },
44545		{ "T5BER6", 14, 1 },
44546		{ "T5BER3VAL", 13, 1 },
44547		{ "T5TOOFAST", 12, 1 },
44548		{ "ACCCMP", 11, 1 },
44549		{ "DCCCMP", 10, 1 },
44550		{ "T5DPCCMP", 9, 1 },
44551		{ "T5DACCMP", 8, 1 },
44552		{ "T5DDCCMP", 7, 1 },
44553		{ "T5AERRFLG", 6, 1 },
44554		{ "T5WERRFLG", 5, 1 },
44555		{ "T5TRCMP", 4, 1 },
44556		{ "T5VLCKF", 3, 1 },
44557		{ "T5ROCCMP", 2, 1 },
44558		{ "T5IQCMP", 1, 1 },
44559		{ "T5OCCMP", 0, 1 },
44560	{ "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_1", 0x3367c, 0 },
44561		{ "FDPC", 15, 1 },
44562		{ "FDAC", 14, 1 },
44563		{ "FDDC", 13, 1 },
44564		{ "FNRND", 12, 1 },
44565		{ "FVGAIN", 11, 1 },
44566		{ "FVOFF", 10, 1 },
44567		{ "FSDET", 9, 1 },
44568		{ "FBER6", 8, 1 },
44569		{ "FROTO", 7, 1 },
44570		{ "FH4H5", 6, 1 },
44571		{ "FH2H3", 5, 1 },
44572		{ "FH1", 4, 1 },
44573		{ "FH1SN", 3, 1 },
44574		{ "FNRDF", 2, 1 },
44575		{ "FLOFF", 1, 1 },
44576		{ "FADAC", 0, 1 },
44577	{ "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_2", 0x33680, 0 },
44578		{ "H25SPC", 15, 1 },
44579		{ "FDCCAL", 14, 1 },
44580		{ "FROTCAL", 13, 1 },
44581		{ "FIQAMP", 12, 1 },
44582		{ "FRPTCALF", 11, 1 },
44583		{ "FINTCALGS", 10, 1 },
44584		{ "FDCC", 9, 1 },
44585		{ "FTOOFAST", 8, 1 },
44586		{ "FDCD", 7, 1 },
44587		{ "FDINV", 6, 1 },
44588		{ "FHGS", 5, 1 },
44589		{ "FH6H12", 4, 1 },
44590		{ "FH1CAL", 3, 1 },
44591		{ "FINTCAL", 2, 1 },
44592		{ "FINTRCALDYN", 1, 1 },
44593		{ "FQCC", 0, 1 },
44594	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_CHANNEL", 0x33684, 0 },
44595		{ "QCCIND", 13, 1 },
44596		{ "DCDIND", 10, 3 },
44597		{ "DCCIND", 8, 2 },
44598		{ "CFSEL", 5, 1 },
44599		{ "LOFCH", 0, 5 },
44600	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_VALUE", 0x33688, 0 },
44601		{ "LOFU", 8, 7 },
44602		{ "LOFL", 0, 7 },
44603	{ "MAC_PORT_RX_LINKC_H_COEFFICIENBT_BIST", 0x3368c, 0 },
44604		{ "HBISTMAN", 12, 1 },
44605		{ "HBISTRES", 11, 1 },
44606		{ "HBISTSP", 8, 3 },
44607		{ "HBISTEN", 7, 1 },
44608		{ "HBISTRST", 6, 1 },
44609		{ "HCOMP", 5, 1 },
44610		{ "HPASS", 4, 1 },
44611		{ "HSEL", 0, 4 },
44612	{ "MAC_PORT_RX_LINKC_AC_CAPACITOR_BIST", 0x33690, 0 },
44613		{ "ACCCMP", 13, 1 },
44614		{ "ACCEN", 12, 1 },
44615		{ "ACCRST", 11, 1 },
44616		{ "ACCIND", 8, 3 },
44617		{ "ACCRD", 0, 8 },
44618	{ "MAC_PORT_RX_LINKC_RECEIVER_LOFF_CONTROL_REGISTER", 0x33698, 0 },
44619		{ "LFREG", 15, 1 },
44620		{ "LFRC", 14, 1 },
44621		{ "LGIDLE", 13, 1 },
44622		{ "LFTGT", 8, 5 },
44623		{ "LGTGT", 7, 1 },
44624		{ "LRDY", 6, 1 },
44625		{ "LIDLE", 5, 1 },
44626		{ "LCURR", 0, 5 },
44627	{ "MAC_PORT_RX_LINKC_RECEIVER_SIGDET_CONTROL", 0x3369c, 0 },
44628		{ "OFFSN", 13, 2 },
44629		{ "OFFAMP", 8, 5 },
44630		{ "SDACDC", 7, 1 },
44631		{ "SDPDN", 6, 1 },
44632		{ "SIGDET", 5, 1 },
44633		{ "SDLVL", 0, 5 },
44634	{ "MAC_PORT_RX_LINKC_RECEIVER_ANALOG_CONTROL_SWITCH", 0x336a0, 0 },
44635		{ "RX_OVRSUMPD", 15, 1 },
44636		{ "RX_OVRKBPD", 14, 1 },
44637		{ "RX_OVRDIVPD", 13, 1 },
44638		{ "RX_OFFVGADIS", 12, 1 },
44639		{ "RX_OFFACDIS", 11, 1 },
44640		{ "RX_VTERM", 10, 1 },
44641		{ "RX_DISSPY2D", 8, 1 },
44642		{ "RX_OBSOVEN", 7, 1 },
44643		{ "RX_LINKANLGSW", 0, 7 },
44644	{ "MAC_PORT_RX_LINKC_INTEGRATOR_DAC_OFFSET", 0x336a4, 0 },
44645		{ "INTDACEGS", 13, 3 },
44646		{ "INTDACE", 8, 5 },
44647		{ "INTDACGS", 6, 2 },
44648		{ "INTDAC", 0, 6 },
44649	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_CONTROL", 0x336a8, 0 },
44650		{ "BLKAZ", 15, 1 },
44651		{ "WIDTH", 10, 5 },
44652		{ "MINWDTH", 5, 5 },
44653		{ "MINAMP", 0, 5 },
44654	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS", 0x336ac, 0 },
44655		{ "SMQM", 13, 3 },
44656		{ "SMQ", 5, 8 },
44657		{ "EMMD", 3, 2 },
44658		{ "EMBRDY", 2, 1 },
44659		{ "EMBUMP", 1, 1 },
44660		{ "EMEN", 0, 1 },
44661	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x336b0, 0 },
44662		{ "EMSF", 13, 1 },
44663		{ "EMDATA59", 12, 1 },
44664		{ "EMCNT", 4, 8 },
44665		{ "EMOFLO", 2, 1 },
44666		{ "EMCRST", 1, 1 },
44667		{ "EMCEN", 0, 1 },
44668	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x336b4, 0 },
44669		{ "SM2RDY", 15, 1 },
44670		{ "SM2RST", 14, 1 },
44671		{ "APDF", 0, 12 },
44672	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x336b8, 0 },
44673	{ "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_3", 0x336bc, 0 },
44674		{ "FTIMEOUT", 15, 1 },
44675		{ "FROTCAL4", 14, 1 },
44676		{ "FDCD2", 13, 1 },
44677		{ "FPRBSPOLTOG", 12, 1 },
44678		{ "FPRBSOFF2", 11, 1 },
44679		{ "FDDCAL2", 10, 1 },
44680		{ "FDDCFLTR", 9, 1 },
44681		{ "FDAC6", 8, 1 },
44682		{ "FDDC5", 7, 1 },
44683		{ "FDDC3456", 6, 1 },
44684		{ "FSPY2DATA", 5, 1 },
44685		{ "FPHSLOCK", 4, 1 },
44686		{ "FCLKALGN", 3, 1 },
44687		{ "FCLKALDYN", 2, 1 },
44688		{ "FDFE", 1, 1 },
44689		{ "FPRBSOFF", 0, 1 },
44690	{ "MAC_PORT_RX_LINKC_DFE_TAP_CONTROL", 0x336c0, 0 },
44691	{ "MAC_PORT_RX_LINKC_DFE_TAP", 0x336c4, 0 },
44692	{ "MAC_PORT_RX_LINKC_DFE_TAP_ENABLE", 0x32e00, 0 },
44693		{ "INDEX", 1, 15 },
44694	{ "MAC_PORT_RX_LINKC_DFE_H1", 0x32e04, 0 },
44695		{ "H1OSN", 13, 3 },
44696		{ "H1OMAG", 8, 5 },
44697		{ "H1ESN", 6, 2 },
44698		{ "H1EMAG", 0, 6 },
44699	{ "MAC_PORT_RX_LINKC_DFE_H2", 0x32e08, 0 },
44700		{ "H2OSN", 13, 2 },
44701		{ "H2OMAG", 8, 5 },
44702		{ "H2ESN", 5, 2 },
44703		{ "H2EMAG", 0, 5 },
44704	{ "MAC_PORT_RX_LINKC_DFE_H3", 0x32e0c, 0 },
44705		{ "H3OSN", 12, 2 },
44706		{ "H3OMAG", 8, 4 },
44707		{ "H3ESN", 4, 2 },
44708		{ "H3EMAG", 0, 4 },
44709	{ "MAC_PORT_RX_LINKC_DFE_H4", 0x32e10, 0 },
44710		{ "H4SN", 4, 2 },
44711		{ "H4MAG", 0, 4 },
44712	{ "MAC_PORT_RX_LINKC_DFE_H5", 0x32e14, 0 },
44713		{ "H5GS", 6, 2 },
44714		{ "H5SN", 4, 2 },
44715		{ "H5MAG", 0, 4 },
44716	{ "MAC_PORT_RX_LINKC_DFE_H6_AND_H7", 0x32e18, 0 },
44717		{ "H7GS", 14, 2 },
44718		{ "H7SN", 12, 2 },
44719		{ "H7MAG", 8, 4 },
44720		{ "H6GS", 6, 2 },
44721		{ "H6SN", 4, 2 },
44722		{ "H6MAG", 0, 4 },
44723	{ "MAC_PORT_RX_LINKC_DFE_H8_AND_H9", 0x32e1c, 0 },
44724		{ "H9GS", 14, 2 },
44725		{ "H9SN", 12, 2 },
44726		{ "H9MAG", 8, 4 },
44727		{ "H8GS", 6, 2 },
44728		{ "H8SN", 4, 2 },
44729		{ "H8MAG", 0, 4 },
44730	{ "MAC_PORT_RX_LINKC_DFE_H10_AND_H11", 0x32e20, 0 },
44731		{ "H11GS", 14, 2 },
44732		{ "H11SN", 12, 2 },
44733		{ "H11MAG", 8, 4 },
44734		{ "H10GS", 6, 2 },
44735		{ "H10SN", 4, 2 },
44736		{ "H10MAG", 0, 4 },
44737	{ "MAC_PORT_RX_LINKC_DFE_H12_13", 0x32e24, 0 },
44738		{ "H13GS", 13, 3 },
44739		{ "H13SN", 10, 3 },
44740		{ "H13MAG", 8, 2 },
44741		{ "H12GS", 6, 2 },
44742		{ "H12SN", 4, 2 },
44743		{ "H12MAG", 0, 4 },
44744	{ "MAC_PORT_RX_LINKC_DFE_H14_15", 0x32e28, 0 },
44745		{ "H15GS", 13, 3 },
44746		{ "H15SN", 10, 3 },
44747		{ "H15MAG", 8, 2 },
44748		{ "H14GS", 6, 2 },
44749		{ "H14SN", 4, 2 },
44750		{ "H14MAG", 0, 4 },
44751	{ "MAC_PORT_RX_LINKC_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x32e2c, 0 },
44752		{ "H1ODELTA", 8, 5 },
44753		{ "H1EDELTA", 0, 6 },
44754	{ "MAC_PORT_RX_LINKC_RECEIVER_INTERNAL_STATUS_2", 0x336e4, 0 },
44755		{ "STNDBYSTAT", 15, 1 },
44756		{ "CALSDONE", 14, 1 },
44757		{ "ACISRCCMP", 5, 1 },
44758		{ "PRBSOFFCMP", 4, 1 },
44759		{ "CLKALGNCMP", 3, 1 },
44760		{ "ROTFCMP", 2, 1 },
44761		{ "DCDCMP", 1, 1 },
44762		{ "QCCCMP", 0, 1 },
44763	{ "MAC_PORT_RX_LINKC_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x336e8, 0 },
44764		{ "FCSADJ", 6, 1 },
44765		{ "CSIND", 3, 2 },
44766		{ "CSVAL", 0, 3 },
44767	{ "MAC_PORT_RX_LINKC_RECEIVER_DCD_CONTROL", 0x336ec, 0 },
44768		{ "DCDTMDOUT", 15, 1 },
44769		{ "DCDTOEN", 14, 1 },
44770		{ "DCDLOCK", 13, 1 },
44771		{ "DCDSTEP", 11, 2 },
44772		{ "DCDALTWPDIS", 10, 1 },
44773		{ "DCDOVRDEN", 9, 1 },
44774		{ "DCCAOVRDEN", 8, 1 },
44775		{ "DCDSIGN", 6, 2 },
44776		{ "DCDAMP", 0, 6 },
44777	{ "MAC_PORT_RX_LINKC_RECEIVER_DCC_CONTROL", 0x336f0, 0 },
44778		{ "PRBSMODE", 14, 2 },
44779		{ "DCCSTEP", 10, 2 },
44780		{ "DCCOVRDEN", 9, 1 },
44781		{ "DCCLOCK", 8, 1 },
44782		{ "DCDSIGN", 6, 2 },
44783		{ "DCDAMP", 0, 6 },
44784	{ "MAC_PORT_RX_LINKC_RECEIVER_QCC_CONTROL", 0x336f4, 0 },
44785		{ "DCCQCCMODE", 15, 1 },
44786		{ "DCCQCCDYN", 14, 1 },
44787		{ "DCCQCCHOLD", 13, 1 },
44788		{ "QCCSTEP", 10, 2 },
44789		{ "QCCOVRDEN", 9, 1 },
44790		{ "QCCLOCK", 8, 1 },
44791		{ "QCCSIGN", 6, 2 },
44792		{ "QCDAMP", 0, 6 },
44793	{ "MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x336f8, 0 },
44794		{ "TSTCMP", 15, 1 },
44795		{ "SDLSSD", 5, 1 },
44796		{ "DFEOBSBIAS", 4, 1 },
44797		{ "GBOFSTLSSD", 3, 1 },
44798		{ "RXDOBS", 2, 1 },
44799		{ "ACJZPT", 1, 1 },
44800		{ "ACJZNT", 0, 1 },
44801	{ "MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_1", 0x336fc, 0 },
44802		{ "CALMODEEDGE", 14, 1 },
44803		{ "TESTCAP", 13, 1 },
44804		{ "SNAPEN", 12, 1 },
44805		{ "ASYNCDIR", 11, 1 },
44806		{ "PHSLOCK", 10, 1 },
44807		{ "TESTMODE", 9, 1 },
44808		{ "CALMODE", 8, 1 },
44809		{ "ACJPDP", 3, 1 },
44810		{ "ACJPDN", 2, 1 },
44811		{ "LSSDT", 1, 1 },
44812		{ "MTHOLD", 0, 1 },
44813	{ "MAC_PORT_RX_LINKD_RECEIVER_CONFIGURATION_MODE", 0x33700, 0 },
44814		{ "T5_RX_LINKEN", 15, 1 },
44815		{ "T5_RX_LINKRST", 14, 1 },
44816		{ "T5_RX_CFGWRT", 13, 1 },
44817		{ "T5_RX_CFGPTR", 11, 2 },
44818		{ "T5_RX_CFGEXT", 10, 1 },
44819		{ "T5_RX_CFGACT", 9, 1 },
44820		{ "T5_RX_MODE8023AZ", 8, 1 },
44821		{ "T5_RX_PLLSEL", 6, 2 },
44822		{ "T5_RX_DMSEL", 4, 2 },
44823		{ "T5_RX_BWSEL", 2, 2 },
44824		{ "T5_RX_RTSEL", 0, 2 },
44825	{ "MAC_PORT_RX_LINKD_RECEIVER_TEST_CONTROL", 0x33704, 0 },
44826		{ "APLYDCD", 15, 1 },
44827		{ "PPOL", 13, 2 },
44828		{ "PCLKSEL", 11, 2 },
44829		{ "FERRST", 10, 1 },
44830		{ "ERRST", 9, 1 },
44831		{ "SYNCST", 8, 1 },
44832		{ "WRPSM", 7, 1 },
44833		{ "WPLPEN", 6, 1 },
44834		{ "WRPMD", 5, 1 },
44835		{ "PRST", 4, 1 },
44836		{ "PCHKEN", 3, 1 },
44837		{ "PATSEL", 0, 3 },
44838	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_CONTROL", 0x33708, 0 },
44839		{ "FTHROT", 12, 4 },
44840		{ "RTHROT", 11, 1 },
44841		{ "FILTCTL", 7, 4 },
44842		{ "RSRVO", 5, 2 },
44843		{ "EXTEL", 4, 1 },
44844		{ "RSTUCK", 3, 1 },
44845		{ "FRZFW", 2, 1 },
44846		{ "RSTFW", 1, 1 },
44847		{ "SSCEN", 0, 1 },
44848	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_OFFSET_CONTROL", 0x3370c, 0 },
44849		{ "H1ANOFST", 12, 4 },
44850		{ "RSNP", 11, 1 },
44851		{ "TSOEN", 10, 1 },
44852		{ "TMSCAL", 8, 2 },
44853		{ "APADJ", 7, 1 },
44854		{ "RSEL", 6, 1 },
44855		{ "PHOFFS", 0, 6 },
44856	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_1", 0x33710, 0 },
44857		{ "ROTA", 8, 6 },
44858		{ "ROTD", 0, 6 },
44859	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_2", 0x33714, 0 },
44860		{ "FREQFW", 8, 8 },
44861		{ "FWSNAP", 7, 1 },
44862		{ "ROTE", 0, 6 },
44863	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x33718, 0 },
44864		{ "RCALER", 15, 1 },
44865		{ "RAOFFF", 8, 4 },
44866		{ "RAOFF", 0, 5 },
44867	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3371c, 0 },
44868		{ "RCALER", 15, 1 },
44869		{ "RDOFF", 0, 5 },
44870	{ "MAC_PORT_RX_LINKD_DFE_CONTROL", 0x33720, 0 },
44871		{ "REQCMP", 15, 1 },
44872		{ "DFEREQ", 14, 1 },
44873		{ "SPCEN", 13, 1 },
44874		{ "GATEEN", 12, 1 },
44875		{ "SPIFMT", 8, 4 },
44876		{ "STNDBY", 5, 1 },
44877		{ "FRCH", 4, 1 },
44878		{ "NONRND", 3, 1 },
44879		{ "NONRNF", 2, 1 },
44880		{ "FSTLCK", 1, 1 },
44881		{ "DFERST", 0, 1 },
44882	{ "MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_1", 0x33724, 0 },
44883		{ "T5BYTE1", 8, 8 },
44884		{ "T5BYTE0", 0, 8 },
44885	{ "MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_2", 0x33728, 0 },
44886		{ "REQWOV", 15, 1 },
44887		{ "RASEL", 11, 3 },
44888		{ "T5_RX_SMODE", 8, 3 },
44889		{ "T5_RX_ADCORR", 7, 1 },
44890		{ "T5_RX_TRAINEN", 6, 1 },
44891		{ "T5_RX_ASAMPQ", 3, 3 },
44892		{ "T5_RX_ASAMP", 0, 3 },
44893	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_1", 0x3372c, 0 },
44894		{ "WRAPSEL", 15, 1 },
44895		{ "ACTL", 14, 1 },
44896		{ "PEAK", 9, 5 },
44897		{ "VOFFA", 0, 6 },
44898	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_2", 0x33730, 0 },
44899		{ "FVOFFSKP", 15, 1 },
44900		{ "FGAINCHK", 14, 1 },
44901		{ "FH1ACAL", 13, 1 },
44902		{ "FH1AFLTR", 11, 2 },
44903		{ "T5SHORTV", 10, 1 },
44904		{ "WGAIN", 8, 2 },
44905		{ "GAIN_STAT", 7, 1 },
44906		{ "T5VGAIN", 0, 7 },
44907	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_3", 0x33734, 0 },
44908		{ "HBND1", 10, 1 },
44909		{ "HBND0", 9, 1 },
44910		{ "VLCKD", 8, 1 },
44911		{ "VLCKDF", 7, 1 },
44912		{ "AMAXT", 0, 7 },
44913	{ "MAC_PORT_RX_LINKD_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x33738, 0 },
44914		{ "PMCFG", 6, 2 },
44915		{ "PMOFFTIME", 0, 6 },
44916	{ "MAC_PORT_RX_LINKD_RECEIVER_IQAMP_CONTROL_1", 0x3373c, 0 },
44917		{ "SELI", 9, 1 },
44918		{ "SERVREF", 5, 3 },
44919		{ "IQAMP", 0, 5 },
44920	{ "MAC_PORT_RX_LINKD_RECEIVER_IQAMP_CONTROL_2", 0x33740, 0 },
44921	{ "MAC_PORT_RX_LINKD_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x33744, 0 },
44922		{ "SAVEADAC", 8, 1 },
44923		{ "LOAD2", 7, 1 },
44924		{ "LOAD1", 6, 1 },
44925		{ "WRTACC2", 5, 1 },
44926		{ "WRTACC1", 4, 1 },
44927		{ "SELAPAN", 3, 1 },
44928		{ "DASEL", 0, 3 },
44929	{ "MAC_PORT_RX_LINKD_RECEIVER_DACAP_AND_DACAN", 0x33748, 0 },
44930		{ "DACAN", 8, 8 },
44931		{ "DACAP", 0, 8 },
44932	{ "MAC_PORT_RX_LINKD_RECEIVER_DACA_MIN", 0x3374c, 0 },
44933		{ "DACAZ", 8, 8 },
44934		{ "DACAM", 0, 8 },
44935	{ "MAC_PORT_RX_LINKD_RECEIVER_ADAC_CONTROL", 0x33750, 0 },
44936		{ "ADAC2", 8, 8 },
44937		{ "ADAC1", 0, 8 },
44938	{ "MAC_PORT_RX_LINKD_RECEIVER_AC_COUPLING_CONTROL", 0x33754, 0 },
44939		{ "FACCPLDYN", 13, 1 },
44940		{ "ACCPLGAIN", 10, 3 },
44941		{ "ACCPLREF", 8, 2 },
44942		{ "ACCPLSTEP", 6, 2 },
44943		{ "ACCPLASTEP", 1, 5 },
44944		{ "FACCPL", 0, 1 },
44945	{ "MAC_PORT_RX_LINKD_RECEIVER_AC_COUPLING_VALUE", 0x33758, 0 },
44946		{ "ACCPLMEANS", 15, 1 },
44947		{ "CDROVREN", 8, 1 },
44948		{ "ACCPLBIAS", 0, 8 },
44949	{ "MAC_PORT_RX_LINKD_DFE_H1H2H3_LOCAL_OFFSET", 0x3375c, 0 },
44950	{ "MAC_PORT_RX_LINKD_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x33760, 0 },
44951		{ "H1OX", 8, 6 },
44952		{ "H1EX", 0, 6 },
44953	{ "MAC_PORT_RX_LINKD_PEAKED_INTEGRATOR", 0x33764, 0 },
44954		{ "PILOCK", 10, 1 },
44955		{ "UNPKPKA", 2, 6 },
44956		{ "UNPKVGA", 0, 2 },
44957	{ "MAC_PORT_RX_LINKD_CDR_ANALOG_SWITCH", 0x33768, 0 },
44958		{ "OVRAC", 15, 1 },
44959		{ "OVRPK", 14, 1 },
44960		{ "OVRTAILS", 12, 2 },
44961		{ "OVRTAILV", 9, 3 },
44962		{ "OVRCAP", 8, 1 },
44963		{ "OVRDCDPRE", 7, 1 },
44964		{ "OVRDCDPST", 6, 1 },
44965		{ "DCVSCTMODE", 2, 1 },
44966		{ "CDRANLGSW", 0, 2 },
44967	{ "MAC_PORT_RX_LINKD_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x3376c, 0 },
44968		{ "PFLAG", 5, 2 },
44969	{ "MAC_PORT_RX_LINKD_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x33770, 0 },
44970		{ "DACCLIP", 15, 1 },
44971		{ "DPCFRZ", 14, 1 },
44972		{ "DPCCVG", 13, 1 },
44973		{ "DACCVG", 12, 1 },
44974		{ "DPCLKNQ", 11, 1 },
44975		{ "DPCWDFE", 10, 1 },
44976		{ "DPCWPK", 9, 1 },
44977		{ "BLKH1T", 8, 1 },
44978		{ "BLKOAE", 7, 1 },
44979		{ "H1TGT", 4, 3 },
44980		{ "OAE", 0, 4 },
44981	{ "MAC_PORT_RX_LINKD_DYNAMIC_DATA_CENTERING_DDC", 0x33774, 0 },
44982		{ "OLS", 11, 5 },
44983		{ "OES", 6, 5 },
44984		{ "BLKODEC", 5, 1 },
44985		{ "VIEWSCAN", 4, 1 },
44986		{ "ODEC", 0, 4 },
44987	{ "MAC_PORT_RX_LINKD_RECEIVER_INTERNAL_STATUS", 0x33778, 0 },
44988		{ "T5BER6VAL", 15, 1 },
44989		{ "T5BER6", 14, 1 },
44990		{ "T5BER3VAL", 13, 1 },
44991		{ "T5TOOFAST", 12, 1 },
44992		{ "ACCCMP", 11, 1 },
44993		{ "DCCCMP", 10, 1 },
44994		{ "T5DPCCMP", 9, 1 },
44995		{ "T5DACCMP", 8, 1 },
44996		{ "T5DDCCMP", 7, 1 },
44997		{ "T5AERRFLG", 6, 1 },
44998		{ "T5WERRFLG", 5, 1 },
44999		{ "T5TRCMP", 4, 1 },
45000		{ "T5VLCKF", 3, 1 },
45001		{ "T5ROCCMP", 2, 1 },
45002		{ "T5IQCMP", 1, 1 },
45003		{ "T5OCCMP", 0, 1 },
45004	{ "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_1", 0x3377c, 0 },
45005		{ "FDPC", 15, 1 },
45006		{ "FDAC", 14, 1 },
45007		{ "FDDC", 13, 1 },
45008		{ "FNRND", 12, 1 },
45009		{ "FVGAIN", 11, 1 },
45010		{ "FVOFF", 10, 1 },
45011		{ "FSDET", 9, 1 },
45012		{ "FBER6", 8, 1 },
45013		{ "FROTO", 7, 1 },
45014		{ "FH4H5", 6, 1 },
45015		{ "FH2H3", 5, 1 },
45016		{ "FH1", 4, 1 },
45017		{ "FH1SN", 3, 1 },
45018		{ "FNRDF", 2, 1 },
45019		{ "FLOFF", 1, 1 },
45020		{ "FADAC", 0, 1 },
45021	{ "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_2", 0x33780, 0 },
45022		{ "H25SPC", 15, 1 },
45023		{ "FDCCAL", 14, 1 },
45024		{ "FROTCAL", 13, 1 },
45025		{ "FIQAMP", 12, 1 },
45026		{ "FRPTCALF", 11, 1 },
45027		{ "FINTCALGS", 10, 1 },
45028		{ "FDCC", 9, 1 },
45029		{ "FTOOFAST", 8, 1 },
45030		{ "FDCD", 7, 1 },
45031		{ "FDINV", 6, 1 },
45032		{ "FHGS", 5, 1 },
45033		{ "FH6H12", 4, 1 },
45034		{ "FH1CAL", 3, 1 },
45035		{ "FINTCAL", 2, 1 },
45036		{ "FINTRCALDYN", 1, 1 },
45037		{ "FQCC", 0, 1 },
45038	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_CHANNEL", 0x33784, 0 },
45039		{ "QCCIND", 13, 1 },
45040		{ "DCDIND", 10, 3 },
45041		{ "DCCIND", 8, 2 },
45042		{ "CFSEL", 5, 1 },
45043		{ "LOFCH", 0, 5 },
45044	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_VALUE", 0x33788, 0 },
45045		{ "LOFU", 8, 7 },
45046		{ "LOFL", 0, 7 },
45047	{ "MAC_PORT_RX_LINKD_H_COEFFICIENBT_BIST", 0x3378c, 0 },
45048		{ "HBISTMAN", 12, 1 },
45049		{ "HBISTRES", 11, 1 },
45050		{ "HBISTSP", 8, 3 },
45051		{ "HBISTEN", 7, 1 },
45052		{ "HBISTRST", 6, 1 },
45053		{ "HCOMP", 5, 1 },
45054		{ "HPASS", 4, 1 },
45055		{ "HSEL", 0, 4 },
45056	{ "MAC_PORT_RX_LINKD_AC_CAPACITOR_BIST", 0x33790, 0 },
45057		{ "ACCCMP", 13, 1 },
45058		{ "ACCEN", 12, 1 },
45059		{ "ACCRST", 11, 1 },
45060		{ "ACCIND", 8, 3 },
45061		{ "ACCRD", 0, 8 },
45062	{ "MAC_PORT_RX_LINKD_RECEIVER_LOFF_CONTROL_REGISTER", 0x33798, 0 },
45063		{ "LFREG", 15, 1 },
45064		{ "LFRC", 14, 1 },
45065		{ "LGIDLE", 13, 1 },
45066		{ "LFTGT", 8, 5 },
45067		{ "LGTGT", 7, 1 },
45068		{ "LRDY", 6, 1 },
45069		{ "LIDLE", 5, 1 },
45070		{ "LCURR", 0, 5 },
45071	{ "MAC_PORT_RX_LINKD_RECEIVER_SIGDET_CONTROL", 0x3379c, 0 },
45072		{ "OFFSN", 13, 2 },
45073		{ "OFFAMP", 8, 5 },
45074		{ "SDACDC", 7, 1 },
45075		{ "SDPDN", 6, 1 },
45076		{ "SIGDET", 5, 1 },
45077		{ "SDLVL", 0, 5 },
45078	{ "MAC_PORT_RX_LINKD_RECEIVER_ANALOG_CONTROL_SWITCH", 0x337a0, 0 },
45079		{ "RX_OVRSUMPD", 15, 1 },
45080		{ "RX_OVRKBPD", 14, 1 },
45081		{ "RX_OVRDIVPD", 13, 1 },
45082		{ "RX_OFFVGADIS", 12, 1 },
45083		{ "RX_OFFACDIS", 11, 1 },
45084		{ "RX_VTERM", 10, 1 },
45085		{ "RX_DISSPY2D", 8, 1 },
45086		{ "RX_OBSOVEN", 7, 1 },
45087		{ "RX_LINKANLGSW", 0, 7 },
45088	{ "MAC_PORT_RX_LINKD_INTEGRATOR_DAC_OFFSET", 0x337a4, 0 },
45089		{ "INTDACEGS", 13, 3 },
45090		{ "INTDACE", 8, 5 },
45091		{ "INTDACGS", 6, 2 },
45092		{ "INTDAC", 0, 6 },
45093	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_CONTROL", 0x337a8, 0 },
45094		{ "BLKAZ", 15, 1 },
45095		{ "WIDTH", 10, 5 },
45096		{ "MINWDTH", 5, 5 },
45097		{ "MINAMP", 0, 5 },
45098	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS", 0x337ac, 0 },
45099		{ "SMQM", 13, 3 },
45100		{ "SMQ", 5, 8 },
45101		{ "EMMD", 3, 2 },
45102		{ "EMBRDY", 2, 1 },
45103		{ "EMBUMP", 1, 1 },
45104		{ "EMEN", 0, 1 },
45105	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x337b0, 0 },
45106		{ "EMSF", 13, 1 },
45107		{ "EMDATA59", 12, 1 },
45108		{ "EMCNT", 4, 8 },
45109		{ "EMOFLO", 2, 1 },
45110		{ "EMCRST", 1, 1 },
45111		{ "EMCEN", 0, 1 },
45112	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x337b4, 0 },
45113		{ "SM2RDY", 15, 1 },
45114		{ "SM2RST", 14, 1 },
45115		{ "APDF", 0, 12 },
45116	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x337b8, 0 },
45117	{ "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_3", 0x337bc, 0 },
45118		{ "FTIMEOUT", 15, 1 },
45119		{ "FROTCAL4", 14, 1 },
45120		{ "FDCD2", 13, 1 },
45121		{ "FPRBSPOLTOG", 12, 1 },
45122		{ "FPRBSOFF2", 11, 1 },
45123		{ "FDDCAL2", 10, 1 },
45124		{ "FDDCFLTR", 9, 1 },
45125		{ "FDAC6", 8, 1 },
45126		{ "FDDC5", 7, 1 },
45127		{ "FDDC3456", 6, 1 },
45128		{ "FSPY2DATA", 5, 1 },
45129		{ "FPHSLOCK", 4, 1 },
45130		{ "FCLKALGN", 3, 1 },
45131		{ "FCLKALDYN", 2, 1 },
45132		{ "FDFE", 1, 1 },
45133		{ "FPRBSOFF", 0, 1 },
45134	{ "MAC_PORT_RX_LINKD_DFE_TAP_CONTROL", 0x337c0, 0 },
45135	{ "MAC_PORT_RX_LINKD_DFE_TAP", 0x337c4, 0 },
45136	{ "MAC_PORT_RX_LINKD_DFE_TAP_ENABLE", 0x32f00, 0 },
45137		{ "INDEX", 1, 15 },
45138	{ "MAC_PORT_RX_LINKD_DFE_H1", 0x32f04, 0 },
45139		{ "H1OSN", 13, 3 },
45140		{ "H1OMAG", 8, 5 },
45141		{ "H1ESN", 6, 2 },
45142		{ "H1EMAG", 0, 6 },
45143	{ "MAC_PORT_RX_LINKD_DFE_H2", 0x32f08, 0 },
45144		{ "H2OSN", 13, 2 },
45145		{ "H2OMAG", 8, 5 },
45146		{ "H2ESN", 5, 2 },
45147		{ "H2EMAG", 0, 5 },
45148	{ "MAC_PORT_RX_LINKD_DFE_H3", 0x32f0c, 0 },
45149		{ "H3OSN", 12, 2 },
45150		{ "H3OMAG", 8, 4 },
45151		{ "H3ESN", 4, 2 },
45152		{ "H3EMAG", 0, 4 },
45153	{ "MAC_PORT_RX_LINKD_DFE_H4", 0x32f10, 0 },
45154		{ "H4SN", 4, 2 },
45155		{ "H4MAG", 0, 4 },
45156	{ "MAC_PORT_RX_LINKD_DFE_H5", 0x32f14, 0 },
45157		{ "H5GS", 6, 2 },
45158		{ "H5SN", 4, 2 },
45159		{ "H5MAG", 0, 4 },
45160	{ "MAC_PORT_RX_LINKD_DFE_H6_AND_H7", 0x32f18, 0 },
45161		{ "H7GS", 14, 2 },
45162		{ "H7SN", 12, 2 },
45163		{ "H7MAG", 8, 4 },
45164		{ "H6GS", 6, 2 },
45165		{ "H6SN", 4, 2 },
45166		{ "H6MAG", 0, 4 },
45167	{ "MAC_PORT_RX_LINKD_DFE_H8_AND_H9", 0x32f1c, 0 },
45168		{ "H9GS", 14, 2 },
45169		{ "H9SN", 12, 2 },
45170		{ "H9MAG", 8, 4 },
45171		{ "H8GS", 6, 2 },
45172		{ "H8SN", 4, 2 },
45173		{ "H8MAG", 0, 4 },
45174	{ "MAC_PORT_RX_LINKD_DFE_H10_AND_H11", 0x32f20, 0 },
45175		{ "H11GS", 14, 2 },
45176		{ "H11SN", 12, 2 },
45177		{ "H11MAG", 8, 4 },
45178		{ "H10GS", 6, 2 },
45179		{ "H10SN", 4, 2 },
45180		{ "H10MAG", 0, 4 },
45181	{ "MAC_PORT_RX_LINKD_DFE_H12_13", 0x32f24, 0 },
45182		{ "H13GS", 13, 3 },
45183		{ "H13SN", 10, 3 },
45184		{ "H13MAG", 8, 2 },
45185		{ "H12GS", 6, 2 },
45186		{ "H12SN", 4, 2 },
45187		{ "H12MAG", 0, 4 },
45188	{ "MAC_PORT_RX_LINKD_DFE_H14_15", 0x32f28, 0 },
45189		{ "H15GS", 13, 3 },
45190		{ "H15SN", 10, 3 },
45191		{ "H15MAG", 8, 2 },
45192		{ "H14GS", 6, 2 },
45193		{ "H14SN", 4, 2 },
45194		{ "H14MAG", 0, 4 },
45195	{ "MAC_PORT_RX_LINKD_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x32f2c, 0 },
45196		{ "H1ODELTA", 8, 5 },
45197		{ "H1EDELTA", 0, 6 },
45198	{ "MAC_PORT_RX_LINKD_RECEIVER_INTERNAL_STATUS_2", 0x337e4, 0 },
45199		{ "STNDBYSTAT", 15, 1 },
45200		{ "CALSDONE", 14, 1 },
45201		{ "ACISRCCMP", 5, 1 },
45202		{ "PRBSOFFCMP", 4, 1 },
45203		{ "CLKALGNCMP", 3, 1 },
45204		{ "ROTFCMP", 2, 1 },
45205		{ "DCDCMP", 1, 1 },
45206		{ "QCCCMP", 0, 1 },
45207	{ "MAC_PORT_RX_LINKD_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x337e8, 0 },
45208		{ "FCSADJ", 6, 1 },
45209		{ "CSIND", 3, 2 },
45210		{ "CSVAL", 0, 3 },
45211	{ "MAC_PORT_RX_LINKD_RECEIVER_DCD_CONTROL", 0x337ec, 0 },
45212		{ "DCDTMDOUT", 15, 1 },
45213		{ "DCDTOEN", 14, 1 },
45214		{ "DCDLOCK", 13, 1 },
45215		{ "DCDSTEP", 11, 2 },
45216		{ "DCDALTWPDIS", 10, 1 },
45217		{ "DCDOVRDEN", 9, 1 },
45218		{ "DCCAOVRDEN", 8, 1 },
45219		{ "DCDSIGN", 6, 2 },
45220		{ "DCDAMP", 0, 6 },
45221	{ "MAC_PORT_RX_LINKD_RECEIVER_DCC_CONTROL", 0x337f0, 0 },
45222		{ "PRBSMODE", 14, 2 },
45223		{ "DCCSTEP", 10, 2 },
45224		{ "DCCOVRDEN", 9, 1 },
45225		{ "DCCLOCK", 8, 1 },
45226		{ "DCDSIGN", 6, 2 },
45227		{ "DCDAMP", 0, 6 },
45228	{ "MAC_PORT_RX_LINKD_RECEIVER_QCC_CONTROL", 0x337f4, 0 },
45229		{ "DCCQCCMODE", 15, 1 },
45230		{ "DCCQCCDYN", 14, 1 },
45231		{ "DCCQCCHOLD", 13, 1 },
45232		{ "QCCSTEP", 10, 2 },
45233		{ "QCCOVRDEN", 9, 1 },
45234		{ "QCCLOCK", 8, 1 },
45235		{ "QCCSIGN", 6, 2 },
45236		{ "QCDAMP", 0, 6 },
45237	{ "MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x337f8, 0 },
45238		{ "TSTCMP", 15, 1 },
45239		{ "SDLSSD", 5, 1 },
45240		{ "DFEOBSBIAS", 4, 1 },
45241		{ "GBOFSTLSSD", 3, 1 },
45242		{ "RXDOBS", 2, 1 },
45243		{ "ACJZPT", 1, 1 },
45244		{ "ACJZNT", 0, 1 },
45245	{ "MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_1", 0x337fc, 0 },
45246		{ "CALMODEEDGE", 14, 1 },
45247		{ "TESTCAP", 13, 1 },
45248		{ "SNAPEN", 12, 1 },
45249		{ "ASYNCDIR", 11, 1 },
45250		{ "PHSLOCK", 10, 1 },
45251		{ "TESTMODE", 9, 1 },
45252		{ "CALMODE", 8, 1 },
45253		{ "ACJPDP", 3, 1 },
45254		{ "ACJPDN", 2, 1 },
45255		{ "LSSDT", 1, 1 },
45256		{ "MTHOLD", 0, 1 },
45257	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_CONFIGURATION_MODE", 0x33a00, 0 },
45258		{ "T5_RX_LINKEN", 15, 1 },
45259		{ "T5_RX_LINKRST", 14, 1 },
45260		{ "T5_RX_CFGWRT", 13, 1 },
45261		{ "T5_RX_CFGPTR", 11, 2 },
45262		{ "T5_RX_CFGEXT", 10, 1 },
45263		{ "T5_RX_CFGACT", 9, 1 },
45264		{ "T5_RX_MODE8023AZ", 8, 1 },
45265		{ "T5_RX_PLLSEL", 6, 2 },
45266		{ "T5_RX_DMSEL", 4, 2 },
45267		{ "T5_RX_BWSEL", 2, 2 },
45268		{ "T5_RX_RTSEL", 0, 2 },
45269	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_TEST_CONTROL", 0x33a04, 0 },
45270		{ "APLYDCD", 15, 1 },
45271		{ "PPOL", 13, 2 },
45272		{ "PCLKSEL", 11, 2 },
45273		{ "FERRST", 10, 1 },
45274		{ "ERRST", 9, 1 },
45275		{ "SYNCST", 8, 1 },
45276		{ "WRPSM", 7, 1 },
45277		{ "WPLPEN", 6, 1 },
45278		{ "WRPMD", 5, 1 },
45279		{ "PRST", 4, 1 },
45280		{ "PCHKEN", 3, 1 },
45281		{ "PATSEL", 0, 3 },
45282	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_CONTROL", 0x33a08, 0 },
45283		{ "FTHROT", 12, 4 },
45284		{ "RTHROT", 11, 1 },
45285		{ "FILTCTL", 7, 4 },
45286		{ "RSRVO", 5, 2 },
45287		{ "EXTEL", 4, 1 },
45288		{ "RSTUCK", 3, 1 },
45289		{ "FRZFW", 2, 1 },
45290		{ "RSTFW", 1, 1 },
45291		{ "SSCEN", 0, 1 },
45292	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_OFFSET_CONTROL", 0x33a0c, 0 },
45293		{ "H1ANOFST", 12, 4 },
45294		{ "RSNP", 11, 1 },
45295		{ "TSOEN", 10, 1 },
45296		{ "TMSCAL", 8, 2 },
45297		{ "APADJ", 7, 1 },
45298		{ "RSEL", 6, 1 },
45299		{ "PHOFFS", 0, 6 },
45300	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_1", 0x33a10, 0 },
45301		{ "ROTA", 8, 6 },
45302		{ "ROTD", 0, 6 },
45303	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_2", 0x33a14, 0 },
45304		{ "FREQFW", 8, 8 },
45305		{ "FWSNAP", 7, 1 },
45306		{ "ROTE", 0, 6 },
45307	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x33a18, 0 },
45308		{ "RCALER", 15, 1 },
45309		{ "RAOFFF", 8, 4 },
45310		{ "RAOFF", 0, 5 },
45311	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x33a1c, 0 },
45312		{ "RCALER", 15, 1 },
45313		{ "RDOFF", 0, 5 },
45314	{ "MAC_PORT_RX_LINK_BCST_DFE_CONTROL", 0x33a20, 0 },
45315		{ "REQCMP", 15, 1 },
45316		{ "DFEREQ", 14, 1 },
45317		{ "SPCEN", 13, 1 },
45318		{ "GATEEN", 12, 1 },
45319		{ "SPIFMT", 8, 4 },
45320		{ "STNDBY", 5, 1 },
45321		{ "FRCH", 4, 1 },
45322		{ "NONRND", 3, 1 },
45323		{ "NONRNF", 2, 1 },
45324		{ "FSTLCK", 1, 1 },
45325		{ "DFERST", 0, 1 },
45326	{ "MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_1", 0x33a24, 0 },
45327		{ "T5BYTE1", 8, 8 },
45328		{ "T5BYTE0", 0, 8 },
45329	{ "MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_2", 0x33a28, 0 },
45330		{ "REQWOV", 15, 1 },
45331		{ "RASEL", 11, 3 },
45332		{ "T5_RX_SMODE", 8, 3 },
45333		{ "T5_RX_ADCORR", 7, 1 },
45334		{ "T5_RX_TRAINEN", 6, 1 },
45335		{ "T5_RX_ASAMPQ", 3, 3 },
45336		{ "T5_RX_ASAMP", 0, 3 },
45337	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_1", 0x33a2c, 0 },
45338		{ "WRAPSEL", 15, 1 },
45339		{ "ACTL", 14, 1 },
45340		{ "PEAK", 9, 5 },
45341		{ "VOFFA", 0, 6 },
45342	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_2", 0x33a30, 0 },
45343		{ "FVOFFSKP", 15, 1 },
45344		{ "FGAINCHK", 14, 1 },
45345		{ "FH1ACAL", 13, 1 },
45346		{ "FH1AFLTR", 11, 2 },
45347		{ "T5SHORTV", 10, 1 },
45348		{ "WGAIN", 8, 2 },
45349		{ "GAIN_STAT", 7, 1 },
45350		{ "T5VGAIN", 0, 7 },
45351	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_3", 0x33a34, 0 },
45352		{ "HBND1", 10, 1 },
45353		{ "HBND0", 9, 1 },
45354		{ "VLCKD", 8, 1 },
45355		{ "VLCKDF", 7, 1 },
45356		{ "AMAXT", 0, 7 },
45357	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x33a38, 0 },
45358		{ "PMCFG", 6, 2 },
45359		{ "PMOFFTIME", 0, 6 },
45360	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_IQAMP_CONTROL_1", 0x33a3c, 0 },
45361		{ "SELI", 9, 1 },
45362		{ "SERVREF", 5, 3 },
45363		{ "IQAMP", 0, 5 },
45364	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_IQAMP_CONTROL_2", 0x33a40, 0 },
45365	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x33a44, 0 },
45366		{ "SAVEADAC", 8, 1 },
45367		{ "LOAD2", 7, 1 },
45368		{ "LOAD1", 6, 1 },
45369		{ "WRTACC2", 5, 1 },
45370		{ "WRTACC1", 4, 1 },
45371		{ "SELAPAN", 3, 1 },
45372		{ "DASEL", 0, 3 },
45373	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DACAP_AND_DACAN", 0x33a48, 0 },
45374		{ "DACAN", 8, 8 },
45375		{ "DACAP", 0, 8 },
45376	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DACA_MIN", 0x33a4c, 0 },
45377		{ "DACAZ", 8, 8 },
45378		{ "DACAM", 0, 8 },
45379	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_ADAC_CONTROL", 0x33a50, 0 },
45380		{ "ADAC2", 8, 8 },
45381		{ "ADAC1", 0, 8 },
45382	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_AC_COUPLING_CONTROL", 0x33a54, 0 },
45383		{ "FACCPLDYN", 13, 1 },
45384		{ "ACCPLGAIN", 10, 3 },
45385		{ "ACCPLREF", 8, 2 },
45386		{ "ACCPLSTEP", 6, 2 },
45387		{ "ACCPLASTEP", 1, 5 },
45388		{ "FACCPL", 0, 1 },
45389	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_AC_COUPLING_VALUE", 0x33a58, 0 },
45390		{ "ACCPLMEANS", 15, 1 },
45391		{ "CDROVREN", 8, 1 },
45392		{ "ACCPLBIAS", 0, 8 },
45393	{ "MAC_PORT_RX_LINK_BCST_DFE_H1H2H3_LOCAL_OFFSET", 0x33a5c, 0 },
45394	{ "MAC_PORT_RX_LINK_BCST_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x33a60, 0 },
45395		{ "H1OX", 8, 6 },
45396		{ "H1EX", 0, 6 },
45397	{ "MAC_PORT_RX_LINK_BCST_PEAKED_INTEGRATOR", 0x33a64, 0 },
45398		{ "PILOCK", 10, 1 },
45399		{ "UNPKPKA", 2, 6 },
45400		{ "UNPKVGA", 0, 2 },
45401	{ "MAC_PORT_RX_LINK_BCST_CDR_ANALOG_SWITCH", 0x33a68, 0 },
45402		{ "OVRAC", 15, 1 },
45403		{ "OVRPK", 14, 1 },
45404		{ "OVRTAILS", 12, 2 },
45405		{ "OVRTAILV", 9, 3 },
45406		{ "OVRCAP", 8, 1 },
45407		{ "OVRDCDPRE", 7, 1 },
45408		{ "OVRDCDPST", 6, 1 },
45409		{ "DCVSCTMODE", 2, 1 },
45410		{ "CDRANLGSW", 0, 2 },
45411	{ "MAC_PORT_RX_LINK_BCST_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x33a6c, 0 },
45412		{ "PFLAG", 5, 2 },
45413	{ "MAC_PORT_RX_LINK_BCST_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x33a70, 0 },
45414		{ "DACCLIP", 15, 1 },
45415		{ "DPCFRZ", 14, 1 },
45416		{ "DPCCVG", 13, 1 },
45417		{ "DACCVG", 12, 1 },
45418		{ "DPCLKNQ", 11, 1 },
45419		{ "DPCWDFE", 10, 1 },
45420		{ "DPCWPK", 9, 1 },
45421		{ "BLKH1T", 8, 1 },
45422		{ "BLKOAE", 7, 1 },
45423		{ "H1TGT", 4, 3 },
45424		{ "OAE", 0, 4 },
45425	{ "MAC_PORT_RX_LINK_BCST_DYNAMIC_DATA_CENTERING_DDC", 0x33a74, 0 },
45426		{ "OLS", 11, 5 },
45427		{ "OES", 6, 5 },
45428		{ "BLKODEC", 5, 1 },
45429		{ "VIEWSCAN", 4, 1 },
45430		{ "ODEC", 0, 4 },
45431	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_INTERNAL_STATUS", 0x33a78, 0 },
45432		{ "T5BER6VAL", 15, 1 },
45433		{ "T5BER6", 14, 1 },
45434		{ "T5BER3VAL", 13, 1 },
45435		{ "T5TOOFAST", 12, 1 },
45436		{ "ACCCMP", 11, 1 },
45437		{ "DCCCMP", 10, 1 },
45438		{ "T5DPCCMP", 9, 1 },
45439		{ "T5DACCMP", 8, 1 },
45440		{ "T5DDCCMP", 7, 1 },
45441		{ "T5AERRFLG", 6, 1 },
45442		{ "T5WERRFLG", 5, 1 },
45443		{ "T5TRCMP", 4, 1 },
45444		{ "T5VLCKF", 3, 1 },
45445		{ "T5ROCCMP", 2, 1 },
45446		{ "T5IQCMP", 1, 1 },
45447		{ "T5OCCMP", 0, 1 },
45448	{ "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_1", 0x33a7c, 0 },
45449		{ "FDPC", 15, 1 },
45450		{ "FDAC", 14, 1 },
45451		{ "FDDC", 13, 1 },
45452		{ "FNRND", 12, 1 },
45453		{ "FVGAIN", 11, 1 },
45454		{ "FVOFF", 10, 1 },
45455		{ "FSDET", 9, 1 },
45456		{ "FBER6", 8, 1 },
45457		{ "FROTO", 7, 1 },
45458		{ "FH4H5", 6, 1 },
45459		{ "FH2H3", 5, 1 },
45460		{ "FH1", 4, 1 },
45461		{ "FH1SN", 3, 1 },
45462		{ "FNRDF", 2, 1 },
45463		{ "FLOFF", 1, 1 },
45464		{ "FADAC", 0, 1 },
45465	{ "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_2", 0x33a80, 0 },
45466		{ "H25SPC", 15, 1 },
45467		{ "FDCCAL", 14, 1 },
45468		{ "FROTCAL", 13, 1 },
45469		{ "FIQAMP", 12, 1 },
45470		{ "FRPTCALF", 11, 1 },
45471		{ "FINTCALGS", 10, 1 },
45472		{ "FDCC", 9, 1 },
45473		{ "FTOOFAST", 8, 1 },
45474		{ "FDCD", 7, 1 },
45475		{ "FDINV", 6, 1 },
45476		{ "FHGS", 5, 1 },
45477		{ "FH6H12", 4, 1 },
45478		{ "FH1CAL", 3, 1 },
45479		{ "FINTCAL", 2, 1 },
45480		{ "FINTRCALDYN", 1, 1 },
45481		{ "FQCC", 0, 1 },
45482	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_CHANNEL", 0x33a84, 0 },
45483		{ "QCCIND", 13, 1 },
45484		{ "DCDIND", 10, 3 },
45485		{ "DCCIND", 8, 2 },
45486		{ "CFSEL", 5, 1 },
45487		{ "LOFCH", 0, 5 },
45488	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_VALUE", 0x33a88, 0 },
45489		{ "LOFU", 8, 7 },
45490		{ "LOFL", 0, 7 },
45491	{ "MAC_PORT_RX_LINK_BCST_H_COEFFICIENBT_BIST", 0x33a8c, 0 },
45492		{ "HBISTMAN", 12, 1 },
45493		{ "HBISTRES", 11, 1 },
45494		{ "HBISTSP", 8, 3 },
45495		{ "HBISTEN", 7, 1 },
45496		{ "HBISTRST", 6, 1 },
45497		{ "HCOMP", 5, 1 },
45498		{ "HPASS", 4, 1 },
45499		{ "HSEL", 0, 4 },
45500	{ "MAC_PORT_RX_LINK_BCST_AC_CAPACITOR_BIST", 0x33a90, 0 },
45501		{ "ACCCMP", 13, 1 },
45502		{ "ACCEN", 12, 1 },
45503		{ "ACCRST", 11, 1 },
45504		{ "ACCIND", 8, 3 },
45505		{ "ACCRD", 0, 8 },
45506	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_LOFF_CONTROL_REGISTER", 0x33a98, 0 },
45507		{ "LFREG", 15, 1 },
45508		{ "LFRC", 14, 1 },
45509		{ "LGIDLE", 13, 1 },
45510		{ "LFTGT", 8, 5 },
45511		{ "LGTGT", 7, 1 },
45512		{ "LRDY", 6, 1 },
45513		{ "LIDLE", 5, 1 },
45514		{ "LCURR", 0, 5 },
45515	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_SIGDET_CONTROL", 0x33a9c, 0 },
45516		{ "OFFSN", 13, 2 },
45517		{ "OFFAMP", 8, 5 },
45518		{ "SDACDC", 7, 1 },
45519		{ "SDPDN", 6, 1 },
45520		{ "SIGDET", 5, 1 },
45521		{ "SDLVL", 0, 5 },
45522	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_ANALOG_CONTROL_SWITCH", 0x33aa0, 0 },
45523		{ "RX_OVRSUMPD", 15, 1 },
45524		{ "RX_OVRKBPD", 14, 1 },
45525		{ "RX_OVRDIVPD", 13, 1 },
45526		{ "RX_OFFVGADIS", 12, 1 },
45527		{ "RX_OFFACDIS", 11, 1 },
45528		{ "RX_VTERM", 10, 1 },
45529		{ "RX_DISSPY2D", 8, 1 },
45530		{ "RX_OBSOVEN", 7, 1 },
45531		{ "RX_LINKANLGSW", 0, 7 },
45532	{ "MAC_PORT_RX_LINK_BCST_INTEGRATOR_DAC_OFFSET", 0x33aa4, 0 },
45533		{ "INTDACEGS", 13, 3 },
45534		{ "INTDACE", 8, 5 },
45535		{ "INTDACGS", 6, 2 },
45536		{ "INTDAC", 0, 6 },
45537	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_CONTROL", 0x33aa8, 0 },
45538		{ "BLKAZ", 15, 1 },
45539		{ "WIDTH", 10, 5 },
45540		{ "MINWDTH", 5, 5 },
45541		{ "MINAMP", 0, 5 },
45542	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS", 0x33aac, 0 },
45543		{ "SMQM", 13, 3 },
45544		{ "SMQ", 5, 8 },
45545		{ "EMMD", 3, 2 },
45546		{ "EMBRDY", 2, 1 },
45547		{ "EMBUMP", 1, 1 },
45548		{ "EMEN", 0, 1 },
45549	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x33ab0, 0 },
45550		{ "EMSF", 13, 1 },
45551		{ "EMDATA59", 12, 1 },
45552		{ "EMCNT", 4, 8 },
45553		{ "EMOFLO", 2, 1 },
45554		{ "EMCRST", 1, 1 },
45555		{ "EMCEN", 0, 1 },
45556	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x33ab4, 0 },
45557		{ "SM2RDY", 15, 1 },
45558		{ "SM2RST", 14, 1 },
45559		{ "APDF", 0, 12 },
45560	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x33ab8, 0 },
45561	{ "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_3", 0x33abc, 0 },
45562		{ "FTIMEOUT", 15, 1 },
45563		{ "FROTCAL4", 14, 1 },
45564		{ "FDCD2", 13, 1 },
45565		{ "FPRBSPOLTOG", 12, 1 },
45566		{ "FPRBSOFF2", 11, 1 },
45567		{ "FDDCAL2", 10, 1 },
45568		{ "FDDCFLTR", 9, 1 },
45569		{ "FDAC6", 8, 1 },
45570		{ "FDDC5", 7, 1 },
45571		{ "FDDC3456", 6, 1 },
45572		{ "FSPY2DATA", 5, 1 },
45573		{ "FPHSLOCK", 4, 1 },
45574		{ "FCLKALGN", 3, 1 },
45575		{ "FCLKALDYN", 2, 1 },
45576		{ "FDFE", 1, 1 },
45577		{ "FPRBSOFF", 0, 1 },
45578	{ "MAC_PORT_RX_LINK_BCST_DFE_TAP_CONTROL", 0x33ac0, 0 },
45579	{ "MAC_PORT_RX_LINK_BCST_DFE_TAP", 0x33ac4, 0 },
45580	{ "MAC_PORT_RX_LINK_BCST_DFE_TAP_ENABLE", 0x33200, 0 },
45581		{ "INDEX", 1, 15 },
45582	{ "MAC_PORT_RX_LINK_BCST_DFE_H1", 0x33204, 0 },
45583		{ "H1OSN", 13, 3 },
45584		{ "H1OMAG", 8, 5 },
45585		{ "H1ESN", 6, 2 },
45586		{ "H1EMAG", 0, 6 },
45587	{ "MAC_PORT_RX_LINK_BCST_DFE_H2", 0x33208, 0 },
45588		{ "H2OSN", 13, 2 },
45589		{ "H2OMAG", 8, 5 },
45590		{ "H2ESN", 5, 2 },
45591		{ "H2EMAG", 0, 5 },
45592	{ "MAC_PORT_RX_LINK_BCST_DFE_H3", 0x3320c, 0 },
45593		{ "H3OSN", 12, 2 },
45594		{ "H3OMAG", 8, 4 },
45595		{ "H3ESN", 4, 2 },
45596		{ "H3EMAG", 0, 4 },
45597	{ "MAC_PORT_RX_LINK_BCST_DFE_H4", 0x33210, 0 },
45598		{ "H4SN", 4, 2 },
45599		{ "H4MAG", 0, 4 },
45600	{ "MAC_PORT_RX_LINK_BCST_DFE_H5", 0x33214, 0 },
45601		{ "H5GS", 6, 2 },
45602		{ "H5SN", 4, 2 },
45603		{ "H5MAG", 0, 4 },
45604	{ "MAC_PORT_RX_LINK_BCST_DFE_H6_AND_H7", 0x33218, 0 },
45605		{ "H7GS", 14, 2 },
45606		{ "H7SN", 12, 2 },
45607		{ "H7MAG", 8, 4 },
45608		{ "H6GS", 6, 2 },
45609		{ "H6SN", 4, 2 },
45610		{ "H6MAG", 0, 4 },
45611	{ "MAC_PORT_RX_LINK_BCST_DFE_H8_AND_H9", 0x3321c, 0 },
45612		{ "H9GS", 14, 2 },
45613		{ "H9SN", 12, 2 },
45614		{ "H9MAG", 8, 4 },
45615		{ "H8GS", 6, 2 },
45616		{ "H8SN", 4, 2 },
45617		{ "H8MAG", 0, 4 },
45618	{ "MAC_PORT_RX_LINK_BCST_DFE_H10_AND_H11", 0x33220, 0 },
45619		{ "H11GS", 14, 2 },
45620		{ "H11SN", 12, 2 },
45621		{ "H11MAG", 8, 4 },
45622		{ "H10GS", 6, 2 },
45623		{ "H10SN", 4, 2 },
45624		{ "H10MAG", 0, 4 },
45625	{ "MAC_PORT_RX_LINK_BCST_DFE_H12_13", 0x33224, 0 },
45626		{ "H13GS", 13, 3 },
45627		{ "H13SN", 10, 3 },
45628		{ "H13MAG", 8, 2 },
45629		{ "H12GS", 6, 2 },
45630		{ "H12SN", 4, 2 },
45631		{ "H12MAG", 0, 4 },
45632	{ "MAC_PORT_RX_LINK_BCST_DFE_H14_15", 0x33228, 0 },
45633		{ "H15GS", 13, 3 },
45634		{ "H15SN", 10, 3 },
45635		{ "H15MAG", 8, 2 },
45636		{ "H14GS", 6, 2 },
45637		{ "H14SN", 4, 2 },
45638		{ "H14MAG", 0, 4 },
45639	{ "MAC_PORT_RX_LINK_BCST_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x3322c, 0 },
45640		{ "H1ODELTA", 8, 5 },
45641		{ "H1EDELTA", 0, 6 },
45642	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_INTERNAL_STATUS_2", 0x33ae4, 0 },
45643		{ "STNDBYSTAT", 15, 1 },
45644		{ "CALSDONE", 14, 1 },
45645		{ "ACISRCCMP", 5, 1 },
45646		{ "PRBSOFFCMP", 4, 1 },
45647		{ "CLKALGNCMP", 3, 1 },
45648		{ "ROTFCMP", 2, 1 },
45649		{ "DCDCMP", 1, 1 },
45650		{ "QCCCMP", 0, 1 },
45651	{ "MAC_PORT_RX_LINK_BCST_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x33ae8, 0 },
45652		{ "FCSADJ", 6, 1 },
45653		{ "CSIND", 3, 2 },
45654		{ "CSVAL", 0, 3 },
45655	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DCD_CONTROL", 0x33aec, 0 },
45656		{ "DCDTMDOUT", 15, 1 },
45657		{ "DCDTOEN", 14, 1 },
45658		{ "DCDLOCK", 13, 1 },
45659		{ "DCDSTEP", 11, 2 },
45660		{ "DCDALTWPDIS", 10, 1 },
45661		{ "DCDOVRDEN", 9, 1 },
45662		{ "DCCAOVRDEN", 8, 1 },
45663		{ "DCDSIGN", 6, 2 },
45664		{ "DCDAMP", 0, 6 },
45665	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DCC_CONTROL", 0x33af0, 0 },
45666		{ "PRBSMODE", 14, 2 },
45667		{ "DCCSTEP", 10, 2 },
45668		{ "DCCOVRDEN", 9, 1 },
45669		{ "DCCLOCK", 8, 1 },
45670		{ "DCDSIGN", 6, 2 },
45671		{ "DCDAMP", 0, 6 },
45672	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_QCC_CONTROL", 0x33af4, 0 },
45673		{ "DCCQCCMODE", 15, 1 },
45674		{ "DCCQCCDYN", 14, 1 },
45675		{ "DCCQCCHOLD", 13, 1 },
45676		{ "QCCSTEP", 10, 2 },
45677		{ "QCCOVRDEN", 9, 1 },
45678		{ "QCCLOCK", 8, 1 },
45679		{ "QCCSIGN", 6, 2 },
45680		{ "QCDAMP", 0, 6 },
45681	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x33af8, 0 },
45682		{ "TSTCMP", 15, 1 },
45683		{ "SDLSSD", 5, 1 },
45684		{ "DFEOBSBIAS", 4, 1 },
45685		{ "GBOFSTLSSD", 3, 1 },
45686		{ "RXDOBS", 2, 1 },
45687		{ "ACJZPT", 1, 1 },
45688		{ "ACJZNT", 0, 1 },
45689	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_1", 0x33afc, 0 },
45690		{ "CALMODEEDGE", 14, 1 },
45691		{ "TESTCAP", 13, 1 },
45692		{ "SNAPEN", 12, 1 },
45693		{ "ASYNCDIR", 11, 1 },
45694		{ "PHSLOCK", 10, 1 },
45695		{ "TESTMODE", 9, 1 },
45696		{ "CALMODE", 8, 1 },
45697		{ "ACJPDP", 3, 1 },
45698		{ "ACJPDN", 2, 1 },
45699		{ "LSSDT", 1, 1 },
45700		{ "MTHOLD", 0, 1 },
45701	{ "MAC_PORT_CFG", 0x34800, 0 },
45702		{ "MAC_Clk_Sel", 29, 3 },
45703		{ "Ena_err_rsp", 28, 1 },
45704		{ "SinkTx", 27, 1 },
45705		{ "SinkTxOnLinkDown", 26, 1 },
45706		{ "debug_clr", 25, 1 },
45707		{ "LoopNoFwd", 24, 1 },
45708		{ "pll_sel", 23, 1 },
45709		{ "port_map", 20, 3 },
45710		{ "Smux_Rx_Loop", 19, 1 },
45711		{ "Rx_Lane_Swap", 18, 1 },
45712		{ "Tx_Lane_Swap", 17, 1 },
45713		{ "Aec_pat_data", 15, 1 },
45714		{ "Signal_Det", 14, 1 },
45715		{ "macclk_sel", 13, 1 },
45716		{ "xgmii_sel", 12, 1 },
45717		{ "debug_port_sel", 10, 2 },
45718		{ "SmuxTxSel", 9, 1 },
45719		{ "SmuxRxSel", 8, 1 },
45720		{ "Enable_25G", 7, 1 },
45721		{ "Enable_50G", 6, 1 },
45722		{ "PortSpeed", 4, 2 },
45723		{ "Rx_Byte_Swap", 3, 1 },
45724		{ "Tx_Byte_Swap", 2, 1 },
45725		{ "debug_tx_rx_sel", 1, 1 },
45726		{ "Port_Sel", 0, 1 },
45727	{ "MAC_PORT_RESET_CTRL", 0x34804, 0 },
45728		{ "TWGDSK_HSSC16B", 31, 1 },
45729		{ "EEE_RESET", 30, 1 },
45730		{ "PTP_TIMER", 29, 1 },
45731		{ "MtipRefReset", 28, 1 },
45732		{ "MAC100G40G_RESET", 27, 1 },
45733		{ "MAC10G1G_RESET", 26, 1 },
45734		{ "MtipRegReset", 25, 1 },
45735		{ "PCS1G_RESET", 24, 1 },
45736		{ "AEC3Reset", 23, 1 },
45737		{ "AEC2Reset", 22, 1 },
45738		{ "AEC1Reset", 21, 1 },
45739		{ "AEC0Reset", 20, 1 },
45740		{ "AET3Reset", 19, 1 },
45741		{ "AET2Reset", 18, 1 },
45742		{ "AET1Reset", 17, 1 },
45743		{ "AET0Reset", 16, 1 },
45744		{ "PCS10G_RESET", 15, 1 },
45745		{ "PCS40G_RESET", 14, 1 },
45746		{ "PCS100G_RESET", 13, 1 },
45747		{ "TXIF_Reset", 12, 1 },
45748		{ "RXIF_Reset", 11, 1 },
45749		{ "AuxExt_Reset", 10, 1 },
45750		{ "MtipSd3TxRst", 9, 1 },
45751		{ "MtipSd2TxRst", 8, 1 },
45752		{ "MtipSd1TxRst", 7, 1 },
45753		{ "MtipSd0TxRst", 6, 1 },
45754		{ "MtipSd3RxRst", 5, 1 },
45755		{ "MtipSd2RxRst", 4, 1 },
45756		{ "MtipSd1RxRst", 3, 1 },
45757		{ "WOL_Reset", 2, 1 },
45758		{ "MtipSd0RxRst", 1, 1 },
45759		{ "HSS_Reset", 0, 1 },
45760	{ "MAC_PORT_LED_CFG", 0x34808, 0 },
45761		{ "Led1_Cfg1", 14, 2 },
45762		{ "Led0_Cfg1", 12, 2 },
45763		{ "Led1_tlo", 11, 1 },
45764		{ "Led1_thi", 10, 1 },
45765		{ "Led0_tlo", 9, 1 },
45766		{ "Led0_thi", 8, 1 },
45767		{ "Led1_Cfg", 5, 3 },
45768		{ "Led1_Polarity_Inv", 4, 1 },
45769		{ "Led0_Cfg", 1, 3 },
45770		{ "Led0_Polarity_Inv", 0, 1 },
45771	{ "MAC_PORT_LED_COUNTHI", 0x3480c, 0 },
45772	{ "MAC_PORT_LED_COUNTLO", 0x34810, 0 },
45773	{ "MAC_PORT_CFG3", 0x34814, 0 },
45774		{ "REF_Clk_Sel", 30, 2 },
45775		{ "sgmii_sd_sig_det", 29, 1 },
45776		{ "sgmii_sgpcs_ena", 28, 1 },
45777		{ "FPGA_PTP_PORT", 26, 2 },
45778		{ "FCSDisCtrl", 25, 1 },
45779		{ "SigDetCtrl", 24, 1 },
45780		{ "tx_lane", 23, 1 },
45781		{ "rx_lane", 22, 1 },
45782		{ "se_clr", 21, 1 },
45783		{ "an_ena", 17, 4 },
45784		{ "sd_rx_clk_ena", 13, 4 },
45785		{ "sd_tx_clk_ena", 9, 4 },
45786		{ "SGMIISEL", 8, 1 },
45787		{ "HSSPLLSEL", 4, 4 },
45788		{ "HSSC16C20SEL", 0, 4 },
45789	{ "MAC_PORT_CFG2", 0x34818, 0 },
45790		{ "Rx_Polarity_Inv", 28, 4 },
45791		{ "Tx_Polarity_Inv", 24, 4 },
45792		{ "InstanceNum", 22, 2 },
45793		{ "StopOnPerr", 21, 1 },
45794		{ "an_data_ctl", 19, 1 },
45795		{ "PatEn", 18, 1 },
45796		{ "MagicEn", 17, 1 },
45797		{ "T5_AEC_PMA_TX_READY", 4, 4 },
45798		{ "T5_AEC_PMA_RX_READY", 0, 4 },
45799	{ "MAC_PORT_PKT_COUNT", 0x3481c, 0 },
45800		{ "tx_sop_count", 24, 8 },
45801		{ "tx_eop_count", 16, 8 },
45802		{ "rx_sop_count", 8, 8 },
45803		{ "rx_eop_count", 0, 8 },
45804	{ "MAC_PORT_CFG4", 0x34820, 0 },
45805		{ "AEC3_RX_WIDTH", 14, 2 },
45806		{ "AEC2_RX_WIDTH", 12, 2 },
45807		{ "AEC1_RX_WIDTH", 10, 2 },
45808		{ "AEC0_RX_WIDTH", 8, 2 },
45809		{ "AEC3_TX_WIDTH", 6, 2 },
45810		{ "AEC2_TX_WIDTH", 4, 2 },
45811		{ "AEC1_TX_WIDTH", 2, 2 },
45812		{ "AEC0_TX_WIDTH", 0, 2 },
45813	{ "MAC_PORT_MAGIC_MACID_LO", 0x34824, 0 },
45814	{ "MAC_PORT_MAGIC_MACID_HI", 0x34828, 0 },
45815	{ "MAC_PORT_MTIP_RESET_CTRL", 0x3482c, 0 },
45816		{ "an_reset_sd_tx_clk", 31, 1 },
45817		{ "an_reset_sd_rx_clk", 30, 1 },
45818		{ "sgmii_reset_tx_clk", 29, 1 },
45819		{ "sgmii_reset_rx_clk", 28, 1 },
45820		{ "sgmii_reset_ref_clk", 27, 1 },
45821		{ "pcs10g_reset_xfi_rxclk", 26, 1 },
45822		{ "pcs10g_reset_xfi_txclk", 25, 1 },
45823		{ "pcs10g_reset_sd_tx_clk", 24, 1 },
45824		{ "pcs10g_reset_sd_rx_clk", 23, 1 },
45825		{ "pcs40g_reset_rxclk", 22, 1 },
45826		{ "pcs40g_reset_sd_tx_clk", 21, 1 },
45827		{ "pcs40g_reset_sd0_rx_clk", 20, 1 },
45828		{ "pcs40g_reset_sd1_rx_clk", 19, 1 },
45829		{ "pcs40g_reset_sd2_rx_clk", 18, 1 },
45830		{ "pcs40g_reset_sd3_rx_clk", 17, 1 },
45831		{ "pcs100g_reset_cgmii_rxclk", 16, 1 },
45832		{ "pcs100g_reset_cgmii_txclk", 15, 1 },
45833		{ "pcs100g_reset_tx_clk", 14, 1 },
45834		{ "pcs100g_reset_sd0_rx_clk", 13, 1 },
45835		{ "pcs100g_reset_sd1_rx_clk", 12, 1 },
45836		{ "pcs100g_reset_sd2_rx_clk", 11, 1 },
45837		{ "pcs100g_reset_sd3_rx_clk", 10, 1 },
45838		{ "mac40g100g_reset_txclk", 9, 1 },
45839		{ "mac40g100g_reset_rxclk", 8, 1 },
45840		{ "mac40g100g_reset_ff_tx_clk", 7, 1 },
45841		{ "mac40g100g_reset_ff_rx_clk", 6, 1 },
45842		{ "mac40g100g_reset_ts_clk", 5, 1 },
45843		{ "mac1g10g_reset_rxclk", 4, 1 },
45844		{ "mac1g10g_reset_txclk", 3, 1 },
45845		{ "mac1g10g_reset_ff_rx_clk", 2, 1 },
45846		{ "mac1g10g_reset_ff_tx_clk", 1, 1 },
45847		{ "xgmii_clk_reset", 0, 1 },
45848	{ "MAC_PORT_MTIP_GATE_CTRL", 0x34830, 0 },
45849		{ "an_gate_sd_tx_clk", 31, 1 },
45850		{ "an_gate_sd_rx_clk", 30, 1 },
45851		{ "sgmii_gate_tx_clk", 29, 1 },
45852		{ "sgmii_gate_rx_clk", 28, 1 },
45853		{ "sgmii_gate_ref_clk", 27, 1 },
45854		{ "pcs10g_gate_xfi_rxclk", 26, 1 },
45855		{ "pcs10g_gate_xfi_txclk", 25, 1 },
45856		{ "pcs10g_gate_sd_tx_clk", 24, 1 },
45857		{ "pcs10g_gate_sd_rx_clk", 23, 1 },
45858		{ "pcs40g_gate_rxclk", 22, 1 },
45859		{ "pcs40g_gate_sd_tx_clk", 21, 1 },
45860		{ "pcs40g_gate_sd_rx_clk", 20, 1 },
45861		{ "pcs100g_gate_cgmii_rxclk", 19, 1 },
45862		{ "pcs100g_gate_cgmii_txclk", 18, 1 },
45863		{ "pcs100g_gate_tx_clk", 17, 1 },
45864		{ "pcs100g_gate_sd_rx_clk", 16, 1 },
45865		{ "mac40g100g_gate_txclk", 15, 1 },
45866		{ "mac40g100g_gate_rxclk", 14, 1 },
45867		{ "mac40g100g_gate_ff_tx_clk", 13, 1 },
45868		{ "mac40g100g_gate_ff_rx_clk", 12, 1 },
45869		{ "mac40g100g_ts_clk", 11, 1 },
45870		{ "mac1g10g_gate_rxclk", 10, 1 },
45871		{ "mac1g10g_gate_txclk", 9, 1 },
45872		{ "mac1g10g_gate_ff_rx_clk", 8, 1 },
45873		{ "mac1g10g_gate_ff_tx_clk", 7, 1 },
45874		{ "aec_rx", 6, 1 },
45875		{ "aec_tx", 5, 1 },
45876		{ "pcs100g_clk_enable", 4, 1 },
45877		{ "pcs40g_clk_enable", 3, 1 },
45878		{ "pcs10g_clk_enable", 2, 1 },
45879		{ "pcs1g_clk_enable", 1, 1 },
45880		{ "an_clk_enable", 0, 1 },
45881	{ "MAC_PORT_LINK_STATUS", 0x34834, 0 },
45882		{ "hi_ber", 7, 1 },
45883		{ "an_done", 6, 1 },
45884		{ "align_done", 5, 1 },
45885		{ "block_lock", 4, 1 },
45886		{ "remflt", 3, 1 },
45887		{ "locflt", 2, 1 },
45888		{ "linkup", 1, 1 },
45889		{ "linkdn", 0, 1 },
45890	{ "MAC_PORT_AEC_ADD_CTL_STAT_0", 0x34838, 0 },
45891		{ "AEC_SYS_LANE_TYPE_3", 11, 1 },
45892		{ "AEC_SYS_LANE_TYPE_2", 10, 1 },
45893		{ "AEC_SYS_LANE_TYPE_1", 9, 1 },
45894		{ "AEC_SYS_LANE_TYPE_0", 8, 1 },
45895		{ "AEC_SYS_LANE_SELECT_3", 6, 2 },
45896		{ "AEC_SYS_LANE_SELECT_2", 4, 2 },
45897		{ "AEC_SYS_LANE_SELECT_1", 2, 2 },
45898		{ "AEC_SYS_LANE_SELECT_O", 0, 2 },
45899	{ "MAC_PORT_AEC_ADD_CTL_STAT_1", 0x3483c, 0 },
45900		{ "AEC_RX_UNKNOWN_LANE_3", 11, 1 },
45901		{ "AEC_RX_UNKNOWN_LANE_2", 10, 1 },
45902		{ "AEC_RX_UNKNOWN_LANE_1", 9, 1 },
45903		{ "AEC_RX_UNKNOWN_LANE_0", 8, 1 },
45904		{ "AEC_RX_LANE_ID_3", 6, 2 },
45905		{ "AEC_RX_LANE_ID_2", 4, 2 },
45906		{ "AEC_RX_LANE_ID_1", 2, 2 },
45907		{ "AEC_RX_LANE_ID_O", 0, 2 },
45908	{ "MAC_PORT_AEC_XGMII_TIMER_LO_40G", 0x34840, 0 },
45909	{ "MAC_PORT_AEC_XGMII_TIMER_HI_40G", 0x34844, 0 },
45910	{ "MAC_PORT_AEC_XGMII_TIMER_LO_100G", 0x34848, 0 },
45911	{ "MAC_PORT_AEC_XGMII_TIMER_HI_100G", 0x3484c, 0 },
45912	{ "MAC_PORT_AEC_DEBUG_LO_0", 0x34850, 0 },
45913		{ "CTL_FSM_CUR_STATE", 28, 3 },
45914		{ "CIN_FSM_CUR_STATE", 26, 2 },
45915		{ "CRI_FSM_CUR_STATE", 23, 3 },
45916		{ "CU_C3_ACK_VALUE", 21, 2 },
45917		{ "CU_C2_ACK_VALUE", 19, 2 },
45918		{ "CU_C1_ACK_VALUE", 17, 2 },
45919		{ "CU_C0_ACK_VALUE", 15, 2 },
45920		{ "CX_INIT", 13, 1 },
45921		{ "CX_PRESET", 12, 1 },
45922		{ "CUF_C3_UPDATE", 9, 2 },
45923		{ "CUF_C2_UPDATE", 7, 2 },
45924		{ "CUF_C1_UPDATE", 5, 2 },
45925		{ "CUF_C0_UPDATE", 3, 2 },
45926		{ "REG_FPH_ATTR_TXUPDAT_VALID", 2, 1 },
45927		{ "REG_FPH_ATTR_TXSTAT_VALID", 1, 1 },
45928		{ "REG_MAN_DEC_REQ", 0, 1 },
45929	{ "MAC_PORT_AEC_DEBUG_HI_0", 0x34854, 0 },
45930		{ "FC_LSNA_", 12, 1 },
45931		{ "CUF_C0_FSM_DEBUG", 9, 3 },
45932		{ "CUF_C1_FSM_DEBUG", 6, 3 },
45933		{ "CUF_C2_FSM_DEBUG", 3, 3 },
45934		{ "LCK_FSM_CUR_STATE", 0, 3 },
45935	{ "MAC_PORT_AEC_DEBUG_LO_1", 0x34858, 0 },
45936		{ "CTL_FSM_CUR_STATE", 28, 3 },
45937		{ "CIN_FSM_CUR_STATE", 26, 2 },
45938		{ "CRI_FSM_CUR_STATE", 23, 3 },
45939		{ "CU_C3_ACK_VALUE", 21, 2 },
45940		{ "CU_C2_ACK_VALUE", 19, 2 },
45941		{ "CU_C1_ACK_VALUE", 17, 2 },
45942		{ "CU_C0_ACK_VALUE", 15, 2 },
45943		{ "CX_INIT", 13, 1 },
45944		{ "CX_PRESET", 12, 1 },
45945		{ "CUF_C3_UPDATE", 9, 2 },
45946		{ "CUF_C2_UPDATE", 7, 2 },
45947		{ "CUF_C1_UPDATE", 5, 2 },
45948		{ "CUF_C0_UPDATE", 3, 2 },
45949		{ "REG_FPH_ATTR_TXUPDAT_VALID", 2, 1 },
45950		{ "REG_FPH_ATTR_TXSTAT_VALID", 1, 1 },
45951		{ "REG_MAN_DEC_REQ", 0, 1 },
45952	{ "MAC_PORT_AEC_DEBUG_HI_1", 0x3485c, 0 },
45953		{ "FC_LSNA_", 12, 1 },
45954		{ "CUF_C0_FSM_DEBUG", 9, 3 },
45955		{ "CUF_C1_FSM_DEBUG", 6, 3 },
45956		{ "CUF_C2_FSM_DEBUG", 3, 3 },
45957		{ "LCK_FSM_CUR_STATE", 0, 3 },
45958	{ "MAC_PORT_AEC_DEBUG_LO_2", 0x34860, 0 },
45959		{ "CTL_FSM_CUR_STATE", 28, 3 },
45960		{ "CIN_FSM_CUR_STATE", 26, 2 },
45961		{ "CRI_FSM_CUR_STATE", 23, 3 },
45962		{ "CU_C3_ACK_VALUE", 21, 2 },
45963		{ "CU_C2_ACK_VALUE", 19, 2 },
45964		{ "CU_C1_ACK_VALUE", 17, 2 },
45965		{ "CU_C0_ACK_VALUE", 15, 2 },
45966		{ "CX_INIT", 13, 1 },
45967		{ "CX_PRESET", 12, 1 },
45968		{ "CUF_C3_UPDATE", 9, 2 },
45969		{ "CUF_C2_UPDATE", 7, 2 },
45970		{ "CUF_C1_UPDATE", 5, 2 },
45971		{ "CUF_C0_UPDATE", 3, 2 },
45972		{ "REG_FPH_ATTR_TXUPDAT_VALID", 2, 1 },
45973		{ "REG_FPH_ATTR_TXSTAT_VALID", 1, 1 },
45974		{ "REG_MAN_DEC_REQ", 0, 1 },
45975	{ "MAC_PORT_AEC_DEBUG_HI_2", 0x34864, 0 },
45976		{ "FC_LSNA_", 12, 1 },
45977		{ "CUF_C0_FSM_DEBUG", 9, 3 },
45978		{ "CUF_C1_FSM_DEBUG", 6, 3 },
45979		{ "CUF_C2_FSM_DEBUG", 3, 3 },
45980		{ "LCK_FSM_CUR_STATE", 0, 3 },
45981	{ "MAC_PORT_AEC_DEBUG_LO_3", 0x34868, 0 },
45982		{ "CTL_FSM_CUR_STATE", 28, 3 },
45983		{ "CIN_FSM_CUR_STATE", 26, 2 },
45984		{ "CRI_FSM_CUR_STATE", 23, 3 },
45985		{ "CU_C3_ACK_VALUE", 21, 2 },
45986		{ "CU_C2_ACK_VALUE", 19, 2 },
45987		{ "CU_C1_ACK_VALUE", 17, 2 },
45988		{ "CU_C0_ACK_VALUE", 15, 2 },
45989		{ "CX_INIT", 13, 1 },
45990		{ "CX_PRESET", 12, 1 },
45991		{ "CUF_C3_UPDATE", 9, 2 },
45992		{ "CUF_C2_UPDATE", 7, 2 },
45993		{ "CUF_C1_UPDATE", 5, 2 },
45994		{ "CUF_C0_UPDATE", 3, 2 },
45995		{ "REG_FPH_ATTR_TXUPDAT_VALID", 2, 1 },
45996		{ "REG_FPH_ATTR_TXSTAT_VALID", 1, 1 },
45997		{ "REG_MAN_DEC_REQ", 0, 1 },
45998	{ "MAC_PORT_AEC_DEBUG_HI_3", 0x3486c, 0 },
45999		{ "FC_LSNA_", 12, 1 },
46000		{ "CUF_C0_FSM_DEBUG", 9, 3 },
46001		{ "CUF_C1_FSM_DEBUG", 6, 3 },
46002		{ "CUF_C2_FSM_DEBUG", 3, 3 },
46003		{ "LCK_FSM_CUR_STATE", 0, 3 },
46004	{ "MAC_PORT_MAC_DEBUG_RO", 0x34870, 0 },
46005		{ "mac40g100g_tx_underflow", 13, 1 },
46006		{ "mac1g10g_magic_ind", 12, 1 },
46007		{ "mac1g10g_ff_rx_empty", 11, 1 },
46008		{ "mac1g10g_ff_tx_ovr_err", 10, 1 },
46009		{ "mac1g10g_if_mode_ena", 8, 2 },
46010		{ "mac1g10g_mii_ena_10", 7, 1 },
46011		{ "mac1g10g_pause_on", 6, 1 },
46012		{ "mac1g10g_pfc_mode", 5, 1 },
46013		{ "mac1g10g_rx_sfd_o", 4, 1 },
46014		{ "mac1g10g_tx_empty", 3, 1 },
46015		{ "mac1g10g_tx_sfd_o", 2, 1 },
46016		{ "mac1g10g_tx_ts_frm_out", 1, 1 },
46017		{ "mac1g10g_tx_underflow", 0, 1 },
46018	{ "MAC_PORT_MAC_CTRL_RW", 0x34874, 0 },
46019		{ "mac40g100g_ff_tx_pfc_xoff", 17, 8 },
46020		{ "mac40g100g_tx_loc_fault", 16, 1 },
46021		{ "mac40g100g_tx_rem_fault", 15, 1 },
46022		{ "mac40g_loop_bck", 14, 1 },
46023		{ "mac1g10g_magic_ena", 13, 1 },
46024		{ "mac1g10g_if_mode_set", 11, 2 },
46025		{ "mac1g10g_tx_loc_fault", 10, 1 },
46026		{ "mac1g10g_tx_rem_fault", 9, 1 },
46027		{ "mac1g10g_xoff_gen", 1, 8 },
46028		{ "mac1g_loop_bck", 0, 1 },
46029	{ "MAC_PORT_PCS_DEBUG0_RO", 0x34878, 0 },
46030		{ "fpga_lock", 26, 4 },
46031		{ "an_done", 25, 1 },
46032		{ "an_int", 24, 1 },
46033		{ "an_pcs_rx_clk_ena", 23, 1 },
46034		{ "an_pcs_tx_clk_ena", 22, 1 },
46035		{ "an_select", 17, 5 },
46036		{ "an_prog", 16, 1 },
46037		{ "pcs40g_block_lock", 12, 4 },
46038		{ "pcs40g_ber_timer_done", 11, 1 },
46039		{ "pcs10g_fec_locked", 10, 1 },
46040		{ "pcs10g_block_lock", 9, 1 },
46041		{ "sgmii_gmii_col", 8, 1 },
46042		{ "sgmii_gmii_crs", 7, 1 },
46043		{ "sgmii_sd_loopback", 6, 1 },
46044		{ "sgmii_sg_an_done", 5, 1 },
46045		{ "sgmii_sg_hd", 4, 1 },
46046		{ "sgmii_sg_page_rx", 3, 1 },
46047		{ "sgmii_sg_rx_sync", 2, 1 },
46048		{ "sgmii_sg_speed", 0, 2 },
46049	{ "MAC_PORT_PCS_CTRL_RW", 0x3487c, 0 },
46050		{ "tx_li_fault", 31, 1 },
46051		{ "pad", 30, 1 },
46052		{ "blk_stb_val", 22, 8 },
46053		{ "debug_sel", 18, 4 },
46054		{ "sgmii_loop", 15, 3 },
46055		{ "an_dis_timer", 14, 1 },
46056		{ "pcs100g_ber_timer_short", 13, 1 },
46057		{ "pcs100g_tx_lane_thresh", 9, 4 },
46058		{ "pcs100g_vl_intvl", 8, 1 },
46059		{ "sgmii_tx_lane_ckmult", 4, 3 },
46060		{ "sgmii_tx_lane_thresh", 0, 4 },
46061	{ "MAC_PORT_PCS_DEBUG1_RO", 0x34880, 0 },
46062		{ "pcs100g_align_lock", 21, 1 },
46063		{ "pcs100g_ber_timer_done", 20, 1 },
46064		{ "pcs100g_block_lock", 0, 20 },
46065	{ "MAC_PORT_PERR_INT_EN_100G", 0x34884, 0 },
46066		{ "Perr_rx_fec100g_dly", 29, 1 },
46067		{ "Perr_rx_fec100g", 28, 1 },
46068		{ "Perr_rx3_fec100g_dk", 27, 1 },
46069		{ "Perr_rx2_fec100g_dk", 26, 1 },
46070		{ "Perr_rx1_fec100g_dk", 25, 1 },
46071		{ "Perr_rx0_fec100g_dk", 24, 1 },
46072		{ "Perr_tx3_pcs100g", 23, 1 },
46073		{ "Perr_tx2_pcs100g", 22, 1 },
46074		{ "Perr_tx1_pcs100g", 21, 1 },
46075		{ "Perr_tx0_pcs100g", 20, 1 },
46076		{ "Perr_rx19_pcs100g", 19, 1 },
46077		{ "Perr_rx18_pcs100g", 18, 1 },
46078		{ "Perr_rx17_pcs100g", 17, 1 },
46079		{ "Perr_rx16_pcs100g", 16, 1 },
46080		{ "Perr_rx15_pcs100g", 15, 1 },
46081		{ "Perr_rx14_pcs100g", 14, 1 },
46082		{ "Perr_rx13_pcs100g", 13, 1 },
46083		{ "Perr_rx12_pcs100g", 12, 1 },
46084		{ "Perr_rx11_pcs100g", 11, 1 },
46085		{ "Perr_rx10_pcs100g", 10, 1 },
46086		{ "Perr_rx9_pcs100g", 9, 1 },
46087		{ "Perr_rx8_pcs100g", 8, 1 },
46088		{ "Perr_rx7_pcs100g", 7, 1 },
46089		{ "Perr_rx6_pcs100g", 6, 1 },
46090		{ "Perr_rx5_pcs100g", 5, 1 },
46091		{ "Perr_rx4_pcs100g", 4, 1 },
46092		{ "Perr_rx3_pcs100g", 3, 1 },
46093		{ "Perr_rx2_pcs100g", 2, 1 },
46094		{ "Perr_rx1_pcs100g", 1, 1 },
46095		{ "Perr_rx0_pcs100g", 0, 1 },
46096	{ "MAC_PORT_PERR_INT_CAUSE_100G", 0x34888, 0 },
46097		{ "Perr_rx_fec100g_dly", 29, 1 },
46098		{ "Perr_rx_fec100g", 28, 1 },
46099		{ "Perr_rx3_fec100g_dk", 27, 1 },
46100		{ "Perr_rx2_fec100g_dk", 26, 1 },
46101		{ "Perr_rx1_fec100g_dk", 25, 1 },
46102		{ "Perr_rx0_fec100g_dk", 24, 1 },
46103		{ "Perr_tx3_pcs100g", 23, 1 },
46104		{ "Perr_tx2_pcs100g", 22, 1 },
46105		{ "Perr_tx1_pcs100g", 21, 1 },
46106		{ "Perr_tx0_pcs100g", 20, 1 },
46107		{ "Perr_rx19_pcs100g", 19, 1 },
46108		{ "Perr_rx18_pcs100g", 18, 1 },
46109		{ "Perr_rx17_pcs100g", 17, 1 },
46110		{ "Perr_rx16_pcs100g", 16, 1 },
46111		{ "Perr_rx15_pcs100g", 15, 1 },
46112		{ "Perr_rx14_pcs100g", 14, 1 },
46113		{ "Perr_rx13_pcs100g", 13, 1 },
46114		{ "Perr_rx12_pcs100g", 12, 1 },
46115		{ "Perr_rx11_pcs100g", 11, 1 },
46116		{ "Perr_rx10_pcs100g", 10, 1 },
46117		{ "Perr_rx9_pcs100g", 9, 1 },
46118		{ "Perr_rx8_pcs100g", 8, 1 },
46119		{ "Perr_rx7_pcs100g", 7, 1 },
46120		{ "Perr_rx6_pcs100g", 6, 1 },
46121		{ "Perr_rx5_pcs100g", 5, 1 },
46122		{ "Perr_rx4_pcs100g", 4, 1 },
46123		{ "Perr_rx3_pcs100g", 3, 1 },
46124		{ "Perr_rx2_pcs100g", 2, 1 },
46125		{ "Perr_rx1_pcs100g", 1, 1 },
46126		{ "Perr_rx0_pcs100g", 0, 1 },
46127	{ "MAC_PORT_PERR_ENABLE_100G", 0x3488c, 0 },
46128		{ "Perr_rx_fec100g_dly", 29, 1 },
46129		{ "Perr_rx_fec100g", 28, 1 },
46130		{ "Perr_rx3_fec100g_dk", 27, 1 },
46131		{ "Perr_rx2_fec100g_dk", 26, 1 },
46132		{ "Perr_rx1_fec100g_dk", 25, 1 },
46133		{ "Perr_rx0_fec100g_dk", 24, 1 },
46134		{ "Perr_tx3_pcs100g", 23, 1 },
46135		{ "Perr_tx2_pcs100g", 22, 1 },
46136		{ "Perr_tx1_pcs100g", 21, 1 },
46137		{ "Perr_tx0_pcs100g", 20, 1 },
46138		{ "Perr_rx19_pcs100g", 19, 1 },
46139		{ "Perr_rx18_pcs100g", 18, 1 },
46140		{ "Perr_rx17_pcs100g", 17, 1 },
46141		{ "Perr_rx16_pcs100g", 16, 1 },
46142		{ "Perr_rx15_pcs100g", 15, 1 },
46143		{ "Perr_rx14_pcs100g", 14, 1 },
46144		{ "Perr_rx13_pcs100g", 13, 1 },
46145		{ "Perr_rx12_pcs100g", 12, 1 },
46146		{ "Perr_rx11_pcs100g", 11, 1 },
46147		{ "Perr_rx10_pcs100g", 10, 1 },
46148		{ "Perr_rx9_pcs100g", 9, 1 },
46149		{ "Perr_rx8_pcs100g", 8, 1 },
46150		{ "Perr_rx7_pcs100g", 7, 1 },
46151		{ "Perr_rx6_pcs100g", 6, 1 },
46152		{ "Perr_rx5_pcs100g", 5, 1 },
46153		{ "Perr_rx4_pcs100g", 4, 1 },
46154		{ "Perr_rx3_pcs100g", 3, 1 },
46155		{ "Perr_rx2_pcs100g", 2, 1 },
46156		{ "Perr_rx1_pcs100g", 1, 1 },
46157		{ "Perr_rx0_pcs100g", 0, 1 },
46158	{ "MAC_PORT_MAC_STAT_DEBUG", 0x34890, 0 },
46159	{ "MAC_PORT_MAC_25G_50G_AM0", 0x34894, 0 },
46160	{ "MAC_PORT_MAC_25G_50G_AM1", 0x34898, 0 },
46161	{ "MAC_PORT_MAC_25G_50G_AM2", 0x3489c, 0 },
46162	{ "MAC_PORT_MAC_25G_50G_AM3", 0x348a0, 0 },
46163	{ "MAC_PORT_MAC_AN_STATE_STATUS", 0x348a4, 0 },
46164	{ "MAC_PORT_EPIO_DATA0", 0x348c0, 0 },
46165	{ "MAC_PORT_EPIO_DATA1", 0x348c4, 0 },
46166	{ "MAC_PORT_EPIO_DATA2", 0x348c8, 0 },
46167	{ "MAC_PORT_EPIO_DATA3", 0x348cc, 0 },
46168	{ "MAC_PORT_EPIO_OP", 0x348d0, 0 },
46169		{ "Busy", 31, 1 },
46170		{ "Write", 8, 1 },
46171		{ "Address", 0, 8 },
46172	{ "MAC_PORT_WOL_STATUS", 0x348d4, 0 },
46173		{ "MagicDetected", 31, 1 },
46174		{ "PatDetected", 30, 1 },
46175		{ "ClearMagic", 4, 1 },
46176		{ "ClearMatch", 3, 1 },
46177		{ "MatchedFilter", 0, 3 },
46178	{ "MAC_PORT_INT_EN", 0x348d8, 0 },
46179		{ "pps", 30, 1 },
46180		{ "tx_ts_avail", 29, 1 },
46181		{ "single_alarm", 28, 1 },
46182		{ "periodic_alarm", 27, 1 },
46183		{ "PatDetWake", 26, 1 },
46184		{ "MagicWake", 25, 1 },
46185		{ "SigDetChg", 24, 1 },
46186		{ "AE_Train_Local", 22, 1 },
46187		{ "HSSPLL_LOCK", 21, 1 },
46188		{ "HSSPRT_READY", 20, 1 },
46189		{ "AutoNeg_Done", 19, 1 },
46190		{ "PCS_Link_Good", 12, 1 },
46191		{ "PCS_Link_Fail", 11, 1 },
46192		{ "RxFifoOverFlow", 10, 1 },
46193		{ "HSSPRBSErr", 9, 1 },
46194		{ "HSSEyeQual", 8, 1 },
46195		{ "RemoteFault", 7, 1 },
46196		{ "LocalFault", 6, 1 },
46197		{ "MAC_Link_Down", 5, 1 },
46198		{ "MAC_Link_Up", 4, 1 },
46199		{ "an_page_rcvd", 2, 1 },
46200		{ "TxFifo_prty_err", 1, 1 },
46201		{ "RxFifo_prty_err", 0, 1 },
46202	{ "MAC_PORT_INT_CAUSE", 0x348dc, 0 },
46203		{ "pps", 30, 1 },
46204		{ "tx_ts_avail", 29, 1 },
46205		{ "single_alarm", 28, 1 },
46206		{ "periodic_alarm", 27, 1 },
46207		{ "PatDetWake", 26, 1 },
46208		{ "MagicWake", 25, 1 },
46209		{ "SigDetChg", 24, 1 },
46210		{ "AE_Train_Local", 22, 1 },
46211		{ "HSSPLL_LOCK", 21, 1 },
46212		{ "HSSPRT_READY", 20, 1 },
46213		{ "AutoNeg_Done", 19, 1 },
46214		{ "PCS_Link_Good", 12, 1 },
46215		{ "PCS_Link_Fail", 11, 1 },
46216		{ "RxFifoOverFlow", 10, 1 },
46217		{ "HSSPRBSErr", 9, 1 },
46218		{ "HSSEyeQual", 8, 1 },
46219		{ "RemoteFault", 7, 1 },
46220		{ "LocalFault", 6, 1 },
46221		{ "MAC_Link_Down", 5, 1 },
46222		{ "MAC_Link_Up", 4, 1 },
46223		{ "an_page_rcvd", 2, 1 },
46224		{ "TxFifo_prty_err", 1, 1 },
46225		{ "RxFifo_prty_err", 0, 1 },
46226	{ "MAC_PORT_PERR_INT_EN", 0x348e0, 0 },
46227		{ "Perr_pkt_ram", 31, 1 },
46228		{ "Perr_mask_ram", 30, 1 },
46229		{ "Perr_crc_ram", 29, 1 },
46230		{ "rx_mac40g", 28, 1 },
46231		{ "tx_mac40g", 27, 1 },
46232		{ "rx_st_mac40g", 26, 1 },
46233		{ "tx_st_mac40g", 25, 1 },
46234		{ "tx_mac1g10g", 24, 1 },
46235		{ "rx_mac1g10g", 23, 1 },
46236		{ "rx_status_mac1g10g", 22, 1 },
46237		{ "rx_st_mac1g10g", 21, 1 },
46238		{ "tx_st_mac1g10g", 20, 1 },
46239		{ "Perr_tx0_pcs40g", 19, 1 },
46240		{ "Perr_tx1_pcs40g", 18, 1 },
46241		{ "Perr_tx2_pcs40g", 17, 1 },
46242		{ "Perr_tx3_pcs40g", 16, 1 },
46243		{ "Perr_tx0_fec40g", 15, 1 },
46244		{ "Perr_tx1_fec40g", 14, 1 },
46245		{ "Perr_tx2_fec40g", 13, 1 },
46246		{ "Perr_tx3_fec40g", 12, 1 },
46247		{ "Perr_rx0_pcs40g", 11, 1 },
46248		{ "Perr_rx1_pcs40g", 10, 1 },
46249		{ "Perr_rx2_pcs40g", 9, 1 },
46250		{ "Perr_rx3_pcs40g", 8, 1 },
46251		{ "Perr_rx0_fec40g", 7, 1 },
46252		{ "Perr_rx1_fec40g", 6, 1 },
46253		{ "Perr_rx2_fec40g", 5, 1 },
46254		{ "Perr_rx3_fec40g", 4, 1 },
46255		{ "Perr_rx_pcs10g_lpbk", 3, 1 },
46256		{ "Perr_rx_pcs10g", 2, 1 },
46257		{ "Perr_rx_pcs1g", 1, 1 },
46258		{ "Perr_tx_pcs1g", 0, 1 },
46259	{ "MAC_PORT_PERR_INT_CAUSE", 0x348e4, 0 },
46260		{ "Perr_pkt_ram", 31, 1 },
46261		{ "Perr_mask_ram", 30, 1 },
46262		{ "Perr_crc_ram", 29, 1 },
46263		{ "rx_mac40g", 28, 1 },
46264		{ "tx_mac40g", 27, 1 },
46265		{ "rx_st_mac40g", 26, 1 },
46266		{ "tx_st_mac40g", 25, 1 },
46267		{ "tx_mac1g10g", 24, 1 },
46268		{ "rx_mac1g10g", 23, 1 },
46269		{ "rx_status_mac1g10g", 22, 1 },
46270		{ "rx_st_mac1g10g", 21, 1 },
46271		{ "tx_st_mac1g10g", 20, 1 },
46272		{ "Perr_tx0_pcs40g", 19, 1 },
46273		{ "Perr_tx1_pcs40g", 18, 1 },
46274		{ "Perr_tx2_pcs40g", 17, 1 },
46275		{ "Perr_tx3_pcs40g", 16, 1 },
46276		{ "Perr_tx0_fec40g", 15, 1 },
46277		{ "Perr_tx1_fec40g", 14, 1 },
46278		{ "Perr_tx2_fec40g", 13, 1 },
46279		{ "Perr_tx3_fec40g", 12, 1 },
46280		{ "Perr_rx0_pcs40g", 11, 1 },
46281		{ "Perr_rx1_pcs40g", 10, 1 },
46282		{ "Perr_rx2_pcs40g", 9, 1 },
46283		{ "Perr_rx3_pcs40g", 8, 1 },
46284		{ "Perr_rx0_fec40g", 7, 1 },
46285		{ "Perr_rx1_fec40g", 6, 1 },
46286		{ "Perr_rx2_fec40g", 5, 1 },
46287		{ "Perr_rx3_fec40g", 4, 1 },
46288		{ "Perr_rx_pcs10g_lpbk", 3, 1 },
46289		{ "Perr_rx_pcs10g", 2, 1 },
46290		{ "Perr_rx_pcs1g", 1, 1 },
46291		{ "Perr_tx_pcs1g", 0, 1 },
46292	{ "MAC_PORT_PERR_ENABLE", 0x348e8, 0 },
46293		{ "Perr_pkt_ram", 31, 1 },
46294		{ "Perr_mask_ram", 30, 1 },
46295		{ "Perr_crc_ram", 29, 1 },
46296		{ "rx_mac40g", 28, 1 },
46297		{ "tx_mac40g", 27, 1 },
46298		{ "rx_st_mac40g", 26, 1 },
46299		{ "tx_st_mac40g", 25, 1 },
46300		{ "tx_mac1g10g", 24, 1 },
46301		{ "rx_mac1g10g", 23, 1 },
46302		{ "rx_status_mac1g10g", 22, 1 },
46303		{ "rx_st_mac1g10g", 21, 1 },
46304		{ "tx_st_mac1g10g", 20, 1 },
46305		{ "Perr_tx0_pcs40g", 19, 1 },
46306		{ "Perr_tx1_pcs40g", 18, 1 },
46307		{ "Perr_tx2_pcs40g", 17, 1 },
46308		{ "Perr_tx3_pcs40g", 16, 1 },
46309		{ "Perr_tx0_fec40g", 15, 1 },
46310		{ "Perr_tx1_fec40g", 14, 1 },
46311		{ "Perr_tx2_fec40g", 13, 1 },
46312		{ "Perr_tx3_fec40g", 12, 1 },
46313		{ "Perr_rx0_pcs40g", 11, 1 },
46314		{ "Perr_rx1_pcs40g", 10, 1 },
46315		{ "Perr_rx2_pcs40g", 9, 1 },
46316		{ "Perr_rx3_pcs40g", 8, 1 },
46317		{ "Perr_rx0_fec40g", 7, 1 },
46318		{ "Perr_rx1_fec40g", 6, 1 },
46319		{ "Perr_rx2_fec40g", 5, 1 },
46320		{ "Perr_rx3_fec40g", 4, 1 },
46321		{ "Perr_rx_pcs10g_lpbk", 3, 1 },
46322		{ "Perr_rx_pcs10g", 2, 1 },
46323		{ "Perr_rx_pcs1g", 1, 1 },
46324		{ "Perr_tx_pcs1g", 0, 1 },
46325	{ "MAC_PORT_PERR_INJECT", 0x348ec, 0 },
46326		{ "MemSel", 1, 6 },
46327		{ "InjectDataErr", 0, 1 },
46328	{ "MAC_PORT_HSS_CFG0", 0x348f0, 0 },
46329		{ "TXDTS", 31, 1 },
46330		{ "TXCTS", 30, 1 },
46331		{ "TXBTS", 29, 1 },
46332		{ "TXATS", 28, 1 },
46333		{ "TXDOBS", 27, 1 },
46334		{ "TXCOBS", 26, 1 },
46335		{ "TXBOBS", 25, 1 },
46336		{ "TXAOBS", 24, 1 },
46337		{ "HSSREFCLKVALIDA", 20, 1 },
46338		{ "HSSREFCLKVALIDB", 19, 1 },
46339		{ "HSSRESYNCA", 18, 1 },
46340		{ "HSSAVDHI", 17, 1 },
46341		{ "HSSRESYNCB", 16, 1 },
46342		{ "HSSRECCALA", 15, 1 },
46343		{ "HSSRXACMODE", 14, 1 },
46344		{ "HSSRECCALB", 13, 1 },
46345		{ "HSSPLLBYPA", 12, 1 },
46346		{ "HSSPLLBYPB", 11, 1 },
46347		{ "HSSPDWNPLLA", 10, 1 },
46348		{ "HSSPDWNPLLB", 9, 1 },
46349		{ "HSSVCOSELA", 8, 1 },
46350		{ "HSSVCOSELB", 7, 1 },
46351		{ "HSSCALCOMP", 6, 1 },
46352		{ "HSSCALENAB", 5, 1 },
46353		{ "HSSEXTC16SEL", 4, 1 },
46354	{ "MAC_PORT_HSS_CFG1", 0x348f4, 0 },
46355		{ "RXACONFIGSEL", 30, 2 },
46356		{ "RXAQUIET", 29, 1 },
46357		{ "RXAREFRESH", 28, 1 },
46358		{ "RXBCONFIGSEL", 26, 2 },
46359		{ "RXBQUIET", 25, 1 },
46360		{ "RXBREFRESH", 24, 1 },
46361		{ "RXCCONFIGSEL", 22, 2 },
46362		{ "RXCQUIET", 21, 1 },
46363		{ "RXCREFRESH", 20, 1 },
46364		{ "RXDCONFIGSEL", 18, 2 },
46365		{ "RXDQUIET", 17, 1 },
46366		{ "RXDREFRESH", 16, 1 },
46367		{ "TXACONFIGSEL", 14, 2 },
46368		{ "TXAQUIET", 13, 1 },
46369		{ "TXAREFRESH", 12, 1 },
46370		{ "TXBCONFIGSEL", 10, 2 },
46371		{ "TXBQUIET", 9, 1 },
46372		{ "TXBREFRESH", 8, 1 },
46373		{ "TXCCONFIGSEL", 6, 2 },
46374		{ "TXCQUIET", 5, 1 },
46375		{ "TXCREFRESH", 4, 1 },
46376		{ "TXDCONFIGSEL", 2, 2 },
46377		{ "TXDQUIET", 1, 1 },
46378		{ "TXDREFRESH", 0, 1 },
46379	{ "MAC_PORT_HSS_CFG2", 0x348f8, 0 },
46380		{ "RXAASSTCLK", 31, 1 },
46381		{ "T5RXAPRBSRST", 30, 1 },
46382		{ "RXBASSTCLK", 29, 1 },
46383		{ "T5RXBPRBSRST", 28, 1 },
46384		{ "RXCASSTCLK", 27, 1 },
46385		{ "T5RXCPRBSRST", 26, 1 },
46386		{ "RXDASSTCLK", 25, 1 },
46387		{ "T5RXDPRBSRST", 24, 1 },
46388		{ "RXDDATASYNC", 23, 1 },
46389		{ "RXCDATASYNC", 22, 1 },
46390		{ "RXBDATASYNC", 21, 1 },
46391		{ "RXADATASYNC", 20, 1 },
46392		{ "RXDEARLYIN", 19, 1 },
46393		{ "RXDLATEIN", 18, 1 },
46394		{ "RXDPHSLOCK", 17, 1 },
46395		{ "RXDPHSDNIN", 16, 1 },
46396		{ "RXDPHSUPIN", 15, 1 },
46397		{ "RXCEARLYIN", 14, 1 },
46398		{ "RXCLATEIN", 13, 1 },
46399		{ "RXCPHSLOCK", 12, 1 },
46400		{ "RXCPHSDNIN", 11, 1 },
46401		{ "RXCPHSUPIN", 10, 1 },
46402		{ "RXBEARLYIN", 9, 1 },
46403		{ "RXBLATEIN", 8, 1 },
46404		{ "RXBPHSLOCK", 7, 1 },
46405		{ "RXBPHSDNIN", 6, 1 },
46406		{ "RXBPHSUPIN", 5, 1 },
46407		{ "RXAEARLYIN", 4, 1 },
46408		{ "RXALATEIN", 3, 1 },
46409		{ "RXAPHSLOCK", 2, 1 },
46410		{ "RXAPHSDNIN", 1, 1 },
46411		{ "RXAPHSUPIN", 0, 1 },
46412	{ "MAC_PORT_HSS_CFG3", 0x348fc, 0 },
46413		{ "HSSCALSSTN", 22, 6 },
46414		{ "HSSCALSSTP", 16, 6 },
46415		{ "HSSPLLCONFIGB", 8, 8 },
46416		{ "HSSPLLCONFIGA", 0, 8 },
46417	{ "MAC_PORT_HSS_CFG4", 0x34900, 0 },
46418		{ "HSSREFDIVA", 24, 4 },
46419		{ "HSSREFDIVB", 20, 4 },
46420		{ "HSSPLLDIV2B", 19, 1 },
46421		{ "HSSPLLDIV2A", 18, 1 },
46422		{ "HSSDIVSELA", 9, 9 },
46423		{ "HSSDIVSELB", 0, 9 },
46424	{ "MAC_PORT_HSS_STATUS", 0x34904, 0 },
46425		{ "RXDERROFLOW", 19, 1 },
46426		{ "RXCERROFLOW", 18, 1 },
46427		{ "RXBERROFLOW", 17, 1 },
46428		{ "RXAERROFLOW", 16, 1 },
46429		{ "RXDPRBSSYNC", 15, 1 },
46430		{ "RXCPRBSSYNC", 14, 1 },
46431		{ "RXBPRBSSYNC", 13, 1 },
46432		{ "RXAPRBSSYNC", 12, 1 },
46433		{ "RXDPRBSERR", 11, 1 },
46434		{ "RXCPRBSERR", 10, 1 },
46435		{ "RXBPRBSERR", 9, 1 },
46436		{ "RXAPRBSERR", 8, 1 },
46437		{ "RXDSIGDET", 7, 1 },
46438		{ "RXCSIGDET", 6, 1 },
46439		{ "RXBSIGDET", 5, 1 },
46440		{ "RXASIGDET", 4, 1 },
46441		{ "HSSPLLLOCKB", 3, 1 },
46442		{ "HSSPLLLOCKA", 2, 1 },
46443		{ "HSSPRTREADYB", 1, 1 },
46444		{ "HSSPRTREADYA", 0, 1 },
46445	{ "MAC_PORT_HSS_EEE_STATUS", 0x34908, 0 },
46446		{ "RXAQUIET_STATUS", 15, 1 },
46447		{ "RXAREFRESH_STATUS", 14, 1 },
46448		{ "RXBQUIET_STATUS", 13, 1 },
46449		{ "RXBREFRESH_STATUS", 12, 1 },
46450		{ "RXCQUIET_STATUS", 11, 1 },
46451		{ "RXCREFRESH_STATUS", 10, 1 },
46452		{ "RXDQUIET_STATUS", 9, 1 },
46453		{ "RXDREFRESH_STATUS", 8, 1 },
46454		{ "TXAQUIET_STATUS", 7, 1 },
46455		{ "TXAREFRESH_STATUS", 6, 1 },
46456		{ "TXBQUIET_STATUS", 5, 1 },
46457		{ "TXBREFRESH_STATUS", 4, 1 },
46458		{ "TXCQUIET_STATUS", 3, 1 },
46459		{ "TXCREFRESH_STATUS", 2, 1 },
46460		{ "TXDQUIET_STATUS", 1, 1 },
46461		{ "TXDREFRESH_STATUS", 0, 1 },
46462	{ "MAC_PORT_HSS_SIGDET_STATUS", 0x3490c, 0 },
46463	{ "MAC_PORT_HSS_PL_CTL", 0x34910, 0 },
46464		{ "TOV", 16, 8 },
46465		{ "TSU", 8, 8 },
46466		{ "IPW", 0, 8 },
46467	{ "MAC_PORT_RUNT_FRAME", 0x34914, 0 },
46468		{ "runtclear", 16, 1 },
46469		{ "runt", 0, 16 },
46470	{ "MAC_PORT_EEE_STATUS", 0x34918, 0 },
46471		{ "eee_tx_10g_state", 10, 2 },
46472		{ "eee_rx_10g_state", 8, 2 },
46473		{ "eee_tx_1g_state", 6, 2 },
46474		{ "eee_rx_1g_state", 4, 2 },
46475		{ "pma_rx_refresh", 3, 1 },
46476		{ "pma_rx_quiet", 2, 1 },
46477		{ "pma_tx_refresh", 1, 1 },
46478		{ "pma_tx_quiet", 0, 1 },
46479	{ "MAC_PORT_CGEN", 0x3491c, 0 },
46480		{ "CGEN", 8, 1 },
46481		{ "sd7_CGEN", 7, 1 },
46482		{ "sd6_CGEN", 6, 1 },
46483		{ "sd5_CGEN", 5, 1 },
46484		{ "sd4_CGEN", 4, 1 },
46485		{ "sd3_CGEN", 3, 1 },
46486		{ "sd2_CGEN", 2, 1 },
46487		{ "sd1_CGEN", 1, 1 },
46488		{ "sd0_CGEN", 0, 1 },
46489	{ "MAC_PORT_CGEN_MTIP", 0x34920, 0 },
46490		{ "MACSEG5_CGEN", 11, 1 },
46491		{ "PCSSEG5_CGEN", 10, 1 },
46492		{ "MACSEG4_CGEN", 9, 1 },
46493		{ "PCSSEG4_CGEN", 8, 1 },
46494		{ "MACSEG3_CGEN", 7, 1 },
46495		{ "PCSSEG3_CGEN", 6, 1 },
46496		{ "MACSEG2_CGEN", 5, 1 },
46497		{ "PCSSEG2_CGEN", 4, 1 },
46498		{ "MACSEG1_CGEN", 3, 1 },
46499		{ "PCSSEG1_CGEN", 2, 1 },
46500		{ "MACSEG0_CGEN", 1, 1 },
46501		{ "PCSSEG0_CGEN", 0, 1 },
46502	{ "MAC_PORT_TX_TS_ID", 0x34924, 0 },
46503	{ "MAC_PORT_TX_TS_VAL_LO", 0x34928, 0 },
46504	{ "MAC_PORT_TX_TS_VAL_HI", 0x3492c, 0 },
46505	{ "MAC_PORT_EEE_CTL", 0x34930, 0 },
46506		{ "EEE_CTRL", 2, 30 },
46507		{ "TICK_START", 1, 1 },
46508		{ "En", 0, 1 },
46509	{ "MAC_PORT_EEE_TX_CTL", 0x34934, 0 },
46510		{ "WAKE_TIMER", 16, 16 },
46511		{ "HSS_TIMER", 5, 4 },
46512		{ "HSS_CTL", 4, 1 },
46513		{ "LPI_ACTIVE", 3, 1 },
46514		{ "LPI_TXHOLD", 2, 1 },
46515		{ "LPI_REQ", 1, 1 },
46516		{ "EEE_TX_RESET", 0, 1 },
46517	{ "MAC_PORT_EEE_RX_CTL", 0x34938, 0 },
46518		{ "WAKE_TIMER", 16, 16 },
46519		{ "HSS_TIMER", 5, 4 },
46520		{ "HSS_CTL", 4, 1 },
46521		{ "LPI_IND", 1, 1 },
46522		{ "EEE_RX_RESET", 0, 1 },
46523	{ "MAC_PORT_EEE_TX_10G_SLEEP_TIMER", 0x3493c, 0 },
46524	{ "MAC_PORT_EEE_TX_10G_QUIET_TIMER", 0x34940, 0 },
46525	{ "MAC_PORT_EEE_TX_10G_WAKE_TIMER", 0x34944, 0 },
46526	{ "MAC_PORT_EEE_TX_1G_SLEEP_TIMER", 0x34948, 0 },
46527	{ "MAC_PORT_EEE_TX_1G_QUIET_TIMER", 0x3494c, 0 },
46528	{ "MAC_PORT_EEE_TX_1G_REFRESH_TIMER", 0x34950, 0 },
46529	{ "MAC_PORT_EEE_RX_10G_QUIET_TIMER", 0x34954, 0 },
46530	{ "MAC_PORT_EEE_RX_10G_WAKE_TIMER", 0x34958, 0 },
46531	{ "MAC_PORT_EEE_RX_10G_WF_TIMER", 0x3495c, 0 },
46532	{ "MAC_PORT_EEE_RX_1G_QUIET_TIMER", 0x34960, 0 },
46533	{ "MAC_PORT_EEE_RX_1G_WAKE_TIMER", 0x34964, 0 },
46534	{ "MAC_PORT_EEE_WF_COUNT", 0x34968, 0 },
46535		{ "wake_cnt_clr", 16, 1 },
46536		{ "wake_cnt", 0, 16 },
46537	{ "MAC_PORT_PTP_TIMER_RD0_LO", 0x3496c, 0 },
46538	{ "MAC_PORT_PTP_TIMER_RD0_HI", 0x34970, 0 },
46539	{ "MAC_PORT_PTP_TIMER_RD1_LO", 0x34974, 0 },
46540	{ "MAC_PORT_PTP_TIMER_RD1_HI", 0x34978, 0 },
46541	{ "MAC_PORT_PTP_TIMER_WR_LO", 0x3497c, 0 },
46542	{ "MAC_PORT_PTP_TIMER_WR_HI", 0x34980, 0 },
46543	{ "MAC_PORT_PTP_TIMER_OFFSET_0", 0x34984, 0 },
46544	{ "MAC_PORT_PTP_TIMER_OFFSET_1", 0x34988, 0 },
46545	{ "MAC_PORT_PTP_TIMER_OFFSET_2", 0x3498c, 0 },
46546	{ "MAC_PORT_PTP_SUM_LO", 0x34990, 0 },
46547	{ "MAC_PORT_PTP_SUM_HI", 0x34994, 0 },
46548	{ "MAC_PORT_PTP_TIMER_INCR0", 0x34998, 0 },
46549		{ "Y", 16, 16 },
46550		{ "X", 0, 16 },
46551	{ "MAC_PORT_PTP_TIMER_INCR1", 0x3499c, 0 },
46552		{ "Y_TICK", 16, 16 },
46553		{ "X_TICK", 0, 16 },
46554	{ "MAC_PORT_PTP_DRIFT_ADJUST_COUNT", 0x349a0, 0 },
46555	{ "MAC_PORT_PTP_OFFSET_ADJUST_FINE", 0x349a4, 0 },
46556		{ "B", 16, 16 },
46557		{ "A", 0, 16 },
46558	{ "MAC_PORT_PTP_OFFSET_ADJUST_TOTAL", 0x349a8, 0 },
46559	{ "MAC_PORT_PTP_CFG", 0x349ac, 0 },
46560		{ "ALARM_EN", 21, 1 },
46561		{ "ALARM_START", 20, 1 },
46562		{ "PPS_EN", 19, 1 },
46563		{ "FRZ", 18, 1 },
46564		{ "OFFSER_ADJUST_SIGN", 17, 1 },
46565		{ "ADD_OFFSET", 16, 1 },
46566		{ "CYCLE1", 8, 8 },
46567		{ "Q", 0, 8 },
46568	{ "MAC_PORT_PTP_PPS", 0x349b0, 0 },
46569	{ "MAC_PORT_PTP_SINGLE_ALARM", 0x349b4, 0 },
46570	{ "MAC_PORT_PTP_PERIODIC_ALARM", 0x349b8, 0 },
46571	{ "MAC_PORT_PTP_STATUS", 0x349bc, 0 },
46572	{ "MAC_PORT_MTIP_REVISION", 0x34a00, 0 },
46573		{ "CUSTREV", 16, 16 },
46574		{ "VER", 8, 8 },
46575		{ "REV", 0, 8 },
46576	{ "MAC_PORT_MTIP_SCRATCH", 0x34a04, 0 },
46577	{ "MAC_PORT_MTIP_COMMAND_CONFIG", 0x34a08, 0 },
46578		{ "TX_FLUSH", 22, 1 },
46579		{ "RX_SFD_ANY", 21, 1 },
46580		{ "PAUSE_PFC_COMP", 20, 1 },
46581		{ "PFC_MODE", 19, 1 },
46582		{ "RS_COL_CNT_EXT", 18, 1 },
46583		{ "NO_LGTH_CHECK", 17, 1 },
46584		{ "SEND_IDLE", 16, 1 },
46585		{ "PHY_TXENA", 15, 1 },
46586		{ "RX_ERR_DISC", 14, 1 },
46587		{ "CMD_FRAME_ENA", 13, 1 },
46588		{ "SW_RESET", 12, 1 },
46589		{ "TX_PAD_EN", 11, 1 },
46590		{ "LOOPBACK_EN", 10, 1 },
46591		{ "TX_ADDR_INS", 9, 1 },
46592		{ "PAUSE_IGNORE", 8, 1 },
46593		{ "PAUSE_FWD", 7, 1 },
46594		{ "CRC_FWD", 6, 1 },
46595		{ "PAD_EN", 5, 1 },
46596		{ "PROMIS_EN", 4, 1 },
46597		{ "WAN_MODE", 3, 1 },
46598		{ "RX_ENA", 1, 1 },
46599		{ "TX_ENA", 0, 1 },
46600	{ "MAC_PORT_MTIP_MAC_ADDR_0", 0x34a0c, 0 },
46601	{ "MAC_PORT_MTIP_MAC_ADDR_1", 0x34a10, 0 },
46602	{ "MAC_PORT_MTIP_FRM_LENGTH", 0x34a14, 0 },
46603	{ "MAC_PORT_MTIP_RX_FIFO_SECTIONS", 0x34a1c, 0 },
46604		{ "AVAIL", 16, 16 },
46605		{ "EMPTY", 0, 16 },
46606	{ "MAC_PORT_MTIP_TX_FIFO_SECTIONS", 0x34a20, 0 },
46607		{ "AVAIL", 16, 16 },
46608		{ "EMPTY", 0, 16 },
46609	{ "MAC_PORT_MTIP_RX_FIFO_ALMOST_F_E", 0x34a24, 0 },
46610		{ "AlmstFull", 16, 16 },
46611		{ "AlmstEmpty", 0, 16 },
46612	{ "MAC_PORT_MTIP_TX_FIFO_ALMOST_F_E", 0x34a28, 0 },
46613		{ "AlmstFull", 16, 16 },
46614		{ "AlmstEmpty", 0, 16 },
46615	{ "MAC_PORT_MTIP_HASHTABLE_LOAD", 0x34a2c, 0 },
46616		{ "ENABLE", 8, 1 },
46617		{ "ADDR", 0, 6 },
46618	{ "MAC_PORT_MTIP_MAC_STATUS", 0x34a40, 0 },
46619		{ "TS_AVAIL", 3, 1 },
46620		{ "PHY_LOS", 2, 1 },
46621		{ "RX_REM_FAULT", 1, 1 },
46622		{ "RX_LOC_FAULT", 0, 1 },
46623	{ "MAC_PORT_MTIP_TX_IPG_LENGTH", 0x34a44, 0 },
46624	{ "MAC_PORT_MTIP_MAC_CREDIT_TRIGGER", 0x34a48, 0 },
46625	{ "MAC_PORT_MTIP_INIT_CREDIT", 0x34a4c, 0 },
46626	{ "MAC_PORT_MTIP_CURRENT_CREDIT", 0x34a50, 0 },
46627	{ "MAC_PORT_RX_PAUSE_STATUS", 0x34a74, 0 },
46628	{ "MAC_PORT_MTIP_TS_TIMESTAMP", 0x34a7c, 0 },
46629	{ "MAC_PORT_AFRAMESTRANSMITTEDOK", 0x34a80, 0 },
46630	{ "MAC_PORT_AFRAMESTRANSMITTEDOKHI", 0x34a84, 0 },
46631	{ "MAC_PORT_AFRAMESRECEIVEDOK", 0x34a88, 0 },
46632	{ "MAC_PORT_AFRAMESRECEIVEDOKHI", 0x34a8c, 0 },
46633	{ "MAC_PORT_AFRAMECHECKSEQUENCEERRORS", 0x34a90, 0 },
46634	{ "MAC_PORT_AFRAMECHECKSEQUENCEERRORSHI", 0x34a94, 0 },
46635	{ "MAC_PORT_AALIGNMENTERRORS", 0x34a98, 0 },
46636	{ "MAC_PORT_AALIGNMENTERRORSHI", 0x34a9c, 0 },
46637	{ "MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTED", 0x34aa0, 0 },
46638	{ "MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTEDHI", 0x34aa4, 0 },
46639	{ "MAC_PORT_APAUSEMACCTRLFRAMESRECEIVED", 0x34aa8, 0 },
46640	{ "MAC_PORT_APAUSEMACCTRLFRAMESRECEIVEDHI", 0x34aac, 0 },
46641	{ "MAC_PORT_AFRAMETOOLONGERRORS", 0x34ab0, 0 },
46642	{ "MAC_PORT_AFRAMETOOLONGERRORSHI", 0x34ab4, 0 },
46643	{ "MAC_PORT_AINRANGELENGTHERRORS", 0x34ab8, 0 },
46644	{ "MAC_PORT_AINRANGELENGTHERRORSHI", 0x34abc, 0 },
46645	{ "MAC_PORT_VLANTRANSMITTEDOK", 0x34ac0, 0 },
46646	{ "MAC_PORT_VLANTRANSMITTEDOKHI", 0x34ac4, 0 },
46647	{ "MAC_PORT_VLANRECEIVEDOK", 0x34ac8, 0 },
46648	{ "MAC_PORT_VLANRECEIVEDOKHI", 0x34acc, 0 },
46649	{ "MAC_PORT_AOCTETSTRANSMITTEDOK", 0x34ad0, 0 },
46650	{ "MAC_PORT_AOCTETSTRANSMITTEDOKHI", 0x34ad4, 0 },
46651	{ "MAC_PORT_AOCTETSRECEIVEDOK", 0x34ad8, 0 },
46652	{ "MAC_PORT_AOCTETSRECEIVEDOKHI", 0x34adc, 0 },
46653	{ "MAC_PORT_IFINUCASTPKTS", 0x34ae0, 0 },
46654	{ "MAC_PORT_IFINUCASTPKTSHI", 0x34ae4, 0 },
46655	{ "MAC_PORT_IFINMULTICASTPKTS", 0x34ae8, 0 },
46656	{ "MAC_PORT_IFINMULTICASTPKTSHI", 0x34aec, 0 },
46657	{ "MAC_PORT_IFINBROADCASTPKTS", 0x34af0, 0 },
46658	{ "MAC_PORT_IFINBROADCASTPKTSHI", 0x34af4, 0 },
46659	{ "MAC_PORT_IFOUTERRORS", 0x34af8, 0 },
46660	{ "MAC_PORT_IFOUTERRORSHI", 0x34afc, 0 },
46661	{ "MAC_PORT_IFOUTUCASTPKTS", 0x34b08, 0 },
46662	{ "MAC_PORT_IFOUTUCASTPKTSHI", 0x34b0c, 0 },
46663	{ "MAC_PORT_IFOUTMULTICASTPKTS", 0x34b10, 0 },
46664	{ "MAC_PORT_IFOUTMULTICASTPKTSHI", 0x34b14, 0 },
46665	{ "MAC_PORT_IFOUTBROADCASTPKTS", 0x34b18, 0 },
46666	{ "MAC_PORT_IFOUTBROADCASTPKTSHI", 0x34b1c, 0 },
46667	{ "MAC_PORT_ETHERSTATSDROPEVENTS", 0x34b20, 0 },
46668	{ "MAC_PORT_ETHERSTATSDROPEVENTSHI", 0x34b24, 0 },
46669	{ "MAC_PORT_ETHERSTATSOCTETS", 0x34b28, 0 },
46670	{ "MAC_PORT_ETHERSTATSOCTETSHI", 0x34b2c, 0 },
46671	{ "MAC_PORT_ETHERSTATSPKTS", 0x34b30, 0 },
46672	{ "MAC_PORT_ETHERSTATSPKTSHI", 0x34b34, 0 },
46673	{ "MAC_PORT_ETHERSTATSUNDERSIZEPKTS", 0x34b38, 0 },
46674	{ "MAC_PORT_ETHERSTATSUNDERSIZEPKTSHI", 0x34b3c, 0 },
46675	{ "MAC_PORT_ETHERSTATSPKTS64OCTETS", 0x34b40, 0 },
46676	{ "MAC_PORT_ETHERSTATSPKTS64OCTETSHI", 0x34b44, 0 },
46677	{ "MAC_PORT_ETHERSTATSPKTS65TO127OCTETS", 0x34b48, 0 },
46678	{ "MAC_PORT_ETHERSTATSPKTS65TO127OCTETSHI", 0x34b4c, 0 },
46679	{ "MAC_PORT_ETHERSTATSPKTS128TO255OCTETS", 0x34b50, 0 },
46680	{ "MAC_PORT_ETHERSTATSPKTS128TO255OCTETSHI", 0x34b54, 0 },
46681	{ "MAC_PORT_ETHERSTATSPKTS256TO511OCTETS", 0x34b58, 0 },
46682	{ "MAC_PORT_ETHERSTATSPKTS256TO511OCTETSHI", 0x34b5c, 0 },
46683	{ "MAC_PORT_ETHERSTATSPKTS512TO1023OCTETS", 0x34b60, 0 },
46684	{ "MAC_PORT_ETHERSTATSPKTS512TO1023OCTETSHI", 0x34b64, 0 },
46685	{ "MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETS", 0x34b68, 0 },
46686	{ "MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETSHI", 0x34b6c, 0 },
46687	{ "MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETS", 0x34b70, 0 },
46688	{ "MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETSHI", 0x34b74, 0 },
46689	{ "MAC_PORT_ETHERSTATSOVERSIZEPKTS", 0x34b78, 0 },
46690	{ "MAC_PORT_ETHERSTATSOVERSIZEPKTSHI", 0x34b7c, 0 },
46691	{ "MAC_PORT_ETHERSTATSJABBERS", 0x34b80, 0 },
46692	{ "MAC_PORT_ETHERSTATSJABBERSHI", 0x34b84, 0 },
46693	{ "MAC_PORT_ETHERSTATSFRAGMENTS", 0x34b88, 0 },
46694	{ "MAC_PORT_ETHERSTATSFRAGMENTSHI", 0x34b8c, 0 },
46695	{ "MAC_PORT_IFINERRORS", 0x34b90, 0 },
46696	{ "MAC_PORT_IFINERRORSHI", 0x34b94, 0 },
46697	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0", 0x34b98, 0 },
46698	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0HI", 0x34b9c, 0 },
46699	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1", 0x34ba0, 0 },
46700	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1HI", 0x34ba4, 0 },
46701	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2", 0x34ba8, 0 },
46702	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2HI", 0x34bac, 0 },
46703	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3", 0x34bb0, 0 },
46704	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3HI", 0x34bb4, 0 },
46705	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4", 0x34bb8, 0 },
46706	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4HI", 0x34bbc, 0 },
46707	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5", 0x34bc0, 0 },
46708	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5HI", 0x34bc4, 0 },
46709	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6", 0x34bc8, 0 },
46710	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6HI", 0x34bcc, 0 },
46711	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7", 0x34bd0, 0 },
46712	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7HI", 0x34bd4, 0 },
46713	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0", 0x34bd8, 0 },
46714	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0HI", 0x34bdc, 0 },
46715	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1", 0x34be0, 0 },
46716	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1HI", 0x34be4, 0 },
46717	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2", 0x34be8, 0 },
46718	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2HI", 0x34bec, 0 },
46719	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3", 0x34bf0, 0 },
46720	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3HI", 0x34bf4, 0 },
46721	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4", 0x34bf8, 0 },
46722	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4HI", 0x34bfc, 0 },
46723	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5", 0x34c00, 0 },
46724	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5HI", 0x34c04, 0 },
46725	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6", 0x34c08, 0 },
46726	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6HI", 0x34c0c, 0 },
46727	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7", 0x34c10, 0 },
46728	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7HI", 0x34c14, 0 },
46729	{ "MAC_PORT_AMACCONTROLFRAMESTRANSMITTED", 0x34c18, 0 },
46730	{ "MAC_PORT_AMACCONTROLFRAMESTRANSMITTEDHI", 0x34c1c, 0 },
46731	{ "MAC_PORT_AMACCONTROLFRAMESRECEIVED", 0x34c20, 0 },
46732	{ "MAC_PORT_AMACCONTROLFRAMESRECEIVEDHI", 0x34c24, 0 },
46733	{ "MAC_PORT_MTIP_1G10G_REVISION", 0x34d00, 0 },
46734		{ "CUSTREV", 16, 16 },
46735		{ "VER", 8, 8 },
46736		{ "REV", 0, 8 },
46737	{ "MAC_PORT_MTIP_1G10G_SCRATCH", 0x34d04, 0 },
46738	{ "MAC_PORT_MTIP_1G10G_COMMAND_CONFIG", 0x34d08, 0 },
46739		{ "SHORT_DISCARD", 25, 1 },
46740		{ "REG_LOWP_RXEMPTY", 24, 1 },
46741		{ "TX_LOWP_ENA", 23, 1 },
46742		{ "TX_FLUSH", 22, 1 },
46743		{ "SFD_ANY", 21, 1 },
46744		{ "PAUSE_PFC_COMP", 20, 1 },
46745		{ "PFC_MODE", 19, 1 },
46746		{ "COL_CNT_ExT", 18, 1 },
46747		{ "NO_LGTH_CHECK", 17, 1 },
46748		{ "FORCE_SEND_IDLE", 16, 1 },
46749		{ "PHY_TXENA", 15, 1 },
46750		{ "RX_ERR_DISC", 14, 1 },
46751		{ "CNTL_FRM_ENA", 13, 1 },
46752		{ "SW_RESET", 12, 1 },
46753		{ "TX_PAD_EN", 11, 1 },
46754		{ "LOOP_ENA", 10, 1 },
46755		{ "TX_ADDR_INS", 9, 1 },
46756		{ "PAUSE_IGNORE", 8, 1 },
46757		{ "PAUSE_FWD", 7, 1 },
46758		{ "CRC_FWD", 6, 1 },
46759		{ "PAD_EN", 5, 1 },
46760		{ "PROMIS_EN", 4, 1 },
46761		{ "WAN_MODE", 3, 1 },
46762		{ "RX_ENAMAC", 1, 1 },
46763		{ "TX_ENAMAC", 0, 1 },
46764	{ "MAC_PORT_MTIP_1G10G_MAC_ADDR_0", 0x34d0c, 0 },
46765	{ "MAC_PORT_MTIP_1G10G_MAC_ADDR_1", 0x34d10, 0 },
46766	{ "MAC_PORT_MTIP_1G10G_FRM_LENGTH_TX_MTU", 0x34d14, 0 },
46767		{ "SET_LEN", 16, 16 },
46768		{ "FRM_LEN_SET", 0, 16 },
46769	{ "MAC_PORT_MTIP_1G10G_RX_FIFO_SECTIONS", 0x34d1c, 0 },
46770		{ "EMPTY", 16, 16 },
46771		{ "AVAIL", 0, 16 },
46772	{ "MAC_PORT_MTIP_1G10G_TX_FIFO_SECTIONS", 0x34d20, 0 },
46773		{ "EMPTY", 16, 16 },
46774		{ "AVAIL", 0, 16 },
46775	{ "MAC_PORT_MTIP_1G10G_RX_FIFO_ALMOST_F_E", 0x34d24, 0 },
46776		{ "AlmostFull", 16, 16 },
46777		{ "AlmostEmpty", 0, 16 },
46778	{ "MAC_PORT_MTIP_1G10G_TX_FIFO_ALMOST_F_E", 0x34d28, 0 },
46779		{ "AlmostFull", 16, 16 },
46780		{ "AlmostEmpty", 0, 16 },
46781	{ "MAC_PORT_MTIP_1G10G_HASHTABLE_LOAD", 0x34d2c, 0 },
46782	{ "MAC_PORT_MTIP_1G10G_MDIO_CFG_STATUS", 0x34d30, 0 },
46783		{ "Clk_divisor", 7, 9 },
46784		{ "ENA_CLAUSE", 6, 1 },
46785		{ "PREAMBLE_DISABLE", 5, 1 },
46786		{ "Hold_time_setting", 2, 3 },
46787		{ "MDIO_read_error", 1, 1 },
46788		{ "MDIO_Busy", 0, 1 },
46789	{ "MAC_PORT_MTIP_1G10G_MDIO_COMMAND", 0x34d34, 0 },
46790		{ "READ_MODE", 15, 1 },
46791		{ "POST_INCR_READ", 14, 1 },
46792		{ "Port_PHY_Addr", 5, 5 },
46793		{ "Device_Reg_Addr", 0, 5 },
46794	{ "MAC_PORT_MTIP_1G10G_MDIO_DATA", 0x34d38, 0 },
46795	{ "MAC_PORT_MTIP_1G10G_MDIO_REGADDR", 0x34d3c, 0 },
46796	{ "MAC_PORT_MTIP_1G10G_STATUS", 0x34d40, 0 },
46797		{ "RX_LINT_FAULT", 7, 1 },
46798		{ "RX_EMPTY", 6, 1 },
46799		{ "TX_EMPTY", 5, 1 },
46800		{ "RX_LOWP", 4, 1 },
46801		{ "TS_AVAIL", 3, 1 },
46802		{ "PHY_LOS", 2, 1 },
46803		{ "RX_REM_FAULT", 1, 1 },
46804		{ "RX_LOC_FAULT", 0, 1 },
46805	{ "MAC_PORT_MTIP_1G10G_TX_IPG_LENGTH", 0x34d44, 0 },
46806	{ "MAC_PORT_MTIP_1G10G_CREDIT_TRIGGER", 0x34d48, 0 },
46807	{ "MAC_PORT_MTIP_1G10G_INIT_CREDIT", 0x34d4c, 0 },
46808	{ "MAC_PORT_MTIP_1G10G_CL01_PAUSE_QUANTA", 0x34d54, 0 },
46809		{ "CL1_PAUSE_QUANTA", 16, 16 },
46810		{ "CL0_PAUSE_QUANTA", 0, 16 },
46811	{ "MAC_PORT_MTIP_1G10G_CL23_PAUSE_QUANTA", 0x34d58, 0 },
46812		{ "CL3_PAUSE_QUANTA", 16, 16 },
46813		{ "CL2_PAUSE_QUANTA", 0, 16 },
46814	{ "MAC_PORT_MTIP_1G10G_CL45_PAUSE_QUANTA", 0x34d5c, 0 },
46815		{ "CL5_PAUSE_QUANTA", 16, 16 },
46816		{ "CL4_PAUSE_QUANTA", 0, 16 },
46817	{ "MAC_PORT_MTIP_1G10G_CL67_PAUSE_QUANTA", 0x34d60, 0 },
46818		{ "CL7_PAUSE_QUANTA", 16, 16 },
46819		{ "CL6_PAUSE_QUANTA", 0, 16 },
46820	{ "MAC_PORT_MTIP_1G10G_CL01_QUANTA_THRESH", 0x34d64, 0 },
46821		{ "CL1_QUANTA_THRESH", 16, 16 },
46822		{ "CL0_QUANTA_THRESH", 0, 16 },
46823	{ "MAC_PORT_MTIP_1G10G_CL23_QUANTA_THRESH", 0x34d68, 0 },
46824		{ "CL3_QUANTA_THRESH", 16, 16 },
46825		{ "CL2_QUANTA_THRESH", 0, 16 },
46826	{ "MAC_PORT_MTIP_1G10G_CL45_QUANTA_THRESH", 0x34d6c, 0 },
46827		{ "CL5_QUANTA_THRESH", 16, 16 },
46828		{ "CL4_QUANTA_THRESH", 0, 16 },
46829	{ "MAC_PORT_MTIP_1G10G_CL67_QUANTA_THRESH", 0x34d70, 0 },
46830		{ "CL7_QUANTA_THRESH", 16, 16 },
46831		{ "CL6_QUANTA_THRESH", 0, 16 },
46832	{ "MAC_PORT_MTIP_1G10G_RX_PAUSE_STATUS", 0x34d74, 0 },
46833	{ "MAC_PORT_MTIP_1G10G_TS_TIMESTAMP", 0x34d7c, 0 },
46834	{ "MAC_PORT_MTIP_1G10G_STATN_CONFIG", 0x34de0, 0 },
46835		{ "CLEAR", 2, 1 },
46836		{ "CLEAR_ON_READ", 1, 1 },
46837		{ "SATURATE", 0, 1 },
46838	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOCTETS", 0x34e00, 0 },
46839	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOCTETSHI", 0x34e04, 0 },
46840	{ "MAC_PORT_MTIP_1G10G_RX_OCTETSOK", 0x34e08, 0 },
46841	{ "MAC_PORT_MTIP_1G10G_RX_OCTETSOKHI", 0x34e0c, 0 },
46842	{ "MAC_PORT_MTIP_1G10G_RX_AALIGNMENTERRORS", 0x34e10, 0 },
46843	{ "MAC_PORT_MTIP_1G10G_RX_AALIGNMENTERRORSHI", 0x34e14, 0 },
46844	{ "MAC_PORT_MTIP_1G10G_RX_APAUSEMACCTRLFRAMES", 0x34e18, 0 },
46845	{ "MAC_PORT_MTIP_1G10G_RX_APAUSEMACCTRLFRAMESHI", 0x34e1c, 0 },
46846	{ "MAC_PORT_MTIP_1G10G_RX_FRAMESOK", 0x34e20, 0 },
46847	{ "MAC_PORT_MTIP_1G10G_RX_FRAMESOKHI", 0x34e24, 0 },
46848	{ "MAC_PORT_MTIP_1G10G_RX_CRCERRORS", 0x34e28, 0 },
46849	{ "MAC_PORT_MTIP_1G10G_RX_CRCERRORSHI", 0x34e2c, 0 },
46850	{ "MAC_PORT_MTIP_1G10G_RX_VLANOK", 0x34e30, 0 },
46851	{ "MAC_PORT_MTIP_1G10G_RX_VLANOKHI", 0x34e34, 0 },
46852	{ "MAC_PORT_MTIP_1G10G_RX_IFINERRORS", 0x34e38, 0 },
46853	{ "MAC_PORT_MTIP_1G10G_RX_IFINERRORSHI", 0x34e3c, 0 },
46854	{ "MAC_PORT_MTIP_1G10G_RX_IFINUCASTPKTS", 0x34e40, 0 },
46855	{ "MAC_PORT_MTIP_1G10G_RX_IFINUCASTPKTSHI", 0x34e44, 0 },
46856	{ "MAC_PORT_MTIP_1G10G_RX_IFINMULTICASTPKTS", 0x34e48, 0 },
46857	{ "MAC_PORT_MTIP_1G10G_RX_IFINMULTICASTPKTSHI", 0x34e4c, 0 },
46858	{ "MAC_PORT_MTIP_1G10G_RX_IFINBROADCASTPKTS", 0x34e50, 0 },
46859	{ "MAC_PORT_MTIP_1G10G_RX_IFINBROADCASTPKTSHI", 0x34e54, 0 },
46860	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSDROPEVENTS", 0x34e58, 0 },
46861	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSDROPEVENTSHI", 0x34e5c, 0 },
46862	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS", 0x34e60, 0 },
46863	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTSHI", 0x34e64, 0 },
46864	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSUNDERSIZEPKTS", 0x34e68, 0 },
46865	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSUNDERSIZEPKTSHI", 0x34e6c, 0 },
46866	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS64OCTETS", 0x34e70, 0 },
46867	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS64OCTETSHI", 0x34e74, 0 },
46868	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS65TO127OCTETS", 0x34e78, 0 },
46869	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS65TO127OCTETSHI", 0x34e7c, 0 },
46870	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS128TO255OCTETS", 0x34e80, 0 },
46871	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS128TO255OCTETSHI", 0x34e84, 0 },
46872	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS256TO511OCTETS", 0x34e88, 0 },
46873	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS256TO511OCTETSHI", 0x34e8c, 0 },
46874	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS512TO1023OCTETS", 0x34e90, 0 },
46875	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS512TO1023OCTETSHI", 0x34e94, 0 },
46876	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1024TO1518OCTETS", 0x34e98, 0 },
46877	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1024TO1518OCTETSHI", 0x34e9c, 0 },
46878	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1519TOMAX", 0x34ea0, 0 },
46879	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1519TOMAXHI", 0x34ea4, 0 },
46880	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOVERSIZEPKTS", 0x34ea8, 0 },
46881	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOVERSIZEPKTSHI", 0x34eac, 0 },
46882	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSJABBERS", 0x34eb0, 0 },
46883	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSJABBERSHI", 0x34eb4, 0 },
46884	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSFRAGMENTS", 0x34eb8, 0 },
46885	{ "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSFRAGMENTSHI", 0x34ebc, 0 },
46886	{ "MAC_PORT_MTIP_1G10G_AMACCONTROLFRAMESRECEIVED", 0x34ec0, 0 },
46887	{ "MAC_PORT_MTIP_1G10G_AMACCONTROLFRAMESRECEIVEDHI", 0x34ec4, 0 },
46888	{ "MAC_PORT_MTIP_1G10G_RX_AFRAMETOOLONG", 0x34ec8, 0 },
46889	{ "MAC_PORT_MTIP_1G10G_RX_AFRAMETOOLONGHI", 0x34ecc, 0 },
46890	{ "MAC_PORT_MTIP_1G10G_RX_AINRANGELENGTHERRORS", 0x34ed0, 0 },
46891	{ "MAC_PORT_MTIP_1G10G_RX_AINRANGELENGTHERRORSHI", 0x34ed4, 0 },
46892	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSOCTETS", 0x34f00, 0 },
46893	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSOCTETSHI", 0x34f04, 0 },
46894	{ "MAC_PORT_MTIP_1G10G_TX_OCTETSOK", 0x34f08, 0 },
46895	{ "MAC_PORT_MTIP_1G10G_TX_OCTETSOKHI", 0x34f0c, 0 },
46896	{ "MAC_PORT_MTIP_1G10G_TX_AALIGNMENTERRORS", 0x34f10, 0 },
46897	{ "MAC_PORT_MTIP_1G10G_TX_AALIGNMENTERRORSHI", 0x34f14, 0 },
46898	{ "MAC_PORT_MTIP_1G10G_TX_APAUSEMACCTRLFRAMES", 0x34f18, 0 },
46899	{ "MAC_PORT_MTIP_1G10G_TX_APAUSEMACCTRLFRAMESHI", 0x34f1c, 0 },
46900	{ "MAC_PORT_MTIP_1G10G_TX_FRAMESOK", 0x34f20, 0 },
46901	{ "MAC_PORT_MTIP_1G10G_TX_FRAMESOKHI", 0x34f24, 0 },
46902	{ "MAC_PORT_MTIP_1G10G_TX_CRCERRORS", 0x34f28, 0 },
46903	{ "MAC_PORT_MTIP_1G10G_TX_CRCERRORSHI", 0x34f2c, 0 },
46904	{ "MAC_PORT_MTIP_1G10G_TX_VLANOK", 0x34f30, 0 },
46905	{ "MAC_PORT_MTIP_1G10G_TX_VLANOKHI", 0x34f34, 0 },
46906	{ "MAC_PORT_MTIP_1G10G_TX_IFOUTERRORS", 0x34f38, 0 },
46907	{ "MAC_PORT_MTIP_1G10G_TX_IFOUTERRORSHI", 0x34f3c, 0 },
46908	{ "MAC_PORT_MTIP_1G10G_TX_IFUCASTPKTS", 0x34f40, 0 },
46909	{ "MAC_PORT_MTIP_1G10G_TX_IFUCASTPKTSHI", 0x34f44, 0 },
46910	{ "MAC_PORT_MTIP_1G10G_TX_IFMULTICASTPKTS", 0x34f48, 0 },
46911	{ "MAC_PORT_MTIP_1G10G_TX_IFMULTICASTPKTSHI", 0x34f4c, 0 },
46912	{ "MAC_PORT_MTIP_1G10G_TX_IFBROADCASTPKTS", 0x34f50, 0 },
46913	{ "MAC_PORT_MTIP_1G10G_TX_IFBROADCASTPKTSHI", 0x34f54, 0 },
46914	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSDROPEVENTS", 0x34f58, 0 },
46915	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSDROPEVENTSHI", 0x34f5c, 0 },
46916	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS", 0x34f60, 0 },
46917	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTSHI", 0x34f64, 0 },
46918	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSUNDERSIZEPKTS", 0x34f68, 0 },
46919	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSUNDERSIZEPKTSHI", 0x34f6c, 0 },
46920	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS64OCTETS", 0x34f70, 0 },
46921	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS64OCTETSHI", 0x34f74, 0 },
46922	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS65TO127OCTETS", 0x34f78, 0 },
46923	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS65TO127OCTETSHI", 0x34f7c, 0 },
46924	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS128TO255OCTETS", 0x34f80, 0 },
46925	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS128TO255OCTETSHI", 0x34f84, 0 },
46926	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS256TO511OCTETS", 0x34f88, 0 },
46927	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS256TO511OCTETSHI", 0x34f8c, 0 },
46928	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS512TO1023OCTETS", 0x34f90, 0 },
46929	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS512TO1023OCTETSHI", 0x34f94, 0 },
46930	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS1024TO1518OCTETS", 0x34f98, 0 },
46931	{ "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS1024TO1518OCTETSHI", 0x34f9c, 0 },
46932	{ "MAC_PORT_MTIP_1G10G_ETHERSTATSPKTS1519TOTX_MTU", 0x34fa0, 0 },
46933	{ "MAC_PORT_MTIP_1G10G_ETHERSTATSPKTS1519TOTX_MTUHI", 0x34fa4, 0 },
46934	{ "MAC_PORT_MTIP_1G10G_TX_AMACCONTROLFRAMES", 0x34fc0, 0 },
46935	{ "MAC_PORT_MTIP_1G10G_TX_AMACCONTROLFRAMESHI", 0x34fc4, 0 },
46936	{ "MAC_PORT_MTIP_1G10G_IF_MODE", 0x35000, 0 },
46937		{ "MII_ENA_10", 4, 1 },
46938		{ "IF_MODE", 0, 2 },
46939	{ "MAC_PORT_MTIP_1G10G_IF_STATUS", 0x35004, 0 },
46940	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_0", 0x35080, 0 },
46941	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_0HI", 0x35084, 0 },
46942	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_1", 0x35088, 0 },
46943	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_1HI", 0x3508c, 0 },
46944	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_2", 0x35090, 0 },
46945	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_2HI", 0x35094, 0 },
46946	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_3", 0x35098, 0 },
46947	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_3HI", 0x3509c, 0 },
46948	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_4", 0x350a0, 0 },
46949	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_4HI", 0x350a4, 0 },
46950	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_5", 0x350a8, 0 },
46951	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_5HI", 0x350ac, 0 },
46952	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_6", 0x350b0, 0 },
46953	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_6HI", 0x350b4, 0 },
46954	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_7", 0x350b8, 0 },
46955	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_7HI", 0x350bc, 0 },
46956	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_0", 0x350c0, 0 },
46957	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_0HI", 0x350c4, 0 },
46958	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_1", 0x350c8, 0 },
46959	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_1HI", 0x350cc, 0 },
46960	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_2", 0x350d0, 0 },
46961	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_2HI", 0x350d4, 0 },
46962	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_3", 0x350d8, 0 },
46963	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_3HI", 0x350dc, 0 },
46964	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_4", 0x350e0, 0 },
46965	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_4HI", 0x350e4, 0 },
46966	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_5", 0x350e8, 0 },
46967	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_5HI", 0x350ec, 0 },
46968	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_6", 0x350f0, 0 },
46969	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_6HI", 0x350f4, 0 },
46970	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_7", 0x350f8, 0 },
46971	{ "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_7HI", 0x350fc, 0 },
46972	{ "MAC_PORT_MTIP_SGMII_CONTROL", 0x35200, 0 },
46973		{ "Reset", 15, 1 },
46974		{ "Loopback", 14, 1 },
46975		{ "Speed_Sel", 13, 1 },
46976		{ "AN_EN", 12, 1 },
46977		{ "PWR_DWN", 11, 1 },
46978		{ "Isolate", 10, 1 },
46979		{ "AN_RESTART", 9, 1 },
46980		{ "DUPLEx_MODE", 8, 1 },
46981		{ "Collision_Test", 7, 1 },
46982		{ "Speed_Sel1", 6, 1 },
46983	{ "MAC_PORT_MTIP_SGMII_STATUS", 0x35204, 0 },
46984		{ "100BaseT4", 15, 1 },
46985		{ "100BasexFullDplx", 14, 1 },
46986		{ "100BasexHalfDplx", 13, 1 },
46987		{ "10MbpsFullDplx", 12, 1 },
46988		{ "10MbpsHalfDplx", 11, 1 },
46989		{ "100BaseT2FullDplx", 10, 1 },
46990		{ "100BaseT2HalfDplx", 9, 1 },
46991		{ "ExtdStatus", 8, 1 },
46992		{ "AN_Complete", 5, 1 },
46993		{ "REM_FAULT", 4, 1 },
46994		{ "AN_Ability", 3, 1 },
46995		{ "LINK_STATUS", 2, 1 },
46996		{ "JabberDetect", 1, 1 },
46997		{ "ExtdCapability", 0, 1 },
46998	{ "MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_0", 0x35208, 0 },
46999	{ "MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_1", 0x3520c, 0 },
47000	{ "MAC_PORT_MTIP_SGMII_DEV_ABILITY", 0x35210, 0 },
47001		{ "NP", 15, 1 },
47002		{ "ACK", 14, 1 },
47003		{ "RF2", 13, 1 },
47004		{ "RF1", 12, 1 },
47005		{ "PS2", 8, 1 },
47006		{ "PS1", 7, 1 },
47007		{ "HD", 6, 1 },
47008		{ "FD", 5, 1 },
47009	{ "MAC_PORT_MTIP_SGMII_PARTNER_ABILITY", 0x35214, 0 },
47010		{ "CuLinkStatus", 15, 1 },
47011		{ "ACK", 14, 1 },
47012		{ "CuDplxStatus", 12, 1 },
47013		{ "CuSpeed", 10, 2 },
47014	{ "MAC_PORT_MTIP_SGMII_AN_EXPANSION", 0x35218, 0 },
47015		{ "Next_Page_Able", 2, 1 },
47016		{ "PAGE_RECEIVE", 1, 1 },
47017	{ "MAC_PORT_MTIP_SGMII_NP_TX", 0x3521c, 0 },
47018	{ "MAC_PORT_MTIP_SGMII_LP_NP_RX", 0x35220, 0 },
47019	{ "MAC_PORT_MTIP_SGMII_EXTENDED_STATUS", 0x3523c, 0 },
47020	{ "MAC_PORT_MTIP_SGMII_SCRATCH", 0x35240, 0 },
47021	{ "MAC_PORT_MTIP_SGMII_REV", 0x35244, 0 },
47022		{ "CUSTREV", 16, 16 },
47023		{ "VER", 8, 8 },
47024		{ "REV", 0, 8 },
47025	{ "MAC_PORT_MTIP_SGMII_LINK_TIMER_LO", 0x35248, 0 },
47026	{ "MAC_PORT_MTIP_SGMII_LINK_TIMER_HI", 0x3524c, 0 },
47027	{ "MAC_PORT_MTIP_SGMII_IF_MODE", 0x35250, 0 },
47028		{ "SGMII_DUPLEx", 4, 1 },
47029		{ "SGMII_SPEED", 2, 2 },
47030		{ "USE_SGMII_AN", 1, 1 },
47031		{ "SGMII_ENA", 0, 1 },
47032	{ "MAC_PORT_MTIP_SGMII_DECODE_ERROR", 0x35254, 0 },
47033	{ "MAC_PORT_MTIP_KR_PCS_CONTROL_1", 0x35300, 0 },
47034		{ "Reset", 15, 1 },
47035		{ "Loopback", 14, 1 },
47036		{ "Speed_SEL", 13, 1 },
47037		{ "Low_Power", 11, 1 },
47038		{ "Speed_SEL1", 6, 1 },
47039		{ "Speed_SEL2", 2, 4 },
47040	{ "MAC_PORT_MTIP_KR_PCS_STATUS_1", 0x35304, 0 },
47041		{ "TX_LPI", 11, 1 },
47042		{ "RX_LPI", 10, 1 },
47043		{ "TX_LPI_ACTIVE", 9, 1 },
47044		{ "RX_LPI_ACTIVE", 8, 1 },
47045		{ "Fault", 7, 1 },
47046		{ "PCS_RX_Link_STAT", 2, 1 },
47047		{ "Low_power_Ability", 1, 1 },
47048	{ "MAC_PORT_MTIP_KR_PCS_DEVICE_IDENTIFIER_1", 0x35308, 0 },
47049	{ "MAC_PORT_MTIP_KR_PCS_DEVICE_IDENTIFIER_2", 0x3530c, 0 },
47050	{ "MAC_PORT_MTIP_KR_PCS_SPEED_ABILITY", 0x35310, 0 },
47051	{ "MAC_PORT_MTIP_KR_PCS_DEVICES_IN_PACKAGELO", 0x35314, 0 },
47052		{ "Auto_Negotiation_Present", 7, 1 },
47053		{ "DTE_xS_present", 5, 1 },
47054		{ "PHY_xS_present", 4, 1 },
47055		{ "PCS_present", 3, 1 },
47056		{ "WIS_present", 2, 1 },
47057		{ "PMD_PMA_Present", 1, 1 },
47058		{ "Clause_22_Reg_Present", 0, 1 },
47059	{ "MAC_PORT_MTIP_KR_PCS_DEVICES_IN_PACKAGEHI", 0x35318, 0 },
47060		{ "Auto_Negotiation_Present", 7, 1 },
47061		{ "DTE_xS_present", 5, 1 },
47062		{ "PHY_xS_present", 4, 1 },
47063		{ "PCS_present", 3, 1 },
47064		{ "WIS_present", 2, 1 },
47065		{ "PMD_PMA_Present", 1, 1 },
47066		{ "Clause_22_Reg_Present", 0, 1 },
47067	{ "MAC_PORT_MTIP_KR_PCS_CONTROL_2", 0x3531c, 0 },
47068	{ "MAC_PORT_MTIP_KR_PCS_STATUS_2", 0x35320, 0 },
47069		{ "Device_Present", 14, 2 },
47070		{ "Transmit_Fault", 11, 1 },
47071		{ "Receive_Fault", 10, 1 },
47072		{ "10GBASE_W_Capable", 2, 1 },
47073		{ "10GBASE_x_Capable", 1, 1 },
47074		{ "10GBASE_R_Capable", 0, 1 },
47075	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_PACKAGE_IDENTIFIER_LO", 0x35338, 0 },
47076	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_PACKAGE_IDENTIFIER_HI", 0x3533c, 0 },
47077	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_STATUS_1", 0x35380, 0 },
47078		{ "10GBASE_R_RX_Link_Status", 12, 1 },
47079		{ "PRBS9_Pttrn_Tstng_Ability", 3, 1 },
47080		{ "PRBS31_Pttrn_Tstng_Ability", 2, 1 },
47081		{ "10GBASE_R_PCS_High_BER", 1, 1 },
47082		{ "10GBASE_R_PCS_Block_Lock", 0, 1 },
47083	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_STATUS_2", 0x35384, 0 },
47084		{ "Latched_Block_Lock", 15, 1 },
47085		{ "Latched_High_BER", 14, 1 },
47086		{ "BERBER_Counter", 8, 6 },
47087		{ "ErrBlkCnt", 0, 8 },
47088	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_0", 0x35388, 0 },
47089	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_1", 0x3538c, 0 },
47090	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_2", 0x35390, 0 },
47091	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_3", 0x35394, 0 },
47092	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_0", 0x35398, 0 },
47093	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_1", 0x3539c, 0 },
47094	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_2", 0x353a0, 0 },
47095	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_3", 0x353a4, 0 },
47096	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_CONTROL", 0x353a8, 0 },
47097		{ "PRBS9_TX_Tst_Pttrn_En", 6, 1 },
47098		{ "PRBS31_RX_Tst_Pttrn_En", 5, 1 },
47099		{ "PRBS31_TX_Tst_Pttrn_En", 4, 1 },
47100		{ "TX_Test_Pattern_En", 3, 1 },
47101		{ "RX_Test_Pattern_En", 2, 1 },
47102		{ "Test_Pattern_Select", 1, 1 },
47103		{ "Data_Pattern_Select", 0, 1 },
47104	{ "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_ERROR_COUNTER", 0x353ac, 0 },
47105	{ "MAC_PORT_MTIP_KR_VENDOR_SPECIFIC_PCS_STATUS", 0x353b4, 0 },
47106		{ "Transmit_FIFO_Fault", 1, 1 },
47107		{ "Receive_FIFO_Fault", 0, 1 },
47108	{ "MAC_PORT_MTIP_KR4_CONTROL_1", 0x35400, 0 },
47109		{ "RESET", 15, 1 },
47110		{ "Loopback", 14, 1 },
47111		{ "Speed_selection", 13, 1 },
47112		{ "Low_power", 11, 1 },
47113		{ "Speed_selection1", 6, 1 },
47114		{ "Speed_selection2", 2, 4 },
47115	{ "MAC_PORT_MTIP_KR4_STATUS_1", 0x35404, 0 },
47116		{ "Fault", 7, 1 },
47117		{ "Receive_link_STAT", 2, 1 },
47118		{ "Low_power_ability", 1, 1 },
47119	{ "MAC_PORT_MTIP_KR4_DEVICE_ID0", 0x35408, 0 },
47120	{ "MAC_PORT_MTIP_KR4_DEVICE_ID1", 0x3540c, 0 },
47121		{ "DEVICE_ID1", 16, 16 },
47122	{ "MAC_PORT_MTIP_KR4_SPEED_ABILITY", 0x35410, 0 },
47123		{ "100G_capable", 3, 1 },
47124		{ "40G_capable", 2, 1 },
47125		{ "10PASS_TS_2Base_TL_capable", 1, 1 },
47126		{ "10G_capable", 0, 1 },
47127	{ "MAC_PORT_MTIP_KR4_DEVICES_IN_PKG1", 0x35414, 0 },
47128		{ "TC_present", 6, 1 },
47129		{ "DTE_xS_present", 5, 1 },
47130		{ "PHY_xS_present", 4, 1 },
47131		{ "PCS_present", 3, 1 },
47132		{ "WIS_present", 2, 1 },
47133		{ "PMD_PMA_present", 1, 1 },
47134		{ "Clause_22_reg", 0, 1 },
47135	{ "MAC_PORT_MTIP_KR4_DEVICES_IN_PKG2", 0x35418, 0 },
47136		{ "Vendor_specific_device", 15, 1 },
47137		{ "Vendor_specific_device1", 14, 1 },
47138		{ "Clause_22_ExT", 13, 1 },
47139	{ "MAC_PORT_MTIP_KR4_CONTROL_2", 0x3541c, 0 },
47140	{ "MAC_PORT_MTIP_KR4_STATUS_2", 0x35420, 0 },
47141		{ "Device_present", 14, 2 },
47142		{ "Transmit_fault", 11, 1 },
47143		{ "Receive_fault", 10, 1 },
47144		{ "100GBase_R_capable", 5, 1 },
47145		{ "40GBase_R_capable", 4, 1 },
47146		{ "10GBase_T_capable", 3, 1 },
47147		{ "10GBase_W_capable", 2, 1 },
47148		{ "10GBase_x_capable", 1, 1 },
47149		{ "10GBase_R_capable", 0, 1 },
47150	{ "MAC_PORT_MTIP_KR4_PKG_ID0", 0x35438, 0 },
47151	{ "MAC_PORT_MTIP_KR4_PKG_ID1", 0x3543c, 0 },
47152	{ "MAC_PORT_MTIP_KR4_BASE_R_STATUS_1", 0x35480, 0 },
47153		{ "RX_link_status", 12, 1 },
47154		{ "High_BER", 1, 1 },
47155		{ "Block_lock", 0, 1 },
47156	{ "MAC_PORT_MTIP_KR4_BASE_R_STATUS_2", 0x35484, 0 },
47157		{ "Latched_bl_lk", 15, 1 },
47158		{ "Latched_hg_br", 14, 1 },
47159		{ "Ber_cnt", 8, 6 },
47160		{ "Err_bl_cnt", 0, 8 },
47161	{ "MAC_PORT_MTIP_KR4_BASE_R_TEST_CONTROL", 0x354a8, 0 },
47162		{ "TX_TP_EN", 3, 1 },
47163		{ "RX_TP_EN", 2, 1 },
47164	{ "MAC_PORT_MTIP_KR4_BASE_R_TEST_ERR_CNT", 0x354ac, 0 },
47165	{ "MAC_PORT_MTIP_KR4_BER_HIGH_ORDER_CNT", 0x354b0, 0 },
47166	{ "MAC_PORT_MTIP_KR4_ERR_BLK_HIGH_ORDER_CNT", 0x354b4, 0 },
47167		{ "HI_ORDER_CNT_EN", 15, 1 },
47168		{ "ERR_BLK_CNTR", 0, 14 },
47169	{ "MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_1", 0x354c8, 0 },
47170		{ "LANE_ALIGN_STATUS", 12, 1 },
47171		{ "LANE_3_BLK_LCK", 3, 1 },
47172		{ "LANE_2_BLK_LC32_6431K", 2, 1 },
47173		{ "LANE_1_BLK_LCK", 1, 1 },
47174		{ "LANE_0_BLK_LCK", 0, 1 },
47175	{ "MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_2", 0x354cc, 0 },
47176	{ "MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_3", 0x354d0, 0 },
47177		{ "LANE_3_ALIGN_MRKR_LCK", 3, 1 },
47178		{ "LANE_2_ALIGN_MRKR_LCK", 2, 1 },
47179		{ "LANE_1_ALIGN_MRKR_LCK", 1, 1 },
47180		{ "LANE_0_ALIGN_MRKR_LCK", 0, 1 },
47181	{ "MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_4", 0x354d4, 0 },
47182	{ "MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_0", 0x35720, 0 },
47183	{ "MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_1", 0x35724, 0 },
47184	{ "MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_2", 0x35728, 0 },
47185	{ "MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_3", 0x3572c, 0 },
47186	{ "MAC_PORT_MTIP_KR4_LANE_0_MAPPING", 0x35a40, 0 },
47187	{ "MAC_PORT_MTIP_KR4_LANE_1_MAPPING", 0x35a44, 0 },
47188	{ "MAC_PORT_MTIP_KR4_LANE_2_MAPPING", 0x35a48, 0 },
47189	{ "MAC_PORT_MTIP_KR4_LANE_3_MAPPING", 0x35a4c, 0 },
47190	{ "MAC_PORT_MTIP_KR4_SCRATCH", 0x35af0, 0 },
47191	{ "MAC_PORT_MTIP_KR4_CORE_REVISION", 0x35af4, 0 },
47192	{ "MAC_PORT_MTIP_KR4_VL_INTVL", 0x35af8, 0 },
47193	{ "MAC_PORT_MTIP_KR4_TX_LANE_THRESH", 0x35afc, 0 },
47194	{ "MAC_PORT_MTIP_CR4_CONTROL_1", 0x35b00, 0 },
47195		{ "Reset", 15, 1 },
47196		{ "Loopback", 14, 1 },
47197		{ "Speed_selection", 13, 1 },
47198		{ "Low_power", 11, 1 },
47199		{ "Speed_selection1", 6, 1 },
47200		{ "Speed_selection2", 2, 4 },
47201	{ "MAC_PORT_MTIP_CR4_STATUS_1", 0x35b04, 0 },
47202		{ "Fault", 7, 1 },
47203		{ "RX_Link_Status", 2, 1 },
47204		{ "Low_power_ability", 1, 1 },
47205	{ "MAC_PORT_MTIP_CR4_DEVICE_ID0", 0x35b08, 0 },
47206	{ "MAC_PORT_MTIP_CR4_DEVICE_ID1", 0x35b0c, 0 },
47207	{ "MAC_PORT_MTIP_CR4_SPEED_ABILITY", 0x35b10, 0 },
47208		{ "100G_capable", 8, 1 },
47209		{ "40G_capable", 7, 1 },
47210		{ "10PASS_TS_2Base_TL_capable", 1, 1 },
47211		{ "10G_capable", 0, 1 },
47212	{ "MAC_PORT_MTIP_CR4_DEVICES_IN_PKG1", 0x35b14, 0 },
47213		{ "TC_present", 6, 1 },
47214		{ "DTE_xS_present", 5, 1 },
47215		{ "PHY_xS_present", 4, 1 },
47216		{ "PCS_present", 3, 1 },
47217		{ "WIS_present", 2, 1 },
47218		{ "PMD_PMA_present", 1, 1 },
47219		{ "Clause22reg_present", 0, 1 },
47220	{ "MAC_PORT_MTIP_CR4_DEVICES_IN_PKG2", 0x35b18, 0 },
47221		{ "VSD_2_PRESENT", 15, 1 },
47222		{ "VSD_1_PRESENT", 14, 1 },
47223		{ "Clause22_ExT_Present", 13, 1 },
47224	{ "MAC_PORT_MTIP_CR4_CONTROL_2", 0x35b1c, 0 },
47225	{ "MAC_PORT_MTIP_CR4_STATUS_2", 0x35b20, 0 },
47226		{ "Device_present", 14, 2 },
47227		{ "Transmit_fault", 11, 1 },
47228		{ "Receive_fault", 10, 1 },
47229		{ "100GBase_R_capable", 5, 1 },
47230		{ "40GBase_R_capable", 4, 1 },
47231		{ "10GBase_T_capable", 3, 1 },
47232		{ "10GBase_W_capable", 2, 1 },
47233		{ "10GBase_x_capable", 1, 1 },
47234		{ "10GBase_R_capable", 0, 1 },
47235	{ "MAC_PORT_MTIP_CR4_PKG_ID0", 0x35b38, 0 },
47236	{ "MAC_PORT_MTIP_CR4_PKG_ID1", 0x35b3c, 0 },
47237	{ "MAC_PORT_MTIP_CR4_BASE_R_STATUS_1", 0x35b80, 0 },
47238		{ "RX_Link_STAT", 12, 1 },
47239		{ "High_BER", 1, 1 },
47240		{ "Block_Lock", 0, 1 },
47241	{ "MAC_PORT_MTIP_CR4_BASE_R_STATUS_2", 0x35b84, 0 },
47242		{ "Latched_block_lock", 15, 1 },
47243		{ "Latched_high_BER", 14, 1 },
47244		{ "BER_counter", 8, 6 },
47245		{ "Errored_blocks_cntr", 0, 8 },
47246	{ "MAC_PORT_MTIP_CR4_BASE_R_TEST_CONTROL", 0x35ba8, 0 },
47247		{ "Scrambled_ID_TP_EN", 7, 1 },
47248	{ "MAC_PORT_MTIP_CR4_BASE_R_TEST_ERR_CNT", 0x35bac, 0 },
47249	{ "MAC_PORT_MTIP_CR4_BER_HIGH_ORDER_CNT", 0x35bb0, 0 },
47250	{ "MAC_PORT_MTIP_CR4_ERR_BLK_HIGH_ORDER_CNT", 0x35bb4, 0 },
47251		{ "Hi_ORDER_CNT_Present", 15, 1 },
47252		{ "ERR_BLKS_CNTR", 0, 14 },
47253	{ "MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_1", 0x35bc8, 0 },
47254		{ "LANE_ALIGN_STAT", 12, 1 },
47255		{ "Lane_7_blck_lck", 7, 1 },
47256		{ "Lane_6_blck_lck", 6, 1 },
47257		{ "Lane_5_blck_lck", 5, 1 },
47258		{ "Lane_4_blck_lck", 4, 1 },
47259		{ "Lane_3_blck_lck", 3, 1 },
47260		{ "Lane_2_blck_lck", 2, 1 },
47261		{ "Lane_1_blck_lck", 1, 1 },
47262		{ "Lane_0_blck_lck", 0, 1 },
47263	{ "MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_2", 0x35bcc, 0 },
47264		{ "Lane_19_blck_lck", 11, 1 },
47265		{ "Lane_18_blck_lck", 10, 1 },
47266		{ "Lane_17_blck_lck", 9, 1 },
47267		{ "Lane_16_blck_lck", 8, 1 },
47268		{ "Lane_15_blck_lck", 7, 1 },
47269		{ "Lane_14_blck_lck", 6, 1 },
47270		{ "Lane_13_blck_lck", 5, 1 },
47271		{ "Lane_12_blck_lck", 4, 1 },
47272		{ "Lane_11_blck_lck", 3, 1 },
47273		{ "Lane_10_blck_lck", 2, 1 },
47274		{ "Lane_9_blck_lck", 1, 1 },
47275		{ "Lane_8_blck_lck", 0, 1 },
47276	{ "MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_3", 0x35bd0, 0 },
47277		{ "Lane7_algn_mrkr_lck", 7, 1 },
47278		{ "Lane6_algn_mrkr_lck", 6, 1 },
47279		{ "Lane5_algn_mrkr_lck", 5, 1 },
47280		{ "Lane4_algn_mrkr_lck", 4, 1 },
47281		{ "Lane3_algn_mrkr_lck", 3, 1 },
47282		{ "Lane2_algn_mrkr_lck", 2, 1 },
47283		{ "Lane1_algn_mrkr_lck", 1, 1 },
47284		{ "Lane0_algn_mrkr_lck", 0, 1 },
47285	{ "MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_4", 0x35bd4, 0 },
47286		{ "Lane19_algn_mrkr_lck", 11, 1 },
47287		{ "Lane18_algn_mrkr_lck", 10, 1 },
47288		{ "Lane17_algn_mrkr_lck", 9, 1 },
47289		{ "Lane16_algn_mrkr_lck", 8, 1 },
47290		{ "Lane15_algn_mrkr_lck", 7, 1 },
47291		{ "Lane14_algn_mrkr_lck", 6, 1 },
47292		{ "Lane13_algn_mrkr_lck", 5, 1 },
47293		{ "Lane12_algn_mrkr_lck", 4, 1 },
47294		{ "Lane11_algn_mrkr_lck", 3, 1 },
47295		{ "Lane10_algn_mrkr_lck", 2, 1 },
47296		{ "Lane9_algn_mrkr_lck", 1, 1 },
47297		{ "Lane8_algn_mrkr_lck", 0, 1 },
47298	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_0", 0x35e20, 0 },
47299	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_1", 0x35e24, 0 },
47300	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_2", 0x35e28, 0 },
47301	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_3", 0x35e2c, 0 },
47302	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_4", 0x35e30, 0 },
47303	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_5", 0x35e34, 0 },
47304	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_6", 0x35e38, 0 },
47305	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_7", 0x35e3c, 0 },
47306	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_8", 0x35e40, 0 },
47307	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_9", 0x35e44, 0 },
47308	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_10", 0x35e48, 0 },
47309	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_11", 0x35e4c, 0 },
47310	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_12", 0x35e50, 0 },
47311	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_13", 0x35e54, 0 },
47312	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_14", 0x35e58, 0 },
47313	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_15", 0x35e5c, 0 },
47314	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_16", 0x35e60, 0 },
47315	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_17", 0x35e64, 0 },
47316	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_18", 0x35e68, 0 },
47317	{ "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_19", 0x35e6c, 0 },
47318	{ "MAC_PORT_MTIP_CR4_LANE_0_MAPPING", 0x36140, 0 },
47319	{ "MAC_PORT_MTIP_CR4_LANE_1_MAPPING", 0x36144, 0 },
47320	{ "MAC_PORT_MTIP_CR4_LANE_2_MAPPING", 0x36148, 0 },
47321	{ "MAC_PORT_MTIP_CR4_LANE_3_MAPPING", 0x3614c, 0 },
47322	{ "MAC_PORT_MTIP_CR4_LANE_4_MAPPING", 0x36150, 0 },
47323	{ "MAC_PORT_MTIP_CR4_LANE_5_MAPPING", 0x36154, 0 },
47324	{ "MAC_PORT_MTIP_CR4_LANE_6_MAPPING", 0x36158, 0 },
47325	{ "MAC_PORT_MTIP_CR4_LANE_7_MAPPING", 0x3615c, 0 },
47326	{ "MAC_PORT_MTIP_CR4_LANE_8_MAPPING", 0x36160, 0 },
47327	{ "MAC_PORT_MTIP_CR4_LANE_9_MAPPING", 0x36164, 0 },
47328	{ "MAC_PORT_MTIP_CR4_LANE_10_MAPPING", 0x36168, 0 },
47329	{ "MAC_PORT_MTIP_CR4_LANE_11_MAPPING", 0x3616c, 0 },
47330	{ "MAC_PORT_MTIP_CR4_LANE_12_MAPPING", 0x36170, 0 },
47331	{ "MAC_PORT_MTIP_CR4_LANE_13_MAPPING", 0x36174, 0 },
47332	{ "MAC_PORT_MTIP_CR4_LANE_14_MAPPING", 0x36178, 0 },
47333	{ "MAC_PORT_MTIP_CR4_LANE_15_MAPPING", 0x3617c, 0 },
47334	{ "MAC_PORT_MTIP_CR4_LANE_16_MAPPING", 0x36180, 0 },
47335	{ "MAC_PORT_MTIP_CR4_LANE_17_MAPPING", 0x36184, 0 },
47336	{ "MAC_PORT_MTIP_CR4_LANE_18_MAPPING", 0x36188, 0 },
47337	{ "MAC_PORT_MTIP_CR4_LANE_19_MAPPING", 0x3618c, 0 },
47338	{ "MAC_PORT_MTIP_CR4_SCRATCH", 0x361f0, 0 },
47339	{ "MAC_PORT_MTIP_CR4_CORE_REVISION", 0x361f4, 0 },
47340	{ "MAC_PORT_MTIP_RS_FEC_CONTROL", 0x36200, 0 },
47341		{ "RS_FEC_Bypass_Error_Indication", 1, 1 },
47342		{ "RS_FEC_Bypass_Correction", 0, 1 },
47343	{ "MAC_PORT_MTIP_RS_FEC_STATUS", 0x36204, 0 },
47344		{ "RS_FEC_PCS_align_status", 15, 1 },
47345		{ "fec_align_status", 14, 1 },
47346		{ "RS_FEC_high_SER", 2, 1 },
47347		{ "RS_FEC_bypass_error_indication_ability", 1, 1 },
47348		{ "RS_FEC_bypass_correction_ability", 0, 1 },
47349	{ "MAC_PORT_MTIP_RS_FEC_CCW_LO", 0x36208, 0 },
47350	{ "MAC_PORT_MTIP_RS_FEC_CCW_HI", 0x3620c, 0 },
47351	{ "MAC_PORT_MTIP_RS_FEC_NCCW_LO", 0x36210, 0 },
47352	{ "MAC_PORT_MTIP_RS_FEC_NCCW_HI", 0x36214, 0 },
47353	{ "MAC_PORT_MTIP_RS_FEC_LANEMAPRS_FEC_NCCW_HI", 0x36218, 0 },
47354	{ "MAC_PORT_MTIP_RS_FEC_SYMBLERR0_LO", 0x36228, 0 },
47355	{ "MAC_PORT_MTIP_RS_FEC_SYMBLERR0_HI", 0x3622c, 0 },
47356	{ "MAC_PORT_MTIP_RS_FEC_SYMBLERR1_LO", 0x36230, 0 },
47357	{ "MAC_PORT_MTIP_RS_FEC_SYMBLERR1_HI", 0x36234, 0 },
47358	{ "MAC_PORT_MTIP_RS_FEC_SYMBLERR2_LO", 0x36238, 0 },
47359	{ "MAC_PORT_MTIP_RS_FEC_SYMBLERR2_HI", 0x3623c, 0 },
47360	{ "MAC_PORT_MTIP_RS_FEC_SYMBLERR3_LO", 0x36240, 0 },
47361	{ "MAC_PORT_MTIP_RS_FEC_SYMBLERR3_HI", 0x36244, 0 },
47362	{ "MAC_PORT_MTIP_RS_FEC_VENDOR_CONTROL", 0x36400, 0 },
47363		{ "RS_FEC_enabled_status", 15, 1 },
47364		{ "RS_FEC_Enable", 2, 1 },
47365	{ "MAC_PORT_MTIP_RS_FEC_VENDOR_INFO_1", 0x36404, 0 },
47366		{ "deskew_empty", 12, 4 },
47367		{ "fec_align_status_lh", 10, 1 },
47368		{ "tx_dp_overflow", 9, 1 },
47369		{ "rx_dp_overflow", 8, 1 },
47370		{ "tx_datapath_restart", 7, 1 },
47371		{ "rx_datapath_restart", 6, 1 },
47372		{ "marker_check_restart", 5, 1 },
47373		{ "fec_align_status_ll", 4, 1 },
47374		{ "amps_lock", 0, 4 },
47375	{ "MAC_PORT_MTIP_RS_FEC_VENDOR_INFO_2", 0x36408, 0 },
47376	{ "MAC_PORT_MTIP_RS_FEC_VENDOR_REVISION", 0x3640c, 0 },
47377	{ "MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_KEY", 0x36410, 0 },
47378	{ "MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_SYMBOLS", 0x36414, 0 },
47379	{ "MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_PATTERN", 0x36418, 0 },
47380	{ "MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_TRIGGER", 0x3641c, 0 },
47381	{ "MAC_PORT_MTIP_FEC_ABILITY", 0x36618, 0 },
47382		{ "BASE_R_FEC_Error_Indication_Ability", 1, 1 },
47383		{ "BASE_R_FEC_Ability", 0, 1 },
47384	{ "MAC_PORT_FEC_CONTROL", 0x3661c, 0 },
47385		{ "fec_en_err_ind", 1, 1 },
47386		{ "fec_en", 0, 1 },
47387	{ "MAC_PORT_FEC_STATUS", 0x36620, 0 },
47388		{ "FEC_LOCKED0", 1, 4 },
47389		{ "FEC_LOCKED", 0, 1 },
47390	{ "MAC_PORT_MTIP_FEC0_CERR_CNT_0", 0x36624, 0 },
47391	{ "MAC_PORT_MTIP_FEC0_CERR_CNT_1", 0x36628, 0 },
47392	{ "MAC_PORT_MTIP_FEC0_NCERR_CNT_0", 0x3662c, 0 },
47393	{ "MAC_PORT_MTIP_FEC0_NCERR_CNT_1", 0x36630, 0 },
47394	{ "MAC_PORT_MTIP_FEC_STATUS1", 0x36664, 0 },
47395		{ "FEC_LOCKED0", 1, 4 },
47396		{ "FEC_LOCKED", 0, 1 },
47397	{ "MAC_PORT_MTIP_FEC1_CERR_CNT_0", 0x36668, 0 },
47398	{ "MAC_PORT_MTIP_FEC1_CERR_CNT_1", 0x3666c, 0 },
47399	{ "MAC_PORT_MTIP_FEC1_NCERR_CNT_0", 0x36670, 0 },
47400	{ "MAC_PORT_MTIP_FEC1_NCERR_CNT_1", 0x36674, 0 },
47401	{ "MAC_PORT_MTIP_FEC_STATUS2", 0x366a8, 0 },
47402		{ "FEC_LOCKED0", 1, 4 },
47403		{ "FEC_LOCKED", 0, 1 },
47404	{ "MAC_PORT_MTIP_FEC2_CERR_CNT_0", 0x366ac, 0 },
47405	{ "MAC_PORT_MTIP_FEC2_CERR_CNT_1", 0x366b0, 0 },
47406	{ "MAC_PORT_MTIP_FEC2_NCERR_CNT_0", 0x366b4, 0 },
47407	{ "MAC_PORT_MTIP_FEC2_NCERR_CNT_1", 0x366b8, 0 },
47408	{ "MAC_PORT_MTIP_FEC_STATUS3", 0x366ec, 0 },
47409		{ "FEC_LOCKED0", 1, 4 },
47410		{ "FEC_LOCKED", 0, 1 },
47411	{ "MAC_PORT_MTIP_FEC3_CERR_CNT_0", 0x366f0, 0 },
47412	{ "MAC_PORT_MTIP_FEC3_CERR_CNT_1", 0x366f4, 0 },
47413	{ "MAC_PORT_MTIP_FEC3_NCERR_CNT_0", 0x366f8, 0 },
47414	{ "MAC_PORT_MTIP_FEC3_NCERR_CNT_1", 0x366fc, 0 },
47415	{ "MAC_PORT_BEAN_CTL", 0x36c00, 0 },
47416		{ "AN_RESET", 15, 1 },
47417		{ "EXT_NXP_CTRL", 13, 1 },
47418		{ "BEAN_EN", 12, 1 },
47419		{ "RESTART_BEAN", 9, 1 },
47420	{ "MAC_PORT_BEAN_STATUS", 0x36c04, 0 },
47421		{ "PDF", 9, 1 },
47422		{ "EXT_NXP_STATUS", 7, 1 },
47423		{ "PAGE_RCVD", 6, 1 },
47424		{ "BEAN_COMPLETE", 5, 1 },
47425		{ "REM_FAULT_STATUS", 4, 1 },
47426		{ "BEAN_ABILITY", 3, 1 },
47427		{ "LINK_STATUS", 2, 1 },
47428		{ "LP_BEAN_ABILITY", 0, 1 },
47429	{ "MAC_PORT_BEAN_ABILITY_0", 0x36c08, 0 },
47430		{ "NXP", 15, 1 },
47431		{ "ACK", 14, 1 },
47432		{ "REM_FAULT", 13, 1 },
47433		{ "PAUSE_ABILITY", 10, 3 },
47434		{ "ECHO_NONCE", 5, 5 },
47435		{ "SELECTOR", 0, 5 },
47436	{ "MAC_PORT_BEAN_ABILITY_1", 0x36c0c, 0 },
47437		{ "TECH_ABILITY_1", 5, 11 },
47438		{ "TX_NONCE", 0, 5 },
47439	{ "MAC_PORT_BEAN_ABILITY_2", 0x36c10, 0 },
47440		{ "T5_FEC_ABILITY", 14, 2 },
47441		{ "TECH_ABILITY_2", 0, 14 },
47442	{ "MAC_PORT_BEAN_REM_ABILITY_0", 0x36c14, 0 },
47443		{ "NXP", 15, 1 },
47444		{ "ACK", 14, 1 },
47445		{ "REM_FAULT", 13, 1 },
47446		{ "PAUSE_ABILITY", 10, 3 },
47447		{ "ECHO_NONCE", 5, 5 },
47448		{ "SELECTOR", 0, 5 },
47449	{ "MAC_PORT_BEAN_REM_ABILITY_1", 0x36c18, 0 },
47450		{ "TECH_ABILITY_1", 5, 11 },
47451		{ "TX_NONCE", 0, 5 },
47452	{ "MAC_PORT_BEAN_REM_ABILITY_2", 0x36c1c, 0 },
47453		{ "T5_FEC_ABILITY", 14, 2 },
47454		{ "TECH_ABILITY_2", 0, 14 },
47455	{ "MAC_PORT_BEAN_MS_COUNT", 0x36c20, 0 },
47456	{ "MAC_PORT_BEAN_XNP_0", 0x36c24, 0 },
47457		{ "XNP", 15, 1 },
47458		{ "ACKNOWLEDGE", 14, 1 },
47459		{ "MP", 13, 1 },
47460		{ "ACK2", 12, 1 },
47461		{ "TOGGLE", 11, 1 },
47462		{ "MU", 0, 11 },
47463	{ "MAC_PORT_BEAN_XNP_1", 0x36c28, 0 },
47464	{ "MAC_PORT_BEAN_XNP_2", 0x36c2c, 0 },
47465	{ "MAC_PORT_LP_BEAN_XNP_0", 0x36c30, 0 },
47466		{ "XNP", 15, 1 },
47467		{ "ACKNOWLEDGE", 14, 1 },
47468		{ "MP", 13, 1 },
47469		{ "ACK2", 12, 1 },
47470		{ "TOGGLE", 11, 1 },
47471		{ "MU", 0, 11 },
47472	{ "MAC_PORT_LP_BEAN_XNP_1", 0x36c34, 0 },
47473	{ "MAC_PORT_LP_BEAN_XNP_2", 0x36c38, 0 },
47474	{ "MAC_PORT_BEAN_ETH_STATUS", 0x36c3c, 0 },
47475		{ "100GCR4", 11, 1 },
47476		{ "100GKR4", 10, 1 },
47477		{ "100GKP4", 9, 1 },
47478		{ "100GCR10", 8, 1 },
47479		{ "40GCR4", 6, 1 },
47480		{ "40GKR4", 5, 1 },
47481		{ "FEC", 4, 1 },
47482		{ "10GKR", 3, 1 },
47483		{ "10GKX4", 2, 1 },
47484		{ "1GKX", 1, 1 },
47485	{ "MAC_PORT_AE_RX_COEF_REQ", 0x36a00, 0 },
47486		{ "RXREQ_CPRE", 13, 1 },
47487		{ "RXREQ_CINIT", 12, 1 },
47488		{ "T5_RXREQ_C3", 6, 2 },
47489		{ "T5_RXREQ_C2", 4, 2 },
47490		{ "T5_RXREQ_C1", 2, 2 },
47491		{ "T5_RXREQ_C0", 0, 2 },
47492	{ "MAC_PORT_AE_RX_COEF_STAT", 0x36a04, 0 },
47493		{ "T5_AE0_RXSTAT_RDY", 15, 1 },
47494		{ "T5_AE0_RXSTAT_LSNA", 14, 1 },
47495		{ "T5_AE0_RXSTAT_FEC", 13, 1 },
47496		{ "T5_AE0_RXSTAT_TF", 12, 1 },
47497		{ "T5_AE0_RXSTAT_C3", 6, 2 },
47498		{ "T5_AE0_RXSTAT_C2", 4, 2 },
47499		{ "T5_AE0_RXSTAT_C1", 2, 2 },
47500		{ "T5_AE0_RXSTAT_C0", 0, 2 },
47501	{ "MAC_PORT_AE_TX_COEF_REQ", 0x36a08, 0 },
47502		{ "TXREQ_CPRE", 13, 1 },
47503		{ "TXREQ_CINIT", 12, 1 },
47504		{ "TXREQ_FEC", 11, 1 },
47505		{ "T5_TXREQ_C3", 6, 2 },
47506		{ "T5_TXREQ_C2", 4, 2 },
47507		{ "T5_TXREQ_C1", 2, 2 },
47508		{ "T5_TXREQ_C0", 0, 2 },
47509	{ "MAC_PORT_AE_TX_COEF_STAT", 0x36a0c, 0 },
47510		{ "TXSTAT_RDY", 15, 1 },
47511		{ "T5_TXSTAT_C3", 6, 2 },
47512		{ "T5_TXSTAT_C2", 4, 2 },
47513		{ "T5_TXSTAT_C1", 2, 2 },
47514		{ "T5_TXSTAT_C0", 0, 2 },
47515	{ "MAC_PORT_AE_REG_MODE", 0x36a10, 0 },
47516		{ "SET_WAIT_TIMER", 13, 2 },
47517		{ "C2_C3_STATE_SEL", 12, 1 },
47518		{ "FFE4_EN", 11, 1 },
47519		{ "FEC_REQUEST", 10, 1 },
47520		{ "FEC_SUPPORTED", 9, 1 },
47521		{ "TX_FIXED", 8, 1 },
47522		{ "AET_RSVD", 7, 1 },
47523		{ "AET_ENABLE", 6, 1 },
47524		{ "MAN_DEC", 4, 2 },
47525		{ "MANUAL_RDY", 3, 1 },
47526		{ "MWT_DISABLE", 2, 1 },
47527		{ "MDIO_OVR", 1, 1 },
47528		{ "STICKY_MODE", 0, 1 },
47529	{ "MAC_PORT_AE_PRBS_CTL", 0x36a14, 0 },
47530		{ "PRBS_CHK_ERRCNT", 8, 8 },
47531		{ "PRBS_SYNCCNT", 5, 3 },
47532		{ "PRBS_CHK_SYNC", 4, 1 },
47533		{ "PRBS_CHK_RST", 3, 1 },
47534		{ "PRBS_CHK_OFF", 2, 1 },
47535		{ "PRBS_GEN_FRCERR", 1, 1 },
47536		{ "PRBS_GEN_OFF", 0, 1 },
47537	{ "MAC_PORT_AE_FSM_CTL", 0x36a18, 0 },
47538		{ "CIN_ENABLE", 15, 1 },
47539		{ "FSM_TR_LCL", 14, 1 },
47540		{ "FSM_GDMRK", 11, 3 },
47541		{ "FSM_BADMRK", 8, 3 },
47542		{ "FSM_TR_FAIL", 7, 1 },
47543		{ "FSM_TR_ACT", 6, 1 },
47544		{ "FSM_FRM_LCK", 5, 1 },
47545		{ "FSM_TR_COMP", 4, 1 },
47546		{ "MC_RX_RDY", 3, 1 },
47547		{ "FSM_CU_DIS", 2, 1 },
47548		{ "FSM_TR_RST", 1, 1 },
47549		{ "FSM_TR_EN", 0, 1 },
47550	{ "MAC_PORT_AE_FSM_STATE", 0x36a1c, 0 },
47551		{ "CC2FSM_STATE", 13, 3 },
47552		{ "CC1FSM_STATE", 10, 3 },
47553		{ "CC0FSM_STATE", 7, 3 },
47554		{ "FLFSM_STATE", 4, 3 },
47555		{ "TFSM_STATE", 0, 3 },
47556	{ "MAC_PORT_AE_RX_COEF_REQ_1", 0x36a20, 0 },
47557		{ "RXREQ_CPRE", 13, 1 },
47558		{ "RXREQ_CINIT", 12, 1 },
47559		{ "T5_RXREQ_C3", 6, 2 },
47560		{ "T5_RXREQ_C2", 4, 2 },
47561		{ "T5_RXREQ_C1", 2, 2 },
47562		{ "T5_RXREQ_C0", 0, 2 },
47563	{ "MAC_PORT_AE_RX_COEF_STAT_1", 0x36a24, 0 },
47564		{ "T5_AE1_RXSTAT_RDY", 15, 1 },
47565		{ "T5_AE1_RXSTAT_LSNA", 14, 1 },
47566		{ "T5_AE1_RXSTAT_FEC", 13, 1 },
47567		{ "T5_AE1_RXSTAT_TF", 12, 1 },
47568		{ "T5_AE1_RXSTAT_C3", 6, 2 },
47569		{ "T5_AE1_RXSTAT_C2", 4, 2 },
47570		{ "T5_AE1_RXSTAT_C1", 2, 2 },
47571		{ "T5_AE1_RXSTAT_C0", 0, 2 },
47572	{ "MAC_PORT_AE_TX_COEF_REQ_1", 0x36a28, 0 },
47573		{ "TXREQ_CPRE", 13, 1 },
47574		{ "TXREQ_CINIT", 12, 1 },
47575		{ "TXREQ_FEC", 11, 1 },
47576		{ "T5_TXREQ_C3", 6, 2 },
47577		{ "T5_TXREQ_C2", 4, 2 },
47578		{ "T5_TXREQ_C1", 2, 2 },
47579		{ "T5_TXREQ_C0", 0, 2 },
47580	{ "MAC_PORT_AE_TX_COEF_STAT_1", 0x36a2c, 0 },
47581		{ "TXSTAT_RDY", 15, 1 },
47582		{ "T5_TXSTAT_C3", 6, 2 },
47583		{ "T5_TXSTAT_C2", 4, 2 },
47584		{ "T5_TXSTAT_C1", 2, 2 },
47585		{ "T5_TXSTAT_C0", 0, 2 },
47586	{ "MAC_PORT_AE_REG_MODE_1", 0x36a30, 0 },
47587		{ "SET_WAIT_TIMER", 13, 2 },
47588		{ "C2_C3_STATE_SEL", 12, 1 },
47589		{ "FFE4_EN", 11, 1 },
47590		{ "FEC_REQUEST", 10, 1 },
47591		{ "FEC_SUPPORTED", 9, 1 },
47592		{ "TX_FIXED", 8, 1 },
47593		{ "AET_RSVD", 7, 1 },
47594		{ "AET_ENABLE", 6, 1 },
47595		{ "MAN_DEC", 4, 2 },
47596		{ "MANUAL_RDY", 3, 1 },
47597		{ "MWT_DISABLE", 2, 1 },
47598		{ "MDIO_OVR", 1, 1 },
47599		{ "STICKY_MODE", 0, 1 },
47600	{ "MAC_PORT_AE_PRBS_CTL_1", 0x36a34, 0 },
47601		{ "PRBS_CHK_ERRCNT", 8, 8 },
47602		{ "PRBS_SYNCCNT", 5, 3 },
47603		{ "PRBS_CHK_SYNC", 4, 1 },
47604		{ "PRBS_CHK_RST", 3, 1 },
47605		{ "PRBS_CHK_OFF", 2, 1 },
47606		{ "PRBS_GEN_FRCERR", 1, 1 },
47607		{ "PRBS_GEN_OFF", 0, 1 },
47608	{ "MAC_PORT_AE_FSM_CTL_1", 0x36a38, 0 },
47609		{ "CIN_ENABLE", 15, 1 },
47610		{ "FSM_TR_LCL", 14, 1 },
47611		{ "FSM_GDMRK", 11, 3 },
47612		{ "FSM_BADMRK", 8, 3 },
47613		{ "FSM_TR_FAIL", 7, 1 },
47614		{ "FSM_TR_ACT", 6, 1 },
47615		{ "FSM_FRM_LCK", 5, 1 },
47616		{ "FSM_TR_COMP", 4, 1 },
47617		{ "MC_RX_RDY", 3, 1 },
47618		{ "FSM_CU_DIS", 2, 1 },
47619		{ "FSM_TR_RST", 1, 1 },
47620		{ "FSM_TR_EN", 0, 1 },
47621	{ "MAC_PORT_AE_FSM_STATE_1", 0x36a3c, 0 },
47622		{ "CC2FSM_STATE", 13, 3 },
47623		{ "CC1FSM_STATE", 10, 3 },
47624		{ "CC0FSM_STATE", 7, 3 },
47625		{ "FLFSM_STATE", 4, 3 },
47626		{ "TFSM_STATE", 0, 3 },
47627	{ "MAC_PORT_AE_RX_COEF_REQ_2", 0x36a40, 0 },
47628		{ "RXREQ_CPRE", 13, 1 },
47629		{ "RXREQ_CINIT", 12, 1 },
47630		{ "T5_RXREQ_C3", 6, 2 },
47631		{ "T5_RXREQ_C2", 4, 2 },
47632		{ "T5_RXREQ_C1", 2, 2 },
47633		{ "T5_RXREQ_C0", 0, 2 },
47634	{ "MAC_PORT_AE_RX_COEF_STAT_2", 0x36a44, 0 },
47635		{ "T5_AE2_RXSTAT_RDY", 15, 1 },
47636		{ "T5_AE2_RXSTAT_LSNA", 14, 1 },
47637		{ "T5_AE2_RXSTAT_FEC", 13, 1 },
47638		{ "T5_AE2_RXSTAT_TF", 12, 1 },
47639		{ "T5_AE2_RXSTAT_C3", 6, 2 },
47640		{ "T5_AE2_RXSTAT_C2", 4, 2 },
47641		{ "T5_AE2_RXSTAT_C1", 2, 2 },
47642		{ "T5_AE2_RXSTAT_C0", 0, 2 },
47643	{ "MAC_PORT_AE_TX_COEF_REQ_2", 0x36a48, 0 },
47644		{ "TXREQ_CPRE", 13, 1 },
47645		{ "TXREQ_CINIT", 12, 1 },
47646		{ "TXREQ_FEC", 11, 1 },
47647		{ "T5_TXREQ_C3", 6, 2 },
47648		{ "T5_TXREQ_C2", 4, 2 },
47649		{ "T5_TXREQ_C1", 2, 2 },
47650		{ "T5_TXREQ_C0", 0, 2 },
47651	{ "MAC_PORT_AE_TX_COEF_STAT_2", 0x36a4c, 0 },
47652		{ "TXSTAT_RDY", 15, 1 },
47653		{ "T5_TXSTAT_C3", 6, 2 },
47654		{ "T5_TXSTAT_C2", 4, 2 },
47655		{ "T5_TXSTAT_C1", 2, 2 },
47656		{ "T5_TXSTAT_C0", 0, 2 },
47657	{ "MAC_PORT_AE_REG_MODE_2", 0x36a50, 0 },
47658		{ "SET_WAIT_TIMER", 13, 2 },
47659		{ "C2_C3_STATE_SEL", 12, 1 },
47660		{ "FFE4_EN", 11, 1 },
47661		{ "FEC_REQUEST", 10, 1 },
47662		{ "FEC_SUPPORTED", 9, 1 },
47663		{ "TX_FIXED", 8, 1 },
47664		{ "AET_RSVD", 7, 1 },
47665		{ "AET_ENABLE", 6, 1 },
47666		{ "MAN_DEC", 4, 2 },
47667		{ "MANUAL_RDY", 3, 1 },
47668		{ "MWT_DISABLE", 2, 1 },
47669		{ "MDIO_OVR", 1, 1 },
47670		{ "STICKY_MODE", 0, 1 },
47671	{ "MAC_PORT_AE_PRBS_CTL_2", 0x36a54, 0 },
47672		{ "PRBS_CHK_ERRCNT", 8, 8 },
47673		{ "PRBS_SYNCCNT", 5, 3 },
47674		{ "PRBS_CHK_SYNC", 4, 1 },
47675		{ "PRBS_CHK_RST", 3, 1 },
47676		{ "PRBS_CHK_OFF", 2, 1 },
47677		{ "PRBS_GEN_FRCERR", 1, 1 },
47678		{ "PRBS_GEN_OFF", 0, 1 },
47679	{ "MAC_PORT_AE_FSM_CTL_2", 0x36a58, 0 },
47680		{ "CIN_ENABLE", 15, 1 },
47681		{ "FSM_TR_LCL", 14, 1 },
47682		{ "FSM_GDMRK", 11, 3 },
47683		{ "FSM_BADMRK", 8, 3 },
47684		{ "FSM_TR_FAIL", 7, 1 },
47685		{ "FSM_TR_ACT", 6, 1 },
47686		{ "FSM_FRM_LCK", 5, 1 },
47687		{ "FSM_TR_COMP", 4, 1 },
47688		{ "MC_RX_RDY", 3, 1 },
47689		{ "FSM_CU_DIS", 2, 1 },
47690		{ "FSM_TR_RST", 1, 1 },
47691		{ "FSM_TR_EN", 0, 1 },
47692	{ "MAC_PORT_AE_FSM_STATE_2", 0x36a5c, 0 },
47693		{ "CC2FSM_STATE", 13, 3 },
47694		{ "CC1FSM_STATE", 10, 3 },
47695		{ "CC0FSM_STATE", 7, 3 },
47696		{ "FLFSM_STATE", 4, 3 },
47697		{ "TFSM_STATE", 0, 3 },
47698	{ "MAC_PORT_AE_RX_COEF_REQ_3", 0x36a60, 0 },
47699		{ "RXREQ_CPRE", 13, 1 },
47700		{ "RXREQ_CINIT", 12, 1 },
47701		{ "T5_RXREQ_C3", 6, 2 },
47702		{ "T5_RXREQ_C2", 4, 2 },
47703		{ "T5_RXREQ_C1", 2, 2 },
47704		{ "T5_RXREQ_C0", 0, 2 },
47705	{ "MAC_PORT_AE_RX_COEF_STAT_3", 0x36a64, 0 },
47706		{ "T5_AE3_RXSTAT_RDY", 15, 1 },
47707		{ "T5_AE3_RXSTAT_LSNA", 14, 1 },
47708		{ "T5_AE3_RXSTAT_FEC", 13, 1 },
47709		{ "T5_AE3_RXSTAT_TF", 12, 1 },
47710		{ "T5_AE3_RXSTAT_C3", 6, 2 },
47711		{ "T5_AE3_RXSTAT_C2", 4, 2 },
47712		{ "T5_AE3_RXSTAT_C1", 2, 2 },
47713		{ "T5_AE3_RXSTAT_C0", 0, 2 },
47714	{ "MAC_PORT_AE_TX_COEF_REQ_3", 0x36a68, 0 },
47715		{ "TXREQ_CPRE", 13, 1 },
47716		{ "TXREQ_CINIT", 12, 1 },
47717		{ "TXREQ_FEC", 11, 1 },
47718		{ "T5_TXREQ_C3", 6, 2 },
47719		{ "T5_TXREQ_C2", 4, 2 },
47720		{ "T5_TXREQ_C1", 2, 2 },
47721		{ "T5_TXREQ_C0", 0, 2 },
47722	{ "MAC_PORT_AE_TX_COEF_STAT_3", 0x36a6c, 0 },
47723		{ "TXSTAT_RDY", 15, 1 },
47724		{ "T5_TXSTAT_C3", 6, 2 },
47725		{ "T5_TXSTAT_C2", 4, 2 },
47726		{ "T5_TXSTAT_C1", 2, 2 },
47727		{ "T5_TXSTAT_C0", 0, 2 },
47728	{ "MAC_PORT_AE_REG_MODE_3", 0x36a70, 0 },
47729		{ "SET_WAIT_TIMER", 13, 2 },
47730		{ "C2_C3_STATE_SEL", 12, 1 },
47731		{ "FFE4_EN", 11, 1 },
47732		{ "FEC_REQUEST", 10, 1 },
47733		{ "FEC_SUPPORTED", 9, 1 },
47734		{ "TX_FIXED", 8, 1 },
47735		{ "AET_RSVD", 7, 1 },
47736		{ "AET_ENABLE", 6, 1 },
47737		{ "MAN_DEC", 4, 2 },
47738		{ "MANUAL_RDY", 3, 1 },
47739		{ "MWT_DISABLE", 2, 1 },
47740		{ "MDIO_OVR", 1, 1 },
47741		{ "STICKY_MODE", 0, 1 },
47742	{ "MAC_PORT_AE_PRBS_CTL_3", 0x36a74, 0 },
47743		{ "PRBS_CHK_ERRCNT", 8, 8 },
47744		{ "PRBS_SYNCCNT", 5, 3 },
47745		{ "PRBS_CHK_SYNC", 4, 1 },
47746		{ "PRBS_CHK_RST", 3, 1 },
47747		{ "PRBS_CHK_OFF", 2, 1 },
47748		{ "PRBS_GEN_FRCERR", 1, 1 },
47749		{ "PRBS_GEN_OFF", 0, 1 },
47750	{ "MAC_PORT_AE_FSM_CTL_3", 0x36a78, 0 },
47751		{ "CIN_ENABLE", 15, 1 },
47752		{ "FSM_TR_LCL", 14, 1 },
47753		{ "FSM_GDMRK", 11, 3 },
47754		{ "FSM_BADMRK", 8, 3 },
47755		{ "FSM_TR_FAIL", 7, 1 },
47756		{ "FSM_TR_ACT", 6, 1 },
47757		{ "FSM_FRM_LCK", 5, 1 },
47758		{ "FSM_TR_COMP", 4, 1 },
47759		{ "MC_RX_RDY", 3, 1 },
47760		{ "FSM_CU_DIS", 2, 1 },
47761		{ "FSM_TR_RST", 1, 1 },
47762		{ "FSM_TR_EN", 0, 1 },
47763	{ "MAC_PORT_AE_FSM_STATE_3", 0x36a7c, 0 },
47764		{ "CC2FSM_STATE", 13, 3 },
47765		{ "CC1FSM_STATE", 10, 3 },
47766		{ "CC0FSM_STATE", 7, 3 },
47767		{ "FLFSM_STATE", 4, 3 },
47768		{ "TFSM_STATE", 0, 3 },
47769	{ "MAC_PORT_AE_TX_DIS", 0x36a80, 0 },
47770	{ "MAC_PORT_AE_KR_CTRL", 0x36a84, 0 },
47771		{ "Training_Enable", 1, 1 },
47772		{ "Restart_Training", 0, 1 },
47773	{ "MAC_PORT_AE_RX_SIGDET", 0x36a88, 0 },
47774	{ "MAC_PORT_AE_KR_STATUS", 0x36a8c, 0 },
47775		{ "Training_Failure", 3, 1 },
47776		{ "Training", 2, 1 },
47777		{ "Frame_Lock", 1, 1 },
47778		{ "RX_Trained", 0, 1 },
47779	{ "MAC_PORT_AE_TX_DIS_1", 0x36a90, 0 },
47780	{ "MAC_PORT_AE_KR_CTRL_1", 0x36a94, 0 },
47781		{ "Training_Enable", 1, 1 },
47782		{ "Restart_Training", 0, 1 },
47783	{ "MAC_PORT_AE_RX_SIGDET_1", 0x36a98, 0 },
47784	{ "MAC_PORT_AE_KR_STATUS_1", 0x36a9c, 0 },
47785		{ "Training_Failure", 3, 1 },
47786		{ "Training", 2, 1 },
47787		{ "Frame_Lock", 1, 1 },
47788		{ "RX_Trained", 0, 1 },
47789	{ "MAC_PORT_AE_TX_DIS_2", 0x36aa0, 0 },
47790	{ "MAC_PORT_AE_KR_CTRL_2", 0x36aa4, 0 },
47791		{ "Training_Enable", 1, 1 },
47792		{ "Restart_Training", 0, 1 },
47793	{ "MAC_PORT_AE_RX_SIGDET_2", 0x36aa8, 0 },
47794	{ "MAC_PORT_AE_KR_STATUS_2", 0x36aac, 0 },
47795		{ "Training_Failure", 3, 1 },
47796		{ "Training", 2, 1 },
47797		{ "Frame_Lock", 1, 1 },
47798		{ "RX_Trained", 0, 1 },
47799	{ "MAC_PORT_AE_TX_DIS_3", 0x36ab0, 0 },
47800	{ "MAC_PORT_AE_KR_CTRL_3", 0x36ab4, 0 },
47801		{ "Training_Enable", 1, 1 },
47802		{ "Restart_Training", 0, 1 },
47803	{ "MAC_PORT_AE_RX_SIGDET_3", 0x36ab8, 0 },
47804	{ "MAC_PORT_AE_KR_STATUS_3", 0x36abc, 0 },
47805		{ "Training_Failure", 3, 1 },
47806		{ "Training", 2, 1 },
47807		{ "Frame_Lock", 1, 1 },
47808		{ "RX_Trained", 0, 1 },
47809	{ "MAC_PORT_AET_STAGE_CONFIGURATION_0", 0x36b00, 0 },
47810		{ "INIT_METH", 12, 4 },
47811		{ "INIT_CNT", 8, 4 },
47812		{ "EN_ZFE", 7, 1 },
47813		{ "EN_GAIN_TOG", 6, 1 },
47814		{ "EN_AI_N0", 5, 1 },
47815		{ "EN_H1T_EQ", 3, 1 },
47816		{ "H1TEQ_GOAL", 0, 3 },
47817	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_0", 0x36b04, 0 },
47818		{ "FEC_CNV", 15, 1 },
47819		{ "EN_RETRY", 14, 1 },
47820		{ "DPC_METH", 12, 2 },
47821		{ "EN_P2", 11, 1 },
47822		{ "GAIN_TH", 6, 5 },
47823		{ "EN_SD_TH", 5, 1 },
47824		{ "EN_AMIN_TH", 4, 1 },
47825		{ "AMIN_TH", 0, 4 },
47826	{ "MAC_PORT_AET_ZFE_LIMITS_0", 0x36b08, 0 },
47827		{ "ACC_LIM", 8, 4 },
47828		{ "CNV_LIM", 4, 4 },
47829		{ "TOG_LIM", 0, 4 },
47830	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_0", 0x36b0c, 0 },
47831		{ "BOOT_LUT7", 12, 4 },
47832		{ "BOOT_LUT5", 8, 4 },
47833		{ "BOOT_LUT45", 4, 4 },
47834		{ "BOOT_LUT0123", 2, 2 },
47835		{ "BOOT_DEC_C0", 1, 1 },
47836	{ "MAC_PORT_AET_STATUS_0", 0x36b10, 0 },
47837		{ "CTRL_STAT", 8, 5 },
47838		{ "NEU_STATE", 4, 4 },
47839		{ "CTRL_STATE", 0, 4 },
47840	{ "MAC_PORT_AET_STATUS_20", 0x36b14, 0 },
47841	{ "MAC_PORT_AET_LIMITS0", 0x36b18, 0 },
47842	{ "MAC_PORT_AET_STAGE_CONFIGURATION_1", 0x36b20, 0 },
47843		{ "INIT_METH", 12, 4 },
47844		{ "INIT_CNT", 8, 4 },
47845		{ "EN_ZFE", 7, 1 },
47846		{ "EN_GAIN_TOG", 6, 1 },
47847		{ "EN_AI_N0", 5, 1 },
47848		{ "EN_H1T_EQ", 3, 1 },
47849		{ "H1TEQ_GOAL", 0, 3 },
47850	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_1", 0x36b24, 0 },
47851		{ "FEC_CNV", 15, 1 },
47852		{ "EN_RETRY", 14, 1 },
47853		{ "DPC_METH", 12, 2 },
47854		{ "EN_P2", 11, 1 },
47855		{ "GAIN_TH", 6, 5 },
47856		{ "EN_SD_TH", 5, 1 },
47857		{ "EN_AMIN_TH", 4, 1 },
47858		{ "AMIN_TH", 0, 4 },
47859	{ "MAC_PORT_AET_ZFE_LIMITS_1", 0x36b28, 0 },
47860		{ "ACC_LIM", 8, 4 },
47861		{ "CNV_LIM", 4, 4 },
47862		{ "TOG_LIM", 0, 4 },
47863	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_1", 0x36b2c, 0 },
47864		{ "BOOT_LUT7", 12, 4 },
47865		{ "BOOT_LUT5", 8, 4 },
47866		{ "BOOT_LUT45", 4, 4 },
47867		{ "BOOT_LUT0123", 2, 2 },
47868		{ "BOOT_DEC_C0", 1, 1 },
47869	{ "MAC_PORT_AET_STATUS_1", 0x36b30, 0 },
47870		{ "CTRL_STAT", 8, 5 },
47871		{ "NEU_STATE", 4, 4 },
47872		{ "CTRL_STATE", 0, 4 },
47873	{ "MAC_PORT_AET_STATUS_21", 0x36b34, 0 },
47874	{ "MAC_PORT_AET_LIMITS1", 0x36b38, 0 },
47875	{ "MAC_PORT_AET_STAGE_CONFIGURATION_2", 0x36b40, 0 },
47876		{ "INIT_METH", 12, 4 },
47877		{ "INIT_CNT", 8, 4 },
47878		{ "EN_ZFE", 7, 1 },
47879		{ "EN_GAIN_TOG", 6, 1 },
47880		{ "EN_AI_N0", 5, 1 },
47881		{ "EN_H1T_EQ", 3, 1 },
47882		{ "H1TEQ_GOAL", 0, 3 },
47883	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_2", 0x36b44, 0 },
47884		{ "FEC_CNV", 15, 1 },
47885		{ "EN_RETRY", 14, 1 },
47886		{ "DPC_METH", 12, 2 },
47887		{ "EN_P2", 11, 1 },
47888		{ "GAIN_TH", 6, 5 },
47889		{ "EN_SD_TH", 5, 1 },
47890		{ "EN_AMIN_TH", 4, 1 },
47891		{ "AMIN_TH", 0, 4 },
47892	{ "MAC_PORT_AET_ZFE_LIMITS_2", 0x36b48, 0 },
47893		{ "ACC_LIM", 8, 4 },
47894		{ "CNV_LIM", 4, 4 },
47895		{ "TOG_LIM", 0, 4 },
47896	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_2", 0x36b4c, 0 },
47897		{ "BOOT_LUT7", 12, 4 },
47898		{ "BOOT_LUT5", 8, 4 },
47899		{ "BOOT_LUT45", 4, 4 },
47900		{ "BOOT_LUT0123", 2, 2 },
47901		{ "BOOT_DEC_C0", 1, 1 },
47902	{ "MAC_PORT_AET_STATUS_2", 0x36b50, 0 },
47903		{ "CTRL_STAT", 8, 5 },
47904		{ "NEU_STATE", 4, 4 },
47905		{ "CTRL_STATE", 0, 4 },
47906	{ "MAC_PORT_AET_STATUS_22", 0x36b54, 0 },
47907	{ "MAC_PORT_AET_LIMITS2", 0x36b58, 0 },
47908	{ "MAC_PORT_AET_STAGE_CONFIGURATION_3", 0x36b60, 0 },
47909		{ "INIT_METH", 12, 4 },
47910		{ "INIT_CNT", 8, 4 },
47911		{ "EN_ZFE", 7, 1 },
47912		{ "EN_GAIN_TOG", 6, 1 },
47913		{ "EN_AI_N0", 5, 1 },
47914		{ "EN_H1T_EQ", 3, 1 },
47915		{ "H1TEQ_GOAL", 0, 3 },
47916	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_3", 0x36b64, 0 },
47917		{ "FEC_CNV", 15, 1 },
47918		{ "EN_RETRY", 14, 1 },
47919		{ "DPC_METH", 12, 2 },
47920		{ "EN_P2", 11, 1 },
47921		{ "GAIN_TH", 6, 5 },
47922		{ "EN_SD_TH", 5, 1 },
47923		{ "EN_AMIN_TH", 4, 1 },
47924		{ "AMIN_TH", 0, 4 },
47925	{ "MAC_PORT_AET_ZFE_LIMITS_3", 0x36b68, 0 },
47926		{ "ACC_LIM", 8, 4 },
47927		{ "CNV_LIM", 4, 4 },
47928		{ "TOG_LIM", 0, 4 },
47929	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_3", 0x36b6c, 0 },
47930		{ "BOOT_LUT7", 12, 4 },
47931		{ "BOOT_LUT5", 8, 4 },
47932		{ "BOOT_LUT45", 4, 4 },
47933		{ "BOOT_LUT0123", 2, 2 },
47934		{ "BOOT_DEC_C0", 1, 1 },
47935	{ "MAC_PORT_AET_STATUS_3", 0x36b70, 0 },
47936		{ "CTRL_STAT", 8, 5 },
47937		{ "NEU_STATE", 4, 4 },
47938		{ "CTRL_STATE", 0, 4 },
47939	{ "MAC_PORT_AET_STATUS_23", 0x36b74, 0 },
47940	{ "MAC_PORT_AET_LIMITS3", 0x36b78, 0 },
47941	{ "MAC_PORT_ANALOG_TEST_MUX", 0x37814, 0 },
47942	{ "MAC_PORT_PLLREFSEL_CONTROL", 0x37854, 0 },
47943	{ "MAC_PORT_REFISINK_CONTROL", 0x37858, 0 },
47944	{ "MAC_PORT_REFISRC_CONTROL", 0x3785c, 0 },
47945	{ "MAC_PORT_REFVREG_CONTROL", 0x37860, 0 },
47946	{ "MAC_PORT_VBGENDOC_CONTROL", 0x37864, 0 },
47947		{ "BGCLKSEL", 2, 1 },
47948		{ "VBGENDOC", 0, 2 },
47949	{ "MAC_PORT_VREFTUNE_CONTROL", 0x37868, 0 },
47950	{ "MAC_PORT_IMPEDENCE_CALIBRATION_CONTROL", 0x37880, 0 },
47951		{ "FRCCAL_COMP", 6, 1 },
47952		{ "FRCERR", 5, 1 },
47953		{ "CAL_BISTENAB", 4, 1 },
47954		{ "RCAL_RESET", 0, 1 },
47955	{ "MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_1", 0x37884, 0 },
47956		{ "RCALBENAB", 3, 1 },
47957		{ "RCALBUSY", 2, 1 },
47958		{ "RCALERR", 1, 1 },
47959		{ "RCALCOMP", 0, 1 },
47960	{ "MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_2", 0x37888, 0 },
47961	{ "MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_3", 0x3788c, 0 },
47962	{ "MAC_PORT_INEQUALITY_CONTROL_AND_RESULT", 0x378c0, 0 },
47963		{ "ISGT", 7, 1 },
47964		{ "ISLT", 6, 1 },
47965		{ "ISEQ", 5, 1 },
47966		{ "ISVAL", 3, 2 },
47967		{ "GTORLT", 1, 2 },
47968		{ "INEQ", 0, 1 },
47969	{ "MAC_PORT_INEQUALITY_LOW_LIMIT", 0x378c4, 0 },
47970	{ "MAC_PORT_INEQUALITY_LOW_LIMIT_MASK", 0x378c8, 0 },
47971	{ "MAC_PORT_INEQUALITY_HIGH_LIMIT", 0x378cc, 0 },
47972	{ "MAC_PORT_INEQUALITY_HIGH_LIMIT_MASK", 0x378d0, 0 },
47973	{ "MAC_PORT_MACRO_TEST_CONTROL_6", 0x378e8, 0 },
47974		{ "JTAGMD", 3, 1 },
47975		{ "RXACMODE", 2, 1 },
47976		{ "HSSACJPC", 1, 1 },
47977		{ "HSSACJAC", 0, 1 },
47978	{ "MAC_PORT_MACRO_TEST_CONTROL_5", 0x378ec, 0 },
47979		{ "REFVALIDD", 6, 1 },
47980		{ "REFVALIDC", 5, 1 },
47981		{ "REFVALIDB", 4, 1 },
47982		{ "REFVALIDA", 3, 1 },
47983		{ "REFSELRESET", 2, 1 },
47984		{ "SOFTRESET", 1, 1 },
47985		{ "MACROTEST", 0, 1 },
47986	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_0", 0x37b00, 0 },
47987	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_1", 0x37b04, 0 },
47988		{ "LDET", 4, 1 },
47989		{ "CCERR", 3, 1 },
47990		{ "CCCMP", 2, 1 },
47991	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_2", 0x37b08, 0 },
47992	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_3", 0x37b0c, 0 },
47993		{ "FMIN", 3, 1 },
47994		{ "FMAX", 2, 1 },
47995		{ "CVHOLD", 1, 1 },
47996	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_4", 0x37b10, 0 },
47997		{ "CMETH", 2, 1 },
47998		{ "RECAL", 1, 1 },
47999		{ "CCLD", 0, 1 },
48000	{ "MAC_PORT_PLLA_POWER_CONTROL", 0x37b24, 0 },
48001		{ "SPWRENA", 1, 1 },
48002		{ "NPWRENA", 0, 1 },
48003	{ "MAC_PORT_PLLA_CHARGE_PUMP_CONTROL", 0x37b28, 0 },
48004	{ "MAC_PORT_PLLA_PLL_MICELLANEOUS_CONTROL", 0x37b38, 0 },
48005	{ "MAC_PORT_PLLA_PCLK_CONTROL", 0x37b3c, 0 },
48006		{ "SPEDIV", 3, 5 },
48007		{ "PCKSEL", 0, 3 },
48008	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_CONTROL", 0x37b40, 0 },
48009		{ "EMIL", 2, 1 },
48010		{ "EMID", 1, 1 },
48011		{ "EMIS", 0, 1 },
48012	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_1", 0x37b44, 0 },
48013	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_2", 0x37b48, 0 },
48014	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_3", 0x37b4c, 0 },
48015	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_4", 0x37b50, 0 },
48016	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_4", 0x37bf0, 0 },
48017		{ "PLLDIVA", 4, 1 },
48018		{ "REFDIV", 0, 4 },
48019	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_3", 0x37bf4, 0 },
48020		{ "RESYNC", 6, 1 },
48021		{ "RXCLKSEL", 5, 1 },
48022		{ "FRCBAND", 4, 1 },
48023		{ "PLLBYP", 3, 1 },
48024		{ "VCOSEL", 1, 1 },
48025		{ "DIVSEL8", 0, 1 },
48026	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_2", 0x37bf8, 0 },
48027	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_1", 0x37bfc, 0 },
48028	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_0", 0x37c00, 0 },
48029	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_1", 0x37c04, 0 },
48030		{ "LDET", 4, 1 },
48031		{ "CCERR", 3, 1 },
48032		{ "CCCMP", 2, 1 },
48033	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_2", 0x37c08, 0 },
48034	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_3", 0x37c0c, 0 },
48035		{ "FMIN", 3, 1 },
48036		{ "FMAX", 2, 1 },
48037		{ "CVHOLD", 1, 1 },
48038	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_4", 0x37c10, 0 },
48039		{ "CMETH", 2, 1 },
48040		{ "RECAL", 1, 1 },
48041		{ "CCLD", 0, 1 },
48042	{ "MAC_PORT_PLLB_POWER_CONTROL", 0x37c24, 0 },
48043		{ "SPWRENA", 1, 1 },
48044		{ "NPWRENA", 0, 1 },
48045	{ "MAC_PORT_PLLB_CHARGE_PUMP_CONTROL", 0x37c28, 0 },
48046	{ "MAC_PORT_PLLB_PLL_MICELLANEOUS_CONTROL", 0x37c38, 0 },
48047	{ "MAC_PORT_PLLB_PCLK_CONTROL", 0x37c3c, 0 },
48048		{ "SPEDIV", 3, 5 },
48049		{ "PCKSEL", 0, 3 },
48050	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_CONTROL", 0x37c40, 0 },
48051		{ "EMIL", 2, 1 },
48052		{ "EMID", 1, 1 },
48053		{ "EMIS", 0, 1 },
48054	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_1", 0x37c44, 0 },
48055	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_2", 0x37c48, 0 },
48056	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_3", 0x37c4c, 0 },
48057	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_4", 0x37c50, 0 },
48058	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_4", 0x37cf0, 0 },
48059		{ "PLLDIVA", 4, 1 },
48060		{ "REFDIV", 0, 4 },
48061	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_3", 0x37cf4, 0 },
48062		{ "RESYNC", 6, 1 },
48063		{ "RXCLKSEL", 5, 1 },
48064		{ "FRCBAND", 4, 1 },
48065		{ "PLLBYP", 3, 1 },
48066		{ "VCOSEL", 1, 1 },
48067		{ "DIVSEL8", 0, 1 },
48068	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_2", 0x37cf8, 0 },
48069	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_1", 0x37cfc, 0 },
48070	{ "MAC_PORT_TX_LINKA_TRANSMIT_CONFIGURATION_MODE", 0x37000, 0 },
48071		{ "T5_TX_LINKEN", 15, 1 },
48072		{ "T5_TX_LINKRST", 14, 1 },
48073		{ "T5_TX_CFGWRT", 13, 1 },
48074		{ "T5_TX_CFGPTR", 11, 2 },
48075		{ "T5_TX_CFGEXT", 10, 1 },
48076		{ "T5_TX_CFGACT", 9, 1 },
48077		{ "T5_TX_RSYNCC", 8, 1 },
48078		{ "T5_TX_PLLSEL", 6, 2 },
48079		{ "T5_TX_RXLOOP", 5, 1 },
48080		{ "T5_TX_ENFFE4", 4, 1 },
48081		{ "T5_TX_BWSEL", 2, 2 },
48082		{ "T5_TX_RTSEL", 0, 2 },
48083	{ "MAC_PORT_TX_LINKA_TRANSMIT_TEST_CONTROL", 0x37004, 0 },
48084		{ "SPSEL", 11, 3 },
48085		{ "FRCERR", 10, 1 },
48086		{ "ERROR", 9, 1 },
48087		{ "SYNC", 8, 1 },
48088		{ "P7CHK", 5, 1 },
48089		{ "PRST", 4, 1 },
48090		{ "TPGMD", 3, 1 },
48091		{ "TPSEL", 0, 3 },
48092	{ "MAC_PORT_TX_LINKA_TRANSMIT_COEFFICIENT_CONTROL", 0x37008, 0 },
48093		{ "ZCALOVRD", 8, 1 },
48094		{ "SASMODE", 7, 1 },
48095		{ "AEPOL", 6, 1 },
48096		{ "AESRC", 5, 1 },
48097		{ "EQMODE", 4, 1 },
48098		{ "OCOEF", 3, 1 },
48099		{ "COEFRST", 2, 1 },
48100		{ "ALOAD", 0, 1 },
48101	{ "MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_MODE_CONTROL", 0x3700c, 0 },
48102		{ "T5DRVHIZ", 5, 1 },
48103		{ "T5SLEW", 2, 2 },
48104	{ "MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x37010, 0 },
48105		{ "T5DCCEN", 4, 1 },
48106	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x37014, 0 },
48107		{ "RSTEP", 15, 1 },
48108		{ "RLOCK", 14, 1 },
48109		{ "RPOS", 8, 6 },
48110		{ "DCLKSAM", 7, 1 },
48111	{ "MAC_PORT_TX_LINKA_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x37018, 0 },
48112		{ "CALSSTN", 8, 6 },
48113		{ "CALSSTP", 0, 6 },
48114	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3701c, 0 },
48115		{ "DRTOL", 2, 3 },
48116	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT", 0x37020, 0 },
48117	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT", 0x37024, 0 },
48118	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT", 0x37028, 0 },
48119	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_3_COEFFICIENT", 0x3702c, 0 },
48120	{ "MAC_PORT_TX_LINKA_TRANSMIT_POLARITY", 0x37034, 0 },
48121	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x37038, 0 },
48122		{ "CPREST", 13, 1 },
48123		{ "CINIT", 12, 1 },
48124		{ "SASCMD", 10, 2 },
48125		{ "C0UPDT", 6, 2 },
48126		{ "C3UPDT", 4, 2 },
48127		{ "C2UPDT", 2, 2 },
48128		{ "C1UPDT", 0, 2 },
48129	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3703c, 0 },
48130		{ "C0STAT", 6, 2 },
48131		{ "C3STAT", 4, 2 },
48132		{ "C2STAT", 2, 2 },
48133		{ "C1STAT", 0, 2 },
48134	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x37040, 0 },
48135	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x37044, 0 },
48136	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x37048, 0 },
48137	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3704c, 0 },
48138	{ "MAC_PORT_TX_LINKA_TRANSMIT_APPLIED_TUNE_REGISTER", 0x37050, 0 },
48139		{ "ATUNEN", 8, 8 },
48140		{ "ATUNEP", 0, 8 },
48141	{ "MAC_PORT_TX_LINKA_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x37058, 0 },
48142		{ "DCCCOMPINV", 8, 1 },
48143	{ "MAC_PORT_TX_LINKA_TRANSMIT_4X_SEGMENT_APPLIED", 0x37060, 0 },
48144		{ "AS4X7", 14, 2 },
48145		{ "AS4X6", 12, 2 },
48146		{ "AS4X5", 10, 2 },
48147		{ "AS4X4", 8, 2 },
48148		{ "AS4X3", 6, 2 },
48149		{ "AS4X2", 4, 2 },
48150		{ "AS4X1", 2, 2 },
48151		{ "AS4X0", 0, 2 },
48152	{ "MAC_PORT_TX_LINKA_TRANSMIT_2X_SEGMENT_APPLIED", 0x37064, 0 },
48153		{ "AS2X3", 6, 2 },
48154		{ "AS2X2", 4, 2 },
48155		{ "AS2X1", 2, 2 },
48156		{ "AS2X0", 0, 2 },
48157	{ "MAC_PORT_TX_LINKA_TRANSMIT_1X_SEGMENT_APPLIED", 0x37068, 0 },
48158		{ "AS1X7", 14, 2 },
48159		{ "AS1X6", 12, 2 },
48160		{ "AS1X5", 10, 2 },
48161		{ "AS1X4", 8, 2 },
48162		{ "AS1X3", 6, 2 },
48163		{ "AS1X2", 4, 2 },
48164		{ "AS1X1", 2, 2 },
48165		{ "AS1X0", 0, 2 },
48166	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3706c, 0 },
48167	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x37070, 0 },
48168		{ "AT2X", 8, 4 },
48169		{ "AT4X", 0, 8 },
48170	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x37074, 0 },
48171	{ "MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x37078, 0 },
48172	{ "MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3707c, 0 },
48173		{ "XADDR", 1, 5 },
48174		{ "XWR", 0, 1 },
48175	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x37080, 0 },
48176	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x37084, 0 },
48177	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x37088, 0 },
48178	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3708c, 0 },
48179	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AZ_CONTROL", 0x3709c, 0 },
48180	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_CONTROL", 0x370a0, 0 },
48181		{ "DCCTIMEDOUT", 15, 1 },
48182		{ "DCCTIMEEN", 13, 2 },
48183		{ "DCCLOCK", 11, 2 },
48184		{ "DCCOFFSET", 8, 3 },
48185		{ "DCCSTEP", 6, 2 },
48186	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_OVERRIDE", 0x370a4, 0 },
48187		{ "DCCOUT", 12, 1 },
48188		{ "DCCCLK", 11, 1 },
48189		{ "DCCHOLD", 10, 1 },
48190		{ "DCCSIGN", 8, 2 },
48191		{ "DCCAMP", 1, 7 },
48192		{ "DCCOEN", 0, 1 },
48193	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_APPLIED", 0x370a8, 0 },
48194		{ "DCCASIGN", 7, 2 },
48195		{ "DCCAAMP", 0, 7 },
48196	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_TIME_OUT", 0x370ac, 0 },
48197	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SIGN_OVERRIDE", 0x370c0, 0 },
48198	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x370c8, 0 },
48199		{ "OS4X7", 14, 2 },
48200		{ "OS4X6", 12, 2 },
48201		{ "OS4X5", 10, 2 },
48202		{ "OS4X4", 8, 2 },
48203		{ "OS4X3", 6, 2 },
48204		{ "OS4X2", 4, 2 },
48205		{ "OS4X1", 2, 2 },
48206		{ "OS4X0", 0, 2 },
48207	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x370cc, 0 },
48208		{ "OS2X3", 6, 2 },
48209		{ "OS2X2", 4, 2 },
48210		{ "OS2X1", 2, 2 },
48211		{ "OS2X0", 0, 2 },
48212	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x370d0, 0 },
48213		{ "OS1X7", 14, 2 },
48214		{ "OS1X6", 12, 2 },
48215		{ "OS1X5", 10, 2 },
48216		{ "OS1X4", 8, 2 },
48217		{ "OS1X3", 6, 2 },
48218		{ "OS1X2", 4, 2 },
48219		{ "OS1X1", 2, 2 },
48220		{ "OS1X0", 0, 2 },
48221	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x370d8, 0 },
48222	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x370dc, 0 },
48223	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x370e0, 0 },
48224	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_5", 0x370ec, 0 },
48225		{ "ERRORP", 15, 1 },
48226		{ "ERRORN", 14, 1 },
48227		{ "TESTENA", 13, 1 },
48228		{ "TUNEBIT", 10, 3 },
48229		{ "DATAPOS", 8, 2 },
48230		{ "SEGSEL", 3, 5 },
48231		{ "TAPSEL", 1, 2 },
48232		{ "DATASIGN", 0, 1 },
48233	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_4", 0x370f0, 0 },
48234	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_3", 0x370f4, 0 },
48235	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_2", 0x370f8, 0 },
48236		{ "AECMDVAL", 14, 1 },
48237		{ "AECMD1312", 12, 2 },
48238		{ "AECMD70", 0, 8 },
48239	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_1", 0x370fc, 0 },
48240		{ "SDOVRDEN", 15, 1 },
48241		{ "BSOUTN", 7, 1 },
48242		{ "BSOUTP", 6, 1 },
48243		{ "BSIN", 5, 1 },
48244		{ "JTAGAMPL", 3, 2 },
48245		{ "JTAGTS", 2, 1 },
48246		{ "TS", 1, 1 },
48247		{ "OBS", 0, 1 },
48248	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x34000, 0 },
48249	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x34008, 0 },
48250		{ "C0PRESET", 8, 7 },
48251		{ "C0INIT1", 0, 7 },
48252	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x34010, 0 },
48253		{ "C0MAX", 8, 7 },
48254		{ "C0MIN", 0, 7 },
48255	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_INIT_EXTENDED", 0x34018, 0 },
48256		{ "C1PRESET", 8, 7 },
48257		{ "C1INIT1", 0, 7 },
48258	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x34020, 0 },
48259		{ "C1MAX", 8, 7 },
48260		{ "C1MIN", 0, 7 },
48261	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_INIT_EXTENDED", 0x34028, 0 },
48262		{ "C2PRESET", 8, 7 },
48263		{ "C2INIT1", 0, 7 },
48264	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x34030, 0 },
48265		{ "C2MAX", 8, 7 },
48266		{ "C2MIN", 0, 7 },
48267	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x34038, 0 },
48268	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x34040, 0 },
48269	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_INIT_EXTENDED", 0x34048, 0 },
48270		{ "C3PRESET", 8, 7 },
48271		{ "C3INIT1", 0, 7 },
48272	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x34050, 0 },
48273		{ "C3MAX", 8, 7 },
48274		{ "C3MIN", 0, 7 },
48275	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3405c, 0 },
48276	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x34060, 0 },
48277	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x34068, 0 },
48278	{ "MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x34070, 0 },
48279	{ "MAC_PORT_TX_LINKB_TRANSMIT_CONFIGURATION_MODE", 0x37100, 0 },
48280		{ "T5_TX_LINKEN", 15, 1 },
48281		{ "T5_TX_LINKRST", 14, 1 },
48282		{ "T5_TX_CFGWRT", 13, 1 },
48283		{ "T5_TX_CFGPTR", 11, 2 },
48284		{ "T5_TX_CFGEXT", 10, 1 },
48285		{ "T5_TX_CFGACT", 9, 1 },
48286		{ "T5_TX_RSYNCC", 8, 1 },
48287		{ "T5_TX_PLLSEL", 6, 2 },
48288		{ "T5_TX_RXLOOP", 5, 1 },
48289		{ "T5_TX_ENFFE4", 4, 1 },
48290		{ "T5_TX_BWSEL", 2, 2 },
48291		{ "T5_TX_RTSEL", 0, 2 },
48292	{ "MAC_PORT_TX_LINKB_TRANSMIT_TEST_CONTROL", 0x37104, 0 },
48293		{ "SPSEL", 11, 3 },
48294		{ "FRCERR", 10, 1 },
48295		{ "ERROR", 9, 1 },
48296		{ "SYNC", 8, 1 },
48297		{ "P7CHK", 5, 1 },
48298		{ "PRST", 4, 1 },
48299		{ "TPGMD", 3, 1 },
48300		{ "TPSEL", 0, 3 },
48301	{ "MAC_PORT_TX_LINKB_TRANSMIT_COEFFICIENT_CONTROL", 0x37108, 0 },
48302		{ "ZCALOVRD", 8, 1 },
48303		{ "SASMODE", 7, 1 },
48304		{ "AEPOL", 6, 1 },
48305		{ "AESRC", 5, 1 },
48306		{ "EQMODE", 4, 1 },
48307		{ "OCOEF", 3, 1 },
48308		{ "COEFRST", 2, 1 },
48309		{ "ALOAD", 0, 1 },
48310	{ "MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_MODE_CONTROL", 0x3710c, 0 },
48311		{ "T5DRVHIZ", 5, 1 },
48312		{ "T5SLEW", 2, 2 },
48313	{ "MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x37110, 0 },
48314		{ "T5DCCEN", 4, 1 },
48315	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x37114, 0 },
48316		{ "RSTEP", 15, 1 },
48317		{ "RLOCK", 14, 1 },
48318		{ "RPOS", 8, 6 },
48319		{ "DCLKSAM", 7, 1 },
48320	{ "MAC_PORT_TX_LINKB_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x37118, 0 },
48321		{ "CALSSTN", 8, 6 },
48322		{ "CALSSTP", 0, 6 },
48323	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3711c, 0 },
48324		{ "DRTOL", 2, 3 },
48325	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT", 0x37120, 0 },
48326	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT", 0x37124, 0 },
48327	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT", 0x37128, 0 },
48328	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_3_COEFFICIENT", 0x3712c, 0 },
48329	{ "MAC_PORT_TX_LINKB_TRANSMIT_POLARITY", 0x37134, 0 },
48330	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x37138, 0 },
48331		{ "CPREST", 13, 1 },
48332		{ "CINIT", 12, 1 },
48333		{ "SASCMD", 10, 2 },
48334		{ "C0UPDT", 6, 2 },
48335		{ "C3UPDT", 4, 2 },
48336		{ "C2UPDT", 2, 2 },
48337		{ "C1UPDT", 0, 2 },
48338	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3713c, 0 },
48339		{ "C0STAT", 6, 2 },
48340		{ "C3STAT", 4, 2 },
48341		{ "C2STAT", 2, 2 },
48342		{ "C1STAT", 0, 2 },
48343	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x37140, 0 },
48344	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x37144, 0 },
48345	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x37148, 0 },
48346	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3714c, 0 },
48347	{ "MAC_PORT_TX_LINKB_TRANSMIT_APPLIED_TUNE_REGISTER", 0x37150, 0 },
48348		{ "ATUNEN", 8, 8 },
48349		{ "ATUNEP", 0, 8 },
48350	{ "MAC_PORT_TX_LINKB_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x37158, 0 },
48351		{ "DCCCOMPINV", 8, 1 },
48352	{ "MAC_PORT_TX_LINKB_TRANSMIT_4X_SEGMENT_APPLIED", 0x37160, 0 },
48353		{ "AS4X7", 14, 2 },
48354		{ "AS4X6", 12, 2 },
48355		{ "AS4X5", 10, 2 },
48356		{ "AS4X4", 8, 2 },
48357		{ "AS4X3", 6, 2 },
48358		{ "AS4X2", 4, 2 },
48359		{ "AS4X1", 2, 2 },
48360		{ "AS4X0", 0, 2 },
48361	{ "MAC_PORT_TX_LINKB_TRANSMIT_2X_SEGMENT_APPLIED", 0x37164, 0 },
48362		{ "AS2X3", 6, 2 },
48363		{ "AS2X2", 4, 2 },
48364		{ "AS2X1", 2, 2 },
48365		{ "AS2X0", 0, 2 },
48366	{ "MAC_PORT_TX_LINKB_TRANSMIT_1X_SEGMENT_APPLIED", 0x37168, 0 },
48367		{ "AS1X7", 14, 2 },
48368		{ "AS1X6", 12, 2 },
48369		{ "AS1X5", 10, 2 },
48370		{ "AS1X4", 8, 2 },
48371		{ "AS1X3", 6, 2 },
48372		{ "AS1X2", 4, 2 },
48373		{ "AS1X1", 2, 2 },
48374		{ "AS1X0", 0, 2 },
48375	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3716c, 0 },
48376	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x37170, 0 },
48377		{ "AT2X", 8, 4 },
48378		{ "AT4X", 0, 8 },
48379	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x37174, 0 },
48380	{ "MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x37178, 0 },
48381	{ "MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3717c, 0 },
48382		{ "XADDR", 1, 5 },
48383		{ "XWR", 0, 1 },
48384	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x37180, 0 },
48385	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x37184, 0 },
48386	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x37188, 0 },
48387	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3718c, 0 },
48388	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AZ_CONTROL", 0x3719c, 0 },
48389	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_CONTROL", 0x371a0, 0 },
48390		{ "DCCTIMEDOUT", 15, 1 },
48391		{ "DCCTIMEEN", 13, 2 },
48392		{ "DCCLOCK", 11, 2 },
48393		{ "DCCOFFSET", 8, 3 },
48394		{ "DCCSTEP", 6, 2 },
48395	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_OVERRIDE", 0x371a4, 0 },
48396		{ "DCCOUT", 12, 1 },
48397		{ "DCCCLK", 11, 1 },
48398		{ "DCCHOLD", 10, 1 },
48399		{ "DCCSIGN", 8, 2 },
48400		{ "DCCAMP", 1, 7 },
48401		{ "DCCOEN", 0, 1 },
48402	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_APPLIED", 0x371a8, 0 },
48403		{ "DCCASIGN", 7, 2 },
48404		{ "DCCAAMP", 0, 7 },
48405	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_TIME_OUT", 0x371ac, 0 },
48406	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SIGN_OVERRIDE", 0x371c0, 0 },
48407	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x371c8, 0 },
48408		{ "OS4X7", 14, 2 },
48409		{ "OS4X6", 12, 2 },
48410		{ "OS4X5", 10, 2 },
48411		{ "OS4X4", 8, 2 },
48412		{ "OS4X3", 6, 2 },
48413		{ "OS4X2", 4, 2 },
48414		{ "OS4X1", 2, 2 },
48415		{ "OS4X0", 0, 2 },
48416	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x371cc, 0 },
48417		{ "OS2X3", 6, 2 },
48418		{ "OS2X2", 4, 2 },
48419		{ "OS2X1", 2, 2 },
48420		{ "OS2X0", 0, 2 },
48421	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x371d0, 0 },
48422		{ "OS1X7", 14, 2 },
48423		{ "OS1X6", 12, 2 },
48424		{ "OS1X5", 10, 2 },
48425		{ "OS1X4", 8, 2 },
48426		{ "OS1X3", 6, 2 },
48427		{ "OS1X2", 4, 2 },
48428		{ "OS1X1", 2, 2 },
48429		{ "OS1X0", 0, 2 },
48430	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x371d8, 0 },
48431	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x371dc, 0 },
48432	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x371e0, 0 },
48433	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_5", 0x371ec, 0 },
48434		{ "ERRORP", 15, 1 },
48435		{ "ERRORN", 14, 1 },
48436		{ "TESTENA", 13, 1 },
48437		{ "TUNEBIT", 10, 3 },
48438		{ "DATAPOS", 8, 2 },
48439		{ "SEGSEL", 3, 5 },
48440		{ "TAPSEL", 1, 2 },
48441		{ "DATASIGN", 0, 1 },
48442	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_4", 0x371f0, 0 },
48443	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_3", 0x371f4, 0 },
48444	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_2", 0x371f8, 0 },
48445		{ "AECMDVAL", 14, 1 },
48446		{ "AECMD1312", 12, 2 },
48447		{ "AECMD70", 0, 8 },
48448	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_1", 0x371fc, 0 },
48449		{ "SDOVRDEN", 15, 1 },
48450		{ "BSOUTN", 7, 1 },
48451		{ "BSOUTP", 6, 1 },
48452		{ "BSIN", 5, 1 },
48453		{ "JTAGAMPL", 3, 2 },
48454		{ "JTAGTS", 2, 1 },
48455		{ "TS", 1, 1 },
48456		{ "OBS", 0, 1 },
48457	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x34000, 0 },
48458	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x34008, 0 },
48459		{ "C0PRESET", 8, 7 },
48460		{ "C0INIT1", 0, 7 },
48461	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x34010, 0 },
48462		{ "C0MAX", 8, 7 },
48463		{ "C0MIN", 0, 7 },
48464	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_INIT_EXTENDED", 0x34018, 0 },
48465		{ "C1PRESET", 8, 7 },
48466		{ "C1INIT1", 0, 7 },
48467	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x34020, 0 },
48468		{ "C1MAX", 8, 7 },
48469		{ "C1MIN", 0, 7 },
48470	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_INIT_EXTENDED", 0x34028, 0 },
48471		{ "C2PRESET", 8, 7 },
48472		{ "C2INIT1", 0, 7 },
48473	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x34030, 0 },
48474		{ "C2MAX", 8, 7 },
48475		{ "C2MIN", 0, 7 },
48476	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x34038, 0 },
48477	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x34040, 0 },
48478	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_INIT_EXTENDED", 0x34048, 0 },
48479		{ "C3PRESET", 8, 7 },
48480		{ "C3INIT1", 0, 7 },
48481	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x34050, 0 },
48482		{ "C3MAX", 8, 7 },
48483		{ "C3MIN", 0, 7 },
48484	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3405c, 0 },
48485	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x34060, 0 },
48486	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x34068, 0 },
48487	{ "MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x34070, 0 },
48488	{ "MAC_PORT_TX_LINKC_TRANSMIT_CONFIGURATION_MODE", 0x37400, 0 },
48489		{ "T5_TX_LINKEN", 15, 1 },
48490		{ "T5_TX_LINKRST", 14, 1 },
48491		{ "T5_TX_CFGWRT", 13, 1 },
48492		{ "T5_TX_CFGPTR", 11, 2 },
48493		{ "T5_TX_CFGEXT", 10, 1 },
48494		{ "T5_TX_CFGACT", 9, 1 },
48495		{ "T5_TX_RSYNCC", 8, 1 },
48496		{ "T5_TX_PLLSEL", 6, 2 },
48497		{ "T5_TX_RXLOOP", 5, 1 },
48498		{ "T5_TX_ENFFE4", 4, 1 },
48499		{ "T5_TX_BWSEL", 2, 2 },
48500		{ "T5_TX_RTSEL", 0, 2 },
48501	{ "MAC_PORT_TX_LINKC_TRANSMIT_TEST_CONTROL", 0x37404, 0 },
48502		{ "SPSEL", 11, 3 },
48503		{ "FRCERR", 10, 1 },
48504		{ "ERROR", 9, 1 },
48505		{ "SYNC", 8, 1 },
48506		{ "P7CHK", 5, 1 },
48507		{ "PRST", 4, 1 },
48508		{ "TPGMD", 3, 1 },
48509		{ "TPSEL", 0, 3 },
48510	{ "MAC_PORT_TX_LINKC_TRANSMIT_COEFFICIENT_CONTROL", 0x37408, 0 },
48511		{ "ZCALOVRD", 8, 1 },
48512		{ "SASMODE", 7, 1 },
48513		{ "AEPOL", 6, 1 },
48514		{ "AESRC", 5, 1 },
48515		{ "EQMODE", 4, 1 },
48516		{ "OCOEF", 3, 1 },
48517		{ "COEFRST", 2, 1 },
48518		{ "ALOAD", 0, 1 },
48519	{ "MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_MODE_CONTROL", 0x3740c, 0 },
48520		{ "T5DRVHIZ", 5, 1 },
48521		{ "T5SLEW", 2, 2 },
48522	{ "MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x37410, 0 },
48523		{ "T5DCCEN", 4, 1 },
48524	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x37414, 0 },
48525		{ "RSTEP", 15, 1 },
48526		{ "RLOCK", 14, 1 },
48527		{ "RPOS", 8, 6 },
48528		{ "DCLKSAM", 7, 1 },
48529	{ "MAC_PORT_TX_LINKC_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x37418, 0 },
48530		{ "CALSSTN", 8, 6 },
48531		{ "CALSSTP", 0, 6 },
48532	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3741c, 0 },
48533		{ "DRTOL", 2, 3 },
48534	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT", 0x37420, 0 },
48535	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT", 0x37424, 0 },
48536	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT", 0x37428, 0 },
48537	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_3_COEFFICIENT", 0x3742c, 0 },
48538	{ "MAC_PORT_TX_LINKC_TRANSMIT_POLARITY", 0x37434, 0 },
48539	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x37438, 0 },
48540		{ "CPREST", 13, 1 },
48541		{ "CINIT", 12, 1 },
48542		{ "SASCMD", 10, 2 },
48543		{ "C0UPDT", 6, 2 },
48544		{ "C3UPDT", 4, 2 },
48545		{ "C2UPDT", 2, 2 },
48546		{ "C1UPDT", 0, 2 },
48547	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3743c, 0 },
48548		{ "C0STAT", 6, 2 },
48549		{ "C3STAT", 4, 2 },
48550		{ "C2STAT", 2, 2 },
48551		{ "C1STAT", 0, 2 },
48552	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x37440, 0 },
48553	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x37444, 0 },
48554	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x37448, 0 },
48555	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3744c, 0 },
48556	{ "MAC_PORT_TX_LINKC_TRANSMIT_APPLIED_TUNE_REGISTER", 0x37450, 0 },
48557		{ "ATUNEN", 8, 8 },
48558		{ "ATUNEP", 0, 8 },
48559	{ "MAC_PORT_TX_LINKC_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x37458, 0 },
48560		{ "DCCCOMPINV", 8, 1 },
48561	{ "MAC_PORT_TX_LINKC_TRANSMIT_4X_SEGMENT_APPLIED", 0x37460, 0 },
48562		{ "AS4X7", 14, 2 },
48563		{ "AS4X6", 12, 2 },
48564		{ "AS4X5", 10, 2 },
48565		{ "AS4X4", 8, 2 },
48566		{ "AS4X3", 6, 2 },
48567		{ "AS4X2", 4, 2 },
48568		{ "AS4X1", 2, 2 },
48569		{ "AS4X0", 0, 2 },
48570	{ "MAC_PORT_TX_LINKC_TRANSMIT_2X_SEGMENT_APPLIED", 0x37464, 0 },
48571		{ "AS2X3", 6, 2 },
48572		{ "AS2X2", 4, 2 },
48573		{ "AS2X1", 2, 2 },
48574		{ "AS2X0", 0, 2 },
48575	{ "MAC_PORT_TX_LINKC_TRANSMIT_1X_SEGMENT_APPLIED", 0x37468, 0 },
48576		{ "AS1X7", 14, 2 },
48577		{ "AS1X6", 12, 2 },
48578		{ "AS1X5", 10, 2 },
48579		{ "AS1X4", 8, 2 },
48580		{ "AS1X3", 6, 2 },
48581		{ "AS1X2", 4, 2 },
48582		{ "AS1X1", 2, 2 },
48583		{ "AS1X0", 0, 2 },
48584	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3746c, 0 },
48585	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x37470, 0 },
48586		{ "AT2X", 8, 4 },
48587		{ "AT4X", 0, 8 },
48588	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x37474, 0 },
48589	{ "MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x37478, 0 },
48590	{ "MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3747c, 0 },
48591		{ "XADDR", 1, 5 },
48592		{ "XWR", 0, 1 },
48593	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x37480, 0 },
48594	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x37484, 0 },
48595	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x37488, 0 },
48596	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3748c, 0 },
48597	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AZ_CONTROL", 0x3749c, 0 },
48598	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_CONTROL", 0x374a0, 0 },
48599		{ "DCCTIMEDOUT", 15, 1 },
48600		{ "DCCTIMEEN", 13, 2 },
48601		{ "DCCLOCK", 11, 2 },
48602		{ "DCCOFFSET", 8, 3 },
48603		{ "DCCSTEP", 6, 2 },
48604	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_OVERRIDE", 0x374a4, 0 },
48605		{ "DCCOUT", 12, 1 },
48606		{ "DCCCLK", 11, 1 },
48607		{ "DCCHOLD", 10, 1 },
48608		{ "DCCSIGN", 8, 2 },
48609		{ "DCCAMP", 1, 7 },
48610		{ "DCCOEN", 0, 1 },
48611	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_APPLIED", 0x374a8, 0 },
48612		{ "DCCASIGN", 7, 2 },
48613		{ "DCCAAMP", 0, 7 },
48614	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_TIME_OUT", 0x374ac, 0 },
48615	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SIGN_OVERRIDE", 0x374c0, 0 },
48616	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x374c8, 0 },
48617		{ "OS4X7", 14, 2 },
48618		{ "OS4X6", 12, 2 },
48619		{ "OS4X5", 10, 2 },
48620		{ "OS4X4", 8, 2 },
48621		{ "OS4X3", 6, 2 },
48622		{ "OS4X2", 4, 2 },
48623		{ "OS4X1", 2, 2 },
48624		{ "OS4X0", 0, 2 },
48625	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x374cc, 0 },
48626		{ "OS2X3", 6, 2 },
48627		{ "OS2X2", 4, 2 },
48628		{ "OS2X1", 2, 2 },
48629		{ "OS2X0", 0, 2 },
48630	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x374d0, 0 },
48631		{ "OS1X7", 14, 2 },
48632		{ "OS1X6", 12, 2 },
48633		{ "OS1X5", 10, 2 },
48634		{ "OS1X4", 8, 2 },
48635		{ "OS1X3", 6, 2 },
48636		{ "OS1X2", 4, 2 },
48637		{ "OS1X1", 2, 2 },
48638		{ "OS1X0", 0, 2 },
48639	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x374d8, 0 },
48640	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x374dc, 0 },
48641	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x374e0, 0 },
48642	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_5", 0x374ec, 0 },
48643		{ "ERRORP", 15, 1 },
48644		{ "ERRORN", 14, 1 },
48645		{ "TESTENA", 13, 1 },
48646		{ "TUNEBIT", 10, 3 },
48647		{ "DATAPOS", 8, 2 },
48648		{ "SEGSEL", 3, 5 },
48649		{ "TAPSEL", 1, 2 },
48650		{ "DATASIGN", 0, 1 },
48651	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_4", 0x374f0, 0 },
48652	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_3", 0x374f4, 0 },
48653	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_2", 0x374f8, 0 },
48654		{ "AECMDVAL", 14, 1 },
48655		{ "AECMD1312", 12, 2 },
48656		{ "AECMD70", 0, 8 },
48657	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_1", 0x374fc, 0 },
48658		{ "SDOVRDEN", 15, 1 },
48659		{ "BSOUTN", 7, 1 },
48660		{ "BSOUTP", 6, 1 },
48661		{ "BSIN", 5, 1 },
48662		{ "JTAGAMPL", 3, 2 },
48663		{ "JTAGTS", 2, 1 },
48664		{ "TS", 1, 1 },
48665		{ "OBS", 0, 1 },
48666	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x34000, 0 },
48667	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x34008, 0 },
48668		{ "C0PRESET", 8, 7 },
48669		{ "C0INIT1", 0, 7 },
48670	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x34010, 0 },
48671		{ "C0MAX", 8, 7 },
48672		{ "C0MIN", 0, 7 },
48673	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_INIT_EXTENDED", 0x34018, 0 },
48674		{ "C1PRESET", 8, 7 },
48675		{ "C1INIT1", 0, 7 },
48676	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x34020, 0 },
48677		{ "C1MAX", 8, 7 },
48678		{ "C1MIN", 0, 7 },
48679	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_INIT_EXTENDED", 0x34028, 0 },
48680		{ "C2PRESET", 8, 7 },
48681		{ "C2INIT1", 0, 7 },
48682	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x34030, 0 },
48683		{ "C2MAX", 8, 7 },
48684		{ "C2MIN", 0, 7 },
48685	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x34038, 0 },
48686	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x34040, 0 },
48687	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_INIT_EXTENDED", 0x34048, 0 },
48688		{ "C3PRESET", 8, 7 },
48689		{ "C3INIT1", 0, 7 },
48690	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x34050, 0 },
48691		{ "C3MAX", 8, 7 },
48692		{ "C3MIN", 0, 7 },
48693	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3405c, 0 },
48694	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x34060, 0 },
48695	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x34068, 0 },
48696	{ "MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x34070, 0 },
48697	{ "MAC_PORT_TX_LINKD_TRANSMIT_CONFIGURATION_MODE", 0x37500, 0 },
48698		{ "T5_TX_LINKEN", 15, 1 },
48699		{ "T5_TX_LINKRST", 14, 1 },
48700		{ "T5_TX_CFGWRT", 13, 1 },
48701		{ "T5_TX_CFGPTR", 11, 2 },
48702		{ "T5_TX_CFGEXT", 10, 1 },
48703		{ "T5_TX_CFGACT", 9, 1 },
48704		{ "T5_TX_RSYNCC", 8, 1 },
48705		{ "T5_TX_PLLSEL", 6, 2 },
48706		{ "T5_TX_RXLOOP", 5, 1 },
48707		{ "T5_TX_ENFFE4", 4, 1 },
48708		{ "T5_TX_BWSEL", 2, 2 },
48709		{ "T5_TX_RTSEL", 0, 2 },
48710	{ "MAC_PORT_TX_LINKD_TRANSMIT_TEST_CONTROL", 0x37504, 0 },
48711		{ "SPSEL", 11, 3 },
48712		{ "FRCERR", 10, 1 },
48713		{ "ERROR", 9, 1 },
48714		{ "SYNC", 8, 1 },
48715		{ "P7CHK", 5, 1 },
48716		{ "PRST", 4, 1 },
48717		{ "TPGMD", 3, 1 },
48718		{ "TPSEL", 0, 3 },
48719	{ "MAC_PORT_TX_LINKD_TRANSMIT_COEFFICIENT_CONTROL", 0x37508, 0 },
48720		{ "ZCALOVRD", 8, 1 },
48721		{ "SASMODE", 7, 1 },
48722		{ "AEPOL", 6, 1 },
48723		{ "AESRC", 5, 1 },
48724		{ "EQMODE", 4, 1 },
48725		{ "OCOEF", 3, 1 },
48726		{ "COEFRST", 2, 1 },
48727		{ "ALOAD", 0, 1 },
48728	{ "MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_MODE_CONTROL", 0x3750c, 0 },
48729		{ "T5DRVHIZ", 5, 1 },
48730		{ "T5SLEW", 2, 2 },
48731	{ "MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x37510, 0 },
48732		{ "T5DCCEN", 4, 1 },
48733	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x37514, 0 },
48734		{ "RSTEP", 15, 1 },
48735		{ "RLOCK", 14, 1 },
48736		{ "RPOS", 8, 6 },
48737		{ "DCLKSAM", 7, 1 },
48738	{ "MAC_PORT_TX_LINKD_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x37518, 0 },
48739		{ "CALSSTN", 8, 6 },
48740		{ "CALSSTP", 0, 6 },
48741	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3751c, 0 },
48742		{ "DRTOL", 2, 3 },
48743	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT", 0x37520, 0 },
48744	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT", 0x37524, 0 },
48745	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT", 0x37528, 0 },
48746	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_3_COEFFICIENT", 0x3752c, 0 },
48747	{ "MAC_PORT_TX_LINKD_TRANSMIT_POLARITY", 0x37534, 0 },
48748	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x37538, 0 },
48749		{ "CPREST", 13, 1 },
48750		{ "CINIT", 12, 1 },
48751		{ "SASCMD", 10, 2 },
48752		{ "C0UPDT", 6, 2 },
48753		{ "C3UPDT", 4, 2 },
48754		{ "C2UPDT", 2, 2 },
48755		{ "C1UPDT", 0, 2 },
48756	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3753c, 0 },
48757		{ "C0STAT", 6, 2 },
48758		{ "C3STAT", 4, 2 },
48759		{ "C2STAT", 2, 2 },
48760		{ "C1STAT", 0, 2 },
48761	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x37540, 0 },
48762	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x37544, 0 },
48763	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x37548, 0 },
48764	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3754c, 0 },
48765	{ "MAC_PORT_TX_LINKD_TRANSMIT_APPLIED_TUNE_REGISTER", 0x37550, 0 },
48766		{ "ATUNEN", 8, 8 },
48767		{ "ATUNEP", 0, 8 },
48768	{ "MAC_PORT_TX_LINKD_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x37558, 0 },
48769		{ "DCCCOMPINV", 8, 1 },
48770	{ "MAC_PORT_TX_LINKD_TRANSMIT_4X_SEGMENT_APPLIED", 0x37560, 0 },
48771		{ "AS4X7", 14, 2 },
48772		{ "AS4X6", 12, 2 },
48773		{ "AS4X5", 10, 2 },
48774		{ "AS4X4", 8, 2 },
48775		{ "AS4X3", 6, 2 },
48776		{ "AS4X2", 4, 2 },
48777		{ "AS4X1", 2, 2 },
48778		{ "AS4X0", 0, 2 },
48779	{ "MAC_PORT_TX_LINKD_TRANSMIT_2X_SEGMENT_APPLIED", 0x37564, 0 },
48780		{ "AS2X3", 6, 2 },
48781		{ "AS2X2", 4, 2 },
48782		{ "AS2X1", 2, 2 },
48783		{ "AS2X0", 0, 2 },
48784	{ "MAC_PORT_TX_LINKD_TRANSMIT_1X_SEGMENT_APPLIED", 0x37568, 0 },
48785		{ "AS1X7", 14, 2 },
48786		{ "AS1X6", 12, 2 },
48787		{ "AS1X5", 10, 2 },
48788		{ "AS1X4", 8, 2 },
48789		{ "AS1X3", 6, 2 },
48790		{ "AS1X2", 4, 2 },
48791		{ "AS1X1", 2, 2 },
48792		{ "AS1X0", 0, 2 },
48793	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3756c, 0 },
48794	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x37570, 0 },
48795		{ "AT2X", 8, 4 },
48796		{ "AT4X", 0, 8 },
48797	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x37574, 0 },
48798	{ "MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x37578, 0 },
48799	{ "MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3757c, 0 },
48800		{ "XADDR", 1, 5 },
48801		{ "XWR", 0, 1 },
48802	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x37580, 0 },
48803	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x37584, 0 },
48804	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x37588, 0 },
48805	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3758c, 0 },
48806	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AZ_CONTROL", 0x3759c, 0 },
48807	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_CONTROL", 0x375a0, 0 },
48808		{ "DCCTIMEDOUT", 15, 1 },
48809		{ "DCCTIMEEN", 13, 2 },
48810		{ "DCCLOCK", 11, 2 },
48811		{ "DCCOFFSET", 8, 3 },
48812		{ "DCCSTEP", 6, 2 },
48813	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_OVERRIDE", 0x375a4, 0 },
48814		{ "DCCOUT", 12, 1 },
48815		{ "DCCCLK", 11, 1 },
48816		{ "DCCHOLD", 10, 1 },
48817		{ "DCCSIGN", 8, 2 },
48818		{ "DCCAMP", 1, 7 },
48819		{ "DCCOEN", 0, 1 },
48820	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_APPLIED", 0x375a8, 0 },
48821		{ "DCCASIGN", 7, 2 },
48822		{ "DCCAAMP", 0, 7 },
48823	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_TIME_OUT", 0x375ac, 0 },
48824	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SIGN_OVERRIDE", 0x375c0, 0 },
48825	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x375c8, 0 },
48826		{ "OS4X7", 14, 2 },
48827		{ "OS4X6", 12, 2 },
48828		{ "OS4X5", 10, 2 },
48829		{ "OS4X4", 8, 2 },
48830		{ "OS4X3", 6, 2 },
48831		{ "OS4X2", 4, 2 },
48832		{ "OS4X1", 2, 2 },
48833		{ "OS4X0", 0, 2 },
48834	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x375cc, 0 },
48835		{ "OS2X3", 6, 2 },
48836		{ "OS2X2", 4, 2 },
48837		{ "OS2X1", 2, 2 },
48838		{ "OS2X0", 0, 2 },
48839	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x375d0, 0 },
48840		{ "OS1X7", 14, 2 },
48841		{ "OS1X6", 12, 2 },
48842		{ "OS1X5", 10, 2 },
48843		{ "OS1X4", 8, 2 },
48844		{ "OS1X3", 6, 2 },
48845		{ "OS1X2", 4, 2 },
48846		{ "OS1X1", 2, 2 },
48847		{ "OS1X0", 0, 2 },
48848	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x375d8, 0 },
48849	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x375dc, 0 },
48850	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x375e0, 0 },
48851	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_5", 0x375ec, 0 },
48852		{ "ERRORP", 15, 1 },
48853		{ "ERRORN", 14, 1 },
48854		{ "TESTENA", 13, 1 },
48855		{ "TUNEBIT", 10, 3 },
48856		{ "DATAPOS", 8, 2 },
48857		{ "SEGSEL", 3, 5 },
48858		{ "TAPSEL", 1, 2 },
48859		{ "DATASIGN", 0, 1 },
48860	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_4", 0x375f0, 0 },
48861	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_3", 0x375f4, 0 },
48862	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_2", 0x375f8, 0 },
48863		{ "AECMDVAL", 14, 1 },
48864		{ "AECMD1312", 12, 2 },
48865		{ "AECMD70", 0, 8 },
48866	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_1", 0x375fc, 0 },
48867		{ "SDOVRDEN", 15, 1 },
48868		{ "BSOUTN", 7, 1 },
48869		{ "BSOUTP", 6, 1 },
48870		{ "BSIN", 5, 1 },
48871		{ "JTAGAMPL", 3, 2 },
48872		{ "JTAGTS", 2, 1 },
48873		{ "TS", 1, 1 },
48874		{ "OBS", 0, 1 },
48875	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x34000, 0 },
48876	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x34008, 0 },
48877		{ "C0PRESET", 8, 7 },
48878		{ "C0INIT1", 0, 7 },
48879	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x34010, 0 },
48880		{ "C0MAX", 8, 7 },
48881		{ "C0MIN", 0, 7 },
48882	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_INIT_EXTENDED", 0x34018, 0 },
48883		{ "C1PRESET", 8, 7 },
48884		{ "C1INIT1", 0, 7 },
48885	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x34020, 0 },
48886		{ "C1MAX", 8, 7 },
48887		{ "C1MIN", 0, 7 },
48888	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_INIT_EXTENDED", 0x34028, 0 },
48889		{ "C2PRESET", 8, 7 },
48890		{ "C2INIT1", 0, 7 },
48891	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x34030, 0 },
48892		{ "C2MAX", 8, 7 },
48893		{ "C2MIN", 0, 7 },
48894	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x34038, 0 },
48895	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x34040, 0 },
48896	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_INIT_EXTENDED", 0x34048, 0 },
48897		{ "C3PRESET", 8, 7 },
48898		{ "C3INIT1", 0, 7 },
48899	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x34050, 0 },
48900		{ "C3MAX", 8, 7 },
48901		{ "C3MIN", 0, 7 },
48902	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3405c, 0 },
48903	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x34060, 0 },
48904	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x34068, 0 },
48905	{ "MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x34070, 0 },
48906	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_CONFIGURATION_MODE", 0x37900, 0 },
48907		{ "T5_TX_LINKEN", 15, 1 },
48908		{ "T5_TX_LINKRST", 14, 1 },
48909		{ "T5_TX_CFGWRT", 13, 1 },
48910		{ "T5_TX_CFGPTR", 11, 2 },
48911		{ "T5_TX_CFGEXT", 10, 1 },
48912		{ "T5_TX_CFGACT", 9, 1 },
48913		{ "T5_TX_RSYNCC", 8, 1 },
48914		{ "T5_TX_PLLSEL", 6, 2 },
48915		{ "T5_TX_RXLOOP", 5, 1 },
48916		{ "T5_TX_ENFFE4", 4, 1 },
48917		{ "T5_TX_BWSEL", 2, 2 },
48918		{ "T5_TX_RTSEL", 0, 2 },
48919	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TEST_CONTROL", 0x37904, 0 },
48920		{ "SPSEL", 11, 3 },
48921		{ "FRCERR", 10, 1 },
48922		{ "ERROR", 9, 1 },
48923		{ "SYNC", 8, 1 },
48924		{ "P7CHK", 5, 1 },
48925		{ "PRST", 4, 1 },
48926		{ "TPGMD", 3, 1 },
48927		{ "TPSEL", 0, 3 },
48928	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_COEFFICIENT_CONTROL", 0x37908, 0 },
48929		{ "ZCALOVRD", 8, 1 },
48930		{ "SASMODE", 7, 1 },
48931		{ "AEPOL", 6, 1 },
48932		{ "AESRC", 5, 1 },
48933		{ "EQMODE", 4, 1 },
48934		{ "OCOEF", 3, 1 },
48935		{ "COEFRST", 2, 1 },
48936		{ "ALOAD", 0, 1 },
48937	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_MODE_CONTROL", 0x3790c, 0 },
48938		{ "T5DRVHIZ", 5, 1 },
48939		{ "T5SLEW", 2, 2 },
48940	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x37910, 0 },
48941		{ "T5DCCEN", 4, 1 },
48942	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x37914, 0 },
48943		{ "RSTEP", 15, 1 },
48944		{ "RLOCK", 14, 1 },
48945		{ "RPOS", 8, 6 },
48946		{ "DCLKSAM", 7, 1 },
48947	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x37918, 0 },
48948		{ "CALSSTN", 8, 6 },
48949		{ "CALSSTP", 0, 6 },
48950	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3791c, 0 },
48951		{ "DRTOL", 2, 3 },
48952	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT", 0x37920, 0 },
48953	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT", 0x37924, 0 },
48954	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT", 0x37928, 0 },
48955	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_3_COEFFICIENT", 0x3792c, 0 },
48956	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_POLARITY", 0x37934, 0 },
48957	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x37938, 0 },
48958		{ "CPREST", 13, 1 },
48959		{ "CINIT", 12, 1 },
48960		{ "SASCMD", 10, 2 },
48961		{ "C0UPDT", 6, 2 },
48962		{ "C3UPDT", 4, 2 },
48963		{ "C2UPDT", 2, 2 },
48964		{ "C1UPDT", 0, 2 },
48965	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3793c, 0 },
48966		{ "C0STAT", 6, 2 },
48967		{ "C3STAT", 4, 2 },
48968		{ "C2STAT", 2, 2 },
48969		{ "C1STAT", 0, 2 },
48970	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x37940, 0 },
48971	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x37944, 0 },
48972	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x37948, 0 },
48973	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3794c, 0 },
48974	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_APPLIED_TUNE_REGISTER", 0x37950, 0 },
48975		{ "ATUNEN", 8, 8 },
48976		{ "ATUNEP", 0, 8 },
48977	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x37958, 0 },
48978		{ "DCCCOMPINV", 8, 1 },
48979	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_4X_SEGMENT_APPLIED", 0x37960, 0 },
48980		{ "AS4X7", 14, 2 },
48981		{ "AS4X6", 12, 2 },
48982		{ "AS4X5", 10, 2 },
48983		{ "AS4X4", 8, 2 },
48984		{ "AS4X3", 6, 2 },
48985		{ "AS4X2", 4, 2 },
48986		{ "AS4X1", 2, 2 },
48987		{ "AS4X0", 0, 2 },
48988	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_2X_SEGMENT_APPLIED", 0x37964, 0 },
48989		{ "AS2X3", 6, 2 },
48990		{ "AS2X2", 4, 2 },
48991		{ "AS2X1", 2, 2 },
48992		{ "AS2X0", 0, 2 },
48993	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_1X_SEGMENT_APPLIED", 0x37968, 0 },
48994		{ "AS1X7", 14, 2 },
48995		{ "AS1X6", 12, 2 },
48996		{ "AS1X5", 10, 2 },
48997		{ "AS1X4", 8, 2 },
48998		{ "AS1X3", 6, 2 },
48999		{ "AS1X2", 4, 2 },
49000		{ "AS1X1", 2, 2 },
49001		{ "AS1X0", 0, 2 },
49002	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3796c, 0 },
49003	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x37970, 0 },
49004		{ "AT2X", 8, 4 },
49005		{ "AT4X", 0, 8 },
49006	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x37974, 0 },
49007	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x37978, 0 },
49008	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3797c, 0 },
49009		{ "XADDR", 1, 5 },
49010		{ "XWR", 0, 1 },
49011	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x37980, 0 },
49012	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x37984, 0 },
49013	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x37988, 0 },
49014	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3798c, 0 },
49015	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AZ_CONTROL", 0x3799c, 0 },
49016	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_CONTROL", 0x379a0, 0 },
49017		{ "DCCTIMEDOUT", 15, 1 },
49018		{ "DCCTIMEEN", 13, 2 },
49019		{ "DCCLOCK", 11, 2 },
49020		{ "DCCOFFSET", 8, 3 },
49021		{ "DCCSTEP", 6, 2 },
49022	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_OVERRIDE", 0x379a4, 0 },
49023		{ "DCCOUT", 12, 1 },
49024		{ "DCCCLK", 11, 1 },
49025		{ "DCCHOLD", 10, 1 },
49026		{ "DCCSIGN", 8, 2 },
49027		{ "DCCAMP", 1, 7 },
49028		{ "DCCOEN", 0, 1 },
49029	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_APPLIED", 0x379a8, 0 },
49030		{ "DCCASIGN", 7, 2 },
49031		{ "DCCAAMP", 0, 7 },
49032	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_TIME_OUT", 0x379ac, 0 },
49033	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SIGN_OVERRIDE", 0x379c0, 0 },
49034	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x379c8, 0 },
49035		{ "OS4X7", 14, 2 },
49036		{ "OS4X6", 12, 2 },
49037		{ "OS4X5", 10, 2 },
49038		{ "OS4X4", 8, 2 },
49039		{ "OS4X3", 6, 2 },
49040		{ "OS4X2", 4, 2 },
49041		{ "OS4X1", 2, 2 },
49042		{ "OS4X0", 0, 2 },
49043	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x379cc, 0 },
49044		{ "OS2X3", 6, 2 },
49045		{ "OS2X2", 4, 2 },
49046		{ "OS2X1", 2, 2 },
49047		{ "OS2X0", 0, 2 },
49048	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x379d0, 0 },
49049		{ "OS1X7", 14, 2 },
49050		{ "OS1X6", 12, 2 },
49051		{ "OS1X5", 10, 2 },
49052		{ "OS1X4", 8, 2 },
49053		{ "OS1X3", 6, 2 },
49054		{ "OS1X2", 4, 2 },
49055		{ "OS1X1", 2, 2 },
49056		{ "OS1X0", 0, 2 },
49057	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x379d8, 0 },
49058	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x379dc, 0 },
49059	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x379e0, 0 },
49060	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_5", 0x379ec, 0 },
49061		{ "ERRORP", 15, 1 },
49062		{ "ERRORN", 14, 1 },
49063		{ "TESTENA", 13, 1 },
49064		{ "TUNEBIT", 10, 3 },
49065		{ "DATAPOS", 8, 2 },
49066		{ "SEGSEL", 3, 5 },
49067		{ "TAPSEL", 1, 2 },
49068		{ "DATASIGN", 0, 1 },
49069	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_4", 0x379f0, 0 },
49070	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_3", 0x379f4, 0 },
49071	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_2", 0x379f8, 0 },
49072		{ "AECMDVAL", 14, 1 },
49073		{ "AECMD1312", 12, 2 },
49074		{ "AECMD70", 0, 8 },
49075	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_1", 0x379fc, 0 },
49076		{ "SDOVRDEN", 15, 1 },
49077		{ "BSOUTN", 7, 1 },
49078		{ "BSOUTP", 6, 1 },
49079		{ "BSIN", 5, 1 },
49080		{ "JTAGAMPL", 3, 2 },
49081		{ "JTAGTS", 2, 1 },
49082		{ "TS", 1, 1 },
49083		{ "OBS", 0, 1 },
49084	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x34000, 0 },
49085	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x34008, 0 },
49086		{ "C0PRESET", 8, 7 },
49087		{ "C0INIT1", 0, 7 },
49088	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x34010, 0 },
49089		{ "C0MAX", 8, 7 },
49090		{ "C0MIN", 0, 7 },
49091	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_INIT_EXTENDED", 0x34018, 0 },
49092		{ "C1PRESET", 8, 7 },
49093		{ "C1INIT1", 0, 7 },
49094	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x34020, 0 },
49095		{ "C1MAX", 8, 7 },
49096		{ "C1MIN", 0, 7 },
49097	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_INIT_EXTENDED", 0x34028, 0 },
49098		{ "C2PRESET", 8, 7 },
49099		{ "C2INIT1", 0, 7 },
49100	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x34030, 0 },
49101		{ "C2MAX", 8, 7 },
49102		{ "C2MIN", 0, 7 },
49103	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x34038, 0 },
49104	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x34040, 0 },
49105	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_INIT_EXTENDED", 0x34048, 0 },
49106		{ "C3PRESET", 8, 7 },
49107		{ "C3INIT1", 0, 7 },
49108	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x34050, 0 },
49109		{ "C3MAX", 8, 7 },
49110		{ "C3MIN", 0, 7 },
49111	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3405c, 0 },
49112	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x34060, 0 },
49113	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x34068, 0 },
49114	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x34070, 0 },
49115	{ "MAC_PORT_RX_LINKA_RECEIVER_CONFIGURATION_MODE", 0x37200, 0 },
49116		{ "T5_RX_LINKEN", 15, 1 },
49117		{ "T5_RX_LINKRST", 14, 1 },
49118		{ "T5_RX_CFGWRT", 13, 1 },
49119		{ "T5_RX_CFGPTR", 11, 2 },
49120		{ "T5_RX_CFGEXT", 10, 1 },
49121		{ "T5_RX_CFGACT", 9, 1 },
49122		{ "T5_RX_MODE8023AZ", 8, 1 },
49123		{ "T5_RX_PLLSEL", 6, 2 },
49124		{ "T5_RX_DMSEL", 4, 2 },
49125		{ "T5_RX_BWSEL", 2, 2 },
49126		{ "T5_RX_RTSEL", 0, 2 },
49127	{ "MAC_PORT_RX_LINKA_RECEIVER_TEST_CONTROL", 0x37204, 0 },
49128		{ "APLYDCD", 15, 1 },
49129		{ "PPOL", 13, 2 },
49130		{ "PCLKSEL", 11, 2 },
49131		{ "FERRST", 10, 1 },
49132		{ "ERRST", 9, 1 },
49133		{ "SYNCST", 8, 1 },
49134		{ "WRPSM", 7, 1 },
49135		{ "WPLPEN", 6, 1 },
49136		{ "WRPMD", 5, 1 },
49137		{ "PRST", 4, 1 },
49138		{ "PCHKEN", 3, 1 },
49139		{ "PATSEL", 0, 3 },
49140	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_CONTROL", 0x37208, 0 },
49141		{ "FTHROT", 12, 4 },
49142		{ "RTHROT", 11, 1 },
49143		{ "FILTCTL", 7, 4 },
49144		{ "RSRVO", 5, 2 },
49145		{ "EXTEL", 4, 1 },
49146		{ "RSTUCK", 3, 1 },
49147		{ "FRZFW", 2, 1 },
49148		{ "RSTFW", 1, 1 },
49149		{ "SSCEN", 0, 1 },
49150	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_OFFSET_CONTROL", 0x3720c, 0 },
49151		{ "H1ANOFST", 12, 4 },
49152		{ "RSNP", 11, 1 },
49153		{ "TSOEN", 10, 1 },
49154		{ "TMSCAL", 8, 2 },
49155		{ "APADJ", 7, 1 },
49156		{ "RSEL", 6, 1 },
49157		{ "PHOFFS", 0, 6 },
49158	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_1", 0x37210, 0 },
49159		{ "ROTA", 8, 6 },
49160		{ "ROTD", 0, 6 },
49161	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_2", 0x37214, 0 },
49162		{ "FREQFW", 8, 8 },
49163		{ "FWSNAP", 7, 1 },
49164		{ "ROTE", 0, 6 },
49165	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x37218, 0 },
49166		{ "RCALER", 15, 1 },
49167		{ "RAOFFF", 8, 4 },
49168		{ "RAOFF", 0, 5 },
49169	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3721c, 0 },
49170		{ "RCALER", 15, 1 },
49171		{ "RDOFF", 0, 5 },
49172	{ "MAC_PORT_RX_LINKA_DFE_CONTROL", 0x37220, 0 },
49173		{ "REQCMP", 15, 1 },
49174		{ "DFEREQ", 14, 1 },
49175		{ "SPCEN", 13, 1 },
49176		{ "GATEEN", 12, 1 },
49177		{ "SPIFMT", 8, 4 },
49178		{ "STNDBY", 5, 1 },
49179		{ "FRCH", 4, 1 },
49180		{ "NONRND", 3, 1 },
49181		{ "NONRNF", 2, 1 },
49182		{ "FSTLCK", 1, 1 },
49183		{ "DFERST", 0, 1 },
49184	{ "MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_1", 0x37224, 0 },
49185		{ "T5BYTE1", 8, 8 },
49186		{ "T5BYTE0", 0, 8 },
49187	{ "MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_2", 0x37228, 0 },
49188		{ "REQWOV", 15, 1 },
49189		{ "RASEL", 11, 3 },
49190		{ "T5_RX_SMODE", 8, 3 },
49191		{ "T5_RX_ADCORR", 7, 1 },
49192		{ "T5_RX_TRAINEN", 6, 1 },
49193		{ "T5_RX_ASAMPQ", 3, 3 },
49194		{ "T5_RX_ASAMP", 0, 3 },
49195	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_1", 0x3722c, 0 },
49196		{ "WRAPSEL", 15, 1 },
49197		{ "ACTL", 14, 1 },
49198		{ "PEAK", 9, 5 },
49199		{ "VOFFA", 0, 6 },
49200	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_2", 0x37230, 0 },
49201		{ "FVOFFSKP", 15, 1 },
49202		{ "FGAINCHK", 14, 1 },
49203		{ "FH1ACAL", 13, 1 },
49204		{ "FH1AFLTR", 11, 2 },
49205		{ "T5SHORTV", 10, 1 },
49206		{ "WGAIN", 8, 2 },
49207		{ "GAIN_STAT", 7, 1 },
49208		{ "T5VGAIN", 0, 7 },
49209	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_3", 0x37234, 0 },
49210		{ "HBND1", 10, 1 },
49211		{ "HBND0", 9, 1 },
49212		{ "VLCKD", 8, 1 },
49213		{ "VLCKDF", 7, 1 },
49214		{ "AMAXT", 0, 7 },
49215	{ "MAC_PORT_RX_LINKA_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x37238, 0 },
49216		{ "PMCFG", 6, 2 },
49217		{ "PMOFFTIME", 0, 6 },
49218	{ "MAC_PORT_RX_LINKA_RECEIVER_IQAMP_CONTROL_1", 0x3723c, 0 },
49219		{ "SELI", 9, 1 },
49220		{ "SERVREF", 5, 3 },
49221		{ "IQAMP", 0, 5 },
49222	{ "MAC_PORT_RX_LINKA_RECEIVER_IQAMP_CONTROL_2", 0x37240, 0 },
49223	{ "MAC_PORT_RX_LINKA_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x37244, 0 },
49224		{ "SAVEADAC", 8, 1 },
49225		{ "LOAD2", 7, 1 },
49226		{ "LOAD1", 6, 1 },
49227		{ "WRTACC2", 5, 1 },
49228		{ "WRTACC1", 4, 1 },
49229		{ "SELAPAN", 3, 1 },
49230		{ "DASEL", 0, 3 },
49231	{ "MAC_PORT_RX_LINKA_RECEIVER_DACAP_AND_DACAN", 0x37248, 0 },
49232		{ "DACAN", 8, 8 },
49233		{ "DACAP", 0, 8 },
49234	{ "MAC_PORT_RX_LINKA_RECEIVER_DACA_MIN", 0x3724c, 0 },
49235		{ "DACAZ", 8, 8 },
49236		{ "DACAM", 0, 8 },
49237	{ "MAC_PORT_RX_LINKA_RECEIVER_ADAC_CONTROL", 0x37250, 0 },
49238		{ "ADAC2", 8, 8 },
49239		{ "ADAC1", 0, 8 },
49240	{ "MAC_PORT_RX_LINKA_RECEIVER_AC_COUPLING_CONTROL", 0x37254, 0 },
49241		{ "FACCPLDYN", 13, 1 },
49242		{ "ACCPLGAIN", 10, 3 },
49243		{ "ACCPLREF", 8, 2 },
49244		{ "ACCPLSTEP", 6, 2 },
49245		{ "ACCPLASTEP", 1, 5 },
49246		{ "FACCPL", 0, 1 },
49247	{ "MAC_PORT_RX_LINKA_RECEIVER_AC_COUPLING_VALUE", 0x37258, 0 },
49248		{ "ACCPLMEANS", 15, 1 },
49249		{ "CDROVREN", 8, 1 },
49250		{ "ACCPLBIAS", 0, 8 },
49251	{ "MAC_PORT_RX_LINKA_DFE_H1H2H3_LOCAL_OFFSET", 0x3725c, 0 },
49252	{ "MAC_PORT_RX_LINKA_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x37260, 0 },
49253		{ "H1OX", 8, 6 },
49254		{ "H1EX", 0, 6 },
49255	{ "MAC_PORT_RX_LINKA_PEAKED_INTEGRATOR", 0x37264, 0 },
49256		{ "PILOCK", 10, 1 },
49257		{ "UNPKPKA", 2, 6 },
49258		{ "UNPKVGA", 0, 2 },
49259	{ "MAC_PORT_RX_LINKA_CDR_ANALOG_SWITCH", 0x37268, 0 },
49260		{ "OVRAC", 15, 1 },
49261		{ "OVRPK", 14, 1 },
49262		{ "OVRTAILS", 12, 2 },
49263		{ "OVRTAILV", 9, 3 },
49264		{ "OVRCAP", 8, 1 },
49265		{ "OVRDCDPRE", 7, 1 },
49266		{ "OVRDCDPST", 6, 1 },
49267		{ "DCVSCTMODE", 2, 1 },
49268		{ "CDRANLGSW", 0, 2 },
49269	{ "MAC_PORT_RX_LINKA_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x3726c, 0 },
49270		{ "PFLAG", 5, 2 },
49271	{ "MAC_PORT_RX_LINKA_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x37270, 0 },
49272		{ "DACCLIP", 15, 1 },
49273		{ "DPCFRZ", 14, 1 },
49274		{ "DPCCVG", 13, 1 },
49275		{ "DACCVG", 12, 1 },
49276		{ "DPCLKNQ", 11, 1 },
49277		{ "DPCWDFE", 10, 1 },
49278		{ "DPCWPK", 9, 1 },
49279		{ "BLKH1T", 8, 1 },
49280		{ "BLKOAE", 7, 1 },
49281		{ "H1TGT", 4, 3 },
49282		{ "OAE", 0, 4 },
49283	{ "MAC_PORT_RX_LINKA_DYNAMIC_DATA_CENTERING_DDC", 0x37274, 0 },
49284		{ "OLS", 11, 5 },
49285		{ "OES", 6, 5 },
49286		{ "BLKODEC", 5, 1 },
49287		{ "VIEWSCAN", 4, 1 },
49288		{ "ODEC", 0, 4 },
49289	{ "MAC_PORT_RX_LINKA_RECEIVER_INTERNAL_STATUS", 0x37278, 0 },
49290		{ "T5BER6VAL", 15, 1 },
49291		{ "T5BER6", 14, 1 },
49292		{ "T5BER3VAL", 13, 1 },
49293		{ "T5TOOFAST", 12, 1 },
49294		{ "ACCCMP", 11, 1 },
49295		{ "DCCCMP", 10, 1 },
49296		{ "T5DPCCMP", 9, 1 },
49297		{ "T5DACCMP", 8, 1 },
49298		{ "T5DDCCMP", 7, 1 },
49299		{ "T5AERRFLG", 6, 1 },
49300		{ "T5WERRFLG", 5, 1 },
49301		{ "T5TRCMP", 4, 1 },
49302		{ "T5VLCKF", 3, 1 },
49303		{ "T5ROCCMP", 2, 1 },
49304		{ "T5IQCMP", 1, 1 },
49305		{ "T5OCCMP", 0, 1 },
49306	{ "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_1", 0x3727c, 0 },
49307		{ "FDPC", 15, 1 },
49308		{ "FDAC", 14, 1 },
49309		{ "FDDC", 13, 1 },
49310		{ "FNRND", 12, 1 },
49311		{ "FVGAIN", 11, 1 },
49312		{ "FVOFF", 10, 1 },
49313		{ "FSDET", 9, 1 },
49314		{ "FBER6", 8, 1 },
49315		{ "FROTO", 7, 1 },
49316		{ "FH4H5", 6, 1 },
49317		{ "FH2H3", 5, 1 },
49318		{ "FH1", 4, 1 },
49319		{ "FH1SN", 3, 1 },
49320		{ "FNRDF", 2, 1 },
49321		{ "FLOFF", 1, 1 },
49322		{ "FADAC", 0, 1 },
49323	{ "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_2", 0x37280, 0 },
49324		{ "H25SPC", 15, 1 },
49325		{ "FDCCAL", 14, 1 },
49326		{ "FROTCAL", 13, 1 },
49327		{ "FIQAMP", 12, 1 },
49328		{ "FRPTCALF", 11, 1 },
49329		{ "FINTCALGS", 10, 1 },
49330		{ "FDCC", 9, 1 },
49331		{ "FTOOFAST", 8, 1 },
49332		{ "FDCD", 7, 1 },
49333		{ "FDINV", 6, 1 },
49334		{ "FHGS", 5, 1 },
49335		{ "FH6H12", 4, 1 },
49336		{ "FH1CAL", 3, 1 },
49337		{ "FINTCAL", 2, 1 },
49338		{ "FINTRCALDYN", 1, 1 },
49339		{ "FQCC", 0, 1 },
49340	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_CHANNEL", 0x37284, 0 },
49341		{ "QCCIND", 13, 1 },
49342		{ "DCDIND", 10, 3 },
49343		{ "DCCIND", 8, 2 },
49344		{ "CFSEL", 5, 1 },
49345		{ "LOFCH", 0, 5 },
49346	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_VALUE", 0x37288, 0 },
49347		{ "LOFU", 8, 7 },
49348		{ "LOFL", 0, 7 },
49349	{ "MAC_PORT_RX_LINKA_H_COEFFICIENBT_BIST", 0x3728c, 0 },
49350		{ "HBISTMAN", 12, 1 },
49351		{ "HBISTRES", 11, 1 },
49352		{ "HBISTSP", 8, 3 },
49353		{ "HBISTEN", 7, 1 },
49354		{ "HBISTRST", 6, 1 },
49355		{ "HCOMP", 5, 1 },
49356		{ "HPASS", 4, 1 },
49357		{ "HSEL", 0, 4 },
49358	{ "MAC_PORT_RX_LINKA_AC_CAPACITOR_BIST", 0x37290, 0 },
49359		{ "ACCCMP", 13, 1 },
49360		{ "ACCEN", 12, 1 },
49361		{ "ACCRST", 11, 1 },
49362		{ "ACCIND", 8, 3 },
49363		{ "ACCRD", 0, 8 },
49364	{ "MAC_PORT_RX_LINKA_RECEIVER_LOFF_CONTROL_REGISTER", 0x37298, 0 },
49365		{ "LFREG", 15, 1 },
49366		{ "LFRC", 14, 1 },
49367		{ "LGIDLE", 13, 1 },
49368		{ "LFTGT", 8, 5 },
49369		{ "LGTGT", 7, 1 },
49370		{ "LRDY", 6, 1 },
49371		{ "LIDLE", 5, 1 },
49372		{ "LCURR", 0, 5 },
49373	{ "MAC_PORT_RX_LINKA_RECEIVER_SIGDET_CONTROL", 0x3729c, 0 },
49374		{ "OFFSN", 13, 2 },
49375		{ "OFFAMP", 8, 5 },
49376		{ "SDACDC", 7, 1 },
49377		{ "SDPDN", 6, 1 },
49378		{ "SIGDET", 5, 1 },
49379		{ "SDLVL", 0, 5 },
49380	{ "MAC_PORT_RX_LINKA_RECEIVER_ANALOG_CONTROL_SWITCH", 0x372a0, 0 },
49381		{ "RX_OVRSUMPD", 15, 1 },
49382		{ "RX_OVRKBPD", 14, 1 },
49383		{ "RX_OVRDIVPD", 13, 1 },
49384		{ "RX_OFFVGADIS", 12, 1 },
49385		{ "RX_OFFACDIS", 11, 1 },
49386		{ "RX_VTERM", 10, 1 },
49387		{ "RX_DISSPY2D", 8, 1 },
49388		{ "RX_OBSOVEN", 7, 1 },
49389		{ "RX_LINKANLGSW", 0, 7 },
49390	{ "MAC_PORT_RX_LINKA_INTEGRATOR_DAC_OFFSET", 0x372a4, 0 },
49391		{ "INTDACEGS", 13, 3 },
49392		{ "INTDACE", 8, 5 },
49393		{ "INTDACGS", 6, 2 },
49394		{ "INTDAC", 0, 6 },
49395	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_CONTROL", 0x372a8, 0 },
49396		{ "BLKAZ", 15, 1 },
49397		{ "WIDTH", 10, 5 },
49398		{ "MINWDTH", 5, 5 },
49399		{ "MINAMP", 0, 5 },
49400	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS", 0x372ac, 0 },
49401		{ "SMQM", 13, 3 },
49402		{ "SMQ", 5, 8 },
49403		{ "EMMD", 3, 2 },
49404		{ "EMBRDY", 2, 1 },
49405		{ "EMBUMP", 1, 1 },
49406		{ "EMEN", 0, 1 },
49407	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x372b0, 0 },
49408		{ "EMSF", 13, 1 },
49409		{ "EMDATA59", 12, 1 },
49410		{ "EMCNT", 4, 8 },
49411		{ "EMOFLO", 2, 1 },
49412		{ "EMCRST", 1, 1 },
49413		{ "EMCEN", 0, 1 },
49414	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x372b4, 0 },
49415		{ "SM2RDY", 15, 1 },
49416		{ "SM2RST", 14, 1 },
49417		{ "APDF", 0, 12 },
49418	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x372b8, 0 },
49419	{ "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_3", 0x372bc, 0 },
49420		{ "FTIMEOUT", 15, 1 },
49421		{ "FROTCAL4", 14, 1 },
49422		{ "FDCD2", 13, 1 },
49423		{ "FPRBSPOLTOG", 12, 1 },
49424		{ "FPRBSOFF2", 11, 1 },
49425		{ "FDDCAL2", 10, 1 },
49426		{ "FDDCFLTR", 9, 1 },
49427		{ "FDAC6", 8, 1 },
49428		{ "FDDC5", 7, 1 },
49429		{ "FDDC3456", 6, 1 },
49430		{ "FSPY2DATA", 5, 1 },
49431		{ "FPHSLOCK", 4, 1 },
49432		{ "FCLKALGN", 3, 1 },
49433		{ "FCLKALDYN", 2, 1 },
49434		{ "FDFE", 1, 1 },
49435		{ "FPRBSOFF", 0, 1 },
49436	{ "MAC_PORT_RX_LINKA_DFE_TAP_CONTROL", 0x372c0, 0 },
49437	{ "MAC_PORT_RX_LINKA_DFE_TAP", 0x372c4, 0 },
49438	{ "MAC_PORT_RX_LINKA_DFE_TAP_ENABLE", 0x36a00, 0 },
49439		{ "INDEX", 1, 15 },
49440	{ "MAC_PORT_RX_LINKA_DFE_H1", 0x36a04, 0 },
49441		{ "H1OSN", 13, 3 },
49442		{ "H1OMAG", 8, 5 },
49443		{ "H1ESN", 6, 2 },
49444		{ "H1EMAG", 0, 6 },
49445	{ "MAC_PORT_RX_LINKA_DFE_H2", 0x36a08, 0 },
49446		{ "H2OSN", 13, 2 },
49447		{ "H2OMAG", 8, 5 },
49448		{ "H2ESN", 5, 2 },
49449		{ "H2EMAG", 0, 5 },
49450	{ "MAC_PORT_RX_LINKA_DFE_H3", 0x36a0c, 0 },
49451		{ "H3OSN", 12, 2 },
49452		{ "H3OMAG", 8, 4 },
49453		{ "H3ESN", 4, 2 },
49454		{ "H3EMAG", 0, 4 },
49455	{ "MAC_PORT_RX_LINKA_DFE_H4", 0x36a10, 0 },
49456		{ "H4SN", 4, 2 },
49457		{ "H4MAG", 0, 4 },
49458	{ "MAC_PORT_RX_LINKA_DFE_H5", 0x36a14, 0 },
49459		{ "H5GS", 6, 2 },
49460		{ "H5SN", 4, 2 },
49461		{ "H5MAG", 0, 4 },
49462	{ "MAC_PORT_RX_LINKA_DFE_H6_AND_H7", 0x36a18, 0 },
49463		{ "H7GS", 14, 2 },
49464		{ "H7SN", 12, 2 },
49465		{ "H7MAG", 8, 4 },
49466		{ "H6GS", 6, 2 },
49467		{ "H6SN", 4, 2 },
49468		{ "H6MAG", 0, 4 },
49469	{ "MAC_PORT_RX_LINKA_DFE_H8_AND_H9", 0x36a1c, 0 },
49470		{ "H9GS", 14, 2 },
49471		{ "H9SN", 12, 2 },
49472		{ "H9MAG", 8, 4 },
49473		{ "H8GS", 6, 2 },
49474		{ "H8SN", 4, 2 },
49475		{ "H8MAG", 0, 4 },
49476	{ "MAC_PORT_RX_LINKA_DFE_H10_AND_H11", 0x36a20, 0 },
49477		{ "H11GS", 14, 2 },
49478		{ "H11SN", 12, 2 },
49479		{ "H11MAG", 8, 4 },
49480		{ "H10GS", 6, 2 },
49481		{ "H10SN", 4, 2 },
49482		{ "H10MAG", 0, 4 },
49483	{ "MAC_PORT_RX_LINKA_DFE_H12_13", 0x36a24, 0 },
49484		{ "H13GS", 13, 3 },
49485		{ "H13SN", 10, 3 },
49486		{ "H13MAG", 8, 2 },
49487		{ "H12GS", 6, 2 },
49488		{ "H12SN", 4, 2 },
49489		{ "H12MAG", 0, 4 },
49490	{ "MAC_PORT_RX_LINKA_DFE_H14_15", 0x36a28, 0 },
49491		{ "H15GS", 13, 3 },
49492		{ "H15SN", 10, 3 },
49493		{ "H15MAG", 8, 2 },
49494		{ "H14GS", 6, 2 },
49495		{ "H14SN", 4, 2 },
49496		{ "H14MAG", 0, 4 },
49497	{ "MAC_PORT_RX_LINKA_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x36a2c, 0 },
49498		{ "H1ODELTA", 8, 5 },
49499		{ "H1EDELTA", 0, 6 },
49500	{ "MAC_PORT_RX_LINKA_RECEIVER_INTERNAL_STATUS_2", 0x372e4, 0 },
49501		{ "STNDBYSTAT", 15, 1 },
49502		{ "CALSDONE", 14, 1 },
49503		{ "ACISRCCMP", 5, 1 },
49504		{ "PRBSOFFCMP", 4, 1 },
49505		{ "CLKALGNCMP", 3, 1 },
49506		{ "ROTFCMP", 2, 1 },
49507		{ "DCDCMP", 1, 1 },
49508		{ "QCCCMP", 0, 1 },
49509	{ "MAC_PORT_RX_LINKA_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x372e8, 0 },
49510		{ "FCSADJ", 6, 1 },
49511		{ "CSIND", 3, 2 },
49512		{ "CSVAL", 0, 3 },
49513	{ "MAC_PORT_RX_LINKA_RECEIVER_DCD_CONTROL", 0x372ec, 0 },
49514		{ "DCDTMDOUT", 15, 1 },
49515		{ "DCDTOEN", 14, 1 },
49516		{ "DCDLOCK", 13, 1 },
49517		{ "DCDSTEP", 11, 2 },
49518		{ "DCDALTWPDIS", 10, 1 },
49519		{ "DCDOVRDEN", 9, 1 },
49520		{ "DCCAOVRDEN", 8, 1 },
49521		{ "DCDSIGN", 6, 2 },
49522		{ "DCDAMP", 0, 6 },
49523	{ "MAC_PORT_RX_LINKA_RECEIVER_DCC_CONTROL", 0x372f0, 0 },
49524		{ "PRBSMODE", 14, 2 },
49525		{ "DCCSTEP", 10, 2 },
49526		{ "DCCOVRDEN", 9, 1 },
49527		{ "DCCLOCK", 8, 1 },
49528		{ "DCDSIGN", 6, 2 },
49529		{ "DCDAMP", 0, 6 },
49530	{ "MAC_PORT_RX_LINKA_RECEIVER_QCC_CONTROL", 0x372f4, 0 },
49531		{ "DCCQCCMODE", 15, 1 },
49532		{ "DCCQCCDYN", 14, 1 },
49533		{ "DCCQCCHOLD", 13, 1 },
49534		{ "QCCSTEP", 10, 2 },
49535		{ "QCCOVRDEN", 9, 1 },
49536		{ "QCCLOCK", 8, 1 },
49537		{ "QCCSIGN", 6, 2 },
49538		{ "QCDAMP", 0, 6 },
49539	{ "MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x372f8, 0 },
49540		{ "TSTCMP", 15, 1 },
49541		{ "SDLSSD", 5, 1 },
49542		{ "DFEOBSBIAS", 4, 1 },
49543		{ "GBOFSTLSSD", 3, 1 },
49544		{ "RXDOBS", 2, 1 },
49545		{ "ACJZPT", 1, 1 },
49546		{ "ACJZNT", 0, 1 },
49547	{ "MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_1", 0x372fc, 0 },
49548		{ "CALMODEEDGE", 14, 1 },
49549		{ "TESTCAP", 13, 1 },
49550		{ "SNAPEN", 12, 1 },
49551		{ "ASYNCDIR", 11, 1 },
49552		{ "PHSLOCK", 10, 1 },
49553		{ "TESTMODE", 9, 1 },
49554		{ "CALMODE", 8, 1 },
49555		{ "ACJPDP", 3, 1 },
49556		{ "ACJPDN", 2, 1 },
49557		{ "LSSDT", 1, 1 },
49558		{ "MTHOLD", 0, 1 },
49559	{ "MAC_PORT_RX_LINKB_RECEIVER_CONFIGURATION_MODE", 0x37300, 0 },
49560		{ "T5_RX_LINKEN", 15, 1 },
49561		{ "T5_RX_LINKRST", 14, 1 },
49562		{ "T5_RX_CFGWRT", 13, 1 },
49563		{ "T5_RX_CFGPTR", 11, 2 },
49564		{ "T5_RX_CFGEXT", 10, 1 },
49565		{ "T5_RX_CFGACT", 9, 1 },
49566		{ "T5_RX_MODE8023AZ", 8, 1 },
49567		{ "T5_RX_PLLSEL", 6, 2 },
49568		{ "T5_RX_DMSEL", 4, 2 },
49569		{ "T5_RX_BWSEL", 2, 2 },
49570		{ "T5_RX_RTSEL", 0, 2 },
49571	{ "MAC_PORT_RX_LINKB_RECEIVER_TEST_CONTROL", 0x37304, 0 },
49572		{ "APLYDCD", 15, 1 },
49573		{ "PPOL", 13, 2 },
49574		{ "PCLKSEL", 11, 2 },
49575		{ "FERRST", 10, 1 },
49576		{ "ERRST", 9, 1 },
49577		{ "SYNCST", 8, 1 },
49578		{ "WRPSM", 7, 1 },
49579		{ "WPLPEN", 6, 1 },
49580		{ "WRPMD", 5, 1 },
49581		{ "PRST", 4, 1 },
49582		{ "PCHKEN", 3, 1 },
49583		{ "PATSEL", 0, 3 },
49584	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_CONTROL", 0x37308, 0 },
49585		{ "FTHROT", 12, 4 },
49586		{ "RTHROT", 11, 1 },
49587		{ "FILTCTL", 7, 4 },
49588		{ "RSRVO", 5, 2 },
49589		{ "EXTEL", 4, 1 },
49590		{ "RSTUCK", 3, 1 },
49591		{ "FRZFW", 2, 1 },
49592		{ "RSTFW", 1, 1 },
49593		{ "SSCEN", 0, 1 },
49594	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_OFFSET_CONTROL", 0x3730c, 0 },
49595		{ "H1ANOFST", 12, 4 },
49596		{ "RSNP", 11, 1 },
49597		{ "TSOEN", 10, 1 },
49598		{ "TMSCAL", 8, 2 },
49599		{ "APADJ", 7, 1 },
49600		{ "RSEL", 6, 1 },
49601		{ "PHOFFS", 0, 6 },
49602	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_1", 0x37310, 0 },
49603		{ "ROTA", 8, 6 },
49604		{ "ROTD", 0, 6 },
49605	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_2", 0x37314, 0 },
49606		{ "FREQFW", 8, 8 },
49607		{ "FWSNAP", 7, 1 },
49608		{ "ROTE", 0, 6 },
49609	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x37318, 0 },
49610		{ "RCALER", 15, 1 },
49611		{ "RAOFFF", 8, 4 },
49612		{ "RAOFF", 0, 5 },
49613	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3731c, 0 },
49614		{ "RCALER", 15, 1 },
49615		{ "RDOFF", 0, 5 },
49616	{ "MAC_PORT_RX_LINKB_DFE_CONTROL", 0x37320, 0 },
49617		{ "REQCMP", 15, 1 },
49618		{ "DFEREQ", 14, 1 },
49619		{ "SPCEN", 13, 1 },
49620		{ "GATEEN", 12, 1 },
49621		{ "SPIFMT", 8, 4 },
49622		{ "STNDBY", 5, 1 },
49623		{ "FRCH", 4, 1 },
49624		{ "NONRND", 3, 1 },
49625		{ "NONRNF", 2, 1 },
49626		{ "FSTLCK", 1, 1 },
49627		{ "DFERST", 0, 1 },
49628	{ "MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_1", 0x37324, 0 },
49629		{ "T5BYTE1", 8, 8 },
49630		{ "T5BYTE0", 0, 8 },
49631	{ "MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_2", 0x37328, 0 },
49632		{ "REQWOV", 15, 1 },
49633		{ "RASEL", 11, 3 },
49634		{ "T5_RX_SMODE", 8, 3 },
49635		{ "T5_RX_ADCORR", 7, 1 },
49636		{ "T5_RX_TRAINEN", 6, 1 },
49637		{ "T5_RX_ASAMPQ", 3, 3 },
49638		{ "T5_RX_ASAMP", 0, 3 },
49639	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_1", 0x3732c, 0 },
49640		{ "WRAPSEL", 15, 1 },
49641		{ "ACTL", 14, 1 },
49642		{ "PEAK", 9, 5 },
49643		{ "VOFFA", 0, 6 },
49644	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_2", 0x37330, 0 },
49645		{ "FVOFFSKP", 15, 1 },
49646		{ "FGAINCHK", 14, 1 },
49647		{ "FH1ACAL", 13, 1 },
49648		{ "FH1AFLTR", 11, 2 },
49649		{ "T5SHORTV", 10, 1 },
49650		{ "WGAIN", 8, 2 },
49651		{ "GAIN_STAT", 7, 1 },
49652		{ "T5VGAIN", 0, 7 },
49653	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_3", 0x37334, 0 },
49654		{ "HBND1", 10, 1 },
49655		{ "HBND0", 9, 1 },
49656		{ "VLCKD", 8, 1 },
49657		{ "VLCKDF", 7, 1 },
49658		{ "AMAXT", 0, 7 },
49659	{ "MAC_PORT_RX_LINKB_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x37338, 0 },
49660		{ "PMCFG", 6, 2 },
49661		{ "PMOFFTIME", 0, 6 },
49662	{ "MAC_PORT_RX_LINKB_RECEIVER_IQAMP_CONTROL_1", 0x3733c, 0 },
49663		{ "SELI", 9, 1 },
49664		{ "SERVREF", 5, 3 },
49665		{ "IQAMP", 0, 5 },
49666	{ "MAC_PORT_RX_LINKB_RECEIVER_IQAMP_CONTROL_2", 0x37340, 0 },
49667	{ "MAC_PORT_RX_LINKB_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x37344, 0 },
49668		{ "SAVEADAC", 8, 1 },
49669		{ "LOAD2", 7, 1 },
49670		{ "LOAD1", 6, 1 },
49671		{ "WRTACC2", 5, 1 },
49672		{ "WRTACC1", 4, 1 },
49673		{ "SELAPAN", 3, 1 },
49674		{ "DASEL", 0, 3 },
49675	{ "MAC_PORT_RX_LINKB_RECEIVER_DACAP_AND_DACAN", 0x37348, 0 },
49676		{ "DACAN", 8, 8 },
49677		{ "DACAP", 0, 8 },
49678	{ "MAC_PORT_RX_LINKB_RECEIVER_DACA_MIN", 0x3734c, 0 },
49679		{ "DACAZ", 8, 8 },
49680		{ "DACAM", 0, 8 },
49681	{ "MAC_PORT_RX_LINKB_RECEIVER_ADAC_CONTROL", 0x37350, 0 },
49682		{ "ADAC2", 8, 8 },
49683		{ "ADAC1", 0, 8 },
49684	{ "MAC_PORT_RX_LINKB_RECEIVER_AC_COUPLING_CONTROL", 0x37354, 0 },
49685		{ "FACCPLDYN", 13, 1 },
49686		{ "ACCPLGAIN", 10, 3 },
49687		{ "ACCPLREF", 8, 2 },
49688		{ "ACCPLSTEP", 6, 2 },
49689		{ "ACCPLASTEP", 1, 5 },
49690		{ "FACCPL", 0, 1 },
49691	{ "MAC_PORT_RX_LINKB_RECEIVER_AC_COUPLING_VALUE", 0x37358, 0 },
49692		{ "ACCPLMEANS", 15, 1 },
49693		{ "CDROVREN", 8, 1 },
49694		{ "ACCPLBIAS", 0, 8 },
49695	{ "MAC_PORT_RX_LINKB_DFE_H1H2H3_LOCAL_OFFSET", 0x3735c, 0 },
49696	{ "MAC_PORT_RX_LINKB_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x37360, 0 },
49697		{ "H1OX", 8, 6 },
49698		{ "H1EX", 0, 6 },
49699	{ "MAC_PORT_RX_LINKB_PEAKED_INTEGRATOR", 0x37364, 0 },
49700		{ "PILOCK", 10, 1 },
49701		{ "UNPKPKA", 2, 6 },
49702		{ "UNPKVGA", 0, 2 },
49703	{ "MAC_PORT_RX_LINKB_CDR_ANALOG_SWITCH", 0x37368, 0 },
49704		{ "OVRAC", 15, 1 },
49705		{ "OVRPK", 14, 1 },
49706		{ "OVRTAILS", 12, 2 },
49707		{ "OVRTAILV", 9, 3 },
49708		{ "OVRCAP", 8, 1 },
49709		{ "OVRDCDPRE", 7, 1 },
49710		{ "OVRDCDPST", 6, 1 },
49711		{ "DCVSCTMODE", 2, 1 },
49712		{ "CDRANLGSW", 0, 2 },
49713	{ "MAC_PORT_RX_LINKB_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x3736c, 0 },
49714		{ "PFLAG", 5, 2 },
49715	{ "MAC_PORT_RX_LINKB_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x37370, 0 },
49716		{ "DACCLIP", 15, 1 },
49717		{ "DPCFRZ", 14, 1 },
49718		{ "DPCCVG", 13, 1 },
49719		{ "DACCVG", 12, 1 },
49720		{ "DPCLKNQ", 11, 1 },
49721		{ "DPCWDFE", 10, 1 },
49722		{ "DPCWPK", 9, 1 },
49723		{ "BLKH1T", 8, 1 },
49724		{ "BLKOAE", 7, 1 },
49725		{ "H1TGT", 4, 3 },
49726		{ "OAE", 0, 4 },
49727	{ "MAC_PORT_RX_LINKB_DYNAMIC_DATA_CENTERING_DDC", 0x37374, 0 },
49728		{ "OLS", 11, 5 },
49729		{ "OES", 6, 5 },
49730		{ "BLKODEC", 5, 1 },
49731		{ "VIEWSCAN", 4, 1 },
49732		{ "ODEC", 0, 4 },
49733	{ "MAC_PORT_RX_LINKB_RECEIVER_INTERNAL_STATUS", 0x37378, 0 },
49734		{ "T5BER6VAL", 15, 1 },
49735		{ "T5BER6", 14, 1 },
49736		{ "T5BER3VAL", 13, 1 },
49737		{ "T5TOOFAST", 12, 1 },
49738		{ "ACCCMP", 11, 1 },
49739		{ "DCCCMP", 10, 1 },
49740		{ "T5DPCCMP", 9, 1 },
49741		{ "T5DACCMP", 8, 1 },
49742		{ "T5DDCCMP", 7, 1 },
49743		{ "T5AERRFLG", 6, 1 },
49744		{ "T5WERRFLG", 5, 1 },
49745		{ "T5TRCMP", 4, 1 },
49746		{ "T5VLCKF", 3, 1 },
49747		{ "T5ROCCMP", 2, 1 },
49748		{ "T5IQCMP", 1, 1 },
49749		{ "T5OCCMP", 0, 1 },
49750	{ "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_1", 0x3737c, 0 },
49751		{ "FDPC", 15, 1 },
49752		{ "FDAC", 14, 1 },
49753		{ "FDDC", 13, 1 },
49754		{ "FNRND", 12, 1 },
49755		{ "FVGAIN", 11, 1 },
49756		{ "FVOFF", 10, 1 },
49757		{ "FSDET", 9, 1 },
49758		{ "FBER6", 8, 1 },
49759		{ "FROTO", 7, 1 },
49760		{ "FH4H5", 6, 1 },
49761		{ "FH2H3", 5, 1 },
49762		{ "FH1", 4, 1 },
49763		{ "FH1SN", 3, 1 },
49764		{ "FNRDF", 2, 1 },
49765		{ "FLOFF", 1, 1 },
49766		{ "FADAC", 0, 1 },
49767	{ "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_2", 0x37380, 0 },
49768		{ "H25SPC", 15, 1 },
49769		{ "FDCCAL", 14, 1 },
49770		{ "FROTCAL", 13, 1 },
49771		{ "FIQAMP", 12, 1 },
49772		{ "FRPTCALF", 11, 1 },
49773		{ "FINTCALGS", 10, 1 },
49774		{ "FDCC", 9, 1 },
49775		{ "FTOOFAST", 8, 1 },
49776		{ "FDCD", 7, 1 },
49777		{ "FDINV", 6, 1 },
49778		{ "FHGS", 5, 1 },
49779		{ "FH6H12", 4, 1 },
49780		{ "FH1CAL", 3, 1 },
49781		{ "FINTCAL", 2, 1 },
49782		{ "FINTRCALDYN", 1, 1 },
49783		{ "FQCC", 0, 1 },
49784	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_CHANNEL", 0x37384, 0 },
49785		{ "QCCIND", 13, 1 },
49786		{ "DCDIND", 10, 3 },
49787		{ "DCCIND", 8, 2 },
49788		{ "CFSEL", 5, 1 },
49789		{ "LOFCH", 0, 5 },
49790	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_VALUE", 0x37388, 0 },
49791		{ "LOFU", 8, 7 },
49792		{ "LOFL", 0, 7 },
49793	{ "MAC_PORT_RX_LINKB_H_COEFFICIENBT_BIST", 0x3738c, 0 },
49794		{ "HBISTMAN", 12, 1 },
49795		{ "HBISTRES", 11, 1 },
49796		{ "HBISTSP", 8, 3 },
49797		{ "HBISTEN", 7, 1 },
49798		{ "HBISTRST", 6, 1 },
49799		{ "HCOMP", 5, 1 },
49800		{ "HPASS", 4, 1 },
49801		{ "HSEL", 0, 4 },
49802	{ "MAC_PORT_RX_LINKB_AC_CAPACITOR_BIST", 0x37390, 0 },
49803		{ "ACCCMP", 13, 1 },
49804		{ "ACCEN", 12, 1 },
49805		{ "ACCRST", 11, 1 },
49806		{ "ACCIND", 8, 3 },
49807		{ "ACCRD", 0, 8 },
49808	{ "MAC_PORT_RX_LINKB_RECEIVER_LOFF_CONTROL_REGISTER", 0x37398, 0 },
49809		{ "LFREG", 15, 1 },
49810		{ "LFRC", 14, 1 },
49811		{ "LGIDLE", 13, 1 },
49812		{ "LFTGT", 8, 5 },
49813		{ "LGTGT", 7, 1 },
49814		{ "LRDY", 6, 1 },
49815		{ "LIDLE", 5, 1 },
49816		{ "LCURR", 0, 5 },
49817	{ "MAC_PORT_RX_LINKB_RECEIVER_SIGDET_CONTROL", 0x3739c, 0 },
49818		{ "OFFSN", 13, 2 },
49819		{ "OFFAMP", 8, 5 },
49820		{ "SDACDC", 7, 1 },
49821		{ "SDPDN", 6, 1 },
49822		{ "SIGDET", 5, 1 },
49823		{ "SDLVL", 0, 5 },
49824	{ "MAC_PORT_RX_LINKB_RECEIVER_ANALOG_CONTROL_SWITCH", 0x373a0, 0 },
49825		{ "RX_OVRSUMPD", 15, 1 },
49826		{ "RX_OVRKBPD", 14, 1 },
49827		{ "RX_OVRDIVPD", 13, 1 },
49828		{ "RX_OFFVGADIS", 12, 1 },
49829		{ "RX_OFFACDIS", 11, 1 },
49830		{ "RX_VTERM", 10, 1 },
49831		{ "RX_DISSPY2D", 8, 1 },
49832		{ "RX_OBSOVEN", 7, 1 },
49833		{ "RX_LINKANLGSW", 0, 7 },
49834	{ "MAC_PORT_RX_LINKB_INTEGRATOR_DAC_OFFSET", 0x373a4, 0 },
49835		{ "INTDACEGS", 13, 3 },
49836		{ "INTDACE", 8, 5 },
49837		{ "INTDACGS", 6, 2 },
49838		{ "INTDAC", 0, 6 },
49839	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_CONTROL", 0x373a8, 0 },
49840		{ "BLKAZ", 15, 1 },
49841		{ "WIDTH", 10, 5 },
49842		{ "MINWDTH", 5, 5 },
49843		{ "MINAMP", 0, 5 },
49844	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS", 0x373ac, 0 },
49845		{ "SMQM", 13, 3 },
49846		{ "SMQ", 5, 8 },
49847		{ "EMMD", 3, 2 },
49848		{ "EMBRDY", 2, 1 },
49849		{ "EMBUMP", 1, 1 },
49850		{ "EMEN", 0, 1 },
49851	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x373b0, 0 },
49852		{ "EMSF", 13, 1 },
49853		{ "EMDATA59", 12, 1 },
49854		{ "EMCNT", 4, 8 },
49855		{ "EMOFLO", 2, 1 },
49856		{ "EMCRST", 1, 1 },
49857		{ "EMCEN", 0, 1 },
49858	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x373b4, 0 },
49859		{ "SM2RDY", 15, 1 },
49860		{ "SM2RST", 14, 1 },
49861		{ "APDF", 0, 12 },
49862	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x373b8, 0 },
49863	{ "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_3", 0x373bc, 0 },
49864		{ "FTIMEOUT", 15, 1 },
49865		{ "FROTCAL4", 14, 1 },
49866		{ "FDCD2", 13, 1 },
49867		{ "FPRBSPOLTOG", 12, 1 },
49868		{ "FPRBSOFF2", 11, 1 },
49869		{ "FDDCAL2", 10, 1 },
49870		{ "FDDCFLTR", 9, 1 },
49871		{ "FDAC6", 8, 1 },
49872		{ "FDDC5", 7, 1 },
49873		{ "FDDC3456", 6, 1 },
49874		{ "FSPY2DATA", 5, 1 },
49875		{ "FPHSLOCK", 4, 1 },
49876		{ "FCLKALGN", 3, 1 },
49877		{ "FCLKALDYN", 2, 1 },
49878		{ "FDFE", 1, 1 },
49879		{ "FPRBSOFF", 0, 1 },
49880	{ "MAC_PORT_RX_LINKB_DFE_TAP_CONTROL", 0x373c0, 0 },
49881	{ "MAC_PORT_RX_LINKB_DFE_TAP", 0x373c4, 0 },
49882	{ "MAC_PORT_RX_LINKB_DFE_TAP_ENABLE", 0x36b00, 0 },
49883		{ "INDEX", 1, 15 },
49884	{ "MAC_PORT_RX_LINKB_DFE_H1", 0x36b04, 0 },
49885		{ "H1OSN", 13, 3 },
49886		{ "H1OMAG", 8, 5 },
49887		{ "H1ESN", 6, 2 },
49888		{ "H1EMAG", 0, 6 },
49889	{ "MAC_PORT_RX_LINKB_DFE_H2", 0x36b08, 0 },
49890		{ "H2OSN", 13, 2 },
49891		{ "H2OMAG", 8, 5 },
49892		{ "H2ESN", 5, 2 },
49893		{ "H2EMAG", 0, 5 },
49894	{ "MAC_PORT_RX_LINKB_DFE_H3", 0x36b0c, 0 },
49895		{ "H3OSN", 12, 2 },
49896		{ "H3OMAG", 8, 4 },
49897		{ "H3ESN", 4, 2 },
49898		{ "H3EMAG", 0, 4 },
49899	{ "MAC_PORT_RX_LINKB_DFE_H4", 0x36b10, 0 },
49900		{ "H4SN", 4, 2 },
49901		{ "H4MAG", 0, 4 },
49902	{ "MAC_PORT_RX_LINKB_DFE_H5", 0x36b14, 0 },
49903		{ "H5GS", 6, 2 },
49904		{ "H5SN", 4, 2 },
49905		{ "H5MAG", 0, 4 },
49906	{ "MAC_PORT_RX_LINKB_DFE_H6_AND_H7", 0x36b18, 0 },
49907		{ "H7GS", 14, 2 },
49908		{ "H7SN", 12, 2 },
49909		{ "H7MAG", 8, 4 },
49910		{ "H6GS", 6, 2 },
49911		{ "H6SN", 4, 2 },
49912		{ "H6MAG", 0, 4 },
49913	{ "MAC_PORT_RX_LINKB_DFE_H8_AND_H9", 0x36b1c, 0 },
49914		{ "H9GS", 14, 2 },
49915		{ "H9SN", 12, 2 },
49916		{ "H9MAG", 8, 4 },
49917		{ "H8GS", 6, 2 },
49918		{ "H8SN", 4, 2 },
49919		{ "H8MAG", 0, 4 },
49920	{ "MAC_PORT_RX_LINKB_DFE_H10_AND_H11", 0x36b20, 0 },
49921		{ "H11GS", 14, 2 },
49922		{ "H11SN", 12, 2 },
49923		{ "H11MAG", 8, 4 },
49924		{ "H10GS", 6, 2 },
49925		{ "H10SN", 4, 2 },
49926		{ "H10MAG", 0, 4 },
49927	{ "MAC_PORT_RX_LINKB_DFE_H12_13", 0x36b24, 0 },
49928		{ "H13GS", 13, 3 },
49929		{ "H13SN", 10, 3 },
49930		{ "H13MAG", 8, 2 },
49931		{ "H12GS", 6, 2 },
49932		{ "H12SN", 4, 2 },
49933		{ "H12MAG", 0, 4 },
49934	{ "MAC_PORT_RX_LINKB_DFE_H14_15", 0x36b28, 0 },
49935		{ "H15GS", 13, 3 },
49936		{ "H15SN", 10, 3 },
49937		{ "H15MAG", 8, 2 },
49938		{ "H14GS", 6, 2 },
49939		{ "H14SN", 4, 2 },
49940		{ "H14MAG", 0, 4 },
49941	{ "MAC_PORT_RX_LINKB_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x36b2c, 0 },
49942		{ "H1ODELTA", 8, 5 },
49943		{ "H1EDELTA", 0, 6 },
49944	{ "MAC_PORT_RX_LINKB_RECEIVER_INTERNAL_STATUS_2", 0x373e4, 0 },
49945		{ "STNDBYSTAT", 15, 1 },
49946		{ "CALSDONE", 14, 1 },
49947		{ "ACISRCCMP", 5, 1 },
49948		{ "PRBSOFFCMP", 4, 1 },
49949		{ "CLKALGNCMP", 3, 1 },
49950		{ "ROTFCMP", 2, 1 },
49951		{ "DCDCMP", 1, 1 },
49952		{ "QCCCMP", 0, 1 },
49953	{ "MAC_PORT_RX_LINKB_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x373e8, 0 },
49954		{ "FCSADJ", 6, 1 },
49955		{ "CSIND", 3, 2 },
49956		{ "CSVAL", 0, 3 },
49957	{ "MAC_PORT_RX_LINKB_RECEIVER_DCD_CONTROL", 0x373ec, 0 },
49958		{ "DCDTMDOUT", 15, 1 },
49959		{ "DCDTOEN", 14, 1 },
49960		{ "DCDLOCK", 13, 1 },
49961		{ "DCDSTEP", 11, 2 },
49962		{ "DCDALTWPDIS", 10, 1 },
49963		{ "DCDOVRDEN", 9, 1 },
49964		{ "DCCAOVRDEN", 8, 1 },
49965		{ "DCDSIGN", 6, 2 },
49966		{ "DCDAMP", 0, 6 },
49967	{ "MAC_PORT_RX_LINKB_RECEIVER_DCC_CONTROL", 0x373f0, 0 },
49968		{ "PRBSMODE", 14, 2 },
49969		{ "DCCSTEP", 10, 2 },
49970		{ "DCCOVRDEN", 9, 1 },
49971		{ "DCCLOCK", 8, 1 },
49972		{ "DCDSIGN", 6, 2 },
49973		{ "DCDAMP", 0, 6 },
49974	{ "MAC_PORT_RX_LINKB_RECEIVER_QCC_CONTROL", 0x373f4, 0 },
49975		{ "DCCQCCMODE", 15, 1 },
49976		{ "DCCQCCDYN", 14, 1 },
49977		{ "DCCQCCHOLD", 13, 1 },
49978		{ "QCCSTEP", 10, 2 },
49979		{ "QCCOVRDEN", 9, 1 },
49980		{ "QCCLOCK", 8, 1 },
49981		{ "QCCSIGN", 6, 2 },
49982		{ "QCDAMP", 0, 6 },
49983	{ "MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x373f8, 0 },
49984		{ "TSTCMP", 15, 1 },
49985		{ "SDLSSD", 5, 1 },
49986		{ "DFEOBSBIAS", 4, 1 },
49987		{ "GBOFSTLSSD", 3, 1 },
49988		{ "RXDOBS", 2, 1 },
49989		{ "ACJZPT", 1, 1 },
49990		{ "ACJZNT", 0, 1 },
49991	{ "MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_1", 0x373fc, 0 },
49992		{ "CALMODEEDGE", 14, 1 },
49993		{ "TESTCAP", 13, 1 },
49994		{ "SNAPEN", 12, 1 },
49995		{ "ASYNCDIR", 11, 1 },
49996		{ "PHSLOCK", 10, 1 },
49997		{ "TESTMODE", 9, 1 },
49998		{ "CALMODE", 8, 1 },
49999		{ "ACJPDP", 3, 1 },
50000		{ "ACJPDN", 2, 1 },
50001		{ "LSSDT", 1, 1 },
50002		{ "MTHOLD", 0, 1 },
50003	{ "MAC_PORT_RX_LINKC_RECEIVER_CONFIGURATION_MODE", 0x37600, 0 },
50004		{ "T5_RX_LINKEN", 15, 1 },
50005		{ "T5_RX_LINKRST", 14, 1 },
50006		{ "T5_RX_CFGWRT", 13, 1 },
50007		{ "T5_RX_CFGPTR", 11, 2 },
50008		{ "T5_RX_CFGEXT", 10, 1 },
50009		{ "T5_RX_CFGACT", 9, 1 },
50010		{ "T5_RX_MODE8023AZ", 8, 1 },
50011		{ "T5_RX_PLLSEL", 6, 2 },
50012		{ "T5_RX_DMSEL", 4, 2 },
50013		{ "T5_RX_BWSEL", 2, 2 },
50014		{ "T5_RX_RTSEL", 0, 2 },
50015	{ "MAC_PORT_RX_LINKC_RECEIVER_TEST_CONTROL", 0x37604, 0 },
50016		{ "APLYDCD", 15, 1 },
50017		{ "PPOL", 13, 2 },
50018		{ "PCLKSEL", 11, 2 },
50019		{ "FERRST", 10, 1 },
50020		{ "ERRST", 9, 1 },
50021		{ "SYNCST", 8, 1 },
50022		{ "WRPSM", 7, 1 },
50023		{ "WPLPEN", 6, 1 },
50024		{ "WRPMD", 5, 1 },
50025		{ "PRST", 4, 1 },
50026		{ "PCHKEN", 3, 1 },
50027		{ "PATSEL", 0, 3 },
50028	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_CONTROL", 0x37608, 0 },
50029		{ "FTHROT", 12, 4 },
50030		{ "RTHROT", 11, 1 },
50031		{ "FILTCTL", 7, 4 },
50032		{ "RSRVO", 5, 2 },
50033		{ "EXTEL", 4, 1 },
50034		{ "RSTUCK", 3, 1 },
50035		{ "FRZFW", 2, 1 },
50036		{ "RSTFW", 1, 1 },
50037		{ "SSCEN", 0, 1 },
50038	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_OFFSET_CONTROL", 0x3760c, 0 },
50039		{ "H1ANOFST", 12, 4 },
50040		{ "RSNP", 11, 1 },
50041		{ "TSOEN", 10, 1 },
50042		{ "TMSCAL", 8, 2 },
50043		{ "APADJ", 7, 1 },
50044		{ "RSEL", 6, 1 },
50045		{ "PHOFFS", 0, 6 },
50046	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_1", 0x37610, 0 },
50047		{ "ROTA", 8, 6 },
50048		{ "ROTD", 0, 6 },
50049	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_2", 0x37614, 0 },
50050		{ "FREQFW", 8, 8 },
50051		{ "FWSNAP", 7, 1 },
50052		{ "ROTE", 0, 6 },
50053	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x37618, 0 },
50054		{ "RCALER", 15, 1 },
50055		{ "RAOFFF", 8, 4 },
50056		{ "RAOFF", 0, 5 },
50057	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3761c, 0 },
50058		{ "RCALER", 15, 1 },
50059		{ "RDOFF", 0, 5 },
50060	{ "MAC_PORT_RX_LINKC_DFE_CONTROL", 0x37620, 0 },
50061		{ "REQCMP", 15, 1 },
50062		{ "DFEREQ", 14, 1 },
50063		{ "SPCEN", 13, 1 },
50064		{ "GATEEN", 12, 1 },
50065		{ "SPIFMT", 8, 4 },
50066		{ "STNDBY", 5, 1 },
50067		{ "FRCH", 4, 1 },
50068		{ "NONRND", 3, 1 },
50069		{ "NONRNF", 2, 1 },
50070		{ "FSTLCK", 1, 1 },
50071		{ "DFERST", 0, 1 },
50072	{ "MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_1", 0x37624, 0 },
50073		{ "T5BYTE1", 8, 8 },
50074		{ "T5BYTE0", 0, 8 },
50075	{ "MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_2", 0x37628, 0 },
50076		{ "REQWOV", 15, 1 },
50077		{ "RASEL", 11, 3 },
50078		{ "T5_RX_SMODE", 8, 3 },
50079		{ "T5_RX_ADCORR", 7, 1 },
50080		{ "T5_RX_TRAINEN", 6, 1 },
50081		{ "T5_RX_ASAMPQ", 3, 3 },
50082		{ "T5_RX_ASAMP", 0, 3 },
50083	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_1", 0x3762c, 0 },
50084		{ "WRAPSEL", 15, 1 },
50085		{ "ACTL", 14, 1 },
50086		{ "PEAK", 9, 5 },
50087		{ "VOFFA", 0, 6 },
50088	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_2", 0x37630, 0 },
50089		{ "FVOFFSKP", 15, 1 },
50090		{ "FGAINCHK", 14, 1 },
50091		{ "FH1ACAL", 13, 1 },
50092		{ "FH1AFLTR", 11, 2 },
50093		{ "T5SHORTV", 10, 1 },
50094		{ "WGAIN", 8, 2 },
50095		{ "GAIN_STAT", 7, 1 },
50096		{ "T5VGAIN", 0, 7 },
50097	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_3", 0x37634, 0 },
50098		{ "HBND1", 10, 1 },
50099		{ "HBND0", 9, 1 },
50100		{ "VLCKD", 8, 1 },
50101		{ "VLCKDF", 7, 1 },
50102		{ "AMAXT", 0, 7 },
50103	{ "MAC_PORT_RX_LINKC_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x37638, 0 },
50104		{ "PMCFG", 6, 2 },
50105		{ "PMOFFTIME", 0, 6 },
50106	{ "MAC_PORT_RX_LINKC_RECEIVER_IQAMP_CONTROL_1", 0x3763c, 0 },
50107		{ "SELI", 9, 1 },
50108		{ "SERVREF", 5, 3 },
50109		{ "IQAMP", 0, 5 },
50110	{ "MAC_PORT_RX_LINKC_RECEIVER_IQAMP_CONTROL_2", 0x37640, 0 },
50111	{ "MAC_PORT_RX_LINKC_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x37644, 0 },
50112		{ "SAVEADAC", 8, 1 },
50113		{ "LOAD2", 7, 1 },
50114		{ "LOAD1", 6, 1 },
50115		{ "WRTACC2", 5, 1 },
50116		{ "WRTACC1", 4, 1 },
50117		{ "SELAPAN", 3, 1 },
50118		{ "DASEL", 0, 3 },
50119	{ "MAC_PORT_RX_LINKC_RECEIVER_DACAP_AND_DACAN", 0x37648, 0 },
50120		{ "DACAN", 8, 8 },
50121		{ "DACAP", 0, 8 },
50122	{ "MAC_PORT_RX_LINKC_RECEIVER_DACA_MIN", 0x3764c, 0 },
50123		{ "DACAZ", 8, 8 },
50124		{ "DACAM", 0, 8 },
50125	{ "MAC_PORT_RX_LINKC_RECEIVER_ADAC_CONTROL", 0x37650, 0 },
50126		{ "ADAC2", 8, 8 },
50127		{ "ADAC1", 0, 8 },
50128	{ "MAC_PORT_RX_LINKC_RECEIVER_AC_COUPLING_CONTROL", 0x37654, 0 },
50129		{ "FACCPLDYN", 13, 1 },
50130		{ "ACCPLGAIN", 10, 3 },
50131		{ "ACCPLREF", 8, 2 },
50132		{ "ACCPLSTEP", 6, 2 },
50133		{ "ACCPLASTEP", 1, 5 },
50134		{ "FACCPL", 0, 1 },
50135	{ "MAC_PORT_RX_LINKC_RECEIVER_AC_COUPLING_VALUE", 0x37658, 0 },
50136		{ "ACCPLMEANS", 15, 1 },
50137		{ "CDROVREN", 8, 1 },
50138		{ "ACCPLBIAS", 0, 8 },
50139	{ "MAC_PORT_RX_LINKC_DFE_H1H2H3_LOCAL_OFFSET", 0x3765c, 0 },
50140	{ "MAC_PORT_RX_LINKC_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x37660, 0 },
50141		{ "H1OX", 8, 6 },
50142		{ "H1EX", 0, 6 },
50143	{ "MAC_PORT_RX_LINKC_PEAKED_INTEGRATOR", 0x37664, 0 },
50144		{ "PILOCK", 10, 1 },
50145		{ "UNPKPKA", 2, 6 },
50146		{ "UNPKVGA", 0, 2 },
50147	{ "MAC_PORT_RX_LINKC_CDR_ANALOG_SWITCH", 0x37668, 0 },
50148		{ "OVRAC", 15, 1 },
50149		{ "OVRPK", 14, 1 },
50150		{ "OVRTAILS", 12, 2 },
50151		{ "OVRTAILV", 9, 3 },
50152		{ "OVRCAP", 8, 1 },
50153		{ "OVRDCDPRE", 7, 1 },
50154		{ "OVRDCDPST", 6, 1 },
50155		{ "DCVSCTMODE", 2, 1 },
50156		{ "CDRANLGSW", 0, 2 },
50157	{ "MAC_PORT_RX_LINKC_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x3766c, 0 },
50158		{ "PFLAG", 5, 2 },
50159	{ "MAC_PORT_RX_LINKC_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x37670, 0 },
50160		{ "DACCLIP", 15, 1 },
50161		{ "DPCFRZ", 14, 1 },
50162		{ "DPCCVG", 13, 1 },
50163		{ "DACCVG", 12, 1 },
50164		{ "DPCLKNQ", 11, 1 },
50165		{ "DPCWDFE", 10, 1 },
50166		{ "DPCWPK", 9, 1 },
50167		{ "BLKH1T", 8, 1 },
50168		{ "BLKOAE", 7, 1 },
50169		{ "H1TGT", 4, 3 },
50170		{ "OAE", 0, 4 },
50171	{ "MAC_PORT_RX_LINKC_DYNAMIC_DATA_CENTERING_DDC", 0x37674, 0 },
50172		{ "OLS", 11, 5 },
50173		{ "OES", 6, 5 },
50174		{ "BLKODEC", 5, 1 },
50175		{ "VIEWSCAN", 4, 1 },
50176		{ "ODEC", 0, 4 },
50177	{ "MAC_PORT_RX_LINKC_RECEIVER_INTERNAL_STATUS", 0x37678, 0 },
50178		{ "T5BER6VAL", 15, 1 },
50179		{ "T5BER6", 14, 1 },
50180		{ "T5BER3VAL", 13, 1 },
50181		{ "T5TOOFAST", 12, 1 },
50182		{ "ACCCMP", 11, 1 },
50183		{ "DCCCMP", 10, 1 },
50184		{ "T5DPCCMP", 9, 1 },
50185		{ "T5DACCMP", 8, 1 },
50186		{ "T5DDCCMP", 7, 1 },
50187		{ "T5AERRFLG", 6, 1 },
50188		{ "T5WERRFLG", 5, 1 },
50189		{ "T5TRCMP", 4, 1 },
50190		{ "T5VLCKF", 3, 1 },
50191		{ "T5ROCCMP", 2, 1 },
50192		{ "T5IQCMP", 1, 1 },
50193		{ "T5OCCMP", 0, 1 },
50194	{ "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_1", 0x3767c, 0 },
50195		{ "FDPC", 15, 1 },
50196		{ "FDAC", 14, 1 },
50197		{ "FDDC", 13, 1 },
50198		{ "FNRND", 12, 1 },
50199		{ "FVGAIN", 11, 1 },
50200		{ "FVOFF", 10, 1 },
50201		{ "FSDET", 9, 1 },
50202		{ "FBER6", 8, 1 },
50203		{ "FROTO", 7, 1 },
50204		{ "FH4H5", 6, 1 },
50205		{ "FH2H3", 5, 1 },
50206		{ "FH1", 4, 1 },
50207		{ "FH1SN", 3, 1 },
50208		{ "FNRDF", 2, 1 },
50209		{ "FLOFF", 1, 1 },
50210		{ "FADAC", 0, 1 },
50211	{ "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_2", 0x37680, 0 },
50212		{ "H25SPC", 15, 1 },
50213		{ "FDCCAL", 14, 1 },
50214		{ "FROTCAL", 13, 1 },
50215		{ "FIQAMP", 12, 1 },
50216		{ "FRPTCALF", 11, 1 },
50217		{ "FINTCALGS", 10, 1 },
50218		{ "FDCC", 9, 1 },
50219		{ "FTOOFAST", 8, 1 },
50220		{ "FDCD", 7, 1 },
50221		{ "FDINV", 6, 1 },
50222		{ "FHGS", 5, 1 },
50223		{ "FH6H12", 4, 1 },
50224		{ "FH1CAL", 3, 1 },
50225		{ "FINTCAL", 2, 1 },
50226		{ "FINTRCALDYN", 1, 1 },
50227		{ "FQCC", 0, 1 },
50228	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_CHANNEL", 0x37684, 0 },
50229		{ "QCCIND", 13, 1 },
50230		{ "DCDIND", 10, 3 },
50231		{ "DCCIND", 8, 2 },
50232		{ "CFSEL", 5, 1 },
50233		{ "LOFCH", 0, 5 },
50234	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_VALUE", 0x37688, 0 },
50235		{ "LOFU", 8, 7 },
50236		{ "LOFL", 0, 7 },
50237	{ "MAC_PORT_RX_LINKC_H_COEFFICIENBT_BIST", 0x3768c, 0 },
50238		{ "HBISTMAN", 12, 1 },
50239		{ "HBISTRES", 11, 1 },
50240		{ "HBISTSP", 8, 3 },
50241		{ "HBISTEN", 7, 1 },
50242		{ "HBISTRST", 6, 1 },
50243		{ "HCOMP", 5, 1 },
50244		{ "HPASS", 4, 1 },
50245		{ "HSEL", 0, 4 },
50246	{ "MAC_PORT_RX_LINKC_AC_CAPACITOR_BIST", 0x37690, 0 },
50247		{ "ACCCMP", 13, 1 },
50248		{ "ACCEN", 12, 1 },
50249		{ "ACCRST", 11, 1 },
50250		{ "ACCIND", 8, 3 },
50251		{ "ACCRD", 0, 8 },
50252	{ "MAC_PORT_RX_LINKC_RECEIVER_LOFF_CONTROL_REGISTER", 0x37698, 0 },
50253		{ "LFREG", 15, 1 },
50254		{ "LFRC", 14, 1 },
50255		{ "LGIDLE", 13, 1 },
50256		{ "LFTGT", 8, 5 },
50257		{ "LGTGT", 7, 1 },
50258		{ "LRDY", 6, 1 },
50259		{ "LIDLE", 5, 1 },
50260		{ "LCURR", 0, 5 },
50261	{ "MAC_PORT_RX_LINKC_RECEIVER_SIGDET_CONTROL", 0x3769c, 0 },
50262		{ "OFFSN", 13, 2 },
50263		{ "OFFAMP", 8, 5 },
50264		{ "SDACDC", 7, 1 },
50265		{ "SDPDN", 6, 1 },
50266		{ "SIGDET", 5, 1 },
50267		{ "SDLVL", 0, 5 },
50268	{ "MAC_PORT_RX_LINKC_RECEIVER_ANALOG_CONTROL_SWITCH", 0x376a0, 0 },
50269		{ "RX_OVRSUMPD", 15, 1 },
50270		{ "RX_OVRKBPD", 14, 1 },
50271		{ "RX_OVRDIVPD", 13, 1 },
50272		{ "RX_OFFVGADIS", 12, 1 },
50273		{ "RX_OFFACDIS", 11, 1 },
50274		{ "RX_VTERM", 10, 1 },
50275		{ "RX_DISSPY2D", 8, 1 },
50276		{ "RX_OBSOVEN", 7, 1 },
50277		{ "RX_LINKANLGSW", 0, 7 },
50278	{ "MAC_PORT_RX_LINKC_INTEGRATOR_DAC_OFFSET", 0x376a4, 0 },
50279		{ "INTDACEGS", 13, 3 },
50280		{ "INTDACE", 8, 5 },
50281		{ "INTDACGS", 6, 2 },
50282		{ "INTDAC", 0, 6 },
50283	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_CONTROL", 0x376a8, 0 },
50284		{ "BLKAZ", 15, 1 },
50285		{ "WIDTH", 10, 5 },
50286		{ "MINWDTH", 5, 5 },
50287		{ "MINAMP", 0, 5 },
50288	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS", 0x376ac, 0 },
50289		{ "SMQM", 13, 3 },
50290		{ "SMQ", 5, 8 },
50291		{ "EMMD", 3, 2 },
50292		{ "EMBRDY", 2, 1 },
50293		{ "EMBUMP", 1, 1 },
50294		{ "EMEN", 0, 1 },
50295	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x376b0, 0 },
50296		{ "EMSF", 13, 1 },
50297		{ "EMDATA59", 12, 1 },
50298		{ "EMCNT", 4, 8 },
50299		{ "EMOFLO", 2, 1 },
50300		{ "EMCRST", 1, 1 },
50301		{ "EMCEN", 0, 1 },
50302	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x376b4, 0 },
50303		{ "SM2RDY", 15, 1 },
50304		{ "SM2RST", 14, 1 },
50305		{ "APDF", 0, 12 },
50306	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x376b8, 0 },
50307	{ "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_3", 0x376bc, 0 },
50308		{ "FTIMEOUT", 15, 1 },
50309		{ "FROTCAL4", 14, 1 },
50310		{ "FDCD2", 13, 1 },
50311		{ "FPRBSPOLTOG", 12, 1 },
50312		{ "FPRBSOFF2", 11, 1 },
50313		{ "FDDCAL2", 10, 1 },
50314		{ "FDDCFLTR", 9, 1 },
50315		{ "FDAC6", 8, 1 },
50316		{ "FDDC5", 7, 1 },
50317		{ "FDDC3456", 6, 1 },
50318		{ "FSPY2DATA", 5, 1 },
50319		{ "FPHSLOCK", 4, 1 },
50320		{ "FCLKALGN", 3, 1 },
50321		{ "FCLKALDYN", 2, 1 },
50322		{ "FDFE", 1, 1 },
50323		{ "FPRBSOFF", 0, 1 },
50324	{ "MAC_PORT_RX_LINKC_DFE_TAP_CONTROL", 0x376c0, 0 },
50325	{ "MAC_PORT_RX_LINKC_DFE_TAP", 0x376c4, 0 },
50326	{ "MAC_PORT_RX_LINKC_DFE_TAP_ENABLE", 0x36e00, 0 },
50327		{ "INDEX", 1, 15 },
50328	{ "MAC_PORT_RX_LINKC_DFE_H1", 0x36e04, 0 },
50329		{ "H1OSN", 13, 3 },
50330		{ "H1OMAG", 8, 5 },
50331		{ "H1ESN", 6, 2 },
50332		{ "H1EMAG", 0, 6 },
50333	{ "MAC_PORT_RX_LINKC_DFE_H2", 0x36e08, 0 },
50334		{ "H2OSN", 13, 2 },
50335		{ "H2OMAG", 8, 5 },
50336		{ "H2ESN", 5, 2 },
50337		{ "H2EMAG", 0, 5 },
50338	{ "MAC_PORT_RX_LINKC_DFE_H3", 0x36e0c, 0 },
50339		{ "H3OSN", 12, 2 },
50340		{ "H3OMAG", 8, 4 },
50341		{ "H3ESN", 4, 2 },
50342		{ "H3EMAG", 0, 4 },
50343	{ "MAC_PORT_RX_LINKC_DFE_H4", 0x36e10, 0 },
50344		{ "H4SN", 4, 2 },
50345		{ "H4MAG", 0, 4 },
50346	{ "MAC_PORT_RX_LINKC_DFE_H5", 0x36e14, 0 },
50347		{ "H5GS", 6, 2 },
50348		{ "H5SN", 4, 2 },
50349		{ "H5MAG", 0, 4 },
50350	{ "MAC_PORT_RX_LINKC_DFE_H6_AND_H7", 0x36e18, 0 },
50351		{ "H7GS", 14, 2 },
50352		{ "H7SN", 12, 2 },
50353		{ "H7MAG", 8, 4 },
50354		{ "H6GS", 6, 2 },
50355		{ "H6SN", 4, 2 },
50356		{ "H6MAG", 0, 4 },
50357	{ "MAC_PORT_RX_LINKC_DFE_H8_AND_H9", 0x36e1c, 0 },
50358		{ "H9GS", 14, 2 },
50359		{ "H9SN", 12, 2 },
50360		{ "H9MAG", 8, 4 },
50361		{ "H8GS", 6, 2 },
50362		{ "H8SN", 4, 2 },
50363		{ "H8MAG", 0, 4 },
50364	{ "MAC_PORT_RX_LINKC_DFE_H10_AND_H11", 0x36e20, 0 },
50365		{ "H11GS", 14, 2 },
50366		{ "H11SN", 12, 2 },
50367		{ "H11MAG", 8, 4 },
50368		{ "H10GS", 6, 2 },
50369		{ "H10SN", 4, 2 },
50370		{ "H10MAG", 0, 4 },
50371	{ "MAC_PORT_RX_LINKC_DFE_H12_13", 0x36e24, 0 },
50372		{ "H13GS", 13, 3 },
50373		{ "H13SN", 10, 3 },
50374		{ "H13MAG", 8, 2 },
50375		{ "H12GS", 6, 2 },
50376		{ "H12SN", 4, 2 },
50377		{ "H12MAG", 0, 4 },
50378	{ "MAC_PORT_RX_LINKC_DFE_H14_15", 0x36e28, 0 },
50379		{ "H15GS", 13, 3 },
50380		{ "H15SN", 10, 3 },
50381		{ "H15MAG", 8, 2 },
50382		{ "H14GS", 6, 2 },
50383		{ "H14SN", 4, 2 },
50384		{ "H14MAG", 0, 4 },
50385	{ "MAC_PORT_RX_LINKC_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x36e2c, 0 },
50386		{ "H1ODELTA", 8, 5 },
50387		{ "H1EDELTA", 0, 6 },
50388	{ "MAC_PORT_RX_LINKC_RECEIVER_INTERNAL_STATUS_2", 0x376e4, 0 },
50389		{ "STNDBYSTAT", 15, 1 },
50390		{ "CALSDONE", 14, 1 },
50391		{ "ACISRCCMP", 5, 1 },
50392		{ "PRBSOFFCMP", 4, 1 },
50393		{ "CLKALGNCMP", 3, 1 },
50394		{ "ROTFCMP", 2, 1 },
50395		{ "DCDCMP", 1, 1 },
50396		{ "QCCCMP", 0, 1 },
50397	{ "MAC_PORT_RX_LINKC_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x376e8, 0 },
50398		{ "FCSADJ", 6, 1 },
50399		{ "CSIND", 3, 2 },
50400		{ "CSVAL", 0, 3 },
50401	{ "MAC_PORT_RX_LINKC_RECEIVER_DCD_CONTROL", 0x376ec, 0 },
50402		{ "DCDTMDOUT", 15, 1 },
50403		{ "DCDTOEN", 14, 1 },
50404		{ "DCDLOCK", 13, 1 },
50405		{ "DCDSTEP", 11, 2 },
50406		{ "DCDALTWPDIS", 10, 1 },
50407		{ "DCDOVRDEN", 9, 1 },
50408		{ "DCCAOVRDEN", 8, 1 },
50409		{ "DCDSIGN", 6, 2 },
50410		{ "DCDAMP", 0, 6 },
50411	{ "MAC_PORT_RX_LINKC_RECEIVER_DCC_CONTROL", 0x376f0, 0 },
50412		{ "PRBSMODE", 14, 2 },
50413		{ "DCCSTEP", 10, 2 },
50414		{ "DCCOVRDEN", 9, 1 },
50415		{ "DCCLOCK", 8, 1 },
50416		{ "DCDSIGN", 6, 2 },
50417		{ "DCDAMP", 0, 6 },
50418	{ "MAC_PORT_RX_LINKC_RECEIVER_QCC_CONTROL", 0x376f4, 0 },
50419		{ "DCCQCCMODE", 15, 1 },
50420		{ "DCCQCCDYN", 14, 1 },
50421		{ "DCCQCCHOLD", 13, 1 },
50422		{ "QCCSTEP", 10, 2 },
50423		{ "QCCOVRDEN", 9, 1 },
50424		{ "QCCLOCK", 8, 1 },
50425		{ "QCCSIGN", 6, 2 },
50426		{ "QCDAMP", 0, 6 },
50427	{ "MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x376f8, 0 },
50428		{ "TSTCMP", 15, 1 },
50429		{ "SDLSSD", 5, 1 },
50430		{ "DFEOBSBIAS", 4, 1 },
50431		{ "GBOFSTLSSD", 3, 1 },
50432		{ "RXDOBS", 2, 1 },
50433		{ "ACJZPT", 1, 1 },
50434		{ "ACJZNT", 0, 1 },
50435	{ "MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_1", 0x376fc, 0 },
50436		{ "CALMODEEDGE", 14, 1 },
50437		{ "TESTCAP", 13, 1 },
50438		{ "SNAPEN", 12, 1 },
50439		{ "ASYNCDIR", 11, 1 },
50440		{ "PHSLOCK", 10, 1 },
50441		{ "TESTMODE", 9, 1 },
50442		{ "CALMODE", 8, 1 },
50443		{ "ACJPDP", 3, 1 },
50444		{ "ACJPDN", 2, 1 },
50445		{ "LSSDT", 1, 1 },
50446		{ "MTHOLD", 0, 1 },
50447	{ "MAC_PORT_RX_LINKD_RECEIVER_CONFIGURATION_MODE", 0x37700, 0 },
50448		{ "T5_RX_LINKEN", 15, 1 },
50449		{ "T5_RX_LINKRST", 14, 1 },
50450		{ "T5_RX_CFGWRT", 13, 1 },
50451		{ "T5_RX_CFGPTR", 11, 2 },
50452		{ "T5_RX_CFGEXT", 10, 1 },
50453		{ "T5_RX_CFGACT", 9, 1 },
50454		{ "T5_RX_MODE8023AZ", 8, 1 },
50455		{ "T5_RX_PLLSEL", 6, 2 },
50456		{ "T5_RX_DMSEL", 4, 2 },
50457		{ "T5_RX_BWSEL", 2, 2 },
50458		{ "T5_RX_RTSEL", 0, 2 },
50459	{ "MAC_PORT_RX_LINKD_RECEIVER_TEST_CONTROL", 0x37704, 0 },
50460		{ "APLYDCD", 15, 1 },
50461		{ "PPOL", 13, 2 },
50462		{ "PCLKSEL", 11, 2 },
50463		{ "FERRST", 10, 1 },
50464		{ "ERRST", 9, 1 },
50465		{ "SYNCST", 8, 1 },
50466		{ "WRPSM", 7, 1 },
50467		{ "WPLPEN", 6, 1 },
50468		{ "WRPMD", 5, 1 },
50469		{ "PRST", 4, 1 },
50470		{ "PCHKEN", 3, 1 },
50471		{ "PATSEL", 0, 3 },
50472	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_CONTROL", 0x37708, 0 },
50473		{ "FTHROT", 12, 4 },
50474		{ "RTHROT", 11, 1 },
50475		{ "FILTCTL", 7, 4 },
50476		{ "RSRVO", 5, 2 },
50477		{ "EXTEL", 4, 1 },
50478		{ "RSTUCK", 3, 1 },
50479		{ "FRZFW", 2, 1 },
50480		{ "RSTFW", 1, 1 },
50481		{ "SSCEN", 0, 1 },
50482	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_OFFSET_CONTROL", 0x3770c, 0 },
50483		{ "H1ANOFST", 12, 4 },
50484		{ "RSNP", 11, 1 },
50485		{ "TSOEN", 10, 1 },
50486		{ "TMSCAL", 8, 2 },
50487		{ "APADJ", 7, 1 },
50488		{ "RSEL", 6, 1 },
50489		{ "PHOFFS", 0, 6 },
50490	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_1", 0x37710, 0 },
50491		{ "ROTA", 8, 6 },
50492		{ "ROTD", 0, 6 },
50493	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_2", 0x37714, 0 },
50494		{ "FREQFW", 8, 8 },
50495		{ "FWSNAP", 7, 1 },
50496		{ "ROTE", 0, 6 },
50497	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x37718, 0 },
50498		{ "RCALER", 15, 1 },
50499		{ "RAOFFF", 8, 4 },
50500		{ "RAOFF", 0, 5 },
50501	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3771c, 0 },
50502		{ "RCALER", 15, 1 },
50503		{ "RDOFF", 0, 5 },
50504	{ "MAC_PORT_RX_LINKD_DFE_CONTROL", 0x37720, 0 },
50505		{ "REQCMP", 15, 1 },
50506		{ "DFEREQ", 14, 1 },
50507		{ "SPCEN", 13, 1 },
50508		{ "GATEEN", 12, 1 },
50509		{ "SPIFMT", 8, 4 },
50510		{ "STNDBY", 5, 1 },
50511		{ "FRCH", 4, 1 },
50512		{ "NONRND", 3, 1 },
50513		{ "NONRNF", 2, 1 },
50514		{ "FSTLCK", 1, 1 },
50515		{ "DFERST", 0, 1 },
50516	{ "MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_1", 0x37724, 0 },
50517		{ "T5BYTE1", 8, 8 },
50518		{ "T5BYTE0", 0, 8 },
50519	{ "MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_2", 0x37728, 0 },
50520		{ "REQWOV", 15, 1 },
50521		{ "RASEL", 11, 3 },
50522		{ "T5_RX_SMODE", 8, 3 },
50523		{ "T5_RX_ADCORR", 7, 1 },
50524		{ "T5_RX_TRAINEN", 6, 1 },
50525		{ "T5_RX_ASAMPQ", 3, 3 },
50526		{ "T5_RX_ASAMP", 0, 3 },
50527	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_1", 0x3772c, 0 },
50528		{ "WRAPSEL", 15, 1 },
50529		{ "ACTL", 14, 1 },
50530		{ "PEAK", 9, 5 },
50531		{ "VOFFA", 0, 6 },
50532	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_2", 0x37730, 0 },
50533		{ "FVOFFSKP", 15, 1 },
50534		{ "FGAINCHK", 14, 1 },
50535		{ "FH1ACAL", 13, 1 },
50536		{ "FH1AFLTR", 11, 2 },
50537		{ "T5SHORTV", 10, 1 },
50538		{ "WGAIN", 8, 2 },
50539		{ "GAIN_STAT", 7, 1 },
50540		{ "T5VGAIN", 0, 7 },
50541	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_3", 0x37734, 0 },
50542		{ "HBND1", 10, 1 },
50543		{ "HBND0", 9, 1 },
50544		{ "VLCKD", 8, 1 },
50545		{ "VLCKDF", 7, 1 },
50546		{ "AMAXT", 0, 7 },
50547	{ "MAC_PORT_RX_LINKD_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x37738, 0 },
50548		{ "PMCFG", 6, 2 },
50549		{ "PMOFFTIME", 0, 6 },
50550	{ "MAC_PORT_RX_LINKD_RECEIVER_IQAMP_CONTROL_1", 0x3773c, 0 },
50551		{ "SELI", 9, 1 },
50552		{ "SERVREF", 5, 3 },
50553		{ "IQAMP", 0, 5 },
50554	{ "MAC_PORT_RX_LINKD_RECEIVER_IQAMP_CONTROL_2", 0x37740, 0 },
50555	{ "MAC_PORT_RX_LINKD_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x37744, 0 },
50556		{ "SAVEADAC", 8, 1 },
50557		{ "LOAD2", 7, 1 },
50558		{ "LOAD1", 6, 1 },
50559		{ "WRTACC2", 5, 1 },
50560		{ "WRTACC1", 4, 1 },
50561		{ "SELAPAN", 3, 1 },
50562		{ "DASEL", 0, 3 },
50563	{ "MAC_PORT_RX_LINKD_RECEIVER_DACAP_AND_DACAN", 0x37748, 0 },
50564		{ "DACAN", 8, 8 },
50565		{ "DACAP", 0, 8 },
50566	{ "MAC_PORT_RX_LINKD_RECEIVER_DACA_MIN", 0x3774c, 0 },
50567		{ "DACAZ", 8, 8 },
50568		{ "DACAM", 0, 8 },
50569	{ "MAC_PORT_RX_LINKD_RECEIVER_ADAC_CONTROL", 0x37750, 0 },
50570		{ "ADAC2", 8, 8 },
50571		{ "ADAC1", 0, 8 },
50572	{ "MAC_PORT_RX_LINKD_RECEIVER_AC_COUPLING_CONTROL", 0x37754, 0 },
50573		{ "FACCPLDYN", 13, 1 },
50574		{ "ACCPLGAIN", 10, 3 },
50575		{ "ACCPLREF", 8, 2 },
50576		{ "ACCPLSTEP", 6, 2 },
50577		{ "ACCPLASTEP", 1, 5 },
50578		{ "FACCPL", 0, 1 },
50579	{ "MAC_PORT_RX_LINKD_RECEIVER_AC_COUPLING_VALUE", 0x37758, 0 },
50580		{ "ACCPLMEANS", 15, 1 },
50581		{ "CDROVREN", 8, 1 },
50582		{ "ACCPLBIAS", 0, 8 },
50583	{ "MAC_PORT_RX_LINKD_DFE_H1H2H3_LOCAL_OFFSET", 0x3775c, 0 },
50584	{ "MAC_PORT_RX_LINKD_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x37760, 0 },
50585		{ "H1OX", 8, 6 },
50586		{ "H1EX", 0, 6 },
50587	{ "MAC_PORT_RX_LINKD_PEAKED_INTEGRATOR", 0x37764, 0 },
50588		{ "PILOCK", 10, 1 },
50589		{ "UNPKPKA", 2, 6 },
50590		{ "UNPKVGA", 0, 2 },
50591	{ "MAC_PORT_RX_LINKD_CDR_ANALOG_SWITCH", 0x37768, 0 },
50592		{ "OVRAC", 15, 1 },
50593		{ "OVRPK", 14, 1 },
50594		{ "OVRTAILS", 12, 2 },
50595		{ "OVRTAILV", 9, 3 },
50596		{ "OVRCAP", 8, 1 },
50597		{ "OVRDCDPRE", 7, 1 },
50598		{ "OVRDCDPST", 6, 1 },
50599		{ "DCVSCTMODE", 2, 1 },
50600		{ "CDRANLGSW", 0, 2 },
50601	{ "MAC_PORT_RX_LINKD_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x3776c, 0 },
50602		{ "PFLAG", 5, 2 },
50603	{ "MAC_PORT_RX_LINKD_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x37770, 0 },
50604		{ "DACCLIP", 15, 1 },
50605		{ "DPCFRZ", 14, 1 },
50606		{ "DPCCVG", 13, 1 },
50607		{ "DACCVG", 12, 1 },
50608		{ "DPCLKNQ", 11, 1 },
50609		{ "DPCWDFE", 10, 1 },
50610		{ "DPCWPK", 9, 1 },
50611		{ "BLKH1T", 8, 1 },
50612		{ "BLKOAE", 7, 1 },
50613		{ "H1TGT", 4, 3 },
50614		{ "OAE", 0, 4 },
50615	{ "MAC_PORT_RX_LINKD_DYNAMIC_DATA_CENTERING_DDC", 0x37774, 0 },
50616		{ "OLS", 11, 5 },
50617		{ "OES", 6, 5 },
50618		{ "BLKODEC", 5, 1 },
50619		{ "VIEWSCAN", 4, 1 },
50620		{ "ODEC", 0, 4 },
50621	{ "MAC_PORT_RX_LINKD_RECEIVER_INTERNAL_STATUS", 0x37778, 0 },
50622		{ "T5BER6VAL", 15, 1 },
50623		{ "T5BER6", 14, 1 },
50624		{ "T5BER3VAL", 13, 1 },
50625		{ "T5TOOFAST", 12, 1 },
50626		{ "ACCCMP", 11, 1 },
50627		{ "DCCCMP", 10, 1 },
50628		{ "T5DPCCMP", 9, 1 },
50629		{ "T5DACCMP", 8, 1 },
50630		{ "T5DDCCMP", 7, 1 },
50631		{ "T5AERRFLG", 6, 1 },
50632		{ "T5WERRFLG", 5, 1 },
50633		{ "T5TRCMP", 4, 1 },
50634		{ "T5VLCKF", 3, 1 },
50635		{ "T5ROCCMP", 2, 1 },
50636		{ "T5IQCMP", 1, 1 },
50637		{ "T5OCCMP", 0, 1 },
50638	{ "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_1", 0x3777c, 0 },
50639		{ "FDPC", 15, 1 },
50640		{ "FDAC", 14, 1 },
50641		{ "FDDC", 13, 1 },
50642		{ "FNRND", 12, 1 },
50643		{ "FVGAIN", 11, 1 },
50644		{ "FVOFF", 10, 1 },
50645		{ "FSDET", 9, 1 },
50646		{ "FBER6", 8, 1 },
50647		{ "FROTO", 7, 1 },
50648		{ "FH4H5", 6, 1 },
50649		{ "FH2H3", 5, 1 },
50650		{ "FH1", 4, 1 },
50651		{ "FH1SN", 3, 1 },
50652		{ "FNRDF", 2, 1 },
50653		{ "FLOFF", 1, 1 },
50654		{ "FADAC", 0, 1 },
50655	{ "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_2", 0x37780, 0 },
50656		{ "H25SPC", 15, 1 },
50657		{ "FDCCAL", 14, 1 },
50658		{ "FROTCAL", 13, 1 },
50659		{ "FIQAMP", 12, 1 },
50660		{ "FRPTCALF", 11, 1 },
50661		{ "FINTCALGS", 10, 1 },
50662		{ "FDCC", 9, 1 },
50663		{ "FTOOFAST", 8, 1 },
50664		{ "FDCD", 7, 1 },
50665		{ "FDINV", 6, 1 },
50666		{ "FHGS", 5, 1 },
50667		{ "FH6H12", 4, 1 },
50668		{ "FH1CAL", 3, 1 },
50669		{ "FINTCAL", 2, 1 },
50670		{ "FINTRCALDYN", 1, 1 },
50671		{ "FQCC", 0, 1 },
50672	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_CHANNEL", 0x37784, 0 },
50673		{ "QCCIND", 13, 1 },
50674		{ "DCDIND", 10, 3 },
50675		{ "DCCIND", 8, 2 },
50676		{ "CFSEL", 5, 1 },
50677		{ "LOFCH", 0, 5 },
50678	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_VALUE", 0x37788, 0 },
50679		{ "LOFU", 8, 7 },
50680		{ "LOFL", 0, 7 },
50681	{ "MAC_PORT_RX_LINKD_H_COEFFICIENBT_BIST", 0x3778c, 0 },
50682		{ "HBISTMAN", 12, 1 },
50683		{ "HBISTRES", 11, 1 },
50684		{ "HBISTSP", 8, 3 },
50685		{ "HBISTEN", 7, 1 },
50686		{ "HBISTRST", 6, 1 },
50687		{ "HCOMP", 5, 1 },
50688		{ "HPASS", 4, 1 },
50689		{ "HSEL", 0, 4 },
50690	{ "MAC_PORT_RX_LINKD_AC_CAPACITOR_BIST", 0x37790, 0 },
50691		{ "ACCCMP", 13, 1 },
50692		{ "ACCEN", 12, 1 },
50693		{ "ACCRST", 11, 1 },
50694		{ "ACCIND", 8, 3 },
50695		{ "ACCRD", 0, 8 },
50696	{ "MAC_PORT_RX_LINKD_RECEIVER_LOFF_CONTROL_REGISTER", 0x37798, 0 },
50697		{ "LFREG", 15, 1 },
50698		{ "LFRC", 14, 1 },
50699		{ "LGIDLE", 13, 1 },
50700		{ "LFTGT", 8, 5 },
50701		{ "LGTGT", 7, 1 },
50702		{ "LRDY", 6, 1 },
50703		{ "LIDLE", 5, 1 },
50704		{ "LCURR", 0, 5 },
50705	{ "MAC_PORT_RX_LINKD_RECEIVER_SIGDET_CONTROL", 0x3779c, 0 },
50706		{ "OFFSN", 13, 2 },
50707		{ "OFFAMP", 8, 5 },
50708		{ "SDACDC", 7, 1 },
50709		{ "SDPDN", 6, 1 },
50710		{ "SIGDET", 5, 1 },
50711		{ "SDLVL", 0, 5 },
50712	{ "MAC_PORT_RX_LINKD_RECEIVER_ANALOG_CONTROL_SWITCH", 0x377a0, 0 },
50713		{ "RX_OVRSUMPD", 15, 1 },
50714		{ "RX_OVRKBPD", 14, 1 },
50715		{ "RX_OVRDIVPD", 13, 1 },
50716		{ "RX_OFFVGADIS", 12, 1 },
50717		{ "RX_OFFACDIS", 11, 1 },
50718		{ "RX_VTERM", 10, 1 },
50719		{ "RX_DISSPY2D", 8, 1 },
50720		{ "RX_OBSOVEN", 7, 1 },
50721		{ "RX_LINKANLGSW", 0, 7 },
50722	{ "MAC_PORT_RX_LINKD_INTEGRATOR_DAC_OFFSET", 0x377a4, 0 },
50723		{ "INTDACEGS", 13, 3 },
50724		{ "INTDACE", 8, 5 },
50725		{ "INTDACGS", 6, 2 },
50726		{ "INTDAC", 0, 6 },
50727	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_CONTROL", 0x377a8, 0 },
50728		{ "BLKAZ", 15, 1 },
50729		{ "WIDTH", 10, 5 },
50730		{ "MINWDTH", 5, 5 },
50731		{ "MINAMP", 0, 5 },
50732	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS", 0x377ac, 0 },
50733		{ "SMQM", 13, 3 },
50734		{ "SMQ", 5, 8 },
50735		{ "EMMD", 3, 2 },
50736		{ "EMBRDY", 2, 1 },
50737		{ "EMBUMP", 1, 1 },
50738		{ "EMEN", 0, 1 },
50739	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x377b0, 0 },
50740		{ "EMSF", 13, 1 },
50741		{ "EMDATA59", 12, 1 },
50742		{ "EMCNT", 4, 8 },
50743		{ "EMOFLO", 2, 1 },
50744		{ "EMCRST", 1, 1 },
50745		{ "EMCEN", 0, 1 },
50746	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x377b4, 0 },
50747		{ "SM2RDY", 15, 1 },
50748		{ "SM2RST", 14, 1 },
50749		{ "APDF", 0, 12 },
50750	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x377b8, 0 },
50751	{ "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_3", 0x377bc, 0 },
50752		{ "FTIMEOUT", 15, 1 },
50753		{ "FROTCAL4", 14, 1 },
50754		{ "FDCD2", 13, 1 },
50755		{ "FPRBSPOLTOG", 12, 1 },
50756		{ "FPRBSOFF2", 11, 1 },
50757		{ "FDDCAL2", 10, 1 },
50758		{ "FDDCFLTR", 9, 1 },
50759		{ "FDAC6", 8, 1 },
50760		{ "FDDC5", 7, 1 },
50761		{ "FDDC3456", 6, 1 },
50762		{ "FSPY2DATA", 5, 1 },
50763		{ "FPHSLOCK", 4, 1 },
50764		{ "FCLKALGN", 3, 1 },
50765		{ "FCLKALDYN", 2, 1 },
50766		{ "FDFE", 1, 1 },
50767		{ "FPRBSOFF", 0, 1 },
50768	{ "MAC_PORT_RX_LINKD_DFE_TAP_CONTROL", 0x377c0, 0 },
50769	{ "MAC_PORT_RX_LINKD_DFE_TAP", 0x377c4, 0 },
50770	{ "MAC_PORT_RX_LINKD_DFE_TAP_ENABLE", 0x36f00, 0 },
50771		{ "INDEX", 1, 15 },
50772	{ "MAC_PORT_RX_LINKD_DFE_H1", 0x36f04, 0 },
50773		{ "H1OSN", 13, 3 },
50774		{ "H1OMAG", 8, 5 },
50775		{ "H1ESN", 6, 2 },
50776		{ "H1EMAG", 0, 6 },
50777	{ "MAC_PORT_RX_LINKD_DFE_H2", 0x36f08, 0 },
50778		{ "H2OSN", 13, 2 },
50779		{ "H2OMAG", 8, 5 },
50780		{ "H2ESN", 5, 2 },
50781		{ "H2EMAG", 0, 5 },
50782	{ "MAC_PORT_RX_LINKD_DFE_H3", 0x36f0c, 0 },
50783		{ "H3OSN", 12, 2 },
50784		{ "H3OMAG", 8, 4 },
50785		{ "H3ESN", 4, 2 },
50786		{ "H3EMAG", 0, 4 },
50787	{ "MAC_PORT_RX_LINKD_DFE_H4", 0x36f10, 0 },
50788		{ "H4SN", 4, 2 },
50789		{ "H4MAG", 0, 4 },
50790	{ "MAC_PORT_RX_LINKD_DFE_H5", 0x36f14, 0 },
50791		{ "H5GS", 6, 2 },
50792		{ "H5SN", 4, 2 },
50793		{ "H5MAG", 0, 4 },
50794	{ "MAC_PORT_RX_LINKD_DFE_H6_AND_H7", 0x36f18, 0 },
50795		{ "H7GS", 14, 2 },
50796		{ "H7SN", 12, 2 },
50797		{ "H7MAG", 8, 4 },
50798		{ "H6GS", 6, 2 },
50799		{ "H6SN", 4, 2 },
50800		{ "H6MAG", 0, 4 },
50801	{ "MAC_PORT_RX_LINKD_DFE_H8_AND_H9", 0x36f1c, 0 },
50802		{ "H9GS", 14, 2 },
50803		{ "H9SN", 12, 2 },
50804		{ "H9MAG", 8, 4 },
50805		{ "H8GS", 6, 2 },
50806		{ "H8SN", 4, 2 },
50807		{ "H8MAG", 0, 4 },
50808	{ "MAC_PORT_RX_LINKD_DFE_H10_AND_H11", 0x36f20, 0 },
50809		{ "H11GS", 14, 2 },
50810		{ "H11SN", 12, 2 },
50811		{ "H11MAG", 8, 4 },
50812		{ "H10GS", 6, 2 },
50813		{ "H10SN", 4, 2 },
50814		{ "H10MAG", 0, 4 },
50815	{ "MAC_PORT_RX_LINKD_DFE_H12_13", 0x36f24, 0 },
50816		{ "H13GS", 13, 3 },
50817		{ "H13SN", 10, 3 },
50818		{ "H13MAG", 8, 2 },
50819		{ "H12GS", 6, 2 },
50820		{ "H12SN", 4, 2 },
50821		{ "H12MAG", 0, 4 },
50822	{ "MAC_PORT_RX_LINKD_DFE_H14_15", 0x36f28, 0 },
50823		{ "H15GS", 13, 3 },
50824		{ "H15SN", 10, 3 },
50825		{ "H15MAG", 8, 2 },
50826		{ "H14GS", 6, 2 },
50827		{ "H14SN", 4, 2 },
50828		{ "H14MAG", 0, 4 },
50829	{ "MAC_PORT_RX_LINKD_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x36f2c, 0 },
50830		{ "H1ODELTA", 8, 5 },
50831		{ "H1EDELTA", 0, 6 },
50832	{ "MAC_PORT_RX_LINKD_RECEIVER_INTERNAL_STATUS_2", 0x377e4, 0 },
50833		{ "STNDBYSTAT", 15, 1 },
50834		{ "CALSDONE", 14, 1 },
50835		{ "ACISRCCMP", 5, 1 },
50836		{ "PRBSOFFCMP", 4, 1 },
50837		{ "CLKALGNCMP", 3, 1 },
50838		{ "ROTFCMP", 2, 1 },
50839		{ "DCDCMP", 1, 1 },
50840		{ "QCCCMP", 0, 1 },
50841	{ "MAC_PORT_RX_LINKD_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x377e8, 0 },
50842		{ "FCSADJ", 6, 1 },
50843		{ "CSIND", 3, 2 },
50844		{ "CSVAL", 0, 3 },
50845	{ "MAC_PORT_RX_LINKD_RECEIVER_DCD_CONTROL", 0x377ec, 0 },
50846		{ "DCDTMDOUT", 15, 1 },
50847		{ "DCDTOEN", 14, 1 },
50848		{ "DCDLOCK", 13, 1 },
50849		{ "DCDSTEP", 11, 2 },
50850		{ "DCDALTWPDIS", 10, 1 },
50851		{ "DCDOVRDEN", 9, 1 },
50852		{ "DCCAOVRDEN", 8, 1 },
50853		{ "DCDSIGN", 6, 2 },
50854		{ "DCDAMP", 0, 6 },
50855	{ "MAC_PORT_RX_LINKD_RECEIVER_DCC_CONTROL", 0x377f0, 0 },
50856		{ "PRBSMODE", 14, 2 },
50857		{ "DCCSTEP", 10, 2 },
50858		{ "DCCOVRDEN", 9, 1 },
50859		{ "DCCLOCK", 8, 1 },
50860		{ "DCDSIGN", 6, 2 },
50861		{ "DCDAMP", 0, 6 },
50862	{ "MAC_PORT_RX_LINKD_RECEIVER_QCC_CONTROL", 0x377f4, 0 },
50863		{ "DCCQCCMODE", 15, 1 },
50864		{ "DCCQCCDYN", 14, 1 },
50865		{ "DCCQCCHOLD", 13, 1 },
50866		{ "QCCSTEP", 10, 2 },
50867		{ "QCCOVRDEN", 9, 1 },
50868		{ "QCCLOCK", 8, 1 },
50869		{ "QCCSIGN", 6, 2 },
50870		{ "QCDAMP", 0, 6 },
50871	{ "MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x377f8, 0 },
50872		{ "TSTCMP", 15, 1 },
50873		{ "SDLSSD", 5, 1 },
50874		{ "DFEOBSBIAS", 4, 1 },
50875		{ "GBOFSTLSSD", 3, 1 },
50876		{ "RXDOBS", 2, 1 },
50877		{ "ACJZPT", 1, 1 },
50878		{ "ACJZNT", 0, 1 },
50879	{ "MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_1", 0x377fc, 0 },
50880		{ "CALMODEEDGE", 14, 1 },
50881		{ "TESTCAP", 13, 1 },
50882		{ "SNAPEN", 12, 1 },
50883		{ "ASYNCDIR", 11, 1 },
50884		{ "PHSLOCK", 10, 1 },
50885		{ "TESTMODE", 9, 1 },
50886		{ "CALMODE", 8, 1 },
50887		{ "ACJPDP", 3, 1 },
50888		{ "ACJPDN", 2, 1 },
50889		{ "LSSDT", 1, 1 },
50890		{ "MTHOLD", 0, 1 },
50891	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_CONFIGURATION_MODE", 0x37a00, 0 },
50892		{ "T5_RX_LINKEN", 15, 1 },
50893		{ "T5_RX_LINKRST", 14, 1 },
50894		{ "T5_RX_CFGWRT", 13, 1 },
50895		{ "T5_RX_CFGPTR", 11, 2 },
50896		{ "T5_RX_CFGEXT", 10, 1 },
50897		{ "T5_RX_CFGACT", 9, 1 },
50898		{ "T5_RX_MODE8023AZ", 8, 1 },
50899		{ "T5_RX_PLLSEL", 6, 2 },
50900		{ "T5_RX_DMSEL", 4, 2 },
50901		{ "T5_RX_BWSEL", 2, 2 },
50902		{ "T5_RX_RTSEL", 0, 2 },
50903	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_TEST_CONTROL", 0x37a04, 0 },
50904		{ "APLYDCD", 15, 1 },
50905		{ "PPOL", 13, 2 },
50906		{ "PCLKSEL", 11, 2 },
50907		{ "FERRST", 10, 1 },
50908		{ "ERRST", 9, 1 },
50909		{ "SYNCST", 8, 1 },
50910		{ "WRPSM", 7, 1 },
50911		{ "WPLPEN", 6, 1 },
50912		{ "WRPMD", 5, 1 },
50913		{ "PRST", 4, 1 },
50914		{ "PCHKEN", 3, 1 },
50915		{ "PATSEL", 0, 3 },
50916	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_CONTROL", 0x37a08, 0 },
50917		{ "FTHROT", 12, 4 },
50918		{ "RTHROT", 11, 1 },
50919		{ "FILTCTL", 7, 4 },
50920		{ "RSRVO", 5, 2 },
50921		{ "EXTEL", 4, 1 },
50922		{ "RSTUCK", 3, 1 },
50923		{ "FRZFW", 2, 1 },
50924		{ "RSTFW", 1, 1 },
50925		{ "SSCEN", 0, 1 },
50926	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_OFFSET_CONTROL", 0x37a0c, 0 },
50927		{ "H1ANOFST", 12, 4 },
50928		{ "RSNP", 11, 1 },
50929		{ "TSOEN", 10, 1 },
50930		{ "TMSCAL", 8, 2 },
50931		{ "APADJ", 7, 1 },
50932		{ "RSEL", 6, 1 },
50933		{ "PHOFFS", 0, 6 },
50934	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_1", 0x37a10, 0 },
50935		{ "ROTA", 8, 6 },
50936		{ "ROTD", 0, 6 },
50937	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_2", 0x37a14, 0 },
50938		{ "FREQFW", 8, 8 },
50939		{ "FWSNAP", 7, 1 },
50940		{ "ROTE", 0, 6 },
50941	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x37a18, 0 },
50942		{ "RCALER", 15, 1 },
50943		{ "RAOFFF", 8, 4 },
50944		{ "RAOFF", 0, 5 },
50945	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x37a1c, 0 },
50946		{ "RCALER", 15, 1 },
50947		{ "RDOFF", 0, 5 },
50948	{ "MAC_PORT_RX_LINK_BCST_DFE_CONTROL", 0x37a20, 0 },
50949		{ "REQCMP", 15, 1 },
50950		{ "DFEREQ", 14, 1 },
50951		{ "SPCEN", 13, 1 },
50952		{ "GATEEN", 12, 1 },
50953		{ "SPIFMT", 8, 4 },
50954		{ "STNDBY", 5, 1 },
50955		{ "FRCH", 4, 1 },
50956		{ "NONRND", 3, 1 },
50957		{ "NONRNF", 2, 1 },
50958		{ "FSTLCK", 1, 1 },
50959		{ "DFERST", 0, 1 },
50960	{ "MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_1", 0x37a24, 0 },
50961		{ "T5BYTE1", 8, 8 },
50962		{ "T5BYTE0", 0, 8 },
50963	{ "MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_2", 0x37a28, 0 },
50964		{ "REQWOV", 15, 1 },
50965		{ "RASEL", 11, 3 },
50966		{ "T5_RX_SMODE", 8, 3 },
50967		{ "T5_RX_ADCORR", 7, 1 },
50968		{ "T5_RX_TRAINEN", 6, 1 },
50969		{ "T5_RX_ASAMPQ", 3, 3 },
50970		{ "T5_RX_ASAMP", 0, 3 },
50971	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_1", 0x37a2c, 0 },
50972		{ "WRAPSEL", 15, 1 },
50973		{ "ACTL", 14, 1 },
50974		{ "PEAK", 9, 5 },
50975		{ "VOFFA", 0, 6 },
50976	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_2", 0x37a30, 0 },
50977		{ "FVOFFSKP", 15, 1 },
50978		{ "FGAINCHK", 14, 1 },
50979		{ "FH1ACAL", 13, 1 },
50980		{ "FH1AFLTR", 11, 2 },
50981		{ "T5SHORTV", 10, 1 },
50982		{ "WGAIN", 8, 2 },
50983		{ "GAIN_STAT", 7, 1 },
50984		{ "T5VGAIN", 0, 7 },
50985	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_3", 0x37a34, 0 },
50986		{ "HBND1", 10, 1 },
50987		{ "HBND0", 9, 1 },
50988		{ "VLCKD", 8, 1 },
50989		{ "VLCKDF", 7, 1 },
50990		{ "AMAXT", 0, 7 },
50991	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x37a38, 0 },
50992		{ "PMCFG", 6, 2 },
50993		{ "PMOFFTIME", 0, 6 },
50994	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_IQAMP_CONTROL_1", 0x37a3c, 0 },
50995		{ "SELI", 9, 1 },
50996		{ "SERVREF", 5, 3 },
50997		{ "IQAMP", 0, 5 },
50998	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_IQAMP_CONTROL_2", 0x37a40, 0 },
50999	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x37a44, 0 },
51000		{ "SAVEADAC", 8, 1 },
51001		{ "LOAD2", 7, 1 },
51002		{ "LOAD1", 6, 1 },
51003		{ "WRTACC2", 5, 1 },
51004		{ "WRTACC1", 4, 1 },
51005		{ "SELAPAN", 3, 1 },
51006		{ "DASEL", 0, 3 },
51007	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DACAP_AND_DACAN", 0x37a48, 0 },
51008		{ "DACAN", 8, 8 },
51009		{ "DACAP", 0, 8 },
51010	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DACA_MIN", 0x37a4c, 0 },
51011		{ "DACAZ", 8, 8 },
51012		{ "DACAM", 0, 8 },
51013	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_ADAC_CONTROL", 0x37a50, 0 },
51014		{ "ADAC2", 8, 8 },
51015		{ "ADAC1", 0, 8 },
51016	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_AC_COUPLING_CONTROL", 0x37a54, 0 },
51017		{ "FACCPLDYN", 13, 1 },
51018		{ "ACCPLGAIN", 10, 3 },
51019		{ "ACCPLREF", 8, 2 },
51020		{ "ACCPLSTEP", 6, 2 },
51021		{ "ACCPLASTEP", 1, 5 },
51022		{ "FACCPL", 0, 1 },
51023	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_AC_COUPLING_VALUE", 0x37a58, 0 },
51024		{ "ACCPLMEANS", 15, 1 },
51025		{ "CDROVREN", 8, 1 },
51026		{ "ACCPLBIAS", 0, 8 },
51027	{ "MAC_PORT_RX_LINK_BCST_DFE_H1H2H3_LOCAL_OFFSET", 0x37a5c, 0 },
51028	{ "MAC_PORT_RX_LINK_BCST_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x37a60, 0 },
51029		{ "H1OX", 8, 6 },
51030		{ "H1EX", 0, 6 },
51031	{ "MAC_PORT_RX_LINK_BCST_PEAKED_INTEGRATOR", 0x37a64, 0 },
51032		{ "PILOCK", 10, 1 },
51033		{ "UNPKPKA", 2, 6 },
51034		{ "UNPKVGA", 0, 2 },
51035	{ "MAC_PORT_RX_LINK_BCST_CDR_ANALOG_SWITCH", 0x37a68, 0 },
51036		{ "OVRAC", 15, 1 },
51037		{ "OVRPK", 14, 1 },
51038		{ "OVRTAILS", 12, 2 },
51039		{ "OVRTAILV", 9, 3 },
51040		{ "OVRCAP", 8, 1 },
51041		{ "OVRDCDPRE", 7, 1 },
51042		{ "OVRDCDPST", 6, 1 },
51043		{ "DCVSCTMODE", 2, 1 },
51044		{ "CDRANLGSW", 0, 2 },
51045	{ "MAC_PORT_RX_LINK_BCST_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x37a6c, 0 },
51046		{ "PFLAG", 5, 2 },
51047	{ "MAC_PORT_RX_LINK_BCST_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x37a70, 0 },
51048		{ "DACCLIP", 15, 1 },
51049		{ "DPCFRZ", 14, 1 },
51050		{ "DPCCVG", 13, 1 },
51051		{ "DACCVG", 12, 1 },
51052		{ "DPCLKNQ", 11, 1 },
51053		{ "DPCWDFE", 10, 1 },
51054		{ "DPCWPK", 9, 1 },
51055		{ "BLKH1T", 8, 1 },
51056		{ "BLKOAE", 7, 1 },
51057		{ "H1TGT", 4, 3 },
51058		{ "OAE", 0, 4 },
51059	{ "MAC_PORT_RX_LINK_BCST_DYNAMIC_DATA_CENTERING_DDC", 0x37a74, 0 },
51060		{ "OLS", 11, 5 },
51061		{ "OES", 6, 5 },
51062		{ "BLKODEC", 5, 1 },
51063		{ "VIEWSCAN", 4, 1 },
51064		{ "ODEC", 0, 4 },
51065	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_INTERNAL_STATUS", 0x37a78, 0 },
51066		{ "T5BER6VAL", 15, 1 },
51067		{ "T5BER6", 14, 1 },
51068		{ "T5BER3VAL", 13, 1 },
51069		{ "T5TOOFAST", 12, 1 },
51070		{ "ACCCMP", 11, 1 },
51071		{ "DCCCMP", 10, 1 },
51072		{ "T5DPCCMP", 9, 1 },
51073		{ "T5DACCMP", 8, 1 },
51074		{ "T5DDCCMP", 7, 1 },
51075		{ "T5AERRFLG", 6, 1 },
51076		{ "T5WERRFLG", 5, 1 },
51077		{ "T5TRCMP", 4, 1 },
51078		{ "T5VLCKF", 3, 1 },
51079		{ "T5ROCCMP", 2, 1 },
51080		{ "T5IQCMP", 1, 1 },
51081		{ "T5OCCMP", 0, 1 },
51082	{ "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_1", 0x37a7c, 0 },
51083		{ "FDPC", 15, 1 },
51084		{ "FDAC", 14, 1 },
51085		{ "FDDC", 13, 1 },
51086		{ "FNRND", 12, 1 },
51087		{ "FVGAIN", 11, 1 },
51088		{ "FVOFF", 10, 1 },
51089		{ "FSDET", 9, 1 },
51090		{ "FBER6", 8, 1 },
51091		{ "FROTO", 7, 1 },
51092		{ "FH4H5", 6, 1 },
51093		{ "FH2H3", 5, 1 },
51094		{ "FH1", 4, 1 },
51095		{ "FH1SN", 3, 1 },
51096		{ "FNRDF", 2, 1 },
51097		{ "FLOFF", 1, 1 },
51098		{ "FADAC", 0, 1 },
51099	{ "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_2", 0x37a80, 0 },
51100		{ "H25SPC", 15, 1 },
51101		{ "FDCCAL", 14, 1 },
51102		{ "FROTCAL", 13, 1 },
51103		{ "FIQAMP", 12, 1 },
51104		{ "FRPTCALF", 11, 1 },
51105		{ "FINTCALGS", 10, 1 },
51106		{ "FDCC", 9, 1 },
51107		{ "FTOOFAST", 8, 1 },
51108		{ "FDCD", 7, 1 },
51109		{ "FDINV", 6, 1 },
51110		{ "FHGS", 5, 1 },
51111		{ "FH6H12", 4, 1 },
51112		{ "FH1CAL", 3, 1 },
51113		{ "FINTCAL", 2, 1 },
51114		{ "FINTRCALDYN", 1, 1 },
51115		{ "FQCC", 0, 1 },
51116	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_CHANNEL", 0x37a84, 0 },
51117		{ "QCCIND", 13, 1 },
51118		{ "DCDIND", 10, 3 },
51119		{ "DCCIND", 8, 2 },
51120		{ "CFSEL", 5, 1 },
51121		{ "LOFCH", 0, 5 },
51122	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_VALUE", 0x37a88, 0 },
51123		{ "LOFU", 8, 7 },
51124		{ "LOFL", 0, 7 },
51125	{ "MAC_PORT_RX_LINK_BCST_H_COEFFICIENBT_BIST", 0x37a8c, 0 },
51126		{ "HBISTMAN", 12, 1 },
51127		{ "HBISTRES", 11, 1 },
51128		{ "HBISTSP", 8, 3 },
51129		{ "HBISTEN", 7, 1 },
51130		{ "HBISTRST", 6, 1 },
51131		{ "HCOMP", 5, 1 },
51132		{ "HPASS", 4, 1 },
51133		{ "HSEL", 0, 4 },
51134	{ "MAC_PORT_RX_LINK_BCST_AC_CAPACITOR_BIST", 0x37a90, 0 },
51135		{ "ACCCMP", 13, 1 },
51136		{ "ACCEN", 12, 1 },
51137		{ "ACCRST", 11, 1 },
51138		{ "ACCIND", 8, 3 },
51139		{ "ACCRD", 0, 8 },
51140	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_LOFF_CONTROL_REGISTER", 0x37a98, 0 },
51141		{ "LFREG", 15, 1 },
51142		{ "LFRC", 14, 1 },
51143		{ "LGIDLE", 13, 1 },
51144		{ "LFTGT", 8, 5 },
51145		{ "LGTGT", 7, 1 },
51146		{ "LRDY", 6, 1 },
51147		{ "LIDLE", 5, 1 },
51148		{ "LCURR", 0, 5 },
51149	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_SIGDET_CONTROL", 0x37a9c, 0 },
51150		{ "OFFSN", 13, 2 },
51151		{ "OFFAMP", 8, 5 },
51152		{ "SDACDC", 7, 1 },
51153		{ "SDPDN", 6, 1 },
51154		{ "SIGDET", 5, 1 },
51155		{ "SDLVL", 0, 5 },
51156	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_ANALOG_CONTROL_SWITCH", 0x37aa0, 0 },
51157		{ "RX_OVRSUMPD", 15, 1 },
51158		{ "RX_OVRKBPD", 14, 1 },
51159		{ "RX_OVRDIVPD", 13, 1 },
51160		{ "RX_OFFVGADIS", 12, 1 },
51161		{ "RX_OFFACDIS", 11, 1 },
51162		{ "RX_VTERM", 10, 1 },
51163		{ "RX_DISSPY2D", 8, 1 },
51164		{ "RX_OBSOVEN", 7, 1 },
51165		{ "RX_LINKANLGSW", 0, 7 },
51166	{ "MAC_PORT_RX_LINK_BCST_INTEGRATOR_DAC_OFFSET", 0x37aa4, 0 },
51167		{ "INTDACEGS", 13, 3 },
51168		{ "INTDACE", 8, 5 },
51169		{ "INTDACGS", 6, 2 },
51170		{ "INTDAC", 0, 6 },
51171	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_CONTROL", 0x37aa8, 0 },
51172		{ "BLKAZ", 15, 1 },
51173		{ "WIDTH", 10, 5 },
51174		{ "MINWDTH", 5, 5 },
51175		{ "MINAMP", 0, 5 },
51176	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS", 0x37aac, 0 },
51177		{ "SMQM", 13, 3 },
51178		{ "SMQ", 5, 8 },
51179		{ "EMMD", 3, 2 },
51180		{ "EMBRDY", 2, 1 },
51181		{ "EMBUMP", 1, 1 },
51182		{ "EMEN", 0, 1 },
51183	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x37ab0, 0 },
51184		{ "EMSF", 13, 1 },
51185		{ "EMDATA59", 12, 1 },
51186		{ "EMCNT", 4, 8 },
51187		{ "EMOFLO", 2, 1 },
51188		{ "EMCRST", 1, 1 },
51189		{ "EMCEN", 0, 1 },
51190	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x37ab4, 0 },
51191		{ "SM2RDY", 15, 1 },
51192		{ "SM2RST", 14, 1 },
51193		{ "APDF", 0, 12 },
51194	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x37ab8, 0 },
51195	{ "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_3", 0x37abc, 0 },
51196		{ "FTIMEOUT", 15, 1 },
51197		{ "FROTCAL4", 14, 1 },
51198		{ "FDCD2", 13, 1 },
51199		{ "FPRBSPOLTOG", 12, 1 },
51200		{ "FPRBSOFF2", 11, 1 },
51201		{ "FDDCAL2", 10, 1 },
51202		{ "FDDCFLTR", 9, 1 },
51203		{ "FDAC6", 8, 1 },
51204		{ "FDDC5", 7, 1 },
51205		{ "FDDC3456", 6, 1 },
51206		{ "FSPY2DATA", 5, 1 },
51207		{ "FPHSLOCK", 4, 1 },
51208		{ "FCLKALGN", 3, 1 },
51209		{ "FCLKALDYN", 2, 1 },
51210		{ "FDFE", 1, 1 },
51211		{ "FPRBSOFF", 0, 1 },
51212	{ "MAC_PORT_RX_LINK_BCST_DFE_TAP_CONTROL", 0x37ac0, 0 },
51213	{ "MAC_PORT_RX_LINK_BCST_DFE_TAP", 0x37ac4, 0 },
51214	{ "MAC_PORT_RX_LINK_BCST_DFE_TAP_ENABLE", 0x37200, 0 },
51215		{ "INDEX", 1, 15 },
51216	{ "MAC_PORT_RX_LINK_BCST_DFE_H1", 0x37204, 0 },
51217		{ "H1OSN", 13, 3 },
51218		{ "H1OMAG", 8, 5 },
51219		{ "H1ESN", 6, 2 },
51220		{ "H1EMAG", 0, 6 },
51221	{ "MAC_PORT_RX_LINK_BCST_DFE_H2", 0x37208, 0 },
51222		{ "H2OSN", 13, 2 },
51223		{ "H2OMAG", 8, 5 },
51224		{ "H2ESN", 5, 2 },
51225		{ "H2EMAG", 0, 5 },
51226	{ "MAC_PORT_RX_LINK_BCST_DFE_H3", 0x3720c, 0 },
51227		{ "H3OSN", 12, 2 },
51228		{ "H3OMAG", 8, 4 },
51229		{ "H3ESN", 4, 2 },
51230		{ "H3EMAG", 0, 4 },
51231	{ "MAC_PORT_RX_LINK_BCST_DFE_H4", 0x37210, 0 },
51232		{ "H4SN", 4, 2 },
51233		{ "H4MAG", 0, 4 },
51234	{ "MAC_PORT_RX_LINK_BCST_DFE_H5", 0x37214, 0 },
51235		{ "H5GS", 6, 2 },
51236		{ "H5SN", 4, 2 },
51237		{ "H5MAG", 0, 4 },
51238	{ "MAC_PORT_RX_LINK_BCST_DFE_H6_AND_H7", 0x37218, 0 },
51239		{ "H7GS", 14, 2 },
51240		{ "H7SN", 12, 2 },
51241		{ "H7MAG", 8, 4 },
51242		{ "H6GS", 6, 2 },
51243		{ "H6SN", 4, 2 },
51244		{ "H6MAG", 0, 4 },
51245	{ "MAC_PORT_RX_LINK_BCST_DFE_H8_AND_H9", 0x3721c, 0 },
51246		{ "H9GS", 14, 2 },
51247		{ "H9SN", 12, 2 },
51248		{ "H9MAG", 8, 4 },
51249		{ "H8GS", 6, 2 },
51250		{ "H8SN", 4, 2 },
51251		{ "H8MAG", 0, 4 },
51252	{ "MAC_PORT_RX_LINK_BCST_DFE_H10_AND_H11", 0x37220, 0 },
51253		{ "H11GS", 14, 2 },
51254		{ "H11SN", 12, 2 },
51255		{ "H11MAG", 8, 4 },
51256		{ "H10GS", 6, 2 },
51257		{ "H10SN", 4, 2 },
51258		{ "H10MAG", 0, 4 },
51259	{ "MAC_PORT_RX_LINK_BCST_DFE_H12_13", 0x37224, 0 },
51260		{ "H13GS", 13, 3 },
51261		{ "H13SN", 10, 3 },
51262		{ "H13MAG", 8, 2 },
51263		{ "H12GS", 6, 2 },
51264		{ "H12SN", 4, 2 },
51265		{ "H12MAG", 0, 4 },
51266	{ "MAC_PORT_RX_LINK_BCST_DFE_H14_15", 0x37228, 0 },
51267		{ "H15GS", 13, 3 },
51268		{ "H15SN", 10, 3 },
51269		{ "H15MAG", 8, 2 },
51270		{ "H14GS", 6, 2 },
51271		{ "H14SN", 4, 2 },
51272		{ "H14MAG", 0, 4 },
51273	{ "MAC_PORT_RX_LINK_BCST_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x3722c, 0 },
51274		{ "H1ODELTA", 8, 5 },
51275		{ "H1EDELTA", 0, 6 },
51276	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_INTERNAL_STATUS_2", 0x37ae4, 0 },
51277		{ "STNDBYSTAT", 15, 1 },
51278		{ "CALSDONE", 14, 1 },
51279		{ "ACISRCCMP", 5, 1 },
51280		{ "PRBSOFFCMP", 4, 1 },
51281		{ "CLKALGNCMP", 3, 1 },
51282		{ "ROTFCMP", 2, 1 },
51283		{ "DCDCMP", 1, 1 },
51284		{ "QCCCMP", 0, 1 },
51285	{ "MAC_PORT_RX_LINK_BCST_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x37ae8, 0 },
51286		{ "FCSADJ", 6, 1 },
51287		{ "CSIND", 3, 2 },
51288		{ "CSVAL", 0, 3 },
51289	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DCD_CONTROL", 0x37aec, 0 },
51290		{ "DCDTMDOUT", 15, 1 },
51291		{ "DCDTOEN", 14, 1 },
51292		{ "DCDLOCK", 13, 1 },
51293		{ "DCDSTEP", 11, 2 },
51294		{ "DCDALTWPDIS", 10, 1 },
51295		{ "DCDOVRDEN", 9, 1 },
51296		{ "DCCAOVRDEN", 8, 1 },
51297		{ "DCDSIGN", 6, 2 },
51298		{ "DCDAMP", 0, 6 },
51299	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DCC_CONTROL", 0x37af0, 0 },
51300		{ "PRBSMODE", 14, 2 },
51301		{ "DCCSTEP", 10, 2 },
51302		{ "DCCOVRDEN", 9, 1 },
51303		{ "DCCLOCK", 8, 1 },
51304		{ "DCDSIGN", 6, 2 },
51305		{ "DCDAMP", 0, 6 },
51306	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_QCC_CONTROL", 0x37af4, 0 },
51307		{ "DCCQCCMODE", 15, 1 },
51308		{ "DCCQCCDYN", 14, 1 },
51309		{ "DCCQCCHOLD", 13, 1 },
51310		{ "QCCSTEP", 10, 2 },
51311		{ "QCCOVRDEN", 9, 1 },
51312		{ "QCCLOCK", 8, 1 },
51313		{ "QCCSIGN", 6, 2 },
51314		{ "QCDAMP", 0, 6 },
51315	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x37af8, 0 },
51316		{ "TSTCMP", 15, 1 },
51317		{ "SDLSSD", 5, 1 },
51318		{ "DFEOBSBIAS", 4, 1 },
51319		{ "GBOFSTLSSD", 3, 1 },
51320		{ "RXDOBS", 2, 1 },
51321		{ "ACJZPT", 1, 1 },
51322		{ "ACJZNT", 0, 1 },
51323	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_1", 0x37afc, 0 },
51324		{ "CALMODEEDGE", 14, 1 },
51325		{ "TESTCAP", 13, 1 },
51326		{ "SNAPEN", 12, 1 },
51327		{ "ASYNCDIR", 11, 1 },
51328		{ "PHSLOCK", 10, 1 },
51329		{ "TESTMODE", 9, 1 },
51330		{ "CALMODE", 8, 1 },
51331		{ "ACJPDP", 3, 1 },
51332		{ "ACJPDN", 2, 1 },
51333		{ "LSSDT", 1, 1 },
51334		{ "MTHOLD", 0, 1 },
51335	{ NULL }
51336};
51337
51338struct reg_info t6_mc_0_regs[] = {
51339	{ "MC_DDRPHY_PC_DP18_PLL_LOCK_STATUS", 0x47000, 0 },
51340		{ "DP18_PLL_LOCK", 1, 15 },
51341	{ "MC_DDRPHY_PC_AD32S_PLL_LOCK_STATUS", 0x47004, 0 },
51342		{ "AD32S_PLL_LOCK", 14, 2 },
51343	{ "MC_DDRPHY_PC_RANK_PAIR0", 0x47008, 0 },
51344		{ "RANK_PAIR0_PRI", 13, 3 },
51345		{ "RANK_PAIR0_PRI_V", 12, 1 },
51346		{ "RANK_PAIR0_SEC", 9, 3 },
51347		{ "RANK_PAIR0_SEC_V", 8, 1 },
51348		{ "RANK_PAIR1_PRI", 5, 3 },
51349		{ "RANK_PAIR1_PRI_V", 4, 1 },
51350		{ "RANK_PAIR1_SEC", 1, 3 },
51351		{ "RANK_PAIR1_SEC_V", 0, 1 },
51352	{ "MC_DDRPHY_PC_RANK_PAIR1", 0x4700c, 0 },
51353		{ "RANK_PAIR2_PRI", 13, 3 },
51354		{ "RANK_PAIR2_PRI_V", 12, 1 },
51355		{ "RANK_PAIR2_SEC", 9, 3 },
51356		{ "RANK_PAIR2_SEC_V", 8, 1 },
51357		{ "RANK_PAIR3_PRI", 5, 3 },
51358		{ "RANK_PAIR3_PRI_V", 4, 1 },
51359		{ "RANK_PAIR3_SEC", 1, 3 },
51360		{ "RANK_PAIR3_SEC_V", 0, 1 },
51361	{ "MC_DDRPHY_PC_BASE_CNTR0", 0x47010, 0 },
51362	{ "MC_DDRPHY_PC_RELOAD_VALUE0", 0x47014, 0 },
51363		{ "PERIODIC_CAL_REQ_EN", 15, 1 },
51364		{ "PERIODIC_RELOAD_VALUE0", 0, 15 },
51365	{ "MC_DDRPHY_PC_BASE_CNTR1", 0x47018, 0 },
51366	{ "MC_DDRPHY_PC_CAL_TIMER", 0x4701c, 0 },
51367	{ "MC_DDRPHY_PC_CAL_TIMER_RELOAD_VALUE", 0x47020, 0 },
51368	{ "MC_DDRPHY_PC_ZCAL_TIMER", 0x47024, 0 },
51369	{ "MC_DDRPHY_PC_ZCAL_TIMER_RELOAD_VALUE", 0x47028, 0 },
51370	{ "MC_DDRPHY_PC_PER_CAL_CONFIG", 0x4702c, 0 },
51371		{ "PER_ENA_RANK_PAIR", 12, 4 },
51372		{ "PER_ENA_ZCAL", 11, 1 },
51373		{ "PER_ENA_SYSCLK_ALIGN", 10, 1 },
51374		{ "ENA_PER_RD_CTR", 9, 1 },
51375		{ "ENA_PER_RDCLK_ALIGN", 8, 1 },
51376		{ "ENA_PER_DQS_ALIGN", 7, 1 },
51377		{ "PER_NEXT_RANK_PAIR", 5, 2 },
51378		{ "FAST_SIM_PER_CNTR", 4, 1 },
51379		{ "START_INIT_CAL", 3, 1 },
51380		{ "START_PER_CAL", 2, 1 },
51381	{ "MC_DDRPHY_PC_PER_ZCAL_CONFIG", 0x4703c, 0 },
51382		{ "PER_ZCAL_ENA_RANK", 8, 8 },
51383		{ "PER_ZCAL_NEXT_RANK", 5, 3 },
51384		{ "START_PER_ZCAL", 4, 1 },
51385	{ "MC_DDRPHY_PC_CONFIG0", 0x47030, 0 },
51386		{ "DDRPHY_PROTOCOL", 12, 4 },
51387		{ "DATA_MUX4_1MODE", 11, 1 },
51388		{ "SPAM_EN", 10, 1 },
51389		{ "DDR4_CMD_SIG_REDUCTION", 9, 1 },
51390		{ "SYSCLK_2X_MEMINTCLKO", 8, 1 },
51391		{ "LOW_LATENCY", 3, 1 },
51392		{ "DDR4_IPW_LOOP_DIS", 2, 1 },
51393		{ "DDR4_VLEVEL_BANK_GROUP", 1, 1 },
51394	{ "MC_DDRPHY_PC_CONFIG1", 0x47034, 0 },
51395		{ "WRITE_LATENCY_OFFSET", 12, 4 },
51396		{ "READ_LATENCY_OFFSET", 8, 4 },
51397		{ "MEMCTL_CIC_FAST", 7, 1 },
51398		{ "MEMCTL_CIS_IGNORE", 6, 1 },
51399		{ "DISABLE_MEMCTL_CAL", 5, 1 },
51400		{ "MEMORY_TYPE", 2, 3 },
51401		{ "DDR4_PDA_MODE", 1, 1 },
51402	{ "MC_DDRPHY_PC_RESETS", 0x47038, 0 },
51403		{ "PLL_RESET", 15, 1 },
51404		{ "SYSCLK_RESET", 14, 1 },
51405	{ "MC_DDRPHY_PC_ERROR_STATUS0", 0x47048, 0 },
51406		{ "RC_ERROR", 15, 1 },
51407		{ "WC_ERROR", 14, 1 },
51408		{ "SEQ_ERROR", 13, 1 },
51409		{ "CC_ERROR", 12, 1 },
51410		{ "APB_ERROR", 11, 1 },
51411		{ "PC_ERROR", 10, 1 },
51412	{ "MC_DDRPHY_PC_ERROR_MASK0", 0x4704c, 0 },
51413		{ "RC_ERROR_MASK", 15, 1 },
51414		{ "WC_ERROR_MASK", 14, 1 },
51415		{ "SEQ_ERROR_MASK", 13, 1 },
51416		{ "CC_ERROR_MASK", 12, 1 },
51417		{ "APB_ERROR_MASK", 11, 1 },
51418		{ "PC_ERROR_MASK", 10, 1 },
51419	{ "MC_DDRPHY_PC_IO_PVT_FET_CONTROL", 0x47050, 0 },
51420		{ "PVTP", 11, 5 },
51421		{ "PVTN", 6, 5 },
51422		{ "PVT_OVERRIDE", 5, 1 },
51423		{ "ENABLE_ZCAL", 4, 1 },
51424	{ "MC_DDRPHY_PC_VREF_DRV_CONTROL", 0x47054, 0 },
51425		{ "VREFDQ0DSGN", 15, 1 },
51426		{ "VREFDQ0D", 11, 4 },
51427		{ "VREFDQ1DSGN", 10, 1 },
51428		{ "VREFDQ1D", 6, 4 },
51429		{ "EN_ANALOG_PD", 3, 1 },
51430		{ "ANALOG_PD_DLY", 2, 1 },
51431		{ "ANALOG_PD_DIV", 0, 2 },
51432	{ "MC_DDRPHY_PC_INIT_CAL_CONFIG0", 0x47058, 0 },
51433		{ "ENA_WR_LEVEL", 15, 1 },
51434		{ "ENA_INITIAL_PAT_WR", 14, 1 },
51435		{ "ENA_DQS_ALIGN", 13, 1 },
51436		{ "ENA_RDCLK_ALIGN", 12, 1 },
51437		{ "ENA_READ_CTR", 11, 1 },
51438		{ "ENA_WRITE_CTR", 10, 1 },
51439		{ "ENA_INITIAL_COARSE_WR", 9, 1 },
51440		{ "ENA_COARSE_RD", 8, 1 },
51441		{ "ENA_CUSTOM_RD", 7, 1 },
51442		{ "ENA_CUSTOM_WR", 6, 1 },
51443		{ "ABORT_ON_CAL_ERROR", 5, 1 },
51444		{ "ENA_DIGITAL_EYE", 4, 1 },
51445		{ "ENA_RANK_PAIR", 0, 4 },
51446	{ "MC_DDRPHY_PC_INIT_CAL_CONFIG1", 0x4705c, 0 },
51447		{ "REFRESH_COUNT", 12, 4 },
51448		{ "REFRESH_CONTROL", 10, 2 },
51449		{ "REFRESH_ALL_RANKS", 9, 1 },
51450		{ "REFRESH_INTERVAL", 0, 7 },
51451	{ "MC_DDRPHY_PC_INIT_CAL_ERROR", 0x47060, 0 },
51452		{ "ERROR_WR_LEVEL", 15, 1 },
51453		{ "ERROR_INITIAL_PAT_WRITE", 14, 1 },
51454		{ "ERROR_DQS_ALIGN", 13, 1 },
51455		{ "ERROR_RDCLK_ALIGN", 12, 1 },
51456		{ "ERROR_READ_CTR", 11, 1 },
51457		{ "ERROR_WRITE_CTR", 10, 1 },
51458		{ "ERROR_INITIAL_COARSE_WR", 9, 1 },
51459		{ "ERROR_COARSE_RD", 8, 1 },
51460		{ "ERROR_CUSTOM_RD", 7, 1 },
51461		{ "ERROR_CUSTOM_WR", 6, 1 },
51462		{ "ERROR_DIGITAL_EYE", 5, 1 },
51463		{ "ERROR_RANK_PAIR", 0, 4 },
51464	{ "MC_DDRPHY_PC_INIT_CAL_MASK", 0x47068, 0 },
51465		{ "ERROR_WR_LEVEL_MASK", 15, 1 },
51466		{ "ERROR_INITIAL_PAT_WRITE_MASK", 14, 1 },
51467		{ "ERROR_DQS_ALIGN_MASK", 13, 1 },
51468		{ "ERROR_RDCLK_ALIGN_MASK", 12, 1 },
51469		{ "ERROR_READ_CTR_MASK", 11, 1 },
51470		{ "ERROR_WRITE_CTR_MASK", 10, 1 },
51471		{ "ERROR_INITIAL_COARSE_WR_MASK", 9, 1 },
51472		{ "ERROR_COARSE_RD_MASK", 8, 1 },
51473		{ "ERROR_CUSTOM_RD_MASK", 7, 1 },
51474		{ "ERROR_CUSTOM_WR_MASK", 6, 1 },
51475		{ "ERROR_DIGITAL_EYE_MASK", 5, 1 },
51476	{ "MC_DDRPHY_PC_INIT_CAL_STATUS", 0x47064, 0 },
51477		{ "INIT_CAL_COMPLETE", 12, 4 },
51478		{ "PER_CAL_ABORT", 6, 1 },
51479	{ "MC_DDRPHY_PC_IO_PVT_FET_STATUS", 0x4706c, 0 },
51480		{ "PVTP", 11, 5 },
51481		{ "PVTN", 6, 5 },
51482	{ "MC_DDRPHY_PC_MR0_PRI_RP", 0x47070, 0 },
51483	{ "MC_DDRPHY_PC_MR1_PRI_RP", 0x47074, 0 },
51484	{ "MC_DDRPHY_PC_MR2_PRI_RP", 0x47078, 0 },
51485	{ "MC_DDRPHY_PC_MR3_PRI_RP", 0x4707c, 0 },
51486	{ "MC_DDRPHY_PC_MR0_SEC_RP", 0x47080, 0 },
51487	{ "MC_DDRPHY_PC_MR1_SEC_RP", 0x47084, 0 },
51488	{ "MC_DDRPHY_PC_MR2_SEC_RP", 0x47088, 0 },
51489	{ "MC_DDRPHY_PC_MR3_SEC_RP", 0x4708c, 0 },
51490	{ "MC_DDRPHY_PC_RANK_GROUP", 0x47044, 0 },
51491		{ "ADDR_MIRROR_RP0_PRI", 15, 1 },
51492		{ "ADDR_MIRROR_RP0_SEC", 14, 1 },
51493		{ "ADDR_MIRROR_RP1_PRI", 13, 1 },
51494		{ "ADDR_MIRROR_RP1_SEC", 12, 1 },
51495		{ "ADDR_MIRROR_RP2_PRI", 11, 1 },
51496		{ "ADDR_MIRROR_RP2_SEC", 10, 1 },
51497		{ "ADDR_MIRROR_RP3_PRI", 9, 1 },
51498		{ "ADDR_MIRROR_RP3_SEC", 8, 1 },
51499		{ "RANK_GROUPING", 6, 2 },
51500		{ "ADDR_MIRROR_A3_A4", 5, 1 },
51501		{ "ADDR_MIRROR_A5_A6", 4, 1 },
51502		{ "ADDR_MIRROR_A7_A8", 3, 1 },
51503		{ "ADDR_MIRROR_A11_A13", 2, 1 },
51504		{ "ADDR_MIRROR_BA0_BA1", 1, 1 },
51505		{ "ADDR_MIRROR_BG0_BG1", 0, 1 },
51506	{ "MC_ADR_DDRPHY_ADR_BIT_ENABLE", 0x45800, 0 },
51507		{ "BIT_ENABLE_0_11", 4, 12 },
51508		{ "BIT_ENABLE_12_15", 0, 4 },
51509	{ "MC_ADR_DDRPHY_ADR_DIFFPAIR_ENABLE", 0x45804, 0 },
51510		{ "DI_ADR0_ADR1", 15, 1 },
51511		{ "DI_ADR2_ADR3", 14, 1 },
51512		{ "DI_ADR4_ADR5", 13, 1 },
51513		{ "DI_ADR6_ADR7", 12, 1 },
51514		{ "DI_ADR8_ADR9", 11, 1 },
51515		{ "DI_ADR10_ADR11", 10, 1 },
51516		{ "DI_ADR12_ADR13", 9, 1 },
51517		{ "DI_ADR14_ADR15", 8, 1 },
51518	{ "MC_ADR_DDRPHY_ADR_DELAY0", 0x45810, 0 },
51519		{ "ADR_DELAY_BITS1_7", 8, 7 },
51520		{ "ADR_DELAY_BITS9_15", 0, 7 },
51521	{ "MC_ADR_DDRPHY_ADR_DELAY1", 0x45814, 0 },
51522		{ "ADR_DELAY_BITS1_7", 8, 7 },
51523		{ "ADR_DELAY_BITS9_15", 0, 7 },
51524	{ "MC_ADR_DDRPHY_ADR_DELAY2", 0x45818, 0 },
51525		{ "ADR_DELAY_BITS1_7", 8, 7 },
51526		{ "ADR_DELAY_BITS9_15", 0, 7 },
51527	{ "MC_ADR_DDRPHY_ADR_DELAY3", 0x4581c, 0 },
51528		{ "ADR_DELAY_BITS1_7", 8, 7 },
51529		{ "ADR_DELAY_BITS9_15", 0, 7 },
51530	{ "MC_ADR_DDRPHY_ADR_DELAY4", 0x45820, 0 },
51531		{ "ADR_DELAY_BITS1_7", 8, 7 },
51532		{ "ADR_DELAY_BITS9_15", 0, 7 },
51533	{ "MC_ADR_DDRPHY_ADR_DELAY5", 0x45824, 0 },
51534		{ "ADR_DELAY_BITS1_7", 8, 7 },
51535		{ "ADR_DELAY_BITS9_15", 0, 7 },
51536	{ "MC_ADR_DDRPHY_ADR_DELAY6", 0x45828, 0 },
51537		{ "ADR_DELAY_BITS1_7", 8, 7 },
51538		{ "ADR_DELAY_BITS9_15", 0, 7 },
51539	{ "MC_ADR_DDRPHY_ADR_DELAY7", 0x4582c, 0 },
51540		{ "ADR_DELAY_BITS1_7", 8, 7 },
51541		{ "ADR_DELAY_BITS9_15", 0, 7 },
51542	{ "MC_ADR_DDRPHY_ADR_DFT_WRAP_STATUS_CONTROL", 0x45830, 0 },
51543		{ "ADR_TEST_LANE_PAIR_FAIL", 8, 8 },
51544		{ "ADR_TEST_DATA_EN", 7, 1 },
51545		{ "ADR_TEST_MODE", 5, 2 },
51546		{ "ADR_TEST_4TO1_MODE", 4, 1 },
51547		{ "ADR_TEST_RESET", 3, 1 },
51548		{ "ADR_TEST_GEN_EN", 2, 1 },
51549		{ "ADR_TEST_CLEAR_ERROR", 1, 1 },
51550		{ "ADR_TEST_CHECK_EN", 0, 1 },
51551	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN0", 0x45840, 0 },
51552		{ "EN_SLICE_N_WR", 8, 8 },
51553		{ "EN_SLICE_N_WR_FFE", 4, 4 },
51554	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN1", 0x45844, 0 },
51555		{ "EN_SLICE_N_WR", 8, 8 },
51556		{ "EN_SLICE_N_WR_FFE", 4, 4 },
51557	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN2", 0x45848, 0 },
51558		{ "EN_SLICE_N_WR", 8, 8 },
51559		{ "EN_SLICE_N_WR_FFE", 4, 4 },
51560	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN3", 0x4584c, 0 },
51561		{ "EN_SLICE_N_WR", 8, 8 },
51562		{ "EN_SLICE_N_WR_FFE", 4, 4 },
51563	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN0", 0x45850, 0 },
51564		{ "EN_SLICE_P_WR", 8, 8 },
51565		{ "EN_SLICE_P_WR_FFE", 4, 4 },
51566	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN1", 0x45854, 0 },
51567		{ "EN_SLICE_P_WR", 8, 8 },
51568		{ "EN_SLICE_P_WR_FFE", 4, 4 },
51569	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN2", 0x45858, 0 },
51570		{ "EN_SLICE_P_WR", 8, 8 },
51571		{ "EN_SLICE_P_WR_FFE", 4, 4 },
51572	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN3", 0x4585c, 0 },
51573		{ "EN_SLICE_P_WR", 8, 8 },
51574		{ "EN_SLICE_P_WR_FFE", 4, 4 },
51575	{ "MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP0", 0x45880, 0 },
51576		{ "SLICE_SEL_REG_BITS0_1", 14, 2 },
51577		{ "SLICE_SEL_REG_BITS2_3", 12, 2 },
51578		{ "SLICE_SEL_REG_BITS4_5", 10, 2 },
51579		{ "SLICE_SEL_REG_BITS6_7", 8, 2 },
51580		{ "SLICE_SEL_REG_BITS8_9", 6, 2 },
51581		{ "SLICE_SEL_REG_BITS10_11", 4, 2 },
51582		{ "SLICE_SEL_REG_BITS12_13", 2, 2 },
51583		{ "SLICE_SEL_REG_BITS14_15", 0, 2 },
51584	{ "MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP1", 0x45884, 0 },
51585		{ "SLICE_SEL_REG_BITS0_1", 14, 2 },
51586		{ "SLICE_SEL_REG_BITS2_3", 12, 2 },
51587		{ "SLICE_SEL_REG_BITS4_5", 10, 2 },
51588		{ "SLICE_SEL_REG_BITS6_7", 8, 2 },
51589		{ "SLICE_SEL_REG_BITS8_9", 6, 2 },
51590		{ "SLICE_SEL_REG_BITS10_11", 4, 2 },
51591		{ "SLICE_SEL_REG_BITS12_13", 2, 2 },
51592		{ "SLICE_SEL_REG_BITS14_15", 0, 2 },
51593	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE", 0x45860, 0 },
51594	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP0", 0x458a0, 0 },
51595	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP1", 0x458a4, 0 },
51596	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE", 0x45868, 0 },
51597		{ "SLEW_CTL0", 12, 4 },
51598		{ "SLEW_CTL1", 8, 4 },
51599		{ "SLEW_CTL2", 4, 4 },
51600		{ "SLEW_CTL3", 0, 4 },
51601	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP0", 0x458a8, 0 },
51602		{ "SLEW_CTL_SEL_BITS0_1", 14, 2 },
51603		{ "SLEW_CTL_SEL_BITS2_3", 12, 2 },
51604		{ "SLEW_CTL_SEL_BITS4_5", 10, 2 },
51605		{ "SLEW_CTL_SEL_BITS6_7", 8, 2 },
51606		{ "SLEW_CTL_SEL_BITS8_9", 6, 2 },
51607		{ "SLEW_CTL_SEL_BITS10_11", 4, 2 },
51608		{ "SLEW_CTL_SEL_BITS12_13", 2, 2 },
51609		{ "SLEW_CTL_SEL_BITS14_15", 0, 2 },
51610	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP1", 0x458ac, 0 },
51611		{ "SLEW_CTL_SEL_BITS0_1", 14, 2 },
51612		{ "SLEW_CTL_SEL_BITS2_3", 12, 2 },
51613		{ "SLEW_CTL_SEL_BITS4_5", 10, 2 },
51614		{ "SLEW_CTL_SEL_BITS6_7", 8, 2 },
51615		{ "SLEW_CTL_SEL_BITS8_9", 6, 2 },
51616		{ "SLEW_CTL_SEL_BITS10_11", 4, 2 },
51617		{ "SLEW_CTL_SEL_BITS12_13", 2, 2 },
51618		{ "SLEW_CTL_SEL_BITS14_15", 0, 2 },
51619	{ "MC_ADR_DDRPHY_ADR_POWERDOWN_2", 0x458b0, 0 },
51620		{ "ADR_LANE_0_11_PD", 4, 12 },
51621		{ "ADR_LANE_12_15_PD", 0, 4 },
51622	{ "MC_ADR_DDRPHY_ADR_BIT_ENABLE", 0x45a00, 0 },
51623		{ "BIT_ENABLE_0_11", 4, 12 },
51624		{ "BIT_ENABLE_12_15", 0, 4 },
51625	{ "MC_ADR_DDRPHY_ADR_DIFFPAIR_ENABLE", 0x45a04, 0 },
51626		{ "DI_ADR0_ADR1", 15, 1 },
51627		{ "DI_ADR2_ADR3", 14, 1 },
51628		{ "DI_ADR4_ADR5", 13, 1 },
51629		{ "DI_ADR6_ADR7", 12, 1 },
51630		{ "DI_ADR8_ADR9", 11, 1 },
51631		{ "DI_ADR10_ADR11", 10, 1 },
51632		{ "DI_ADR12_ADR13", 9, 1 },
51633		{ "DI_ADR14_ADR15", 8, 1 },
51634	{ "MC_ADR_DDRPHY_ADR_DELAY0", 0x45a10, 0 },
51635		{ "ADR_DELAY_BITS1_7", 8, 7 },
51636		{ "ADR_DELAY_BITS9_15", 0, 7 },
51637	{ "MC_ADR_DDRPHY_ADR_DELAY1", 0x45a14, 0 },
51638		{ "ADR_DELAY_BITS1_7", 8, 7 },
51639		{ "ADR_DELAY_BITS9_15", 0, 7 },
51640	{ "MC_ADR_DDRPHY_ADR_DELAY2", 0x45a18, 0 },
51641		{ "ADR_DELAY_BITS1_7", 8, 7 },
51642		{ "ADR_DELAY_BITS9_15", 0, 7 },
51643	{ "MC_ADR_DDRPHY_ADR_DELAY3", 0x45a1c, 0 },
51644		{ "ADR_DELAY_BITS1_7", 8, 7 },
51645		{ "ADR_DELAY_BITS9_15", 0, 7 },
51646	{ "MC_ADR_DDRPHY_ADR_DELAY4", 0x45a20, 0 },
51647		{ "ADR_DELAY_BITS1_7", 8, 7 },
51648		{ "ADR_DELAY_BITS9_15", 0, 7 },
51649	{ "MC_ADR_DDRPHY_ADR_DELAY5", 0x45a24, 0 },
51650		{ "ADR_DELAY_BITS1_7", 8, 7 },
51651		{ "ADR_DELAY_BITS9_15", 0, 7 },
51652	{ "MC_ADR_DDRPHY_ADR_DELAY6", 0x45a28, 0 },
51653		{ "ADR_DELAY_BITS1_7", 8, 7 },
51654		{ "ADR_DELAY_BITS9_15", 0, 7 },
51655	{ "MC_ADR_DDRPHY_ADR_DELAY7", 0x45a2c, 0 },
51656		{ "ADR_DELAY_BITS1_7", 8, 7 },
51657		{ "ADR_DELAY_BITS9_15", 0, 7 },
51658	{ "MC_ADR_DDRPHY_ADR_DFT_WRAP_STATUS_CONTROL", 0x45a30, 0 },
51659		{ "ADR_TEST_LANE_PAIR_FAIL", 8, 8 },
51660		{ "ADR_TEST_DATA_EN", 7, 1 },
51661		{ "ADR_TEST_MODE", 5, 2 },
51662		{ "ADR_TEST_4TO1_MODE", 4, 1 },
51663		{ "ADR_TEST_RESET", 3, 1 },
51664		{ "ADR_TEST_GEN_EN", 2, 1 },
51665		{ "ADR_TEST_CLEAR_ERROR", 1, 1 },
51666		{ "ADR_TEST_CHECK_EN", 0, 1 },
51667	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN0", 0x45a40, 0 },
51668		{ "EN_SLICE_N_WR", 8, 8 },
51669		{ "EN_SLICE_N_WR_FFE", 4, 4 },
51670	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN1", 0x45a44, 0 },
51671		{ "EN_SLICE_N_WR", 8, 8 },
51672		{ "EN_SLICE_N_WR_FFE", 4, 4 },
51673	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN2", 0x45a48, 0 },
51674		{ "EN_SLICE_N_WR", 8, 8 },
51675		{ "EN_SLICE_N_WR_FFE", 4, 4 },
51676	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN3", 0x45a4c, 0 },
51677		{ "EN_SLICE_N_WR", 8, 8 },
51678		{ "EN_SLICE_N_WR_FFE", 4, 4 },
51679	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN0", 0x45a50, 0 },
51680		{ "EN_SLICE_P_WR", 8, 8 },
51681		{ "EN_SLICE_P_WR_FFE", 4, 4 },
51682	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN1", 0x45a54, 0 },
51683		{ "EN_SLICE_P_WR", 8, 8 },
51684		{ "EN_SLICE_P_WR_FFE", 4, 4 },
51685	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN2", 0x45a58, 0 },
51686		{ "EN_SLICE_P_WR", 8, 8 },
51687		{ "EN_SLICE_P_WR_FFE", 4, 4 },
51688	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN3", 0x45a5c, 0 },
51689		{ "EN_SLICE_P_WR", 8, 8 },
51690		{ "EN_SLICE_P_WR_FFE", 4, 4 },
51691	{ "MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP0", 0x45a80, 0 },
51692		{ "SLICE_SEL_REG_BITS0_1", 14, 2 },
51693		{ "SLICE_SEL_REG_BITS2_3", 12, 2 },
51694		{ "SLICE_SEL_REG_BITS4_5", 10, 2 },
51695		{ "SLICE_SEL_REG_BITS6_7", 8, 2 },
51696		{ "SLICE_SEL_REG_BITS8_9", 6, 2 },
51697		{ "SLICE_SEL_REG_BITS10_11", 4, 2 },
51698		{ "SLICE_SEL_REG_BITS12_13", 2, 2 },
51699		{ "SLICE_SEL_REG_BITS14_15", 0, 2 },
51700	{ "MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP1", 0x45a84, 0 },
51701		{ "SLICE_SEL_REG_BITS0_1", 14, 2 },
51702		{ "SLICE_SEL_REG_BITS2_3", 12, 2 },
51703		{ "SLICE_SEL_REG_BITS4_5", 10, 2 },
51704		{ "SLICE_SEL_REG_BITS6_7", 8, 2 },
51705		{ "SLICE_SEL_REG_BITS8_9", 6, 2 },
51706		{ "SLICE_SEL_REG_BITS10_11", 4, 2 },
51707		{ "SLICE_SEL_REG_BITS12_13", 2, 2 },
51708		{ "SLICE_SEL_REG_BITS14_15", 0, 2 },
51709	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE", 0x45a60, 0 },
51710	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP0", 0x45aa0, 0 },
51711	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP1", 0x45aa4, 0 },
51712	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE", 0x45a68, 0 },
51713		{ "SLEW_CTL0", 12, 4 },
51714		{ "SLEW_CTL1", 8, 4 },
51715		{ "SLEW_CTL2", 4, 4 },
51716		{ "SLEW_CTL3", 0, 4 },
51717	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP0", 0x45aa8, 0 },
51718		{ "SLEW_CTL_SEL_BITS0_1", 14, 2 },
51719		{ "SLEW_CTL_SEL_BITS2_3", 12, 2 },
51720		{ "SLEW_CTL_SEL_BITS4_5", 10, 2 },
51721		{ "SLEW_CTL_SEL_BITS6_7", 8, 2 },
51722		{ "SLEW_CTL_SEL_BITS8_9", 6, 2 },
51723		{ "SLEW_CTL_SEL_BITS10_11", 4, 2 },
51724		{ "SLEW_CTL_SEL_BITS12_13", 2, 2 },
51725		{ "SLEW_CTL_SEL_BITS14_15", 0, 2 },
51726	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP1", 0x45aac, 0 },
51727		{ "SLEW_CTL_SEL_BITS0_1", 14, 2 },
51728		{ "SLEW_CTL_SEL_BITS2_3", 12, 2 },
51729		{ "SLEW_CTL_SEL_BITS4_5", 10, 2 },
51730		{ "SLEW_CTL_SEL_BITS6_7", 8, 2 },
51731		{ "SLEW_CTL_SEL_BITS8_9", 6, 2 },
51732		{ "SLEW_CTL_SEL_BITS10_11", 4, 2 },
51733		{ "SLEW_CTL_SEL_BITS12_13", 2, 2 },
51734		{ "SLEW_CTL_SEL_BITS14_15", 0, 2 },
51735	{ "MC_ADR_DDRPHY_ADR_POWERDOWN_2", 0x45ab0, 0 },
51736		{ "ADR_LANE_0_11_PD", 4, 12 },
51737		{ "ADR_LANE_12_15_PD", 0, 4 },
51738	{ "MC_DDRPHY_AD32S_PLL_VREG_CONFIG_0", 0x460c0, 0 },
51739		{ "PLL_TUNE_0_2", 13, 3 },
51740		{ "PLL_TUNECP_0_2", 10, 3 },
51741		{ "PLL_TUNEF_0_5", 4, 6 },
51742		{ "PLL_TUNEVCO_0_1", 2, 2 },
51743		{ "PLL_PLLXTR_0_1", 0, 2 },
51744	{ "MC_DDRPHY_AD32S_PLL_VREG_CONFIG_1", 0x460c4, 0 },
51745		{ "PLL_TUNETDIV_0_2", 13, 3 },
51746		{ "PLL_TUNEMDIV_0_1", 11, 2 },
51747		{ "PLL_TUNEATST", 10, 1 },
51748		{ "VREG_RANGE_0_1", 8, 2 },
51749		{ "VREG_VREGSPARE", 7, 1 },
51750		{ "VREG_VCCTUNE_0_1", 5, 2 },
51751		{ "INTERP_SIG_SLEW_0_3", 1, 4 },
51752		{ "ANALOG_WRAPON", 0, 1 },
51753	{ "MC_DDRPHY_AD32S_SYSCLK_CNTL_PR", 0x460c8, 0 },
51754		{ "SYSCLK_ENABLE", 15, 1 },
51755		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
51756		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
51757		{ "SYSCLK_PHASE_ALIGN_RESE", 6, 1 },
51758		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
51759		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
51760		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
51761		{ "CONTINUOUS_UPDATE", 2, 1 },
51762		{ "CE0DLTVCC", 0, 2 },
51763	{ "MC_DDRPHY_AD32S_MCCLK_WRCLK_PR_STATIC_OFFSET", 0x460cc, 0 },
51764		{ "TSYS_WRCLK", 8, 7 },
51765	{ "MC_DDRPHY_AD32S_SYSCLK_PR_VALUE_RO", 0x460d0, 0 },
51766		{ "SLEW_LATE_SAMPLE", 15, 1 },
51767		{ "SYSCLK_ROT", 8, 7 },
51768		{ "BB_LOCK", 7, 1 },
51769		{ "SLEW_EARLY_SAMPLE", 6, 1 },
51770		{ "SLEW_DONE_STATUS", 4, 2 },
51771		{ "SLEW_CNTL", 0, 4 },
51772	{ "MC_DDRPHY_AD32S_OUTPUT_FORCE_ATEST_CNTL", 0x460d4, 0 },
51773		{ "FLUSH", 15, 1 },
51774		{ "FORCE_EN", 14, 1 },
51775		{ "AD32S_HS_PROBE_A_SEL", 8, 4 },
51776		{ "AD32S_HS_PROBE_B_SEL", 4, 4 },
51777		{ "ATEST1CTL0", 3, 1 },
51778		{ "ATEST1CTL1", 2, 1 },
51779		{ "ATEST1CTL2", 1, 1 },
51780		{ "ATEST1CTL3", 0, 1 },
51781	{ "MC_DDRPHY_AD32S_OUTPUT_DRIVER_FORCE_VALUE0", 0x460d8, 0 },
51782	{ "MC_DDRPHY_AD32S_OUTPUT_DRIVER_FORCE_VALUE1", 0x460dc, 0 },
51783	{ "MC_DDRPHY_AD32S_POWERDOWN_1", 0x460e0, 0 },
51784		{ "MASTER_PD_CNTL", 15, 1 },
51785		{ "ANALOG_INPUT_STAB2", 14, 1 },
51786		{ "ANALOG_INPUT_STAB1", 8, 1 },
51787		{ "SYSCLK_CLK_GATE", 6, 2 },
51788		{ "WR_FIFO_STAB", 5, 1 },
51789		{ "ADR_RX_PD", 4, 1 },
51790		{ "TX_TRISTATE_CNTL", 1, 1 },
51791		{ "DVCC_REG_PD", 0, 1 },
51792	{ "MC_DDRPHY_AD32S_SLEW_CAL_CNTL", 0x460e4, 0 },
51793		{ "SLEW_CAL_ENABLE", 15, 1 },
51794		{ "SLEW_CAL_START", 14, 1 },
51795		{ "SLEW_CAL_OVERRIDE_EN", 12, 1 },
51796		{ "SLEW_CAL_OVERRIDE", 8, 4 },
51797		{ "SLEW_TARGET_PR_OFFSET", 0, 5 },
51798	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44000, 0 },
51799	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44004, 0 },
51800		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
51801		{ "DFT_FORCE_OUTPUTS", 7, 1 },
51802		{ "DFT_PRBS7_GEN_EN", 6, 1 },
51803		{ "DP18_WRAPSEL", 5, 1 },
51804		{ "HW_VALUE", 4, 1 },
51805		{ "MRS_CMD_DATA_N0", 3, 1 },
51806		{ "MRS_CMD_DATA_N1", 2, 1 },
51807		{ "MRS_CMD_DATA_N2", 1, 1 },
51808		{ "MRS_CMD_DATA_N3", 0, 1 },
51809	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x441f0, 0 },
51810	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x441f4, 0 },
51811		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
51812	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44008, 0 },
51813	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4400c, 0 },
51814		{ "DATA_BIT_DIR_16_23", 8, 8 },
51815		{ "WL_ADVANCE_DISABLE", 7, 1 },
51816		{ "DISABLE_PING_PONG", 6, 1 },
51817		{ "DELAY_PING_PONG_HALF", 5, 1 },
51818		{ "ADVANCE_PING_PONG", 4, 1 },
51819		{ "ATEST_MUX_CTL0", 3, 1 },
51820		{ "ATEST_MUX_CTL1", 2, 1 },
51821		{ "ATEST_MUX_CTL2", 1, 1 },
51822		{ "ATEST_MUX_CTL3", 0, 1 },
51823	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44010, 0 },
51824		{ "QUAD0_CLK16_BIT0", 15, 1 },
51825		{ "QUAD1_CLK16_BIT1", 14, 1 },
51826		{ "QUAD2_CLK16_BIT2", 13, 1 },
51827		{ "QUAD3_CLK16_BIT3", 12, 1 },
51828		{ "QUAD0_CLK18_BIT4", 11, 1 },
51829		{ "QUAD1_CLK18_BIT5", 10, 1 },
51830		{ "QUAD2_CLK20_BIT6", 9, 1 },
51831		{ "QUAD3_CLK20_BIT7", 8, 1 },
51832		{ "QUAD2_CLK22_BIT8", 7, 1 },
51833		{ "QUAD3_CLK22_BIT9", 6, 1 },
51834		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
51835		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
51836		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
51837		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
51838	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44014, 0 },
51839		{ "QUAD0_CLK16_BIT0", 15, 1 },
51840		{ "QUAD1_CLK16_BIT1", 14, 1 },
51841		{ "QUAD2_CLK16_BIT2", 13, 1 },
51842		{ "QUAD3_CLK16_BIT3", 12, 1 },
51843		{ "QUAD0_CLK18_BIT4", 11, 1 },
51844		{ "QUAD1_CLK18_BIT5", 10, 1 },
51845		{ "QUAD2_CLK20_BIT6", 9, 1 },
51846		{ "QUAD3_CLK20_BIT7", 8, 1 },
51847		{ "QUAD2_CLK22_BIT8", 7, 1 },
51848		{ "QUAD3_CLK22_BIT9", 6, 1 },
51849		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
51850		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
51851		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
51852		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
51853		{ "QUAD2_CLK18_BIT14", 1, 1 },
51854		{ "QUAD3_CLK18_BIT15", 0, 1 },
51855	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x441f8, 0 },
51856		{ "DQ_WR_OFFSET_N0", 12, 4 },
51857		{ "DQ_WR_OFFSET_N1", 8, 4 },
51858		{ "DQ_WR_OFFSET_N2", 4, 4 },
51859		{ "DQ_WR_OFFSET_N3", 0, 4 },
51860	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44018, 0 },
51861		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
51862		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
51863		{ "SxMCVREF_0_3", 4, 4 },
51864		{ "SxPODVREF", 3, 1 },
51865		{ "DISABLE_TERMINATION", 2, 1 },
51866		{ "READ_CENTERING_MODE", 0, 2 },
51867	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x4401c, 0 },
51868		{ "SYSCLK_ENABLE", 15, 1 },
51869		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
51870		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
51871		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
51872		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
51873		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
51874		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
51875		{ "CONTINUOUS_UPDATE", 2, 1 },
51876	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x441cc, 0 },
51877		{ "SYSCLK_ROT", 8, 7 },
51878		{ "BB_LOCK", 7, 1 },
51879	{ "MC_DDRPHY_DP18_WRCLK_EDGE", 0x4417c, 0 },
51880		{ "FAIL_PASS_VALUE", 8, 7 },
51881		{ "PASS_FAIL_VALUE", 0, 8 },
51882	{ "MC_DDRPHY_DP18_WRCLK_STATUS", 0x44178, 0 },
51883		{ "WRCLK_CALIB_DONE", 15, 1 },
51884		{ "VALUE_UPDATED", 14, 1 },
51885		{ "FAIL_PASS_V", 13, 1 },
51886		{ "PASS_FAIL_V", 12, 1 },
51887		{ "FP_PF_EDGE_NF", 11, 1 },
51888		{ "NON_SYMETRIC", 10, 1 },
51889		{ "FULL_RANGE", 8, 1 },
51890		{ "QUAD3_EDGES", 7, 1 },
51891		{ "QUAD2_EDGES", 6, 1 },
51892		{ "QUAD1_EDGES", 5, 1 },
51893		{ "QUAD0_EDGES", 4, 1 },
51894		{ "QUAD3_CAVEAT", 3, 1 },
51895		{ "QUAD2_CAVEAT", 2, 1 },
51896		{ "QUAD1_CAVEAT", 1, 1 },
51897		{ "QUAD0_CAVEAT", 0, 1 },
51898	{ "MC_DDRPHY_DP18_WRCLK_CNTL", 0x44058, 0 },
51899		{ "PRBS_WAIT", 14, 2 },
51900		{ "PRBS_SYNC_EARLY", 13, 1 },
51901		{ "RD_DELAY_EARLY", 12, 1 },
51902		{ "SS_QUAD_CAL", 10, 1 },
51903		{ "SS_QUAD", 8, 2 },
51904		{ "SS_RD_DELAY", 7, 1 },
51905		{ "FORCE_HI_Z", 6, 1 },
51906	{ "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x4407c, 0 },
51907	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x441d0, 0 },
51908		{ "TSYS_WRCLK", 8, 7 },
51909	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x440c0, 0 },
51910		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
51911		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
51912	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x440c4, 0 },
51913		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
51914		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
51915	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44024, 0 },
51916		{ "DQSCLK_SELECT0", 14, 2 },
51917		{ "RDCLK_SELECT0", 12, 2 },
51918		{ "DQSCLK_SELECT1", 10, 2 },
51919		{ "RDCLK_SELECT1", 8, 2 },
51920		{ "DQSCLK_SELECT2", 6, 2 },
51921		{ "RDCLK_SELECT2", 4, 2 },
51922		{ "DQSCLK_SELECT3", 2, 2 },
51923		{ "RDCLK_SELECT3", 0, 2 },
51924	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44170, 0 },
51925		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
51926		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
51927	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44174, 0 },
51928		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
51929		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
51930	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x440e0, 0 },
51931		{ "WR_DELAY", 6, 10 },
51932	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x440e4, 0 },
51933		{ "WR_DELAY", 6, 10 },
51934	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x440e8, 0 },
51935		{ "WR_DELAY", 6, 10 },
51936	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x440ec, 0 },
51937		{ "WR_DELAY", 6, 10 },
51938	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x440f0, 0 },
51939		{ "WR_DELAY", 6, 10 },
51940	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x440f4, 0 },
51941		{ "WR_DELAY", 6, 10 },
51942	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x440f8, 0 },
51943		{ "WR_DELAY", 6, 10 },
51944	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x440fc, 0 },
51945		{ "WR_DELAY", 6, 10 },
51946	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44100, 0 },
51947		{ "WR_DELAY", 6, 10 },
51948	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44104, 0 },
51949		{ "WR_DELAY", 6, 10 },
51950	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44108, 0 },
51951		{ "WR_DELAY", 6, 10 },
51952	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4410c, 0 },
51953		{ "WR_DELAY", 6, 10 },
51954	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44110, 0 },
51955		{ "WR_DELAY", 6, 10 },
51956	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44114, 0 },
51957		{ "WR_DELAY", 6, 10 },
51958	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44118, 0 },
51959		{ "WR_DELAY", 6, 10 },
51960	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4411c, 0 },
51961		{ "WR_DELAY", 6, 10 },
51962	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44120, 0 },
51963		{ "WR_DELAY", 6, 10 },
51964	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44124, 0 },
51965		{ "WR_DELAY", 6, 10 },
51966	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44128, 0 },
51967		{ "WR_DELAY", 6, 10 },
51968	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4412c, 0 },
51969		{ "WR_DELAY", 6, 10 },
51970	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44130, 0 },
51971		{ "WR_DELAY", 6, 10 },
51972	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44134, 0 },
51973		{ "WR_DELAY", 6, 10 },
51974	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44138, 0 },
51975		{ "WR_DELAY", 6, 10 },
51976	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4413c, 0 },
51977		{ "WR_DELAY", 6, 10 },
51978	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44140, 0 },
51979		{ "RD_DELAY_BITS0_6", 9, 7 },
51980		{ "RD_DELAY_BITS8_14", 1, 7 },
51981	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44144, 0 },
51982		{ "RD_DELAY_BITS0_6", 9, 7 },
51983		{ "RD_DELAY_BITS8_14", 1, 7 },
51984	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44148, 0 },
51985		{ "RD_DELAY_BITS0_6", 9, 7 },
51986		{ "RD_DELAY_BITS8_14", 1, 7 },
51987	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4414c, 0 },
51988		{ "RD_DELAY_BITS0_6", 9, 7 },
51989		{ "RD_DELAY_BITS8_14", 1, 7 },
51990	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44150, 0 },
51991		{ "RD_DELAY_BITS0_6", 9, 7 },
51992		{ "RD_DELAY_BITS8_14", 1, 7 },
51993	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44154, 0 },
51994		{ "RD_DELAY_BITS0_6", 9, 7 },
51995		{ "RD_DELAY_BITS8_14", 1, 7 },
51996	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44158, 0 },
51997		{ "RD_DELAY_BITS0_6", 9, 7 },
51998		{ "RD_DELAY_BITS8_14", 1, 7 },
51999	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4415c, 0 },
52000		{ "RD_DELAY_BITS0_6", 9, 7 },
52001		{ "RD_DELAY_BITS8_14", 1, 7 },
52002	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44160, 0 },
52003		{ "RD_DELAY_BITS0_6", 9, 7 },
52004		{ "RD_DELAY_BITS8_14", 1, 7 },
52005	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44164, 0 },
52006		{ "RD_DELAY_BITS0_6", 9, 7 },
52007		{ "RD_DELAY_BITS8_14", 1, 7 },
52008	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44168, 0 },
52009		{ "RD_DELAY_BITS0_6", 9, 7 },
52010		{ "RD_DELAY_BITS8_14", 1, 7 },
52011	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4416c, 0 },
52012		{ "RD_DELAY_BITS0_6", 9, 7 },
52013		{ "RD_DELAY_BITS8_14", 1, 7 },
52014	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44030, 0 },
52015		{ "OFFSET_BITS1_7", 8, 7 },
52016		{ "OFFSET_BITS9_15", 0, 7 },
52017	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44034, 0 },
52018		{ "OFFSET_BITS1_7", 8, 7 },
52019		{ "OFFSET_BITS9_15", 0, 7 },
52020	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x441c0, 0 },
52021		{ "REFERENCE_BITS1_7", 8, 7 },
52022		{ "REFERENCE_BITS9_15", 0, 7 },
52023	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x441c4, 0 },
52024		{ "REFERENCE_BITS1_7", 8, 7 },
52025		{ "REFERENCE_BITS9_15", 0, 7 },
52026	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x441c8, 0 },
52027		{ "REFERENCE", 8, 7 },
52028	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44180, 0 },
52029		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52030		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52031	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44184, 0 },
52032		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52033		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52034	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44188, 0 },
52035		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52036		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52037	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4418c, 0 },
52038		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52039		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52040	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44190, 0 },
52041		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52042		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52043	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44194, 0 },
52044		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52045		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52046	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44198, 0 },
52047		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52048		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52049	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4419c, 0 },
52050		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52051		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52052	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x441a0, 0 },
52053		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52054		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52055	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x441a4, 0 },
52056		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52057		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52058	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x441a8, 0 },
52059		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52060		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52061	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x441ac, 0 },
52062		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52063		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52064	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44028, 0 },
52065		{ "MIN_RD_EYE_SIZE", 8, 6 },
52066		{ "MAX_DQS_DRIFT", 0, 6 },
52067	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44038, 0 },
52068	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4403c, 0 },
52069		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
52070	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44040, 0 },
52071	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44044, 0 },
52072		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
52073	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4404c, 0 },
52074		{ "DQS_GATE_DELAY_N0", 12, 3 },
52075		{ "DQS_GATE_DELAY_N1", 8, 3 },
52076		{ "DQS_GATE_DELAY_N2", 4, 3 },
52077		{ "DQS_GATE_DELAY_N3", 0, 3 },
52078	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x44050, 0 },
52079		{ "NO_EYE_DETECTED", 15, 1 },
52080		{ "LEADING_EDGE_FOUND", 14, 1 },
52081		{ "TRAILING_EDGE_FOUND", 13, 1 },
52082		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
52083		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
52084		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
52085		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
52086		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
52087		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
52088		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
52089		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
52090		{ "EYE_CLIPPING", 4, 1 },
52091		{ "NO_DQS", 3, 1 },
52092		{ "NO_LOCK", 2, 1 },
52093		{ "DRIFT_ERROR", 1, 1 },
52094		{ "MIN_EYE", 0, 1 },
52095	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44054, 0 },
52096		{ "NO_EYE_DETECTED_MASK", 15, 1 },
52097		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
52098		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
52099		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
52100		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
52101		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
52102		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
52103		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
52104		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
52105		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
52106		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
52107		{ "EYE_CLIPPING_MASK", 4, 1 },
52108		{ "NO_DQS_MASK", 3, 1 },
52109		{ "NO_LOCK_MASK", 2, 1 },
52110		{ "DRIFT_ERROR_MASK", 1, 1 },
52111		{ "MIN_EYE_MASK", 0, 1 },
52112	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4405c, 0 },
52113		{ "CLK_LEVEL", 14, 2 },
52114		{ "FINE_STEPPING", 13, 1 },
52115		{ "WR_LVL_DONE", 12, 1 },
52116		{ "WL_ERR_CLK16_ST", 11, 1 },
52117		{ "WL_ERR_CLK18_ST", 10, 1 },
52118		{ "WL_ERR_CLK20_ST", 9, 1 },
52119		{ "WL_ERR_CLK22_ST", 8, 1 },
52120		{ "ZERO_DETECTED", 7, 1 },
52121	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44060, 0 },
52122		{ "BIT_CENTERED", 11, 5 },
52123		{ "SMALL_STEP_LEFT", 10, 1 },
52124		{ "BIG_STEP_RIGHT", 9, 1 },
52125		{ "MATCH_STEP_RIGHT", 8, 1 },
52126		{ "JUMP_BACK_RIGHT", 7, 1 },
52127		{ "SMALL_STEP_RIGHT", 6, 1 },
52128		{ "WR_CNTR_DONE", 5, 1 },
52129	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44064, 0 },
52130		{ "FW_LEFT_SIDE", 5, 11 },
52131	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44068, 0 },
52132		{ "FW_RIGHT_SIDE", 5, 11 },
52133	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x4406c, 0 },
52134		{ "WL_ERR_CLK16", 15, 1 },
52135		{ "WL_ERR_CLK18", 14, 1 },
52136		{ "WL_ERR_CLK20", 13, 1 },
52137		{ "WL_ERR_CLK22", 12, 1 },
52138		{ "VALID_NS_BIG_L", 7, 1 },
52139		{ "INVALID_NS_SMALL_L", 6, 1 },
52140		{ "VALID_NS_BIG_R", 5, 1 },
52141		{ "INVALID_NS_BIG_R", 4, 1 },
52142		{ "VALID_NS_JUMP_BACK", 3, 1 },
52143		{ "INVALID_NS_SMALL_R", 2, 1 },
52144		{ "OFFSET_ERR", 1, 1 },
52145	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44070, 0 },
52146		{ "WL_ERR_CLK16_MASK", 15, 1 },
52147		{ "WL_ERR_CLK18_MASK", 14, 1 },
52148		{ "WL_ERR_CLK20_MASK", 13, 1 },
52149		{ "WR_ERR_CLK22_MASK", 12, 1 },
52150		{ "DQS_REC_LOW_POWER", 11, 1 },
52151		{ "DQ_REC_LOW_POWER", 10, 1 },
52152		{ "VALID_NS_BIG_L_MASK", 7, 1 },
52153		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
52154		{ "VALID_NS_BIG_R_MASK", 5, 1 },
52155		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
52156		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
52157		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
52158		{ "OFFSET_ERR_MASK", 1, 1 },
52159		{ "ADVANCE_PR_VALUE", 0, 1 },
52160	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x441d8, 0 },
52161		{ "PLL_TUNE_0_2", 13, 3 },
52162		{ "PLL_TUNECP_0_2", 10, 3 },
52163		{ "PLL_TUNEF_0_5", 4, 6 },
52164		{ "PLL_TUNEVCO_0_1", 2, 2 },
52165		{ "PLL_PLLXTR_0_1", 0, 2 },
52166	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x441dc, 0 },
52167		{ "PLL_TUNETDIV_0_2", 13, 3 },
52168		{ "PLL_TUNEMDIV_0_1", 11, 2 },
52169		{ "PLL_TUNEATST", 10, 1 },
52170		{ "VREG_RANGE_0_1", 8, 2 },
52171		{ "CE0DLTVCCA", 7, 1 },
52172		{ "VREG_VCCTUNE_0_1", 5, 2 },
52173		{ "CE0DLTVCCD1", 4, 1 },
52174		{ "CE0DLTVCCD2", 3, 1 },
52175		{ "S0INSDLYTAP", 2, 1 },
52176		{ "S1INSDLYTAP", 1, 1 },
52177	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x441e0, 0 },
52178		{ "EN_SLICE_N_WR", 8, 8 },
52179		{ "EN_SLICE_N_WR_FFE", 4, 4 },
52180	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x441e8, 0 },
52181		{ "EN_TERM_N_WR", 8, 8 },
52182		{ "EN_TERM_N_WR_FFE", 4, 4 },
52183	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x441e4, 0 },
52184		{ "EN_SLICE_P_WR", 8, 8 },
52185		{ "EN_SLICE_P_WR_FFE", 4, 4 },
52186	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x441ec, 0 },
52187		{ "EN_TERM_P_WR", 8, 8 },
52188		{ "EN_TERM_P_WR_FFE", 4, 4 },
52189	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x441d4, 0 },
52190		{ "INTERP_SIG_SLEW", 12, 4 },
52191		{ "POST_CURSOR", 8, 4 },
52192		{ "SLEW_CTL", 4, 4 },
52193	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44074, 0 },
52194		{ "CHECKER_ENABLE", 15, 1 },
52195		{ "CHECKER_RESET", 14, 1 },
52196		{ "SYNC", 6, 6 },
52197		{ "DP18_DFT_ERROR", 0, 6 },
52198	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44020, 0 },
52199		{ "DIGITAL_EYE_EN", 15, 1 },
52200		{ "BUMP", 14, 1 },
52201		{ "TRIG_PERIOD", 13, 1 },
52202		{ "CNTL_POL", 12, 1 },
52203		{ "CNTL_SRC", 8, 1 },
52204		{ "DIGITAL_EYE_VALUE", 0, 8 },
52205	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x440c8, 0 },
52206		{ "MEMINTD00_POS", 14, 2 },
52207		{ "MEMINTD01_PO", 12, 2 },
52208		{ "MEMINTD02_POS", 10, 2 },
52209		{ "MEMINTD03_POS", 8, 2 },
52210		{ "MEMINTD04_POS", 6, 2 },
52211		{ "MEMINTD05_POS", 4, 2 },
52212		{ "MEMINTD06_POS", 2, 2 },
52213		{ "MEMINTD07_POS", 0, 2 },
52214	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x440cc, 0 },
52215		{ "MEMINTD08_POS", 14, 2 },
52216		{ "MEMINTD09_POS", 12, 2 },
52217		{ "MEMINTD10_POS", 10, 2 },
52218		{ "MEMINTD11_POS", 8, 2 },
52219		{ "MEMINTD12_POS", 6, 2 },
52220		{ "MEMINTD13_POS", 4, 2 },
52221		{ "MEMINTD14_POS", 2, 2 },
52222		{ "MEMINTD15_POS", 0, 2 },
52223	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x440d0, 0 },
52224		{ "MEMINTD16_POS", 14, 2 },
52225		{ "MEMINTD17_POS", 12, 2 },
52226		{ "MEMINTD18_POS", 10, 2 },
52227		{ "MEMINTD19_POS", 8, 2 },
52228		{ "MEMINTD20_POS", 6, 2 },
52229		{ "MEMINTD21_POS", 4, 2 },
52230		{ "MEMINTD22_POS", 2, 2 },
52231		{ "MEMINTD23_POS", 0, 2 },
52232	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44078, 0 },
52233		{ "SYSCLK_DQSCLK_OFFSET", 8, 7 },
52234		{ "SYSCLK_RDCLK_OFFSET", 0, 7 },
52235	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x440d4, 0 },
52236		{ "DQS_ALIGN_SM", 11, 5 },
52237		{ "DQS_ALIGN_CNTR", 7, 4 },
52238		{ "ITERATION_CNTR", 6, 1 },
52239		{ "DQS_ALIGN_ITER_CNTR", 0, 6 },
52240	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x440d8, 0 },
52241		{ "CALIBRATE_BIT", 13, 3 },
52242		{ "DQS_ALIGN_QUAD", 11, 2 },
52243		{ "DQS_QUAD_CONFIG", 8, 3 },
52244		{ "OPERATE_MODE", 4, 4 },
52245		{ "EN_DQS_OFFSET", 3, 1 },
52246		{ "DQS_ALIGN_JITTER", 2, 1 },
52247		{ "DIS_CLK_GATE", 1, 1 },
52248		{ "MAX_DQS_ITER", 0, 1 },
52249	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x441b4, 0 },
52250		{ "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 },
52251		{ "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 },
52252	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x441b8, 0 },
52253		{ "APPROACH_ALIGNMENT", 15, 1 },
52254	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x440dc, 0 },
52255		{ "DQS_OFFSET", 8, 7 },
52256	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x4402c, 0 },
52257		{ "DP18_HS_PROBE_A_SEL", 11, 5 },
52258		{ "DP18_HS_PROBE_B_SEL", 6, 5 },
52259		{ "RD_DEBUG_SEL", 3, 3 },
52260		{ "WR_DEBUG_SEL", 0, 3 },
52261	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x441fc, 0 },
52262		{ "MASTER_PD_CNTL", 15, 1 },
52263		{ "ANALOG_INPUT_STAB2", 14, 1 },
52264		{ "EYEDAC_PD", 13, 1 },
52265		{ "ANALOG_OUTPUT_STAB", 9, 1 },
52266		{ "ANALOG_INPUT_STAB1", 8, 1 },
52267		{ "SYSCLK_CLK_GATE", 6, 2 },
52268		{ "WR_FIFO_STAB", 5, 1 },
52269		{ "DELAY_LINE_CTL_OVERRIDE", 4, 1 },
52270		{ "DP18_RX_PD", 2, 2 },
52271		{ "TX_TRISTATE_CNTL", 1, 1 },
52272		{ "VCC_REG_PD", 0, 1 },
52273	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44048, 0 },
52274		{ "DYN_POWER_CNTL_EN", 15, 1 },
52275		{ "DQS_ALIGN_BY_QUAD", 4, 1 },
52276	{ "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x441bc, 0 },
52277		{ "QUAD0_PWR_CTL", 12, 4 },
52278		{ "QUAD1_PWR_CTL", 8, 4 },
52279		{ "QUAD2_PWR_CTL", 4, 4 },
52280		{ "QUAD3_PWR_CTL", 0, 4 },
52281	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44200, 0 },
52282	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44204, 0 },
52283		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
52284		{ "DFT_FORCE_OUTPUTS", 7, 1 },
52285		{ "DFT_PRBS7_GEN_EN", 6, 1 },
52286		{ "DP18_WRAPSEL", 5, 1 },
52287		{ "HW_VALUE", 4, 1 },
52288		{ "MRS_CMD_DATA_N0", 3, 1 },
52289		{ "MRS_CMD_DATA_N1", 2, 1 },
52290		{ "MRS_CMD_DATA_N2", 1, 1 },
52291		{ "MRS_CMD_DATA_N3", 0, 1 },
52292	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x443f0, 0 },
52293	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x443f4, 0 },
52294		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
52295	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44208, 0 },
52296	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4420c, 0 },
52297		{ "DATA_BIT_DIR_16_23", 8, 8 },
52298		{ "WL_ADVANCE_DISABLE", 7, 1 },
52299		{ "DISABLE_PING_PONG", 6, 1 },
52300		{ "DELAY_PING_PONG_HALF", 5, 1 },
52301		{ "ADVANCE_PING_PONG", 4, 1 },
52302		{ "ATEST_MUX_CTL0", 3, 1 },
52303		{ "ATEST_MUX_CTL1", 2, 1 },
52304		{ "ATEST_MUX_CTL2", 1, 1 },
52305		{ "ATEST_MUX_CTL3", 0, 1 },
52306	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44210, 0 },
52307		{ "QUAD0_CLK16_BIT0", 15, 1 },
52308		{ "QUAD1_CLK16_BIT1", 14, 1 },
52309		{ "QUAD2_CLK16_BIT2", 13, 1 },
52310		{ "QUAD3_CLK16_BIT3", 12, 1 },
52311		{ "QUAD0_CLK18_BIT4", 11, 1 },
52312		{ "QUAD1_CLK18_BIT5", 10, 1 },
52313		{ "QUAD2_CLK20_BIT6", 9, 1 },
52314		{ "QUAD3_CLK20_BIT7", 8, 1 },
52315		{ "QUAD2_CLK22_BIT8", 7, 1 },
52316		{ "QUAD3_CLK22_BIT9", 6, 1 },
52317		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
52318		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
52319		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
52320		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
52321	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44214, 0 },
52322		{ "QUAD0_CLK16_BIT0", 15, 1 },
52323		{ "QUAD1_CLK16_BIT1", 14, 1 },
52324		{ "QUAD2_CLK16_BIT2", 13, 1 },
52325		{ "QUAD3_CLK16_BIT3", 12, 1 },
52326		{ "QUAD0_CLK18_BIT4", 11, 1 },
52327		{ "QUAD1_CLK18_BIT5", 10, 1 },
52328		{ "QUAD2_CLK20_BIT6", 9, 1 },
52329		{ "QUAD3_CLK20_BIT7", 8, 1 },
52330		{ "QUAD2_CLK22_BIT8", 7, 1 },
52331		{ "QUAD3_CLK22_BIT9", 6, 1 },
52332		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
52333		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
52334		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
52335		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
52336		{ "QUAD2_CLK18_BIT14", 1, 1 },
52337		{ "QUAD3_CLK18_BIT15", 0, 1 },
52338	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x443f8, 0 },
52339		{ "DQ_WR_OFFSET_N0", 12, 4 },
52340		{ "DQ_WR_OFFSET_N1", 8, 4 },
52341		{ "DQ_WR_OFFSET_N2", 4, 4 },
52342		{ "DQ_WR_OFFSET_N3", 0, 4 },
52343	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44218, 0 },
52344		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
52345		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
52346		{ "SxMCVREF_0_3", 4, 4 },
52347		{ "SxPODVREF", 3, 1 },
52348		{ "DISABLE_TERMINATION", 2, 1 },
52349		{ "READ_CENTERING_MODE", 0, 2 },
52350	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x4421c, 0 },
52351		{ "SYSCLK_ENABLE", 15, 1 },
52352		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
52353		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
52354		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
52355		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
52356		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
52357		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
52358		{ "CONTINUOUS_UPDATE", 2, 1 },
52359	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x443cc, 0 },
52360		{ "SYSCLK_ROT", 8, 7 },
52361		{ "BB_LOCK", 7, 1 },
52362	{ "MC_DDRPHY_DP18_WRCLK_EDGE", 0x4437c, 0 },
52363		{ "FAIL_PASS_VALUE", 8, 7 },
52364		{ "PASS_FAIL_VALUE", 0, 8 },
52365	{ "MC_DDRPHY_DP18_WRCLK_STATUS", 0x44378, 0 },
52366		{ "WRCLK_CALIB_DONE", 15, 1 },
52367		{ "VALUE_UPDATED", 14, 1 },
52368		{ "FAIL_PASS_V", 13, 1 },
52369		{ "PASS_FAIL_V", 12, 1 },
52370		{ "FP_PF_EDGE_NF", 11, 1 },
52371		{ "NON_SYMETRIC", 10, 1 },
52372		{ "FULL_RANGE", 8, 1 },
52373		{ "QUAD3_EDGES", 7, 1 },
52374		{ "QUAD2_EDGES", 6, 1 },
52375		{ "QUAD1_EDGES", 5, 1 },
52376		{ "QUAD0_EDGES", 4, 1 },
52377		{ "QUAD3_CAVEAT", 3, 1 },
52378		{ "QUAD2_CAVEAT", 2, 1 },
52379		{ "QUAD1_CAVEAT", 1, 1 },
52380		{ "QUAD0_CAVEAT", 0, 1 },
52381	{ "MC_DDRPHY_DP18_WRCLK_CNTL", 0x44258, 0 },
52382		{ "PRBS_WAIT", 14, 2 },
52383		{ "PRBS_SYNC_EARLY", 13, 1 },
52384		{ "RD_DELAY_EARLY", 12, 1 },
52385		{ "SS_QUAD_CAL", 10, 1 },
52386		{ "SS_QUAD", 8, 2 },
52387		{ "SS_RD_DELAY", 7, 1 },
52388		{ "FORCE_HI_Z", 6, 1 },
52389	{ "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x4427c, 0 },
52390	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x443d0, 0 },
52391		{ "TSYS_WRCLK", 8, 7 },
52392	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x442c0, 0 },
52393		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
52394		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
52395	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x442c4, 0 },
52396		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
52397		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
52398	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44224, 0 },
52399		{ "DQSCLK_SELECT0", 14, 2 },
52400		{ "RDCLK_SELECT0", 12, 2 },
52401		{ "DQSCLK_SELECT1", 10, 2 },
52402		{ "RDCLK_SELECT1", 8, 2 },
52403		{ "DQSCLK_SELECT2", 6, 2 },
52404		{ "RDCLK_SELECT2", 4, 2 },
52405		{ "DQSCLK_SELECT3", 2, 2 },
52406		{ "RDCLK_SELECT3", 0, 2 },
52407	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44370, 0 },
52408		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
52409		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
52410	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44374, 0 },
52411		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
52412		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
52413	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x442e0, 0 },
52414		{ "WR_DELAY", 6, 10 },
52415	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x442e4, 0 },
52416		{ "WR_DELAY", 6, 10 },
52417	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x442e8, 0 },
52418		{ "WR_DELAY", 6, 10 },
52419	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x442ec, 0 },
52420		{ "WR_DELAY", 6, 10 },
52421	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x442f0, 0 },
52422		{ "WR_DELAY", 6, 10 },
52423	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x442f4, 0 },
52424		{ "WR_DELAY", 6, 10 },
52425	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x442f8, 0 },
52426		{ "WR_DELAY", 6, 10 },
52427	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x442fc, 0 },
52428		{ "WR_DELAY", 6, 10 },
52429	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44300, 0 },
52430		{ "WR_DELAY", 6, 10 },
52431	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44304, 0 },
52432		{ "WR_DELAY", 6, 10 },
52433	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44308, 0 },
52434		{ "WR_DELAY", 6, 10 },
52435	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4430c, 0 },
52436		{ "WR_DELAY", 6, 10 },
52437	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44310, 0 },
52438		{ "WR_DELAY", 6, 10 },
52439	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44314, 0 },
52440		{ "WR_DELAY", 6, 10 },
52441	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44318, 0 },
52442		{ "WR_DELAY", 6, 10 },
52443	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4431c, 0 },
52444		{ "WR_DELAY", 6, 10 },
52445	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44320, 0 },
52446		{ "WR_DELAY", 6, 10 },
52447	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44324, 0 },
52448		{ "WR_DELAY", 6, 10 },
52449	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44328, 0 },
52450		{ "WR_DELAY", 6, 10 },
52451	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4432c, 0 },
52452		{ "WR_DELAY", 6, 10 },
52453	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44330, 0 },
52454		{ "WR_DELAY", 6, 10 },
52455	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44334, 0 },
52456		{ "WR_DELAY", 6, 10 },
52457	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44338, 0 },
52458		{ "WR_DELAY", 6, 10 },
52459	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4433c, 0 },
52460		{ "WR_DELAY", 6, 10 },
52461	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44340, 0 },
52462		{ "RD_DELAY_BITS0_6", 9, 7 },
52463		{ "RD_DELAY_BITS8_14", 1, 7 },
52464	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44344, 0 },
52465		{ "RD_DELAY_BITS0_6", 9, 7 },
52466		{ "RD_DELAY_BITS8_14", 1, 7 },
52467	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44348, 0 },
52468		{ "RD_DELAY_BITS0_6", 9, 7 },
52469		{ "RD_DELAY_BITS8_14", 1, 7 },
52470	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4434c, 0 },
52471		{ "RD_DELAY_BITS0_6", 9, 7 },
52472		{ "RD_DELAY_BITS8_14", 1, 7 },
52473	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44350, 0 },
52474		{ "RD_DELAY_BITS0_6", 9, 7 },
52475		{ "RD_DELAY_BITS8_14", 1, 7 },
52476	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44354, 0 },
52477		{ "RD_DELAY_BITS0_6", 9, 7 },
52478		{ "RD_DELAY_BITS8_14", 1, 7 },
52479	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44358, 0 },
52480		{ "RD_DELAY_BITS0_6", 9, 7 },
52481		{ "RD_DELAY_BITS8_14", 1, 7 },
52482	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4435c, 0 },
52483		{ "RD_DELAY_BITS0_6", 9, 7 },
52484		{ "RD_DELAY_BITS8_14", 1, 7 },
52485	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44360, 0 },
52486		{ "RD_DELAY_BITS0_6", 9, 7 },
52487		{ "RD_DELAY_BITS8_14", 1, 7 },
52488	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44364, 0 },
52489		{ "RD_DELAY_BITS0_6", 9, 7 },
52490		{ "RD_DELAY_BITS8_14", 1, 7 },
52491	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44368, 0 },
52492		{ "RD_DELAY_BITS0_6", 9, 7 },
52493		{ "RD_DELAY_BITS8_14", 1, 7 },
52494	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4436c, 0 },
52495		{ "RD_DELAY_BITS0_6", 9, 7 },
52496		{ "RD_DELAY_BITS8_14", 1, 7 },
52497	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44230, 0 },
52498		{ "OFFSET_BITS1_7", 8, 7 },
52499		{ "OFFSET_BITS9_15", 0, 7 },
52500	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44234, 0 },
52501		{ "OFFSET_BITS1_7", 8, 7 },
52502		{ "OFFSET_BITS9_15", 0, 7 },
52503	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x443c0, 0 },
52504		{ "REFERENCE_BITS1_7", 8, 7 },
52505		{ "REFERENCE_BITS9_15", 0, 7 },
52506	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x443c4, 0 },
52507		{ "REFERENCE_BITS1_7", 8, 7 },
52508		{ "REFERENCE_BITS9_15", 0, 7 },
52509	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x443c8, 0 },
52510		{ "REFERENCE", 8, 7 },
52511	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44380, 0 },
52512		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52513		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52514	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44384, 0 },
52515		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52516		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52517	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44388, 0 },
52518		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52519		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52520	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4438c, 0 },
52521		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52522		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52523	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44390, 0 },
52524		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52525		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52526	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44394, 0 },
52527		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52528		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52529	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44398, 0 },
52530		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52531		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52532	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4439c, 0 },
52533		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52534		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52535	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x443a0, 0 },
52536		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52537		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52538	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x443a4, 0 },
52539		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52540		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52541	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x443a8, 0 },
52542		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52543		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52544	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x443ac, 0 },
52545		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52546		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52547	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44228, 0 },
52548		{ "MIN_RD_EYE_SIZE", 8, 6 },
52549		{ "MAX_DQS_DRIFT", 0, 6 },
52550	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44238, 0 },
52551	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4423c, 0 },
52552		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
52553	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44240, 0 },
52554	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44244, 0 },
52555		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
52556	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4424c, 0 },
52557		{ "DQS_GATE_DELAY_N0", 12, 3 },
52558		{ "DQS_GATE_DELAY_N1", 8, 3 },
52559		{ "DQS_GATE_DELAY_N2", 4, 3 },
52560		{ "DQS_GATE_DELAY_N3", 0, 3 },
52561	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x44250, 0 },
52562		{ "NO_EYE_DETECTED", 15, 1 },
52563		{ "LEADING_EDGE_FOUND", 14, 1 },
52564		{ "TRAILING_EDGE_FOUND", 13, 1 },
52565		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
52566		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
52567		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
52568		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
52569		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
52570		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
52571		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
52572		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
52573		{ "EYE_CLIPPING", 4, 1 },
52574		{ "NO_DQS", 3, 1 },
52575		{ "NO_LOCK", 2, 1 },
52576		{ "DRIFT_ERROR", 1, 1 },
52577		{ "MIN_EYE", 0, 1 },
52578	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44254, 0 },
52579		{ "NO_EYE_DETECTED_MASK", 15, 1 },
52580		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
52581		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
52582		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
52583		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
52584		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
52585		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
52586		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
52587		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
52588		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
52589		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
52590		{ "EYE_CLIPPING_MASK", 4, 1 },
52591		{ "NO_DQS_MASK", 3, 1 },
52592		{ "NO_LOCK_MASK", 2, 1 },
52593		{ "DRIFT_ERROR_MASK", 1, 1 },
52594		{ "MIN_EYE_MASK", 0, 1 },
52595	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4425c, 0 },
52596		{ "CLK_LEVEL", 14, 2 },
52597		{ "FINE_STEPPING", 13, 1 },
52598		{ "WR_LVL_DONE", 12, 1 },
52599		{ "WL_ERR_CLK16_ST", 11, 1 },
52600		{ "WL_ERR_CLK18_ST", 10, 1 },
52601		{ "WL_ERR_CLK20_ST", 9, 1 },
52602		{ "WL_ERR_CLK22_ST", 8, 1 },
52603		{ "ZERO_DETECTED", 7, 1 },
52604	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44260, 0 },
52605		{ "BIT_CENTERED", 11, 5 },
52606		{ "SMALL_STEP_LEFT", 10, 1 },
52607		{ "BIG_STEP_RIGHT", 9, 1 },
52608		{ "MATCH_STEP_RIGHT", 8, 1 },
52609		{ "JUMP_BACK_RIGHT", 7, 1 },
52610		{ "SMALL_STEP_RIGHT", 6, 1 },
52611		{ "WR_CNTR_DONE", 5, 1 },
52612	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44264, 0 },
52613		{ "FW_LEFT_SIDE", 5, 11 },
52614	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44268, 0 },
52615		{ "FW_RIGHT_SIDE", 5, 11 },
52616	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x4426c, 0 },
52617		{ "WL_ERR_CLK16", 15, 1 },
52618		{ "WL_ERR_CLK18", 14, 1 },
52619		{ "WL_ERR_CLK20", 13, 1 },
52620		{ "WL_ERR_CLK22", 12, 1 },
52621		{ "VALID_NS_BIG_L", 7, 1 },
52622		{ "INVALID_NS_SMALL_L", 6, 1 },
52623		{ "VALID_NS_BIG_R", 5, 1 },
52624		{ "INVALID_NS_BIG_R", 4, 1 },
52625		{ "VALID_NS_JUMP_BACK", 3, 1 },
52626		{ "INVALID_NS_SMALL_R", 2, 1 },
52627		{ "OFFSET_ERR", 1, 1 },
52628	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44270, 0 },
52629		{ "WL_ERR_CLK16_MASK", 15, 1 },
52630		{ "WL_ERR_CLK18_MASK", 14, 1 },
52631		{ "WL_ERR_CLK20_MASK", 13, 1 },
52632		{ "WR_ERR_CLK22_MASK", 12, 1 },
52633		{ "DQS_REC_LOW_POWER", 11, 1 },
52634		{ "DQ_REC_LOW_POWER", 10, 1 },
52635		{ "VALID_NS_BIG_L_MASK", 7, 1 },
52636		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
52637		{ "VALID_NS_BIG_R_MASK", 5, 1 },
52638		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
52639		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
52640		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
52641		{ "OFFSET_ERR_MASK", 1, 1 },
52642		{ "ADVANCE_PR_VALUE", 0, 1 },
52643	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x443d8, 0 },
52644		{ "PLL_TUNE_0_2", 13, 3 },
52645		{ "PLL_TUNECP_0_2", 10, 3 },
52646		{ "PLL_TUNEF_0_5", 4, 6 },
52647		{ "PLL_TUNEVCO_0_1", 2, 2 },
52648		{ "PLL_PLLXTR_0_1", 0, 2 },
52649	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x443dc, 0 },
52650		{ "PLL_TUNETDIV_0_2", 13, 3 },
52651		{ "PLL_TUNEMDIV_0_1", 11, 2 },
52652		{ "PLL_TUNEATST", 10, 1 },
52653		{ "VREG_RANGE_0_1", 8, 2 },
52654		{ "CE0DLTVCCA", 7, 1 },
52655		{ "VREG_VCCTUNE_0_1", 5, 2 },
52656		{ "CE0DLTVCCD1", 4, 1 },
52657		{ "CE0DLTVCCD2", 3, 1 },
52658		{ "S0INSDLYTAP", 2, 1 },
52659		{ "S1INSDLYTAP", 1, 1 },
52660	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x443e0, 0 },
52661		{ "EN_SLICE_N_WR", 8, 8 },
52662		{ "EN_SLICE_N_WR_FFE", 4, 4 },
52663	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x443e8, 0 },
52664		{ "EN_TERM_N_WR", 8, 8 },
52665		{ "EN_TERM_N_WR_FFE", 4, 4 },
52666	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x443e4, 0 },
52667		{ "EN_SLICE_P_WR", 8, 8 },
52668		{ "EN_SLICE_P_WR_FFE", 4, 4 },
52669	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x443ec, 0 },
52670		{ "EN_TERM_P_WR", 8, 8 },
52671		{ "EN_TERM_P_WR_FFE", 4, 4 },
52672	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x443d4, 0 },
52673		{ "INTERP_SIG_SLEW", 12, 4 },
52674		{ "POST_CURSOR", 8, 4 },
52675		{ "SLEW_CTL", 4, 4 },
52676	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44274, 0 },
52677		{ "CHECKER_ENABLE", 15, 1 },
52678		{ "CHECKER_RESET", 14, 1 },
52679		{ "SYNC", 6, 6 },
52680		{ "DP18_DFT_ERROR", 0, 6 },
52681	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44220, 0 },
52682		{ "DIGITAL_EYE_EN", 15, 1 },
52683		{ "BUMP", 14, 1 },
52684		{ "TRIG_PERIOD", 13, 1 },
52685		{ "CNTL_POL", 12, 1 },
52686		{ "CNTL_SRC", 8, 1 },
52687		{ "DIGITAL_EYE_VALUE", 0, 8 },
52688	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x442c8, 0 },
52689		{ "MEMINTD00_POS", 14, 2 },
52690		{ "MEMINTD01_PO", 12, 2 },
52691		{ "MEMINTD02_POS", 10, 2 },
52692		{ "MEMINTD03_POS", 8, 2 },
52693		{ "MEMINTD04_POS", 6, 2 },
52694		{ "MEMINTD05_POS", 4, 2 },
52695		{ "MEMINTD06_POS", 2, 2 },
52696		{ "MEMINTD07_POS", 0, 2 },
52697	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x442cc, 0 },
52698		{ "MEMINTD08_POS", 14, 2 },
52699		{ "MEMINTD09_POS", 12, 2 },
52700		{ "MEMINTD10_POS", 10, 2 },
52701		{ "MEMINTD11_POS", 8, 2 },
52702		{ "MEMINTD12_POS", 6, 2 },
52703		{ "MEMINTD13_POS", 4, 2 },
52704		{ "MEMINTD14_POS", 2, 2 },
52705		{ "MEMINTD15_POS", 0, 2 },
52706	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x442d0, 0 },
52707		{ "MEMINTD16_POS", 14, 2 },
52708		{ "MEMINTD17_POS", 12, 2 },
52709		{ "MEMINTD18_POS", 10, 2 },
52710		{ "MEMINTD19_POS", 8, 2 },
52711		{ "MEMINTD20_POS", 6, 2 },
52712		{ "MEMINTD21_POS", 4, 2 },
52713		{ "MEMINTD22_POS", 2, 2 },
52714		{ "MEMINTD23_POS", 0, 2 },
52715	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44278, 0 },
52716		{ "SYSCLK_DQSCLK_OFFSET", 8, 7 },
52717		{ "SYSCLK_RDCLK_OFFSET", 0, 7 },
52718	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x442d4, 0 },
52719		{ "DQS_ALIGN_SM", 11, 5 },
52720		{ "DQS_ALIGN_CNTR", 7, 4 },
52721		{ "ITERATION_CNTR", 6, 1 },
52722		{ "DQS_ALIGN_ITER_CNTR", 0, 6 },
52723	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x442d8, 0 },
52724		{ "CALIBRATE_BIT", 13, 3 },
52725		{ "DQS_ALIGN_QUAD", 11, 2 },
52726		{ "DQS_QUAD_CONFIG", 8, 3 },
52727		{ "OPERATE_MODE", 4, 4 },
52728		{ "EN_DQS_OFFSET", 3, 1 },
52729		{ "DQS_ALIGN_JITTER", 2, 1 },
52730		{ "DIS_CLK_GATE", 1, 1 },
52731		{ "MAX_DQS_ITER", 0, 1 },
52732	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x443b4, 0 },
52733		{ "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 },
52734		{ "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 },
52735	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x443b8, 0 },
52736		{ "APPROACH_ALIGNMENT", 15, 1 },
52737	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x442dc, 0 },
52738		{ "DQS_OFFSET", 8, 7 },
52739	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x4422c, 0 },
52740		{ "DP18_HS_PROBE_A_SEL", 11, 5 },
52741		{ "DP18_HS_PROBE_B_SEL", 6, 5 },
52742		{ "RD_DEBUG_SEL", 3, 3 },
52743		{ "WR_DEBUG_SEL", 0, 3 },
52744	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x443fc, 0 },
52745		{ "MASTER_PD_CNTL", 15, 1 },
52746		{ "ANALOG_INPUT_STAB2", 14, 1 },
52747		{ "EYEDAC_PD", 13, 1 },
52748		{ "ANALOG_OUTPUT_STAB", 9, 1 },
52749		{ "ANALOG_INPUT_STAB1", 8, 1 },
52750		{ "SYSCLK_CLK_GATE", 6, 2 },
52751		{ "WR_FIFO_STAB", 5, 1 },
52752		{ "DELAY_LINE_CTL_OVERRIDE", 4, 1 },
52753		{ "DP18_RX_PD", 2, 2 },
52754		{ "TX_TRISTATE_CNTL", 1, 1 },
52755		{ "VCC_REG_PD", 0, 1 },
52756	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44248, 0 },
52757		{ "DYN_POWER_CNTL_EN", 15, 1 },
52758		{ "DQS_ALIGN_BY_QUAD", 4, 1 },
52759	{ "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x443bc, 0 },
52760		{ "QUAD0_PWR_CTL", 12, 4 },
52761		{ "QUAD1_PWR_CTL", 8, 4 },
52762		{ "QUAD2_PWR_CTL", 4, 4 },
52763		{ "QUAD3_PWR_CTL", 0, 4 },
52764	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44400, 0 },
52765	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44404, 0 },
52766		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
52767		{ "DFT_FORCE_OUTPUTS", 7, 1 },
52768		{ "DFT_PRBS7_GEN_EN", 6, 1 },
52769		{ "DP18_WRAPSEL", 5, 1 },
52770		{ "HW_VALUE", 4, 1 },
52771		{ "MRS_CMD_DATA_N0", 3, 1 },
52772		{ "MRS_CMD_DATA_N1", 2, 1 },
52773		{ "MRS_CMD_DATA_N2", 1, 1 },
52774		{ "MRS_CMD_DATA_N3", 0, 1 },
52775	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x445f0, 0 },
52776	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x445f4, 0 },
52777		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
52778	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44408, 0 },
52779	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4440c, 0 },
52780		{ "DATA_BIT_DIR_16_23", 8, 8 },
52781		{ "WL_ADVANCE_DISABLE", 7, 1 },
52782		{ "DISABLE_PING_PONG", 6, 1 },
52783		{ "DELAY_PING_PONG_HALF", 5, 1 },
52784		{ "ADVANCE_PING_PONG", 4, 1 },
52785		{ "ATEST_MUX_CTL0", 3, 1 },
52786		{ "ATEST_MUX_CTL1", 2, 1 },
52787		{ "ATEST_MUX_CTL2", 1, 1 },
52788		{ "ATEST_MUX_CTL3", 0, 1 },
52789	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44410, 0 },
52790		{ "QUAD0_CLK16_BIT0", 15, 1 },
52791		{ "QUAD1_CLK16_BIT1", 14, 1 },
52792		{ "QUAD2_CLK16_BIT2", 13, 1 },
52793		{ "QUAD3_CLK16_BIT3", 12, 1 },
52794		{ "QUAD0_CLK18_BIT4", 11, 1 },
52795		{ "QUAD1_CLK18_BIT5", 10, 1 },
52796		{ "QUAD2_CLK20_BIT6", 9, 1 },
52797		{ "QUAD3_CLK20_BIT7", 8, 1 },
52798		{ "QUAD2_CLK22_BIT8", 7, 1 },
52799		{ "QUAD3_CLK22_BIT9", 6, 1 },
52800		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
52801		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
52802		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
52803		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
52804	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44414, 0 },
52805		{ "QUAD0_CLK16_BIT0", 15, 1 },
52806		{ "QUAD1_CLK16_BIT1", 14, 1 },
52807		{ "QUAD2_CLK16_BIT2", 13, 1 },
52808		{ "QUAD3_CLK16_BIT3", 12, 1 },
52809		{ "QUAD0_CLK18_BIT4", 11, 1 },
52810		{ "QUAD1_CLK18_BIT5", 10, 1 },
52811		{ "QUAD2_CLK20_BIT6", 9, 1 },
52812		{ "QUAD3_CLK20_BIT7", 8, 1 },
52813		{ "QUAD2_CLK22_BIT8", 7, 1 },
52814		{ "QUAD3_CLK22_BIT9", 6, 1 },
52815		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
52816		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
52817		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
52818		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
52819		{ "QUAD2_CLK18_BIT14", 1, 1 },
52820		{ "QUAD3_CLK18_BIT15", 0, 1 },
52821	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x445f8, 0 },
52822		{ "DQ_WR_OFFSET_N0", 12, 4 },
52823		{ "DQ_WR_OFFSET_N1", 8, 4 },
52824		{ "DQ_WR_OFFSET_N2", 4, 4 },
52825		{ "DQ_WR_OFFSET_N3", 0, 4 },
52826	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44418, 0 },
52827		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
52828		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
52829		{ "SxMCVREF_0_3", 4, 4 },
52830		{ "SxPODVREF", 3, 1 },
52831		{ "DISABLE_TERMINATION", 2, 1 },
52832		{ "READ_CENTERING_MODE", 0, 2 },
52833	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x4441c, 0 },
52834		{ "SYSCLK_ENABLE", 15, 1 },
52835		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
52836		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
52837		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
52838		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
52839		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
52840		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
52841		{ "CONTINUOUS_UPDATE", 2, 1 },
52842	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x445cc, 0 },
52843		{ "SYSCLK_ROT", 8, 7 },
52844		{ "BB_LOCK", 7, 1 },
52845	{ "MC_DDRPHY_DP18_WRCLK_EDGE", 0x4457c, 0 },
52846		{ "FAIL_PASS_VALUE", 8, 7 },
52847		{ "PASS_FAIL_VALUE", 0, 8 },
52848	{ "MC_DDRPHY_DP18_WRCLK_STATUS", 0x44578, 0 },
52849		{ "WRCLK_CALIB_DONE", 15, 1 },
52850		{ "VALUE_UPDATED", 14, 1 },
52851		{ "FAIL_PASS_V", 13, 1 },
52852		{ "PASS_FAIL_V", 12, 1 },
52853		{ "FP_PF_EDGE_NF", 11, 1 },
52854		{ "NON_SYMETRIC", 10, 1 },
52855		{ "FULL_RANGE", 8, 1 },
52856		{ "QUAD3_EDGES", 7, 1 },
52857		{ "QUAD2_EDGES", 6, 1 },
52858		{ "QUAD1_EDGES", 5, 1 },
52859		{ "QUAD0_EDGES", 4, 1 },
52860		{ "QUAD3_CAVEAT", 3, 1 },
52861		{ "QUAD2_CAVEAT", 2, 1 },
52862		{ "QUAD1_CAVEAT", 1, 1 },
52863		{ "QUAD0_CAVEAT", 0, 1 },
52864	{ "MC_DDRPHY_DP18_WRCLK_CNTL", 0x44458, 0 },
52865		{ "PRBS_WAIT", 14, 2 },
52866		{ "PRBS_SYNC_EARLY", 13, 1 },
52867		{ "RD_DELAY_EARLY", 12, 1 },
52868		{ "SS_QUAD_CAL", 10, 1 },
52869		{ "SS_QUAD", 8, 2 },
52870		{ "SS_RD_DELAY", 7, 1 },
52871		{ "FORCE_HI_Z", 6, 1 },
52872	{ "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x4447c, 0 },
52873	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x445d0, 0 },
52874		{ "TSYS_WRCLK", 8, 7 },
52875	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x444c0, 0 },
52876		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
52877		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
52878	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x444c4, 0 },
52879		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
52880		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
52881	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44424, 0 },
52882		{ "DQSCLK_SELECT0", 14, 2 },
52883		{ "RDCLK_SELECT0", 12, 2 },
52884		{ "DQSCLK_SELECT1", 10, 2 },
52885		{ "RDCLK_SELECT1", 8, 2 },
52886		{ "DQSCLK_SELECT2", 6, 2 },
52887		{ "RDCLK_SELECT2", 4, 2 },
52888		{ "DQSCLK_SELECT3", 2, 2 },
52889		{ "RDCLK_SELECT3", 0, 2 },
52890	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44570, 0 },
52891		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
52892		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
52893	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44574, 0 },
52894		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
52895		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
52896	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x444e0, 0 },
52897		{ "WR_DELAY", 6, 10 },
52898	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x444e4, 0 },
52899		{ "WR_DELAY", 6, 10 },
52900	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x444e8, 0 },
52901		{ "WR_DELAY", 6, 10 },
52902	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x444ec, 0 },
52903		{ "WR_DELAY", 6, 10 },
52904	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x444f0, 0 },
52905		{ "WR_DELAY", 6, 10 },
52906	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x444f4, 0 },
52907		{ "WR_DELAY", 6, 10 },
52908	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x444f8, 0 },
52909		{ "WR_DELAY", 6, 10 },
52910	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x444fc, 0 },
52911		{ "WR_DELAY", 6, 10 },
52912	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44500, 0 },
52913		{ "WR_DELAY", 6, 10 },
52914	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44504, 0 },
52915		{ "WR_DELAY", 6, 10 },
52916	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44508, 0 },
52917		{ "WR_DELAY", 6, 10 },
52918	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4450c, 0 },
52919		{ "WR_DELAY", 6, 10 },
52920	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44510, 0 },
52921		{ "WR_DELAY", 6, 10 },
52922	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44514, 0 },
52923		{ "WR_DELAY", 6, 10 },
52924	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44518, 0 },
52925		{ "WR_DELAY", 6, 10 },
52926	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4451c, 0 },
52927		{ "WR_DELAY", 6, 10 },
52928	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44520, 0 },
52929		{ "WR_DELAY", 6, 10 },
52930	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44524, 0 },
52931		{ "WR_DELAY", 6, 10 },
52932	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44528, 0 },
52933		{ "WR_DELAY", 6, 10 },
52934	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4452c, 0 },
52935		{ "WR_DELAY", 6, 10 },
52936	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44530, 0 },
52937		{ "WR_DELAY", 6, 10 },
52938	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44534, 0 },
52939		{ "WR_DELAY", 6, 10 },
52940	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44538, 0 },
52941		{ "WR_DELAY", 6, 10 },
52942	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4453c, 0 },
52943		{ "WR_DELAY", 6, 10 },
52944	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44540, 0 },
52945		{ "RD_DELAY_BITS0_6", 9, 7 },
52946		{ "RD_DELAY_BITS8_14", 1, 7 },
52947	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44544, 0 },
52948		{ "RD_DELAY_BITS0_6", 9, 7 },
52949		{ "RD_DELAY_BITS8_14", 1, 7 },
52950	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44548, 0 },
52951		{ "RD_DELAY_BITS0_6", 9, 7 },
52952		{ "RD_DELAY_BITS8_14", 1, 7 },
52953	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4454c, 0 },
52954		{ "RD_DELAY_BITS0_6", 9, 7 },
52955		{ "RD_DELAY_BITS8_14", 1, 7 },
52956	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44550, 0 },
52957		{ "RD_DELAY_BITS0_6", 9, 7 },
52958		{ "RD_DELAY_BITS8_14", 1, 7 },
52959	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44554, 0 },
52960		{ "RD_DELAY_BITS0_6", 9, 7 },
52961		{ "RD_DELAY_BITS8_14", 1, 7 },
52962	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44558, 0 },
52963		{ "RD_DELAY_BITS0_6", 9, 7 },
52964		{ "RD_DELAY_BITS8_14", 1, 7 },
52965	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4455c, 0 },
52966		{ "RD_DELAY_BITS0_6", 9, 7 },
52967		{ "RD_DELAY_BITS8_14", 1, 7 },
52968	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44560, 0 },
52969		{ "RD_DELAY_BITS0_6", 9, 7 },
52970		{ "RD_DELAY_BITS8_14", 1, 7 },
52971	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44564, 0 },
52972		{ "RD_DELAY_BITS0_6", 9, 7 },
52973		{ "RD_DELAY_BITS8_14", 1, 7 },
52974	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44568, 0 },
52975		{ "RD_DELAY_BITS0_6", 9, 7 },
52976		{ "RD_DELAY_BITS8_14", 1, 7 },
52977	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4456c, 0 },
52978		{ "RD_DELAY_BITS0_6", 9, 7 },
52979		{ "RD_DELAY_BITS8_14", 1, 7 },
52980	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44430, 0 },
52981		{ "OFFSET_BITS1_7", 8, 7 },
52982		{ "OFFSET_BITS9_15", 0, 7 },
52983	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44434, 0 },
52984		{ "OFFSET_BITS1_7", 8, 7 },
52985		{ "OFFSET_BITS9_15", 0, 7 },
52986	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x445c0, 0 },
52987		{ "REFERENCE_BITS1_7", 8, 7 },
52988		{ "REFERENCE_BITS9_15", 0, 7 },
52989	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x445c4, 0 },
52990		{ "REFERENCE_BITS1_7", 8, 7 },
52991		{ "REFERENCE_BITS9_15", 0, 7 },
52992	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x445c8, 0 },
52993		{ "REFERENCE", 8, 7 },
52994	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44580, 0 },
52995		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52996		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
52997	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44584, 0 },
52998		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
52999		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53000	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44588, 0 },
53001		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53002		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53003	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4458c, 0 },
53004		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53005		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53006	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44590, 0 },
53007		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53008		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53009	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44594, 0 },
53010		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53011		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53012	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44598, 0 },
53013		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53014		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53015	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4459c, 0 },
53016		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53017		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53018	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x445a0, 0 },
53019		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53020		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53021	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x445a4, 0 },
53022		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53023		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53024	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x445a8, 0 },
53025		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53026		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53027	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x445ac, 0 },
53028		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53029		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53030	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44428, 0 },
53031		{ "MIN_RD_EYE_SIZE", 8, 6 },
53032		{ "MAX_DQS_DRIFT", 0, 6 },
53033	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44438, 0 },
53034	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4443c, 0 },
53035		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
53036	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44440, 0 },
53037	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44444, 0 },
53038		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
53039	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4444c, 0 },
53040		{ "DQS_GATE_DELAY_N0", 12, 3 },
53041		{ "DQS_GATE_DELAY_N1", 8, 3 },
53042		{ "DQS_GATE_DELAY_N2", 4, 3 },
53043		{ "DQS_GATE_DELAY_N3", 0, 3 },
53044	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x44450, 0 },
53045		{ "NO_EYE_DETECTED", 15, 1 },
53046		{ "LEADING_EDGE_FOUND", 14, 1 },
53047		{ "TRAILING_EDGE_FOUND", 13, 1 },
53048		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
53049		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
53050		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
53051		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
53052		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
53053		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
53054		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
53055		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
53056		{ "EYE_CLIPPING", 4, 1 },
53057		{ "NO_DQS", 3, 1 },
53058		{ "NO_LOCK", 2, 1 },
53059		{ "DRIFT_ERROR", 1, 1 },
53060		{ "MIN_EYE", 0, 1 },
53061	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44454, 0 },
53062		{ "NO_EYE_DETECTED_MASK", 15, 1 },
53063		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
53064		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
53065		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
53066		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
53067		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
53068		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
53069		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
53070		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
53071		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
53072		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
53073		{ "EYE_CLIPPING_MASK", 4, 1 },
53074		{ "NO_DQS_MASK", 3, 1 },
53075		{ "NO_LOCK_MASK", 2, 1 },
53076		{ "DRIFT_ERROR_MASK", 1, 1 },
53077		{ "MIN_EYE_MASK", 0, 1 },
53078	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4445c, 0 },
53079		{ "CLK_LEVEL", 14, 2 },
53080		{ "FINE_STEPPING", 13, 1 },
53081		{ "WR_LVL_DONE", 12, 1 },
53082		{ "WL_ERR_CLK16_ST", 11, 1 },
53083		{ "WL_ERR_CLK18_ST", 10, 1 },
53084		{ "WL_ERR_CLK20_ST", 9, 1 },
53085		{ "WL_ERR_CLK22_ST", 8, 1 },
53086		{ "ZERO_DETECTED", 7, 1 },
53087	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44460, 0 },
53088		{ "BIT_CENTERED", 11, 5 },
53089		{ "SMALL_STEP_LEFT", 10, 1 },
53090		{ "BIG_STEP_RIGHT", 9, 1 },
53091		{ "MATCH_STEP_RIGHT", 8, 1 },
53092		{ "JUMP_BACK_RIGHT", 7, 1 },
53093		{ "SMALL_STEP_RIGHT", 6, 1 },
53094		{ "WR_CNTR_DONE", 5, 1 },
53095	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44464, 0 },
53096		{ "FW_LEFT_SIDE", 5, 11 },
53097	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44468, 0 },
53098		{ "FW_RIGHT_SIDE", 5, 11 },
53099	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x4446c, 0 },
53100		{ "WL_ERR_CLK16", 15, 1 },
53101		{ "WL_ERR_CLK18", 14, 1 },
53102		{ "WL_ERR_CLK20", 13, 1 },
53103		{ "WL_ERR_CLK22", 12, 1 },
53104		{ "VALID_NS_BIG_L", 7, 1 },
53105		{ "INVALID_NS_SMALL_L", 6, 1 },
53106		{ "VALID_NS_BIG_R", 5, 1 },
53107		{ "INVALID_NS_BIG_R", 4, 1 },
53108		{ "VALID_NS_JUMP_BACK", 3, 1 },
53109		{ "INVALID_NS_SMALL_R", 2, 1 },
53110		{ "OFFSET_ERR", 1, 1 },
53111	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44470, 0 },
53112		{ "WL_ERR_CLK16_MASK", 15, 1 },
53113		{ "WL_ERR_CLK18_MASK", 14, 1 },
53114		{ "WL_ERR_CLK20_MASK", 13, 1 },
53115		{ "WR_ERR_CLK22_MASK", 12, 1 },
53116		{ "DQS_REC_LOW_POWER", 11, 1 },
53117		{ "DQ_REC_LOW_POWER", 10, 1 },
53118		{ "VALID_NS_BIG_L_MASK", 7, 1 },
53119		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
53120		{ "VALID_NS_BIG_R_MASK", 5, 1 },
53121		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
53122		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
53123		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
53124		{ "OFFSET_ERR_MASK", 1, 1 },
53125		{ "ADVANCE_PR_VALUE", 0, 1 },
53126	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x445d8, 0 },
53127		{ "PLL_TUNE_0_2", 13, 3 },
53128		{ "PLL_TUNECP_0_2", 10, 3 },
53129		{ "PLL_TUNEF_0_5", 4, 6 },
53130		{ "PLL_TUNEVCO_0_1", 2, 2 },
53131		{ "PLL_PLLXTR_0_1", 0, 2 },
53132	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x445dc, 0 },
53133		{ "PLL_TUNETDIV_0_2", 13, 3 },
53134		{ "PLL_TUNEMDIV_0_1", 11, 2 },
53135		{ "PLL_TUNEATST", 10, 1 },
53136		{ "VREG_RANGE_0_1", 8, 2 },
53137		{ "CE0DLTVCCA", 7, 1 },
53138		{ "VREG_VCCTUNE_0_1", 5, 2 },
53139		{ "CE0DLTVCCD1", 4, 1 },
53140		{ "CE0DLTVCCD2", 3, 1 },
53141		{ "S0INSDLYTAP", 2, 1 },
53142		{ "S1INSDLYTAP", 1, 1 },
53143	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x445e0, 0 },
53144		{ "EN_SLICE_N_WR", 8, 8 },
53145		{ "EN_SLICE_N_WR_FFE", 4, 4 },
53146	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x445e8, 0 },
53147		{ "EN_TERM_N_WR", 8, 8 },
53148		{ "EN_TERM_N_WR_FFE", 4, 4 },
53149	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x445e4, 0 },
53150		{ "EN_SLICE_P_WR", 8, 8 },
53151		{ "EN_SLICE_P_WR_FFE", 4, 4 },
53152	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x445ec, 0 },
53153		{ "EN_TERM_P_WR", 8, 8 },
53154		{ "EN_TERM_P_WR_FFE", 4, 4 },
53155	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x445d4, 0 },
53156		{ "INTERP_SIG_SLEW", 12, 4 },
53157		{ "POST_CURSOR", 8, 4 },
53158		{ "SLEW_CTL", 4, 4 },
53159	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44474, 0 },
53160		{ "CHECKER_ENABLE", 15, 1 },
53161		{ "CHECKER_RESET", 14, 1 },
53162		{ "SYNC", 6, 6 },
53163		{ "DP18_DFT_ERROR", 0, 6 },
53164	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44420, 0 },
53165		{ "DIGITAL_EYE_EN", 15, 1 },
53166		{ "BUMP", 14, 1 },
53167		{ "TRIG_PERIOD", 13, 1 },
53168		{ "CNTL_POL", 12, 1 },
53169		{ "CNTL_SRC", 8, 1 },
53170		{ "DIGITAL_EYE_VALUE", 0, 8 },
53171	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x444c8, 0 },
53172		{ "MEMINTD00_POS", 14, 2 },
53173		{ "MEMINTD01_PO", 12, 2 },
53174		{ "MEMINTD02_POS", 10, 2 },
53175		{ "MEMINTD03_POS", 8, 2 },
53176		{ "MEMINTD04_POS", 6, 2 },
53177		{ "MEMINTD05_POS", 4, 2 },
53178		{ "MEMINTD06_POS", 2, 2 },
53179		{ "MEMINTD07_POS", 0, 2 },
53180	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x444cc, 0 },
53181		{ "MEMINTD08_POS", 14, 2 },
53182		{ "MEMINTD09_POS", 12, 2 },
53183		{ "MEMINTD10_POS", 10, 2 },
53184		{ "MEMINTD11_POS", 8, 2 },
53185		{ "MEMINTD12_POS", 6, 2 },
53186		{ "MEMINTD13_POS", 4, 2 },
53187		{ "MEMINTD14_POS", 2, 2 },
53188		{ "MEMINTD15_POS", 0, 2 },
53189	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x444d0, 0 },
53190		{ "MEMINTD16_POS", 14, 2 },
53191		{ "MEMINTD17_POS", 12, 2 },
53192		{ "MEMINTD18_POS", 10, 2 },
53193		{ "MEMINTD19_POS", 8, 2 },
53194		{ "MEMINTD20_POS", 6, 2 },
53195		{ "MEMINTD21_POS", 4, 2 },
53196		{ "MEMINTD22_POS", 2, 2 },
53197		{ "MEMINTD23_POS", 0, 2 },
53198	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44478, 0 },
53199		{ "SYSCLK_DQSCLK_OFFSET", 8, 7 },
53200		{ "SYSCLK_RDCLK_OFFSET", 0, 7 },
53201	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x444d4, 0 },
53202		{ "DQS_ALIGN_SM", 11, 5 },
53203		{ "DQS_ALIGN_CNTR", 7, 4 },
53204		{ "ITERATION_CNTR", 6, 1 },
53205		{ "DQS_ALIGN_ITER_CNTR", 0, 6 },
53206	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x444d8, 0 },
53207		{ "CALIBRATE_BIT", 13, 3 },
53208		{ "DQS_ALIGN_QUAD", 11, 2 },
53209		{ "DQS_QUAD_CONFIG", 8, 3 },
53210		{ "OPERATE_MODE", 4, 4 },
53211		{ "EN_DQS_OFFSET", 3, 1 },
53212		{ "DQS_ALIGN_JITTER", 2, 1 },
53213		{ "DIS_CLK_GATE", 1, 1 },
53214		{ "MAX_DQS_ITER", 0, 1 },
53215	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x445b4, 0 },
53216		{ "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 },
53217		{ "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 },
53218	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x445b8, 0 },
53219		{ "APPROACH_ALIGNMENT", 15, 1 },
53220	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x444dc, 0 },
53221		{ "DQS_OFFSET", 8, 7 },
53222	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x4442c, 0 },
53223		{ "DP18_HS_PROBE_A_SEL", 11, 5 },
53224		{ "DP18_HS_PROBE_B_SEL", 6, 5 },
53225		{ "RD_DEBUG_SEL", 3, 3 },
53226		{ "WR_DEBUG_SEL", 0, 3 },
53227	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x445fc, 0 },
53228		{ "MASTER_PD_CNTL", 15, 1 },
53229		{ "ANALOG_INPUT_STAB2", 14, 1 },
53230		{ "EYEDAC_PD", 13, 1 },
53231		{ "ANALOG_OUTPUT_STAB", 9, 1 },
53232		{ "ANALOG_INPUT_STAB1", 8, 1 },
53233		{ "SYSCLK_CLK_GATE", 6, 2 },
53234		{ "WR_FIFO_STAB", 5, 1 },
53235		{ "DELAY_LINE_CTL_OVERRIDE", 4, 1 },
53236		{ "DP18_RX_PD", 2, 2 },
53237		{ "TX_TRISTATE_CNTL", 1, 1 },
53238		{ "VCC_REG_PD", 0, 1 },
53239	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44448, 0 },
53240		{ "DYN_POWER_CNTL_EN", 15, 1 },
53241		{ "DQS_ALIGN_BY_QUAD", 4, 1 },
53242	{ "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x445bc, 0 },
53243		{ "QUAD0_PWR_CTL", 12, 4 },
53244		{ "QUAD1_PWR_CTL", 8, 4 },
53245		{ "QUAD2_PWR_CTL", 4, 4 },
53246		{ "QUAD3_PWR_CTL", 0, 4 },
53247	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44600, 0 },
53248	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44604, 0 },
53249		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
53250		{ "DFT_FORCE_OUTPUTS", 7, 1 },
53251		{ "DFT_PRBS7_GEN_EN", 6, 1 },
53252		{ "DP18_WRAPSEL", 5, 1 },
53253		{ "HW_VALUE", 4, 1 },
53254		{ "MRS_CMD_DATA_N0", 3, 1 },
53255		{ "MRS_CMD_DATA_N1", 2, 1 },
53256		{ "MRS_CMD_DATA_N2", 1, 1 },
53257		{ "MRS_CMD_DATA_N3", 0, 1 },
53258	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x447f0, 0 },
53259	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x447f4, 0 },
53260		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
53261	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44608, 0 },
53262	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4460c, 0 },
53263		{ "DATA_BIT_DIR_16_23", 8, 8 },
53264		{ "WL_ADVANCE_DISABLE", 7, 1 },
53265		{ "DISABLE_PING_PONG", 6, 1 },
53266		{ "DELAY_PING_PONG_HALF", 5, 1 },
53267		{ "ADVANCE_PING_PONG", 4, 1 },
53268		{ "ATEST_MUX_CTL0", 3, 1 },
53269		{ "ATEST_MUX_CTL1", 2, 1 },
53270		{ "ATEST_MUX_CTL2", 1, 1 },
53271		{ "ATEST_MUX_CTL3", 0, 1 },
53272	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44610, 0 },
53273		{ "QUAD0_CLK16_BIT0", 15, 1 },
53274		{ "QUAD1_CLK16_BIT1", 14, 1 },
53275		{ "QUAD2_CLK16_BIT2", 13, 1 },
53276		{ "QUAD3_CLK16_BIT3", 12, 1 },
53277		{ "QUAD0_CLK18_BIT4", 11, 1 },
53278		{ "QUAD1_CLK18_BIT5", 10, 1 },
53279		{ "QUAD2_CLK20_BIT6", 9, 1 },
53280		{ "QUAD3_CLK20_BIT7", 8, 1 },
53281		{ "QUAD2_CLK22_BIT8", 7, 1 },
53282		{ "QUAD3_CLK22_BIT9", 6, 1 },
53283		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
53284		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
53285		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
53286		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
53287	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44614, 0 },
53288		{ "QUAD0_CLK16_BIT0", 15, 1 },
53289		{ "QUAD1_CLK16_BIT1", 14, 1 },
53290		{ "QUAD2_CLK16_BIT2", 13, 1 },
53291		{ "QUAD3_CLK16_BIT3", 12, 1 },
53292		{ "QUAD0_CLK18_BIT4", 11, 1 },
53293		{ "QUAD1_CLK18_BIT5", 10, 1 },
53294		{ "QUAD2_CLK20_BIT6", 9, 1 },
53295		{ "QUAD3_CLK20_BIT7", 8, 1 },
53296		{ "QUAD2_CLK22_BIT8", 7, 1 },
53297		{ "QUAD3_CLK22_BIT9", 6, 1 },
53298		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
53299		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
53300		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
53301		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
53302		{ "QUAD2_CLK18_BIT14", 1, 1 },
53303		{ "QUAD3_CLK18_BIT15", 0, 1 },
53304	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x447f8, 0 },
53305		{ "DQ_WR_OFFSET_N0", 12, 4 },
53306		{ "DQ_WR_OFFSET_N1", 8, 4 },
53307		{ "DQ_WR_OFFSET_N2", 4, 4 },
53308		{ "DQ_WR_OFFSET_N3", 0, 4 },
53309	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44618, 0 },
53310		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
53311		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
53312		{ "SxMCVREF_0_3", 4, 4 },
53313		{ "SxPODVREF", 3, 1 },
53314		{ "DISABLE_TERMINATION", 2, 1 },
53315		{ "READ_CENTERING_MODE", 0, 2 },
53316	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x4461c, 0 },
53317		{ "SYSCLK_ENABLE", 15, 1 },
53318		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
53319		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
53320		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
53321		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
53322		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
53323		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
53324		{ "CONTINUOUS_UPDATE", 2, 1 },
53325	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x447cc, 0 },
53326		{ "SYSCLK_ROT", 8, 7 },
53327		{ "BB_LOCK", 7, 1 },
53328	{ "MC_DDRPHY_DP18_WRCLK_EDGE", 0x4477c, 0 },
53329		{ "FAIL_PASS_VALUE", 8, 7 },
53330		{ "PASS_FAIL_VALUE", 0, 8 },
53331	{ "MC_DDRPHY_DP18_WRCLK_STATUS", 0x44778, 0 },
53332		{ "WRCLK_CALIB_DONE", 15, 1 },
53333		{ "VALUE_UPDATED", 14, 1 },
53334		{ "FAIL_PASS_V", 13, 1 },
53335		{ "PASS_FAIL_V", 12, 1 },
53336		{ "FP_PF_EDGE_NF", 11, 1 },
53337		{ "NON_SYMETRIC", 10, 1 },
53338		{ "FULL_RANGE", 8, 1 },
53339		{ "QUAD3_EDGES", 7, 1 },
53340		{ "QUAD2_EDGES", 6, 1 },
53341		{ "QUAD1_EDGES", 5, 1 },
53342		{ "QUAD0_EDGES", 4, 1 },
53343		{ "QUAD3_CAVEAT", 3, 1 },
53344		{ "QUAD2_CAVEAT", 2, 1 },
53345		{ "QUAD1_CAVEAT", 1, 1 },
53346		{ "QUAD0_CAVEAT", 0, 1 },
53347	{ "MC_DDRPHY_DP18_WRCLK_CNTL", 0x44658, 0 },
53348		{ "PRBS_WAIT", 14, 2 },
53349		{ "PRBS_SYNC_EARLY", 13, 1 },
53350		{ "RD_DELAY_EARLY", 12, 1 },
53351		{ "SS_QUAD_CAL", 10, 1 },
53352		{ "SS_QUAD", 8, 2 },
53353		{ "SS_RD_DELAY", 7, 1 },
53354		{ "FORCE_HI_Z", 6, 1 },
53355	{ "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x4467c, 0 },
53356	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x447d0, 0 },
53357		{ "TSYS_WRCLK", 8, 7 },
53358	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x446c0, 0 },
53359		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
53360		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
53361	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x446c4, 0 },
53362		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
53363		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
53364	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44624, 0 },
53365		{ "DQSCLK_SELECT0", 14, 2 },
53366		{ "RDCLK_SELECT0", 12, 2 },
53367		{ "DQSCLK_SELECT1", 10, 2 },
53368		{ "RDCLK_SELECT1", 8, 2 },
53369		{ "DQSCLK_SELECT2", 6, 2 },
53370		{ "RDCLK_SELECT2", 4, 2 },
53371		{ "DQSCLK_SELECT3", 2, 2 },
53372		{ "RDCLK_SELECT3", 0, 2 },
53373	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44770, 0 },
53374		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
53375		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
53376	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44774, 0 },
53377		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
53378		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
53379	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x446e0, 0 },
53380		{ "WR_DELAY", 6, 10 },
53381	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x446e4, 0 },
53382		{ "WR_DELAY", 6, 10 },
53383	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x446e8, 0 },
53384		{ "WR_DELAY", 6, 10 },
53385	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x446ec, 0 },
53386		{ "WR_DELAY", 6, 10 },
53387	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x446f0, 0 },
53388		{ "WR_DELAY", 6, 10 },
53389	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x446f4, 0 },
53390		{ "WR_DELAY", 6, 10 },
53391	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x446f8, 0 },
53392		{ "WR_DELAY", 6, 10 },
53393	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x446fc, 0 },
53394		{ "WR_DELAY", 6, 10 },
53395	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44700, 0 },
53396		{ "WR_DELAY", 6, 10 },
53397	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44704, 0 },
53398		{ "WR_DELAY", 6, 10 },
53399	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44708, 0 },
53400		{ "WR_DELAY", 6, 10 },
53401	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4470c, 0 },
53402		{ "WR_DELAY", 6, 10 },
53403	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44710, 0 },
53404		{ "WR_DELAY", 6, 10 },
53405	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44714, 0 },
53406		{ "WR_DELAY", 6, 10 },
53407	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44718, 0 },
53408		{ "WR_DELAY", 6, 10 },
53409	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4471c, 0 },
53410		{ "WR_DELAY", 6, 10 },
53411	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44720, 0 },
53412		{ "WR_DELAY", 6, 10 },
53413	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44724, 0 },
53414		{ "WR_DELAY", 6, 10 },
53415	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44728, 0 },
53416		{ "WR_DELAY", 6, 10 },
53417	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4472c, 0 },
53418		{ "WR_DELAY", 6, 10 },
53419	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44730, 0 },
53420		{ "WR_DELAY", 6, 10 },
53421	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44734, 0 },
53422		{ "WR_DELAY", 6, 10 },
53423	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44738, 0 },
53424		{ "WR_DELAY", 6, 10 },
53425	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4473c, 0 },
53426		{ "WR_DELAY", 6, 10 },
53427	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44740, 0 },
53428		{ "RD_DELAY_BITS0_6", 9, 7 },
53429		{ "RD_DELAY_BITS8_14", 1, 7 },
53430	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44744, 0 },
53431		{ "RD_DELAY_BITS0_6", 9, 7 },
53432		{ "RD_DELAY_BITS8_14", 1, 7 },
53433	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44748, 0 },
53434		{ "RD_DELAY_BITS0_6", 9, 7 },
53435		{ "RD_DELAY_BITS8_14", 1, 7 },
53436	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4474c, 0 },
53437		{ "RD_DELAY_BITS0_6", 9, 7 },
53438		{ "RD_DELAY_BITS8_14", 1, 7 },
53439	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44750, 0 },
53440		{ "RD_DELAY_BITS0_6", 9, 7 },
53441		{ "RD_DELAY_BITS8_14", 1, 7 },
53442	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44754, 0 },
53443		{ "RD_DELAY_BITS0_6", 9, 7 },
53444		{ "RD_DELAY_BITS8_14", 1, 7 },
53445	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44758, 0 },
53446		{ "RD_DELAY_BITS0_6", 9, 7 },
53447		{ "RD_DELAY_BITS8_14", 1, 7 },
53448	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4475c, 0 },
53449		{ "RD_DELAY_BITS0_6", 9, 7 },
53450		{ "RD_DELAY_BITS8_14", 1, 7 },
53451	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44760, 0 },
53452		{ "RD_DELAY_BITS0_6", 9, 7 },
53453		{ "RD_DELAY_BITS8_14", 1, 7 },
53454	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44764, 0 },
53455		{ "RD_DELAY_BITS0_6", 9, 7 },
53456		{ "RD_DELAY_BITS8_14", 1, 7 },
53457	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44768, 0 },
53458		{ "RD_DELAY_BITS0_6", 9, 7 },
53459		{ "RD_DELAY_BITS8_14", 1, 7 },
53460	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4476c, 0 },
53461		{ "RD_DELAY_BITS0_6", 9, 7 },
53462		{ "RD_DELAY_BITS8_14", 1, 7 },
53463	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44630, 0 },
53464		{ "OFFSET_BITS1_7", 8, 7 },
53465		{ "OFFSET_BITS9_15", 0, 7 },
53466	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44634, 0 },
53467		{ "OFFSET_BITS1_7", 8, 7 },
53468		{ "OFFSET_BITS9_15", 0, 7 },
53469	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x447c0, 0 },
53470		{ "REFERENCE_BITS1_7", 8, 7 },
53471		{ "REFERENCE_BITS9_15", 0, 7 },
53472	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x447c4, 0 },
53473		{ "REFERENCE_BITS1_7", 8, 7 },
53474		{ "REFERENCE_BITS9_15", 0, 7 },
53475	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x447c8, 0 },
53476		{ "REFERENCE", 8, 7 },
53477	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44780, 0 },
53478		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53479		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53480	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44784, 0 },
53481		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53482		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53483	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44788, 0 },
53484		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53485		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53486	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4478c, 0 },
53487		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53488		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53489	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44790, 0 },
53490		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53491		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53492	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44794, 0 },
53493		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53494		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53495	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44798, 0 },
53496		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53497		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53498	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4479c, 0 },
53499		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53500		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53501	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x447a0, 0 },
53502		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53503		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53504	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x447a4, 0 },
53505		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53506		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53507	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x447a8, 0 },
53508		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53509		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53510	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x447ac, 0 },
53511		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53512		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53513	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44628, 0 },
53514		{ "MIN_RD_EYE_SIZE", 8, 6 },
53515		{ "MAX_DQS_DRIFT", 0, 6 },
53516	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44638, 0 },
53517	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4463c, 0 },
53518		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
53519	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44640, 0 },
53520	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44644, 0 },
53521		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
53522	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4464c, 0 },
53523		{ "DQS_GATE_DELAY_N0", 12, 3 },
53524		{ "DQS_GATE_DELAY_N1", 8, 3 },
53525		{ "DQS_GATE_DELAY_N2", 4, 3 },
53526		{ "DQS_GATE_DELAY_N3", 0, 3 },
53527	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x44650, 0 },
53528		{ "NO_EYE_DETECTED", 15, 1 },
53529		{ "LEADING_EDGE_FOUND", 14, 1 },
53530		{ "TRAILING_EDGE_FOUND", 13, 1 },
53531		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
53532		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
53533		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
53534		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
53535		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
53536		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
53537		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
53538		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
53539		{ "EYE_CLIPPING", 4, 1 },
53540		{ "NO_DQS", 3, 1 },
53541		{ "NO_LOCK", 2, 1 },
53542		{ "DRIFT_ERROR", 1, 1 },
53543		{ "MIN_EYE", 0, 1 },
53544	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44654, 0 },
53545		{ "NO_EYE_DETECTED_MASK", 15, 1 },
53546		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
53547		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
53548		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
53549		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
53550		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
53551		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
53552		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
53553		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
53554		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
53555		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
53556		{ "EYE_CLIPPING_MASK", 4, 1 },
53557		{ "NO_DQS_MASK", 3, 1 },
53558		{ "NO_LOCK_MASK", 2, 1 },
53559		{ "DRIFT_ERROR_MASK", 1, 1 },
53560		{ "MIN_EYE_MASK", 0, 1 },
53561	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4465c, 0 },
53562		{ "CLK_LEVEL", 14, 2 },
53563		{ "FINE_STEPPING", 13, 1 },
53564		{ "WR_LVL_DONE", 12, 1 },
53565		{ "WL_ERR_CLK16_ST", 11, 1 },
53566		{ "WL_ERR_CLK18_ST", 10, 1 },
53567		{ "WL_ERR_CLK20_ST", 9, 1 },
53568		{ "WL_ERR_CLK22_ST", 8, 1 },
53569		{ "ZERO_DETECTED", 7, 1 },
53570	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44660, 0 },
53571		{ "BIT_CENTERED", 11, 5 },
53572		{ "SMALL_STEP_LEFT", 10, 1 },
53573		{ "BIG_STEP_RIGHT", 9, 1 },
53574		{ "MATCH_STEP_RIGHT", 8, 1 },
53575		{ "JUMP_BACK_RIGHT", 7, 1 },
53576		{ "SMALL_STEP_RIGHT", 6, 1 },
53577		{ "WR_CNTR_DONE", 5, 1 },
53578	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44664, 0 },
53579		{ "FW_LEFT_SIDE", 5, 11 },
53580	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44668, 0 },
53581		{ "FW_RIGHT_SIDE", 5, 11 },
53582	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x4466c, 0 },
53583		{ "WL_ERR_CLK16", 15, 1 },
53584		{ "WL_ERR_CLK18", 14, 1 },
53585		{ "WL_ERR_CLK20", 13, 1 },
53586		{ "WL_ERR_CLK22", 12, 1 },
53587		{ "VALID_NS_BIG_L", 7, 1 },
53588		{ "INVALID_NS_SMALL_L", 6, 1 },
53589		{ "VALID_NS_BIG_R", 5, 1 },
53590		{ "INVALID_NS_BIG_R", 4, 1 },
53591		{ "VALID_NS_JUMP_BACK", 3, 1 },
53592		{ "INVALID_NS_SMALL_R", 2, 1 },
53593		{ "OFFSET_ERR", 1, 1 },
53594	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44670, 0 },
53595		{ "WL_ERR_CLK16_MASK", 15, 1 },
53596		{ "WL_ERR_CLK18_MASK", 14, 1 },
53597		{ "WL_ERR_CLK20_MASK", 13, 1 },
53598		{ "WR_ERR_CLK22_MASK", 12, 1 },
53599		{ "DQS_REC_LOW_POWER", 11, 1 },
53600		{ "DQ_REC_LOW_POWER", 10, 1 },
53601		{ "VALID_NS_BIG_L_MASK", 7, 1 },
53602		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
53603		{ "VALID_NS_BIG_R_MASK", 5, 1 },
53604		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
53605		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
53606		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
53607		{ "OFFSET_ERR_MASK", 1, 1 },
53608		{ "ADVANCE_PR_VALUE", 0, 1 },
53609	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x447d8, 0 },
53610		{ "PLL_TUNE_0_2", 13, 3 },
53611		{ "PLL_TUNECP_0_2", 10, 3 },
53612		{ "PLL_TUNEF_0_5", 4, 6 },
53613		{ "PLL_TUNEVCO_0_1", 2, 2 },
53614		{ "PLL_PLLXTR_0_1", 0, 2 },
53615	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x447dc, 0 },
53616		{ "PLL_TUNETDIV_0_2", 13, 3 },
53617		{ "PLL_TUNEMDIV_0_1", 11, 2 },
53618		{ "PLL_TUNEATST", 10, 1 },
53619		{ "VREG_RANGE_0_1", 8, 2 },
53620		{ "CE0DLTVCCA", 7, 1 },
53621		{ "VREG_VCCTUNE_0_1", 5, 2 },
53622		{ "CE0DLTVCCD1", 4, 1 },
53623		{ "CE0DLTVCCD2", 3, 1 },
53624		{ "S0INSDLYTAP", 2, 1 },
53625		{ "S1INSDLYTAP", 1, 1 },
53626	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x447e0, 0 },
53627		{ "EN_SLICE_N_WR", 8, 8 },
53628		{ "EN_SLICE_N_WR_FFE", 4, 4 },
53629	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x447e8, 0 },
53630		{ "EN_TERM_N_WR", 8, 8 },
53631		{ "EN_TERM_N_WR_FFE", 4, 4 },
53632	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x447e4, 0 },
53633		{ "EN_SLICE_P_WR", 8, 8 },
53634		{ "EN_SLICE_P_WR_FFE", 4, 4 },
53635	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x447ec, 0 },
53636		{ "EN_TERM_P_WR", 8, 8 },
53637		{ "EN_TERM_P_WR_FFE", 4, 4 },
53638	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x447d4, 0 },
53639		{ "INTERP_SIG_SLEW", 12, 4 },
53640		{ "POST_CURSOR", 8, 4 },
53641		{ "SLEW_CTL", 4, 4 },
53642	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44674, 0 },
53643		{ "CHECKER_ENABLE", 15, 1 },
53644		{ "CHECKER_RESET", 14, 1 },
53645		{ "SYNC", 6, 6 },
53646		{ "DP18_DFT_ERROR", 0, 6 },
53647	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44620, 0 },
53648		{ "DIGITAL_EYE_EN", 15, 1 },
53649		{ "BUMP", 14, 1 },
53650		{ "TRIG_PERIOD", 13, 1 },
53651		{ "CNTL_POL", 12, 1 },
53652		{ "CNTL_SRC", 8, 1 },
53653		{ "DIGITAL_EYE_VALUE", 0, 8 },
53654	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x446c8, 0 },
53655		{ "MEMINTD00_POS", 14, 2 },
53656		{ "MEMINTD01_PO", 12, 2 },
53657		{ "MEMINTD02_POS", 10, 2 },
53658		{ "MEMINTD03_POS", 8, 2 },
53659		{ "MEMINTD04_POS", 6, 2 },
53660		{ "MEMINTD05_POS", 4, 2 },
53661		{ "MEMINTD06_POS", 2, 2 },
53662		{ "MEMINTD07_POS", 0, 2 },
53663	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x446cc, 0 },
53664		{ "MEMINTD08_POS", 14, 2 },
53665		{ "MEMINTD09_POS", 12, 2 },
53666		{ "MEMINTD10_POS", 10, 2 },
53667		{ "MEMINTD11_POS", 8, 2 },
53668		{ "MEMINTD12_POS", 6, 2 },
53669		{ "MEMINTD13_POS", 4, 2 },
53670		{ "MEMINTD14_POS", 2, 2 },
53671		{ "MEMINTD15_POS", 0, 2 },
53672	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x446d0, 0 },
53673		{ "MEMINTD16_POS", 14, 2 },
53674		{ "MEMINTD17_POS", 12, 2 },
53675		{ "MEMINTD18_POS", 10, 2 },
53676		{ "MEMINTD19_POS", 8, 2 },
53677		{ "MEMINTD20_POS", 6, 2 },
53678		{ "MEMINTD21_POS", 4, 2 },
53679		{ "MEMINTD22_POS", 2, 2 },
53680		{ "MEMINTD23_POS", 0, 2 },
53681	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44678, 0 },
53682		{ "SYSCLK_DQSCLK_OFFSET", 8, 7 },
53683		{ "SYSCLK_RDCLK_OFFSET", 0, 7 },
53684	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x446d4, 0 },
53685		{ "DQS_ALIGN_SM", 11, 5 },
53686		{ "DQS_ALIGN_CNTR", 7, 4 },
53687		{ "ITERATION_CNTR", 6, 1 },
53688		{ "DQS_ALIGN_ITER_CNTR", 0, 6 },
53689	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x446d8, 0 },
53690		{ "CALIBRATE_BIT", 13, 3 },
53691		{ "DQS_ALIGN_QUAD", 11, 2 },
53692		{ "DQS_QUAD_CONFIG", 8, 3 },
53693		{ "OPERATE_MODE", 4, 4 },
53694		{ "EN_DQS_OFFSET", 3, 1 },
53695		{ "DQS_ALIGN_JITTER", 2, 1 },
53696		{ "DIS_CLK_GATE", 1, 1 },
53697		{ "MAX_DQS_ITER", 0, 1 },
53698	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x447b4, 0 },
53699		{ "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 },
53700		{ "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 },
53701	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x447b8, 0 },
53702		{ "APPROACH_ALIGNMENT", 15, 1 },
53703	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x446dc, 0 },
53704		{ "DQS_OFFSET", 8, 7 },
53705	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x4462c, 0 },
53706		{ "DP18_HS_PROBE_A_SEL", 11, 5 },
53707		{ "DP18_HS_PROBE_B_SEL", 6, 5 },
53708		{ "RD_DEBUG_SEL", 3, 3 },
53709		{ "WR_DEBUG_SEL", 0, 3 },
53710	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x447fc, 0 },
53711		{ "MASTER_PD_CNTL", 15, 1 },
53712		{ "ANALOG_INPUT_STAB2", 14, 1 },
53713		{ "EYEDAC_PD", 13, 1 },
53714		{ "ANALOG_OUTPUT_STAB", 9, 1 },
53715		{ "ANALOG_INPUT_STAB1", 8, 1 },
53716		{ "SYSCLK_CLK_GATE", 6, 2 },
53717		{ "WR_FIFO_STAB", 5, 1 },
53718		{ "DELAY_LINE_CTL_OVERRIDE", 4, 1 },
53719		{ "DP18_RX_PD", 2, 2 },
53720		{ "TX_TRISTATE_CNTL", 1, 1 },
53721		{ "VCC_REG_PD", 0, 1 },
53722	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44648, 0 },
53723		{ "DYN_POWER_CNTL_EN", 15, 1 },
53724		{ "DQS_ALIGN_BY_QUAD", 4, 1 },
53725	{ "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x447bc, 0 },
53726		{ "QUAD0_PWR_CTL", 12, 4 },
53727		{ "QUAD1_PWR_CTL", 8, 4 },
53728		{ "QUAD2_PWR_CTL", 4, 4 },
53729		{ "QUAD3_PWR_CTL", 0, 4 },
53730	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44800, 0 },
53731	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44804, 0 },
53732		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
53733		{ "DFT_FORCE_OUTPUTS", 7, 1 },
53734		{ "DFT_PRBS7_GEN_EN", 6, 1 },
53735		{ "DP18_WRAPSEL", 5, 1 },
53736		{ "HW_VALUE", 4, 1 },
53737		{ "MRS_CMD_DATA_N0", 3, 1 },
53738		{ "MRS_CMD_DATA_N1", 2, 1 },
53739		{ "MRS_CMD_DATA_N2", 1, 1 },
53740		{ "MRS_CMD_DATA_N3", 0, 1 },
53741	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x449f0, 0 },
53742	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x449f4, 0 },
53743		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
53744	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44808, 0 },
53745	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4480c, 0 },
53746		{ "DATA_BIT_DIR_16_23", 8, 8 },
53747		{ "WL_ADVANCE_DISABLE", 7, 1 },
53748		{ "DISABLE_PING_PONG", 6, 1 },
53749		{ "DELAY_PING_PONG_HALF", 5, 1 },
53750		{ "ADVANCE_PING_PONG", 4, 1 },
53751		{ "ATEST_MUX_CTL0", 3, 1 },
53752		{ "ATEST_MUX_CTL1", 2, 1 },
53753		{ "ATEST_MUX_CTL2", 1, 1 },
53754		{ "ATEST_MUX_CTL3", 0, 1 },
53755	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44810, 0 },
53756		{ "QUAD0_CLK16_BIT0", 15, 1 },
53757		{ "QUAD1_CLK16_BIT1", 14, 1 },
53758		{ "QUAD2_CLK16_BIT2", 13, 1 },
53759		{ "QUAD3_CLK16_BIT3", 12, 1 },
53760		{ "QUAD0_CLK18_BIT4", 11, 1 },
53761		{ "QUAD1_CLK18_BIT5", 10, 1 },
53762		{ "QUAD2_CLK20_BIT6", 9, 1 },
53763		{ "QUAD3_CLK20_BIT7", 8, 1 },
53764		{ "QUAD2_CLK22_BIT8", 7, 1 },
53765		{ "QUAD3_CLK22_BIT9", 6, 1 },
53766		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
53767		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
53768		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
53769		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
53770	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44814, 0 },
53771		{ "QUAD0_CLK16_BIT0", 15, 1 },
53772		{ "QUAD1_CLK16_BIT1", 14, 1 },
53773		{ "QUAD2_CLK16_BIT2", 13, 1 },
53774		{ "QUAD3_CLK16_BIT3", 12, 1 },
53775		{ "QUAD0_CLK18_BIT4", 11, 1 },
53776		{ "QUAD1_CLK18_BIT5", 10, 1 },
53777		{ "QUAD2_CLK20_BIT6", 9, 1 },
53778		{ "QUAD3_CLK20_BIT7", 8, 1 },
53779		{ "QUAD2_CLK22_BIT8", 7, 1 },
53780		{ "QUAD3_CLK22_BIT9", 6, 1 },
53781		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
53782		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
53783		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
53784		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
53785		{ "QUAD2_CLK18_BIT14", 1, 1 },
53786		{ "QUAD3_CLK18_BIT15", 0, 1 },
53787	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x449f8, 0 },
53788		{ "DQ_WR_OFFSET_N0", 12, 4 },
53789		{ "DQ_WR_OFFSET_N1", 8, 4 },
53790		{ "DQ_WR_OFFSET_N2", 4, 4 },
53791		{ "DQ_WR_OFFSET_N3", 0, 4 },
53792	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44818, 0 },
53793		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
53794		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
53795		{ "SxMCVREF_0_3", 4, 4 },
53796		{ "SxPODVREF", 3, 1 },
53797		{ "DISABLE_TERMINATION", 2, 1 },
53798		{ "READ_CENTERING_MODE", 0, 2 },
53799	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x4481c, 0 },
53800		{ "SYSCLK_ENABLE", 15, 1 },
53801		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
53802		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
53803		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
53804		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
53805		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
53806		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
53807		{ "CONTINUOUS_UPDATE", 2, 1 },
53808	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x449cc, 0 },
53809		{ "SYSCLK_ROT", 8, 7 },
53810		{ "BB_LOCK", 7, 1 },
53811	{ "MC_DDRPHY_DP18_WRCLK_EDGE", 0x4497c, 0 },
53812		{ "FAIL_PASS_VALUE", 8, 7 },
53813		{ "PASS_FAIL_VALUE", 0, 8 },
53814	{ "MC_DDRPHY_DP18_WRCLK_STATUS", 0x44978, 0 },
53815		{ "WRCLK_CALIB_DONE", 15, 1 },
53816		{ "VALUE_UPDATED", 14, 1 },
53817		{ "FAIL_PASS_V", 13, 1 },
53818		{ "PASS_FAIL_V", 12, 1 },
53819		{ "FP_PF_EDGE_NF", 11, 1 },
53820		{ "NON_SYMETRIC", 10, 1 },
53821		{ "FULL_RANGE", 8, 1 },
53822		{ "QUAD3_EDGES", 7, 1 },
53823		{ "QUAD2_EDGES", 6, 1 },
53824		{ "QUAD1_EDGES", 5, 1 },
53825		{ "QUAD0_EDGES", 4, 1 },
53826		{ "QUAD3_CAVEAT", 3, 1 },
53827		{ "QUAD2_CAVEAT", 2, 1 },
53828		{ "QUAD1_CAVEAT", 1, 1 },
53829		{ "QUAD0_CAVEAT", 0, 1 },
53830	{ "MC_DDRPHY_DP18_WRCLK_CNTL", 0x44858, 0 },
53831		{ "PRBS_WAIT", 14, 2 },
53832		{ "PRBS_SYNC_EARLY", 13, 1 },
53833		{ "RD_DELAY_EARLY", 12, 1 },
53834		{ "SS_QUAD_CAL", 10, 1 },
53835		{ "SS_QUAD", 8, 2 },
53836		{ "SS_RD_DELAY", 7, 1 },
53837		{ "FORCE_HI_Z", 6, 1 },
53838	{ "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x4487c, 0 },
53839	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x449d0, 0 },
53840		{ "TSYS_WRCLK", 8, 7 },
53841	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x448c0, 0 },
53842		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
53843		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
53844	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x448c4, 0 },
53845		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
53846		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
53847	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44824, 0 },
53848		{ "DQSCLK_SELECT0", 14, 2 },
53849		{ "RDCLK_SELECT0", 12, 2 },
53850		{ "DQSCLK_SELECT1", 10, 2 },
53851		{ "RDCLK_SELECT1", 8, 2 },
53852		{ "DQSCLK_SELECT2", 6, 2 },
53853		{ "RDCLK_SELECT2", 4, 2 },
53854		{ "DQSCLK_SELECT3", 2, 2 },
53855		{ "RDCLK_SELECT3", 0, 2 },
53856	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44970, 0 },
53857		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
53858		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
53859	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44974, 0 },
53860		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
53861		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
53862	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x448e0, 0 },
53863		{ "WR_DELAY", 6, 10 },
53864	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x448e4, 0 },
53865		{ "WR_DELAY", 6, 10 },
53866	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x448e8, 0 },
53867		{ "WR_DELAY", 6, 10 },
53868	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x448ec, 0 },
53869		{ "WR_DELAY", 6, 10 },
53870	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x448f0, 0 },
53871		{ "WR_DELAY", 6, 10 },
53872	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x448f4, 0 },
53873		{ "WR_DELAY", 6, 10 },
53874	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x448f8, 0 },
53875		{ "WR_DELAY", 6, 10 },
53876	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x448fc, 0 },
53877		{ "WR_DELAY", 6, 10 },
53878	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44900, 0 },
53879		{ "WR_DELAY", 6, 10 },
53880	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44904, 0 },
53881		{ "WR_DELAY", 6, 10 },
53882	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44908, 0 },
53883		{ "WR_DELAY", 6, 10 },
53884	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4490c, 0 },
53885		{ "WR_DELAY", 6, 10 },
53886	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44910, 0 },
53887		{ "WR_DELAY", 6, 10 },
53888	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44914, 0 },
53889		{ "WR_DELAY", 6, 10 },
53890	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44918, 0 },
53891		{ "WR_DELAY", 6, 10 },
53892	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4491c, 0 },
53893		{ "WR_DELAY", 6, 10 },
53894	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44920, 0 },
53895		{ "WR_DELAY", 6, 10 },
53896	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44924, 0 },
53897		{ "WR_DELAY", 6, 10 },
53898	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44928, 0 },
53899		{ "WR_DELAY", 6, 10 },
53900	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4492c, 0 },
53901		{ "WR_DELAY", 6, 10 },
53902	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44930, 0 },
53903		{ "WR_DELAY", 6, 10 },
53904	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44934, 0 },
53905		{ "WR_DELAY", 6, 10 },
53906	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44938, 0 },
53907		{ "WR_DELAY", 6, 10 },
53908	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4493c, 0 },
53909		{ "WR_DELAY", 6, 10 },
53910	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44940, 0 },
53911		{ "RD_DELAY_BITS0_6", 9, 7 },
53912		{ "RD_DELAY_BITS8_14", 1, 7 },
53913	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44944, 0 },
53914		{ "RD_DELAY_BITS0_6", 9, 7 },
53915		{ "RD_DELAY_BITS8_14", 1, 7 },
53916	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44948, 0 },
53917		{ "RD_DELAY_BITS0_6", 9, 7 },
53918		{ "RD_DELAY_BITS8_14", 1, 7 },
53919	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4494c, 0 },
53920		{ "RD_DELAY_BITS0_6", 9, 7 },
53921		{ "RD_DELAY_BITS8_14", 1, 7 },
53922	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44950, 0 },
53923		{ "RD_DELAY_BITS0_6", 9, 7 },
53924		{ "RD_DELAY_BITS8_14", 1, 7 },
53925	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44954, 0 },
53926		{ "RD_DELAY_BITS0_6", 9, 7 },
53927		{ "RD_DELAY_BITS8_14", 1, 7 },
53928	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44958, 0 },
53929		{ "RD_DELAY_BITS0_6", 9, 7 },
53930		{ "RD_DELAY_BITS8_14", 1, 7 },
53931	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4495c, 0 },
53932		{ "RD_DELAY_BITS0_6", 9, 7 },
53933		{ "RD_DELAY_BITS8_14", 1, 7 },
53934	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44960, 0 },
53935		{ "RD_DELAY_BITS0_6", 9, 7 },
53936		{ "RD_DELAY_BITS8_14", 1, 7 },
53937	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44964, 0 },
53938		{ "RD_DELAY_BITS0_6", 9, 7 },
53939		{ "RD_DELAY_BITS8_14", 1, 7 },
53940	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44968, 0 },
53941		{ "RD_DELAY_BITS0_6", 9, 7 },
53942		{ "RD_DELAY_BITS8_14", 1, 7 },
53943	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4496c, 0 },
53944		{ "RD_DELAY_BITS0_6", 9, 7 },
53945		{ "RD_DELAY_BITS8_14", 1, 7 },
53946	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44830, 0 },
53947		{ "OFFSET_BITS1_7", 8, 7 },
53948		{ "OFFSET_BITS9_15", 0, 7 },
53949	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44834, 0 },
53950		{ "OFFSET_BITS1_7", 8, 7 },
53951		{ "OFFSET_BITS9_15", 0, 7 },
53952	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x449c0, 0 },
53953		{ "REFERENCE_BITS1_7", 8, 7 },
53954		{ "REFERENCE_BITS9_15", 0, 7 },
53955	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x449c4, 0 },
53956		{ "REFERENCE_BITS1_7", 8, 7 },
53957		{ "REFERENCE_BITS9_15", 0, 7 },
53958	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x449c8, 0 },
53959		{ "REFERENCE", 8, 7 },
53960	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44980, 0 },
53961		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53962		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53963	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44984, 0 },
53964		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53965		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53966	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44988, 0 },
53967		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53968		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53969	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4498c, 0 },
53970		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53971		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53972	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44990, 0 },
53973		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53974		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53975	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44994, 0 },
53976		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53977		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53978	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44998, 0 },
53979		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53980		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53981	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4499c, 0 },
53982		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53983		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53984	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x449a0, 0 },
53985		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53986		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53987	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x449a4, 0 },
53988		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53989		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53990	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x449a8, 0 },
53991		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53992		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53993	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x449ac, 0 },
53994		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
53995		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
53996	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44828, 0 },
53997		{ "MIN_RD_EYE_SIZE", 8, 6 },
53998		{ "MAX_DQS_DRIFT", 0, 6 },
53999	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44838, 0 },
54000	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4483c, 0 },
54001		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
54002	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44840, 0 },
54003	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44844, 0 },
54004		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
54005	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4484c, 0 },
54006		{ "DQS_GATE_DELAY_N0", 12, 3 },
54007		{ "DQS_GATE_DELAY_N1", 8, 3 },
54008		{ "DQS_GATE_DELAY_N2", 4, 3 },
54009		{ "DQS_GATE_DELAY_N3", 0, 3 },
54010	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x44850, 0 },
54011		{ "NO_EYE_DETECTED", 15, 1 },
54012		{ "LEADING_EDGE_FOUND", 14, 1 },
54013		{ "TRAILING_EDGE_FOUND", 13, 1 },
54014		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
54015		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
54016		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
54017		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
54018		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
54019		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
54020		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
54021		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
54022		{ "EYE_CLIPPING", 4, 1 },
54023		{ "NO_DQS", 3, 1 },
54024		{ "NO_LOCK", 2, 1 },
54025		{ "DRIFT_ERROR", 1, 1 },
54026		{ "MIN_EYE", 0, 1 },
54027	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44854, 0 },
54028		{ "NO_EYE_DETECTED_MASK", 15, 1 },
54029		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
54030		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
54031		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
54032		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
54033		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
54034		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
54035		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
54036		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
54037		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
54038		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
54039		{ "EYE_CLIPPING_MASK", 4, 1 },
54040		{ "NO_DQS_MASK", 3, 1 },
54041		{ "NO_LOCK_MASK", 2, 1 },
54042		{ "DRIFT_ERROR_MASK", 1, 1 },
54043		{ "MIN_EYE_MASK", 0, 1 },
54044	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4485c, 0 },
54045		{ "CLK_LEVEL", 14, 2 },
54046		{ "FINE_STEPPING", 13, 1 },
54047		{ "WR_LVL_DONE", 12, 1 },
54048		{ "WL_ERR_CLK16_ST", 11, 1 },
54049		{ "WL_ERR_CLK18_ST", 10, 1 },
54050		{ "WL_ERR_CLK20_ST", 9, 1 },
54051		{ "WL_ERR_CLK22_ST", 8, 1 },
54052		{ "ZERO_DETECTED", 7, 1 },
54053	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44860, 0 },
54054		{ "BIT_CENTERED", 11, 5 },
54055		{ "SMALL_STEP_LEFT", 10, 1 },
54056		{ "BIG_STEP_RIGHT", 9, 1 },
54057		{ "MATCH_STEP_RIGHT", 8, 1 },
54058		{ "JUMP_BACK_RIGHT", 7, 1 },
54059		{ "SMALL_STEP_RIGHT", 6, 1 },
54060		{ "WR_CNTR_DONE", 5, 1 },
54061	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44864, 0 },
54062		{ "FW_LEFT_SIDE", 5, 11 },
54063	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44868, 0 },
54064		{ "FW_RIGHT_SIDE", 5, 11 },
54065	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x4486c, 0 },
54066		{ "WL_ERR_CLK16", 15, 1 },
54067		{ "WL_ERR_CLK18", 14, 1 },
54068		{ "WL_ERR_CLK20", 13, 1 },
54069		{ "WL_ERR_CLK22", 12, 1 },
54070		{ "VALID_NS_BIG_L", 7, 1 },
54071		{ "INVALID_NS_SMALL_L", 6, 1 },
54072		{ "VALID_NS_BIG_R", 5, 1 },
54073		{ "INVALID_NS_BIG_R", 4, 1 },
54074		{ "VALID_NS_JUMP_BACK", 3, 1 },
54075		{ "INVALID_NS_SMALL_R", 2, 1 },
54076		{ "OFFSET_ERR", 1, 1 },
54077	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44870, 0 },
54078		{ "WL_ERR_CLK16_MASK", 15, 1 },
54079		{ "WL_ERR_CLK18_MASK", 14, 1 },
54080		{ "WL_ERR_CLK20_MASK", 13, 1 },
54081		{ "WR_ERR_CLK22_MASK", 12, 1 },
54082		{ "DQS_REC_LOW_POWER", 11, 1 },
54083		{ "DQ_REC_LOW_POWER", 10, 1 },
54084		{ "VALID_NS_BIG_L_MASK", 7, 1 },
54085		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
54086		{ "VALID_NS_BIG_R_MASK", 5, 1 },
54087		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
54088		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
54089		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
54090		{ "OFFSET_ERR_MASK", 1, 1 },
54091		{ "ADVANCE_PR_VALUE", 0, 1 },
54092	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x449d8, 0 },
54093		{ "PLL_TUNE_0_2", 13, 3 },
54094		{ "PLL_TUNECP_0_2", 10, 3 },
54095		{ "PLL_TUNEF_0_5", 4, 6 },
54096		{ "PLL_TUNEVCO_0_1", 2, 2 },
54097		{ "PLL_PLLXTR_0_1", 0, 2 },
54098	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x449dc, 0 },
54099		{ "PLL_TUNETDIV_0_2", 13, 3 },
54100		{ "PLL_TUNEMDIV_0_1", 11, 2 },
54101		{ "PLL_TUNEATST", 10, 1 },
54102		{ "VREG_RANGE_0_1", 8, 2 },
54103		{ "CE0DLTVCCA", 7, 1 },
54104		{ "VREG_VCCTUNE_0_1", 5, 2 },
54105		{ "CE0DLTVCCD1", 4, 1 },
54106		{ "CE0DLTVCCD2", 3, 1 },
54107		{ "S0INSDLYTAP", 2, 1 },
54108		{ "S1INSDLYTAP", 1, 1 },
54109	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x449e0, 0 },
54110		{ "EN_SLICE_N_WR", 8, 8 },
54111		{ "EN_SLICE_N_WR_FFE", 4, 4 },
54112	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x449e8, 0 },
54113		{ "EN_TERM_N_WR", 8, 8 },
54114		{ "EN_TERM_N_WR_FFE", 4, 4 },
54115	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x449e4, 0 },
54116		{ "EN_SLICE_P_WR", 8, 8 },
54117		{ "EN_SLICE_P_WR_FFE", 4, 4 },
54118	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x449ec, 0 },
54119		{ "EN_TERM_P_WR", 8, 8 },
54120		{ "EN_TERM_P_WR_FFE", 4, 4 },
54121	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x449d4, 0 },
54122		{ "INTERP_SIG_SLEW", 12, 4 },
54123		{ "POST_CURSOR", 8, 4 },
54124		{ "SLEW_CTL", 4, 4 },
54125	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44874, 0 },
54126		{ "CHECKER_ENABLE", 15, 1 },
54127		{ "CHECKER_RESET", 14, 1 },
54128		{ "SYNC", 6, 6 },
54129		{ "DP18_DFT_ERROR", 0, 6 },
54130	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44820, 0 },
54131		{ "DIGITAL_EYE_EN", 15, 1 },
54132		{ "BUMP", 14, 1 },
54133		{ "TRIG_PERIOD", 13, 1 },
54134		{ "CNTL_POL", 12, 1 },
54135		{ "CNTL_SRC", 8, 1 },
54136		{ "DIGITAL_EYE_VALUE", 0, 8 },
54137	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x448c8, 0 },
54138		{ "MEMINTD00_POS", 14, 2 },
54139		{ "MEMINTD01_PO", 12, 2 },
54140		{ "MEMINTD02_POS", 10, 2 },
54141		{ "MEMINTD03_POS", 8, 2 },
54142		{ "MEMINTD04_POS", 6, 2 },
54143		{ "MEMINTD05_POS", 4, 2 },
54144		{ "MEMINTD06_POS", 2, 2 },
54145		{ "MEMINTD07_POS", 0, 2 },
54146	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x448cc, 0 },
54147		{ "MEMINTD08_POS", 14, 2 },
54148		{ "MEMINTD09_POS", 12, 2 },
54149		{ "MEMINTD10_POS", 10, 2 },
54150		{ "MEMINTD11_POS", 8, 2 },
54151		{ "MEMINTD12_POS", 6, 2 },
54152		{ "MEMINTD13_POS", 4, 2 },
54153		{ "MEMINTD14_POS", 2, 2 },
54154		{ "MEMINTD15_POS", 0, 2 },
54155	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x448d0, 0 },
54156		{ "MEMINTD16_POS", 14, 2 },
54157		{ "MEMINTD17_POS", 12, 2 },
54158		{ "MEMINTD18_POS", 10, 2 },
54159		{ "MEMINTD19_POS", 8, 2 },
54160		{ "MEMINTD20_POS", 6, 2 },
54161		{ "MEMINTD21_POS", 4, 2 },
54162		{ "MEMINTD22_POS", 2, 2 },
54163		{ "MEMINTD23_POS", 0, 2 },
54164	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44878, 0 },
54165		{ "SYSCLK_DQSCLK_OFFSET", 8, 7 },
54166		{ "SYSCLK_RDCLK_OFFSET", 0, 7 },
54167	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x448d4, 0 },
54168		{ "DQS_ALIGN_SM", 11, 5 },
54169		{ "DQS_ALIGN_CNTR", 7, 4 },
54170		{ "ITERATION_CNTR", 6, 1 },
54171		{ "DQS_ALIGN_ITER_CNTR", 0, 6 },
54172	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x448d8, 0 },
54173		{ "CALIBRATE_BIT", 13, 3 },
54174		{ "DQS_ALIGN_QUAD", 11, 2 },
54175		{ "DQS_QUAD_CONFIG", 8, 3 },
54176		{ "OPERATE_MODE", 4, 4 },
54177		{ "EN_DQS_OFFSET", 3, 1 },
54178		{ "DQS_ALIGN_JITTER", 2, 1 },
54179		{ "DIS_CLK_GATE", 1, 1 },
54180		{ "MAX_DQS_ITER", 0, 1 },
54181	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x449b4, 0 },
54182		{ "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 },
54183		{ "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 },
54184	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x449b8, 0 },
54185		{ "APPROACH_ALIGNMENT", 15, 1 },
54186	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x448dc, 0 },
54187		{ "DQS_OFFSET", 8, 7 },
54188	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x4482c, 0 },
54189		{ "DP18_HS_PROBE_A_SEL", 11, 5 },
54190		{ "DP18_HS_PROBE_B_SEL", 6, 5 },
54191		{ "RD_DEBUG_SEL", 3, 3 },
54192		{ "WR_DEBUG_SEL", 0, 3 },
54193	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x449fc, 0 },
54194		{ "MASTER_PD_CNTL", 15, 1 },
54195		{ "ANALOG_INPUT_STAB2", 14, 1 },
54196		{ "EYEDAC_PD", 13, 1 },
54197		{ "ANALOG_OUTPUT_STAB", 9, 1 },
54198		{ "ANALOG_INPUT_STAB1", 8, 1 },
54199		{ "SYSCLK_CLK_GATE", 6, 2 },
54200		{ "WR_FIFO_STAB", 5, 1 },
54201		{ "DELAY_LINE_CTL_OVERRIDE", 4, 1 },
54202		{ "DP18_RX_PD", 2, 2 },
54203		{ "TX_TRISTATE_CNTL", 1, 1 },
54204		{ "VCC_REG_PD", 0, 1 },
54205	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44848, 0 },
54206		{ "DYN_POWER_CNTL_EN", 15, 1 },
54207		{ "DQS_ALIGN_BY_QUAD", 4, 1 },
54208	{ "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x449bc, 0 },
54209		{ "QUAD0_PWR_CTL", 12, 4 },
54210		{ "QUAD1_PWR_CTL", 8, 4 },
54211		{ "QUAD2_PWR_CTL", 4, 4 },
54212		{ "QUAD3_PWR_CTL", 0, 4 },
54213	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44a00, 0 },
54214	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44a04, 0 },
54215		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
54216		{ "DFT_FORCE_OUTPUTS", 7, 1 },
54217		{ "DFT_PRBS7_GEN_EN", 6, 1 },
54218		{ "DP18_WRAPSEL", 5, 1 },
54219		{ "HW_VALUE", 4, 1 },
54220		{ "MRS_CMD_DATA_N0", 3, 1 },
54221		{ "MRS_CMD_DATA_N1", 2, 1 },
54222		{ "MRS_CMD_DATA_N2", 1, 1 },
54223		{ "MRS_CMD_DATA_N3", 0, 1 },
54224	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x44bf0, 0 },
54225	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x44bf4, 0 },
54226		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
54227	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44a08, 0 },
54228	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x44a0c, 0 },
54229		{ "DATA_BIT_DIR_16_23", 8, 8 },
54230		{ "WL_ADVANCE_DISABLE", 7, 1 },
54231		{ "DISABLE_PING_PONG", 6, 1 },
54232		{ "DELAY_PING_PONG_HALF", 5, 1 },
54233		{ "ADVANCE_PING_PONG", 4, 1 },
54234		{ "ATEST_MUX_CTL0", 3, 1 },
54235		{ "ATEST_MUX_CTL1", 2, 1 },
54236		{ "ATEST_MUX_CTL2", 1, 1 },
54237		{ "ATEST_MUX_CTL3", 0, 1 },
54238	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44a10, 0 },
54239		{ "QUAD0_CLK16_BIT0", 15, 1 },
54240		{ "QUAD1_CLK16_BIT1", 14, 1 },
54241		{ "QUAD2_CLK16_BIT2", 13, 1 },
54242		{ "QUAD3_CLK16_BIT3", 12, 1 },
54243		{ "QUAD0_CLK18_BIT4", 11, 1 },
54244		{ "QUAD1_CLK18_BIT5", 10, 1 },
54245		{ "QUAD2_CLK20_BIT6", 9, 1 },
54246		{ "QUAD3_CLK20_BIT7", 8, 1 },
54247		{ "QUAD2_CLK22_BIT8", 7, 1 },
54248		{ "QUAD3_CLK22_BIT9", 6, 1 },
54249		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
54250		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
54251		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
54252		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
54253	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44a14, 0 },
54254		{ "QUAD0_CLK16_BIT0", 15, 1 },
54255		{ "QUAD1_CLK16_BIT1", 14, 1 },
54256		{ "QUAD2_CLK16_BIT2", 13, 1 },
54257		{ "QUAD3_CLK16_BIT3", 12, 1 },
54258		{ "QUAD0_CLK18_BIT4", 11, 1 },
54259		{ "QUAD1_CLK18_BIT5", 10, 1 },
54260		{ "QUAD2_CLK20_BIT6", 9, 1 },
54261		{ "QUAD3_CLK20_BIT7", 8, 1 },
54262		{ "QUAD2_CLK22_BIT8", 7, 1 },
54263		{ "QUAD3_CLK22_BIT9", 6, 1 },
54264		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
54265		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
54266		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
54267		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
54268		{ "QUAD2_CLK18_BIT14", 1, 1 },
54269		{ "QUAD3_CLK18_BIT15", 0, 1 },
54270	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x44bf8, 0 },
54271		{ "DQ_WR_OFFSET_N0", 12, 4 },
54272		{ "DQ_WR_OFFSET_N1", 8, 4 },
54273		{ "DQ_WR_OFFSET_N2", 4, 4 },
54274		{ "DQ_WR_OFFSET_N3", 0, 4 },
54275	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44a18, 0 },
54276		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
54277		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
54278		{ "SxMCVREF_0_3", 4, 4 },
54279		{ "SxPODVREF", 3, 1 },
54280		{ "DISABLE_TERMINATION", 2, 1 },
54281		{ "READ_CENTERING_MODE", 0, 2 },
54282	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x44a1c, 0 },
54283		{ "SYSCLK_ENABLE", 15, 1 },
54284		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
54285		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
54286		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
54287		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
54288		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
54289		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
54290		{ "CONTINUOUS_UPDATE", 2, 1 },
54291	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x44bcc, 0 },
54292		{ "SYSCLK_ROT", 8, 7 },
54293		{ "BB_LOCK", 7, 1 },
54294	{ "MC_DDRPHY_DP18_WRCLK_EDGE", 0x44b7c, 0 },
54295		{ "FAIL_PASS_VALUE", 8, 7 },
54296		{ "PASS_FAIL_VALUE", 0, 8 },
54297	{ "MC_DDRPHY_DP18_WRCLK_STATUS", 0x44b78, 0 },
54298		{ "WRCLK_CALIB_DONE", 15, 1 },
54299		{ "VALUE_UPDATED", 14, 1 },
54300		{ "FAIL_PASS_V", 13, 1 },
54301		{ "PASS_FAIL_V", 12, 1 },
54302		{ "FP_PF_EDGE_NF", 11, 1 },
54303		{ "NON_SYMETRIC", 10, 1 },
54304		{ "FULL_RANGE", 8, 1 },
54305		{ "QUAD3_EDGES", 7, 1 },
54306		{ "QUAD2_EDGES", 6, 1 },
54307		{ "QUAD1_EDGES", 5, 1 },
54308		{ "QUAD0_EDGES", 4, 1 },
54309		{ "QUAD3_CAVEAT", 3, 1 },
54310		{ "QUAD2_CAVEAT", 2, 1 },
54311		{ "QUAD1_CAVEAT", 1, 1 },
54312		{ "QUAD0_CAVEAT", 0, 1 },
54313	{ "MC_DDRPHY_DP18_WRCLK_CNTL", 0x44a58, 0 },
54314		{ "PRBS_WAIT", 14, 2 },
54315		{ "PRBS_SYNC_EARLY", 13, 1 },
54316		{ "RD_DELAY_EARLY", 12, 1 },
54317		{ "SS_QUAD_CAL", 10, 1 },
54318		{ "SS_QUAD", 8, 2 },
54319		{ "SS_RD_DELAY", 7, 1 },
54320		{ "FORCE_HI_Z", 6, 1 },
54321	{ "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x44a7c, 0 },
54322	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x44bd0, 0 },
54323		{ "TSYS_WRCLK", 8, 7 },
54324	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x44ac0, 0 },
54325		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
54326		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
54327	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x44ac4, 0 },
54328		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
54329		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
54330	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44a24, 0 },
54331		{ "DQSCLK_SELECT0", 14, 2 },
54332		{ "RDCLK_SELECT0", 12, 2 },
54333		{ "DQSCLK_SELECT1", 10, 2 },
54334		{ "RDCLK_SELECT1", 8, 2 },
54335		{ "DQSCLK_SELECT2", 6, 2 },
54336		{ "RDCLK_SELECT2", 4, 2 },
54337		{ "DQSCLK_SELECT3", 2, 2 },
54338		{ "RDCLK_SELECT3", 0, 2 },
54339	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44b70, 0 },
54340		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
54341		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
54342	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44b74, 0 },
54343		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
54344		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
54345	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x44ae0, 0 },
54346		{ "WR_DELAY", 6, 10 },
54347	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x44ae4, 0 },
54348		{ "WR_DELAY", 6, 10 },
54349	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x44ae8, 0 },
54350		{ "WR_DELAY", 6, 10 },
54351	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x44aec, 0 },
54352		{ "WR_DELAY", 6, 10 },
54353	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x44af0, 0 },
54354		{ "WR_DELAY", 6, 10 },
54355	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x44af4, 0 },
54356		{ "WR_DELAY", 6, 10 },
54357	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x44af8, 0 },
54358		{ "WR_DELAY", 6, 10 },
54359	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x44afc, 0 },
54360		{ "WR_DELAY", 6, 10 },
54361	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44b00, 0 },
54362		{ "WR_DELAY", 6, 10 },
54363	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44b04, 0 },
54364		{ "WR_DELAY", 6, 10 },
54365	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44b08, 0 },
54366		{ "WR_DELAY", 6, 10 },
54367	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x44b0c, 0 },
54368		{ "WR_DELAY", 6, 10 },
54369	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44b10, 0 },
54370		{ "WR_DELAY", 6, 10 },
54371	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44b14, 0 },
54372		{ "WR_DELAY", 6, 10 },
54373	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44b18, 0 },
54374		{ "WR_DELAY", 6, 10 },
54375	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x44b1c, 0 },
54376		{ "WR_DELAY", 6, 10 },
54377	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44b20, 0 },
54378		{ "WR_DELAY", 6, 10 },
54379	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44b24, 0 },
54380		{ "WR_DELAY", 6, 10 },
54381	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44b28, 0 },
54382		{ "WR_DELAY", 6, 10 },
54383	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x44b2c, 0 },
54384		{ "WR_DELAY", 6, 10 },
54385	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44b30, 0 },
54386		{ "WR_DELAY", 6, 10 },
54387	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44b34, 0 },
54388		{ "WR_DELAY", 6, 10 },
54389	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44b38, 0 },
54390		{ "WR_DELAY", 6, 10 },
54391	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x44b3c, 0 },
54392		{ "WR_DELAY", 6, 10 },
54393	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44b40, 0 },
54394		{ "RD_DELAY_BITS0_6", 9, 7 },
54395		{ "RD_DELAY_BITS8_14", 1, 7 },
54396	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44b44, 0 },
54397		{ "RD_DELAY_BITS0_6", 9, 7 },
54398		{ "RD_DELAY_BITS8_14", 1, 7 },
54399	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44b48, 0 },
54400		{ "RD_DELAY_BITS0_6", 9, 7 },
54401		{ "RD_DELAY_BITS8_14", 1, 7 },
54402	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x44b4c, 0 },
54403		{ "RD_DELAY_BITS0_6", 9, 7 },
54404		{ "RD_DELAY_BITS8_14", 1, 7 },
54405	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44b50, 0 },
54406		{ "RD_DELAY_BITS0_6", 9, 7 },
54407		{ "RD_DELAY_BITS8_14", 1, 7 },
54408	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44b54, 0 },
54409		{ "RD_DELAY_BITS0_6", 9, 7 },
54410		{ "RD_DELAY_BITS8_14", 1, 7 },
54411	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44b58, 0 },
54412		{ "RD_DELAY_BITS0_6", 9, 7 },
54413		{ "RD_DELAY_BITS8_14", 1, 7 },
54414	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x44b5c, 0 },
54415		{ "RD_DELAY_BITS0_6", 9, 7 },
54416		{ "RD_DELAY_BITS8_14", 1, 7 },
54417	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44b60, 0 },
54418		{ "RD_DELAY_BITS0_6", 9, 7 },
54419		{ "RD_DELAY_BITS8_14", 1, 7 },
54420	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44b64, 0 },
54421		{ "RD_DELAY_BITS0_6", 9, 7 },
54422		{ "RD_DELAY_BITS8_14", 1, 7 },
54423	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44b68, 0 },
54424		{ "RD_DELAY_BITS0_6", 9, 7 },
54425		{ "RD_DELAY_BITS8_14", 1, 7 },
54426	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x44b6c, 0 },
54427		{ "RD_DELAY_BITS0_6", 9, 7 },
54428		{ "RD_DELAY_BITS8_14", 1, 7 },
54429	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44a30, 0 },
54430		{ "OFFSET_BITS1_7", 8, 7 },
54431		{ "OFFSET_BITS9_15", 0, 7 },
54432	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44a34, 0 },
54433		{ "OFFSET_BITS1_7", 8, 7 },
54434		{ "OFFSET_BITS9_15", 0, 7 },
54435	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x44bc0, 0 },
54436		{ "REFERENCE_BITS1_7", 8, 7 },
54437		{ "REFERENCE_BITS9_15", 0, 7 },
54438	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x44bc4, 0 },
54439		{ "REFERENCE_BITS1_7", 8, 7 },
54440		{ "REFERENCE_BITS9_15", 0, 7 },
54441	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x44bc8, 0 },
54442		{ "REFERENCE", 8, 7 },
54443	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44b80, 0 },
54444		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54445		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54446	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44b84, 0 },
54447		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54448		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54449	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44b88, 0 },
54450		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54451		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54452	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x44b8c, 0 },
54453		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54454		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54455	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44b90, 0 },
54456		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54457		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54458	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44b94, 0 },
54459		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54460		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54461	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44b98, 0 },
54462		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54463		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54464	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x44b9c, 0 },
54465		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54466		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54467	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x44ba0, 0 },
54468		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54469		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54470	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x44ba4, 0 },
54471		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54472		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54473	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x44ba8, 0 },
54474		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54475		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54476	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x44bac, 0 },
54477		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54478		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54479	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44a28, 0 },
54480		{ "MIN_RD_EYE_SIZE", 8, 6 },
54481		{ "MAX_DQS_DRIFT", 0, 6 },
54482	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44a38, 0 },
54483	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x44a3c, 0 },
54484		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
54485	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44a40, 0 },
54486	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44a44, 0 },
54487		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
54488	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x44a4c, 0 },
54489		{ "DQS_GATE_DELAY_N0", 12, 3 },
54490		{ "DQS_GATE_DELAY_N1", 8, 3 },
54491		{ "DQS_GATE_DELAY_N2", 4, 3 },
54492		{ "DQS_GATE_DELAY_N3", 0, 3 },
54493	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x44a50, 0 },
54494		{ "NO_EYE_DETECTED", 15, 1 },
54495		{ "LEADING_EDGE_FOUND", 14, 1 },
54496		{ "TRAILING_EDGE_FOUND", 13, 1 },
54497		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
54498		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
54499		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
54500		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
54501		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
54502		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
54503		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
54504		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
54505		{ "EYE_CLIPPING", 4, 1 },
54506		{ "NO_DQS", 3, 1 },
54507		{ "NO_LOCK", 2, 1 },
54508		{ "DRIFT_ERROR", 1, 1 },
54509		{ "MIN_EYE", 0, 1 },
54510	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44a54, 0 },
54511		{ "NO_EYE_DETECTED_MASK", 15, 1 },
54512		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
54513		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
54514		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
54515		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
54516		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
54517		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
54518		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
54519		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
54520		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
54521		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
54522		{ "EYE_CLIPPING_MASK", 4, 1 },
54523		{ "NO_DQS_MASK", 3, 1 },
54524		{ "NO_LOCK_MASK", 2, 1 },
54525		{ "DRIFT_ERROR_MASK", 1, 1 },
54526		{ "MIN_EYE_MASK", 0, 1 },
54527	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x44a5c, 0 },
54528		{ "CLK_LEVEL", 14, 2 },
54529		{ "FINE_STEPPING", 13, 1 },
54530		{ "WR_LVL_DONE", 12, 1 },
54531		{ "WL_ERR_CLK16_ST", 11, 1 },
54532		{ "WL_ERR_CLK18_ST", 10, 1 },
54533		{ "WL_ERR_CLK20_ST", 9, 1 },
54534		{ "WL_ERR_CLK22_ST", 8, 1 },
54535		{ "ZERO_DETECTED", 7, 1 },
54536	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44a60, 0 },
54537		{ "BIT_CENTERED", 11, 5 },
54538		{ "SMALL_STEP_LEFT", 10, 1 },
54539		{ "BIG_STEP_RIGHT", 9, 1 },
54540		{ "MATCH_STEP_RIGHT", 8, 1 },
54541		{ "JUMP_BACK_RIGHT", 7, 1 },
54542		{ "SMALL_STEP_RIGHT", 6, 1 },
54543		{ "WR_CNTR_DONE", 5, 1 },
54544	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44a64, 0 },
54545		{ "FW_LEFT_SIDE", 5, 11 },
54546	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44a68, 0 },
54547		{ "FW_RIGHT_SIDE", 5, 11 },
54548	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x44a6c, 0 },
54549		{ "WL_ERR_CLK16", 15, 1 },
54550		{ "WL_ERR_CLK18", 14, 1 },
54551		{ "WL_ERR_CLK20", 13, 1 },
54552		{ "WL_ERR_CLK22", 12, 1 },
54553		{ "VALID_NS_BIG_L", 7, 1 },
54554		{ "INVALID_NS_SMALL_L", 6, 1 },
54555		{ "VALID_NS_BIG_R", 5, 1 },
54556		{ "INVALID_NS_BIG_R", 4, 1 },
54557		{ "VALID_NS_JUMP_BACK", 3, 1 },
54558		{ "INVALID_NS_SMALL_R", 2, 1 },
54559		{ "OFFSET_ERR", 1, 1 },
54560	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44a70, 0 },
54561		{ "WL_ERR_CLK16_MASK", 15, 1 },
54562		{ "WL_ERR_CLK18_MASK", 14, 1 },
54563		{ "WL_ERR_CLK20_MASK", 13, 1 },
54564		{ "WR_ERR_CLK22_MASK", 12, 1 },
54565		{ "DQS_REC_LOW_POWER", 11, 1 },
54566		{ "DQ_REC_LOW_POWER", 10, 1 },
54567		{ "VALID_NS_BIG_L_MASK", 7, 1 },
54568		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
54569		{ "VALID_NS_BIG_R_MASK", 5, 1 },
54570		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
54571		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
54572		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
54573		{ "OFFSET_ERR_MASK", 1, 1 },
54574		{ "ADVANCE_PR_VALUE", 0, 1 },
54575	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x44bd8, 0 },
54576		{ "PLL_TUNE_0_2", 13, 3 },
54577		{ "PLL_TUNECP_0_2", 10, 3 },
54578		{ "PLL_TUNEF_0_5", 4, 6 },
54579		{ "PLL_TUNEVCO_0_1", 2, 2 },
54580		{ "PLL_PLLXTR_0_1", 0, 2 },
54581	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x44bdc, 0 },
54582		{ "PLL_TUNETDIV_0_2", 13, 3 },
54583		{ "PLL_TUNEMDIV_0_1", 11, 2 },
54584		{ "PLL_TUNEATST", 10, 1 },
54585		{ "VREG_RANGE_0_1", 8, 2 },
54586		{ "CE0DLTVCCA", 7, 1 },
54587		{ "VREG_VCCTUNE_0_1", 5, 2 },
54588		{ "CE0DLTVCCD1", 4, 1 },
54589		{ "CE0DLTVCCD2", 3, 1 },
54590		{ "S0INSDLYTAP", 2, 1 },
54591		{ "S1INSDLYTAP", 1, 1 },
54592	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x44be0, 0 },
54593		{ "EN_SLICE_N_WR", 8, 8 },
54594		{ "EN_SLICE_N_WR_FFE", 4, 4 },
54595	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x44be8, 0 },
54596		{ "EN_TERM_N_WR", 8, 8 },
54597		{ "EN_TERM_N_WR_FFE", 4, 4 },
54598	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x44be4, 0 },
54599		{ "EN_SLICE_P_WR", 8, 8 },
54600		{ "EN_SLICE_P_WR_FFE", 4, 4 },
54601	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x44bec, 0 },
54602		{ "EN_TERM_P_WR", 8, 8 },
54603		{ "EN_TERM_P_WR_FFE", 4, 4 },
54604	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x44bd4, 0 },
54605		{ "INTERP_SIG_SLEW", 12, 4 },
54606		{ "POST_CURSOR", 8, 4 },
54607		{ "SLEW_CTL", 4, 4 },
54608	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44a74, 0 },
54609		{ "CHECKER_ENABLE", 15, 1 },
54610		{ "CHECKER_RESET", 14, 1 },
54611		{ "SYNC", 6, 6 },
54612		{ "DP18_DFT_ERROR", 0, 6 },
54613	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44a20, 0 },
54614		{ "DIGITAL_EYE_EN", 15, 1 },
54615		{ "BUMP", 14, 1 },
54616		{ "TRIG_PERIOD", 13, 1 },
54617		{ "CNTL_POL", 12, 1 },
54618		{ "CNTL_SRC", 8, 1 },
54619		{ "DIGITAL_EYE_VALUE", 0, 8 },
54620	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x44ac8, 0 },
54621		{ "MEMINTD00_POS", 14, 2 },
54622		{ "MEMINTD01_PO", 12, 2 },
54623		{ "MEMINTD02_POS", 10, 2 },
54624		{ "MEMINTD03_POS", 8, 2 },
54625		{ "MEMINTD04_POS", 6, 2 },
54626		{ "MEMINTD05_POS", 4, 2 },
54627		{ "MEMINTD06_POS", 2, 2 },
54628		{ "MEMINTD07_POS", 0, 2 },
54629	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x44acc, 0 },
54630		{ "MEMINTD08_POS", 14, 2 },
54631		{ "MEMINTD09_POS", 12, 2 },
54632		{ "MEMINTD10_POS", 10, 2 },
54633		{ "MEMINTD11_POS", 8, 2 },
54634		{ "MEMINTD12_POS", 6, 2 },
54635		{ "MEMINTD13_POS", 4, 2 },
54636		{ "MEMINTD14_POS", 2, 2 },
54637		{ "MEMINTD15_POS", 0, 2 },
54638	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x44ad0, 0 },
54639		{ "MEMINTD16_POS", 14, 2 },
54640		{ "MEMINTD17_POS", 12, 2 },
54641		{ "MEMINTD18_POS", 10, 2 },
54642		{ "MEMINTD19_POS", 8, 2 },
54643		{ "MEMINTD20_POS", 6, 2 },
54644		{ "MEMINTD21_POS", 4, 2 },
54645		{ "MEMINTD22_POS", 2, 2 },
54646		{ "MEMINTD23_POS", 0, 2 },
54647	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44a78, 0 },
54648		{ "SYSCLK_DQSCLK_OFFSET", 8, 7 },
54649		{ "SYSCLK_RDCLK_OFFSET", 0, 7 },
54650	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x44ad4, 0 },
54651		{ "DQS_ALIGN_SM", 11, 5 },
54652		{ "DQS_ALIGN_CNTR", 7, 4 },
54653		{ "ITERATION_CNTR", 6, 1 },
54654		{ "DQS_ALIGN_ITER_CNTR", 0, 6 },
54655	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x44ad8, 0 },
54656		{ "CALIBRATE_BIT", 13, 3 },
54657		{ "DQS_ALIGN_QUAD", 11, 2 },
54658		{ "DQS_QUAD_CONFIG", 8, 3 },
54659		{ "OPERATE_MODE", 4, 4 },
54660		{ "EN_DQS_OFFSET", 3, 1 },
54661		{ "DQS_ALIGN_JITTER", 2, 1 },
54662		{ "DIS_CLK_GATE", 1, 1 },
54663		{ "MAX_DQS_ITER", 0, 1 },
54664	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x44bb4, 0 },
54665		{ "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 },
54666		{ "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 },
54667	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x44bb8, 0 },
54668		{ "APPROACH_ALIGNMENT", 15, 1 },
54669	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x44adc, 0 },
54670		{ "DQS_OFFSET", 8, 7 },
54671	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x44a2c, 0 },
54672		{ "DP18_HS_PROBE_A_SEL", 11, 5 },
54673		{ "DP18_HS_PROBE_B_SEL", 6, 5 },
54674		{ "RD_DEBUG_SEL", 3, 3 },
54675		{ "WR_DEBUG_SEL", 0, 3 },
54676	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x44bfc, 0 },
54677		{ "MASTER_PD_CNTL", 15, 1 },
54678		{ "ANALOG_INPUT_STAB2", 14, 1 },
54679		{ "EYEDAC_PD", 13, 1 },
54680		{ "ANALOG_OUTPUT_STAB", 9, 1 },
54681		{ "ANALOG_INPUT_STAB1", 8, 1 },
54682		{ "SYSCLK_CLK_GATE", 6, 2 },
54683		{ "WR_FIFO_STAB", 5, 1 },
54684		{ "DELAY_LINE_CTL_OVERRIDE", 4, 1 },
54685		{ "DP18_RX_PD", 2, 2 },
54686		{ "TX_TRISTATE_CNTL", 1, 1 },
54687		{ "VCC_REG_PD", 0, 1 },
54688	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44a48, 0 },
54689		{ "DYN_POWER_CNTL_EN", 15, 1 },
54690		{ "DQS_ALIGN_BY_QUAD", 4, 1 },
54691	{ "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x44bbc, 0 },
54692		{ "QUAD0_PWR_CTL", 12, 4 },
54693		{ "QUAD1_PWR_CTL", 8, 4 },
54694		{ "QUAD2_PWR_CTL", 4, 4 },
54695		{ "QUAD3_PWR_CTL", 0, 4 },
54696	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44c00, 0 },
54697	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44c04, 0 },
54698		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
54699		{ "DFT_FORCE_OUTPUTS", 7, 1 },
54700		{ "DFT_PRBS7_GEN_EN", 6, 1 },
54701		{ "DP18_WRAPSEL", 5, 1 },
54702		{ "HW_VALUE", 4, 1 },
54703		{ "MRS_CMD_DATA_N0", 3, 1 },
54704		{ "MRS_CMD_DATA_N1", 2, 1 },
54705		{ "MRS_CMD_DATA_N2", 1, 1 },
54706		{ "MRS_CMD_DATA_N3", 0, 1 },
54707	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x44df0, 0 },
54708	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x44df4, 0 },
54709		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
54710	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44c08, 0 },
54711	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x44c0c, 0 },
54712		{ "DATA_BIT_DIR_16_23", 8, 8 },
54713		{ "WL_ADVANCE_DISABLE", 7, 1 },
54714		{ "DISABLE_PING_PONG", 6, 1 },
54715		{ "DELAY_PING_PONG_HALF", 5, 1 },
54716		{ "ADVANCE_PING_PONG", 4, 1 },
54717		{ "ATEST_MUX_CTL0", 3, 1 },
54718		{ "ATEST_MUX_CTL1", 2, 1 },
54719		{ "ATEST_MUX_CTL2", 1, 1 },
54720		{ "ATEST_MUX_CTL3", 0, 1 },
54721	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44c10, 0 },
54722		{ "QUAD0_CLK16_BIT0", 15, 1 },
54723		{ "QUAD1_CLK16_BIT1", 14, 1 },
54724		{ "QUAD2_CLK16_BIT2", 13, 1 },
54725		{ "QUAD3_CLK16_BIT3", 12, 1 },
54726		{ "QUAD0_CLK18_BIT4", 11, 1 },
54727		{ "QUAD1_CLK18_BIT5", 10, 1 },
54728		{ "QUAD2_CLK20_BIT6", 9, 1 },
54729		{ "QUAD3_CLK20_BIT7", 8, 1 },
54730		{ "QUAD2_CLK22_BIT8", 7, 1 },
54731		{ "QUAD3_CLK22_BIT9", 6, 1 },
54732		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
54733		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
54734		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
54735		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
54736	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44c14, 0 },
54737		{ "QUAD0_CLK16_BIT0", 15, 1 },
54738		{ "QUAD1_CLK16_BIT1", 14, 1 },
54739		{ "QUAD2_CLK16_BIT2", 13, 1 },
54740		{ "QUAD3_CLK16_BIT3", 12, 1 },
54741		{ "QUAD0_CLK18_BIT4", 11, 1 },
54742		{ "QUAD1_CLK18_BIT5", 10, 1 },
54743		{ "QUAD2_CLK20_BIT6", 9, 1 },
54744		{ "QUAD3_CLK20_BIT7", 8, 1 },
54745		{ "QUAD2_CLK22_BIT8", 7, 1 },
54746		{ "QUAD3_CLK22_BIT9", 6, 1 },
54747		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
54748		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
54749		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
54750		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
54751		{ "QUAD2_CLK18_BIT14", 1, 1 },
54752		{ "QUAD3_CLK18_BIT15", 0, 1 },
54753	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x44df8, 0 },
54754		{ "DQ_WR_OFFSET_N0", 12, 4 },
54755		{ "DQ_WR_OFFSET_N1", 8, 4 },
54756		{ "DQ_WR_OFFSET_N2", 4, 4 },
54757		{ "DQ_WR_OFFSET_N3", 0, 4 },
54758	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44c18, 0 },
54759		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
54760		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
54761		{ "SxMCVREF_0_3", 4, 4 },
54762		{ "SxPODVREF", 3, 1 },
54763		{ "DISABLE_TERMINATION", 2, 1 },
54764		{ "READ_CENTERING_MODE", 0, 2 },
54765	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x44c1c, 0 },
54766		{ "SYSCLK_ENABLE", 15, 1 },
54767		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
54768		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
54769		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
54770		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
54771		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
54772		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
54773		{ "CONTINUOUS_UPDATE", 2, 1 },
54774	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x44dcc, 0 },
54775		{ "SYSCLK_ROT", 8, 7 },
54776		{ "BB_LOCK", 7, 1 },
54777	{ "MC_DDRPHY_DP18_WRCLK_EDGE", 0x44d7c, 0 },
54778		{ "FAIL_PASS_VALUE", 8, 7 },
54779		{ "PASS_FAIL_VALUE", 0, 8 },
54780	{ "MC_DDRPHY_DP18_WRCLK_STATUS", 0x44d78, 0 },
54781		{ "WRCLK_CALIB_DONE", 15, 1 },
54782		{ "VALUE_UPDATED", 14, 1 },
54783		{ "FAIL_PASS_V", 13, 1 },
54784		{ "PASS_FAIL_V", 12, 1 },
54785		{ "FP_PF_EDGE_NF", 11, 1 },
54786		{ "NON_SYMETRIC", 10, 1 },
54787		{ "FULL_RANGE", 8, 1 },
54788		{ "QUAD3_EDGES", 7, 1 },
54789		{ "QUAD2_EDGES", 6, 1 },
54790		{ "QUAD1_EDGES", 5, 1 },
54791		{ "QUAD0_EDGES", 4, 1 },
54792		{ "QUAD3_CAVEAT", 3, 1 },
54793		{ "QUAD2_CAVEAT", 2, 1 },
54794		{ "QUAD1_CAVEAT", 1, 1 },
54795		{ "QUAD0_CAVEAT", 0, 1 },
54796	{ "MC_DDRPHY_DP18_WRCLK_CNTL", 0x44c58, 0 },
54797		{ "PRBS_WAIT", 14, 2 },
54798		{ "PRBS_SYNC_EARLY", 13, 1 },
54799		{ "RD_DELAY_EARLY", 12, 1 },
54800		{ "SS_QUAD_CAL", 10, 1 },
54801		{ "SS_QUAD", 8, 2 },
54802		{ "SS_RD_DELAY", 7, 1 },
54803		{ "FORCE_HI_Z", 6, 1 },
54804	{ "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x44c7c, 0 },
54805	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x44dd0, 0 },
54806		{ "TSYS_WRCLK", 8, 7 },
54807	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x44cc0, 0 },
54808		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
54809		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
54810	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x44cc4, 0 },
54811		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
54812		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
54813	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44c24, 0 },
54814		{ "DQSCLK_SELECT0", 14, 2 },
54815		{ "RDCLK_SELECT0", 12, 2 },
54816		{ "DQSCLK_SELECT1", 10, 2 },
54817		{ "RDCLK_SELECT1", 8, 2 },
54818		{ "DQSCLK_SELECT2", 6, 2 },
54819		{ "RDCLK_SELECT2", 4, 2 },
54820		{ "DQSCLK_SELECT3", 2, 2 },
54821		{ "RDCLK_SELECT3", 0, 2 },
54822	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44d70, 0 },
54823		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
54824		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
54825	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44d74, 0 },
54826		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
54827		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
54828	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x44ce0, 0 },
54829		{ "WR_DELAY", 6, 10 },
54830	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x44ce4, 0 },
54831		{ "WR_DELAY", 6, 10 },
54832	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x44ce8, 0 },
54833		{ "WR_DELAY", 6, 10 },
54834	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x44cec, 0 },
54835		{ "WR_DELAY", 6, 10 },
54836	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x44cf0, 0 },
54837		{ "WR_DELAY", 6, 10 },
54838	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x44cf4, 0 },
54839		{ "WR_DELAY", 6, 10 },
54840	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x44cf8, 0 },
54841		{ "WR_DELAY", 6, 10 },
54842	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x44cfc, 0 },
54843		{ "WR_DELAY", 6, 10 },
54844	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44d00, 0 },
54845		{ "WR_DELAY", 6, 10 },
54846	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44d04, 0 },
54847		{ "WR_DELAY", 6, 10 },
54848	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44d08, 0 },
54849		{ "WR_DELAY", 6, 10 },
54850	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x44d0c, 0 },
54851		{ "WR_DELAY", 6, 10 },
54852	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44d10, 0 },
54853		{ "WR_DELAY", 6, 10 },
54854	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44d14, 0 },
54855		{ "WR_DELAY", 6, 10 },
54856	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44d18, 0 },
54857		{ "WR_DELAY", 6, 10 },
54858	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x44d1c, 0 },
54859		{ "WR_DELAY", 6, 10 },
54860	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44d20, 0 },
54861		{ "WR_DELAY", 6, 10 },
54862	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44d24, 0 },
54863		{ "WR_DELAY", 6, 10 },
54864	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44d28, 0 },
54865		{ "WR_DELAY", 6, 10 },
54866	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x44d2c, 0 },
54867		{ "WR_DELAY", 6, 10 },
54868	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44d30, 0 },
54869		{ "WR_DELAY", 6, 10 },
54870	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44d34, 0 },
54871		{ "WR_DELAY", 6, 10 },
54872	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44d38, 0 },
54873		{ "WR_DELAY", 6, 10 },
54874	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x44d3c, 0 },
54875		{ "WR_DELAY", 6, 10 },
54876	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44d40, 0 },
54877		{ "RD_DELAY_BITS0_6", 9, 7 },
54878		{ "RD_DELAY_BITS8_14", 1, 7 },
54879	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44d44, 0 },
54880		{ "RD_DELAY_BITS0_6", 9, 7 },
54881		{ "RD_DELAY_BITS8_14", 1, 7 },
54882	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44d48, 0 },
54883		{ "RD_DELAY_BITS0_6", 9, 7 },
54884		{ "RD_DELAY_BITS8_14", 1, 7 },
54885	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x44d4c, 0 },
54886		{ "RD_DELAY_BITS0_6", 9, 7 },
54887		{ "RD_DELAY_BITS8_14", 1, 7 },
54888	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44d50, 0 },
54889		{ "RD_DELAY_BITS0_6", 9, 7 },
54890		{ "RD_DELAY_BITS8_14", 1, 7 },
54891	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44d54, 0 },
54892		{ "RD_DELAY_BITS0_6", 9, 7 },
54893		{ "RD_DELAY_BITS8_14", 1, 7 },
54894	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44d58, 0 },
54895		{ "RD_DELAY_BITS0_6", 9, 7 },
54896		{ "RD_DELAY_BITS8_14", 1, 7 },
54897	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x44d5c, 0 },
54898		{ "RD_DELAY_BITS0_6", 9, 7 },
54899		{ "RD_DELAY_BITS8_14", 1, 7 },
54900	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44d60, 0 },
54901		{ "RD_DELAY_BITS0_6", 9, 7 },
54902		{ "RD_DELAY_BITS8_14", 1, 7 },
54903	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44d64, 0 },
54904		{ "RD_DELAY_BITS0_6", 9, 7 },
54905		{ "RD_DELAY_BITS8_14", 1, 7 },
54906	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44d68, 0 },
54907		{ "RD_DELAY_BITS0_6", 9, 7 },
54908		{ "RD_DELAY_BITS8_14", 1, 7 },
54909	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x44d6c, 0 },
54910		{ "RD_DELAY_BITS0_6", 9, 7 },
54911		{ "RD_DELAY_BITS8_14", 1, 7 },
54912	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44c30, 0 },
54913		{ "OFFSET_BITS1_7", 8, 7 },
54914		{ "OFFSET_BITS9_15", 0, 7 },
54915	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44c34, 0 },
54916		{ "OFFSET_BITS1_7", 8, 7 },
54917		{ "OFFSET_BITS9_15", 0, 7 },
54918	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x44dc0, 0 },
54919		{ "REFERENCE_BITS1_7", 8, 7 },
54920		{ "REFERENCE_BITS9_15", 0, 7 },
54921	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x44dc4, 0 },
54922		{ "REFERENCE_BITS1_7", 8, 7 },
54923		{ "REFERENCE_BITS9_15", 0, 7 },
54924	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x44dc8, 0 },
54925		{ "REFERENCE", 8, 7 },
54926	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44d80, 0 },
54927		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54928		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54929	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44d84, 0 },
54930		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54931		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54932	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44d88, 0 },
54933		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54934		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54935	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x44d8c, 0 },
54936		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54937		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54938	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44d90, 0 },
54939		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54940		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54941	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44d94, 0 },
54942		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54943		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54944	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44d98, 0 },
54945		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54946		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54947	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x44d9c, 0 },
54948		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54949		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54950	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x44da0, 0 },
54951		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54952		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54953	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x44da4, 0 },
54954		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54955		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54956	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x44da8, 0 },
54957		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54958		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54959	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x44dac, 0 },
54960		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
54961		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
54962	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44c28, 0 },
54963		{ "MIN_RD_EYE_SIZE", 8, 6 },
54964		{ "MAX_DQS_DRIFT", 0, 6 },
54965	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44c38, 0 },
54966	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x44c3c, 0 },
54967		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
54968	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44c40, 0 },
54969	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44c44, 0 },
54970		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
54971	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x44c4c, 0 },
54972		{ "DQS_GATE_DELAY_N0", 12, 3 },
54973		{ "DQS_GATE_DELAY_N1", 8, 3 },
54974		{ "DQS_GATE_DELAY_N2", 4, 3 },
54975		{ "DQS_GATE_DELAY_N3", 0, 3 },
54976	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x44c50, 0 },
54977		{ "NO_EYE_DETECTED", 15, 1 },
54978		{ "LEADING_EDGE_FOUND", 14, 1 },
54979		{ "TRAILING_EDGE_FOUND", 13, 1 },
54980		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
54981		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
54982		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
54983		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
54984		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
54985		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
54986		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
54987		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
54988		{ "EYE_CLIPPING", 4, 1 },
54989		{ "NO_DQS", 3, 1 },
54990		{ "NO_LOCK", 2, 1 },
54991		{ "DRIFT_ERROR", 1, 1 },
54992		{ "MIN_EYE", 0, 1 },
54993	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44c54, 0 },
54994		{ "NO_EYE_DETECTED_MASK", 15, 1 },
54995		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
54996		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
54997		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
54998		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
54999		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
55000		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
55001		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
55002		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
55003		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
55004		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
55005		{ "EYE_CLIPPING_MASK", 4, 1 },
55006		{ "NO_DQS_MASK", 3, 1 },
55007		{ "NO_LOCK_MASK", 2, 1 },
55008		{ "DRIFT_ERROR_MASK", 1, 1 },
55009		{ "MIN_EYE_MASK", 0, 1 },
55010	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x44c5c, 0 },
55011		{ "CLK_LEVEL", 14, 2 },
55012		{ "FINE_STEPPING", 13, 1 },
55013		{ "WR_LVL_DONE", 12, 1 },
55014		{ "WL_ERR_CLK16_ST", 11, 1 },
55015		{ "WL_ERR_CLK18_ST", 10, 1 },
55016		{ "WL_ERR_CLK20_ST", 9, 1 },
55017		{ "WL_ERR_CLK22_ST", 8, 1 },
55018		{ "ZERO_DETECTED", 7, 1 },
55019	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44c60, 0 },
55020		{ "BIT_CENTERED", 11, 5 },
55021		{ "SMALL_STEP_LEFT", 10, 1 },
55022		{ "BIG_STEP_RIGHT", 9, 1 },
55023		{ "MATCH_STEP_RIGHT", 8, 1 },
55024		{ "JUMP_BACK_RIGHT", 7, 1 },
55025		{ "SMALL_STEP_RIGHT", 6, 1 },
55026		{ "WR_CNTR_DONE", 5, 1 },
55027	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44c64, 0 },
55028		{ "FW_LEFT_SIDE", 5, 11 },
55029	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44c68, 0 },
55030		{ "FW_RIGHT_SIDE", 5, 11 },
55031	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x44c6c, 0 },
55032		{ "WL_ERR_CLK16", 15, 1 },
55033		{ "WL_ERR_CLK18", 14, 1 },
55034		{ "WL_ERR_CLK20", 13, 1 },
55035		{ "WL_ERR_CLK22", 12, 1 },
55036		{ "VALID_NS_BIG_L", 7, 1 },
55037		{ "INVALID_NS_SMALL_L", 6, 1 },
55038		{ "VALID_NS_BIG_R", 5, 1 },
55039		{ "INVALID_NS_BIG_R", 4, 1 },
55040		{ "VALID_NS_JUMP_BACK", 3, 1 },
55041		{ "INVALID_NS_SMALL_R", 2, 1 },
55042		{ "OFFSET_ERR", 1, 1 },
55043	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44c70, 0 },
55044		{ "WL_ERR_CLK16_MASK", 15, 1 },
55045		{ "WL_ERR_CLK18_MASK", 14, 1 },
55046		{ "WL_ERR_CLK20_MASK", 13, 1 },
55047		{ "WR_ERR_CLK22_MASK", 12, 1 },
55048		{ "DQS_REC_LOW_POWER", 11, 1 },
55049		{ "DQ_REC_LOW_POWER", 10, 1 },
55050		{ "VALID_NS_BIG_L_MASK", 7, 1 },
55051		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
55052		{ "VALID_NS_BIG_R_MASK", 5, 1 },
55053		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
55054		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
55055		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
55056		{ "OFFSET_ERR_MASK", 1, 1 },
55057		{ "ADVANCE_PR_VALUE", 0, 1 },
55058	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x44dd8, 0 },
55059		{ "PLL_TUNE_0_2", 13, 3 },
55060		{ "PLL_TUNECP_0_2", 10, 3 },
55061		{ "PLL_TUNEF_0_5", 4, 6 },
55062		{ "PLL_TUNEVCO_0_1", 2, 2 },
55063		{ "PLL_PLLXTR_0_1", 0, 2 },
55064	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x44ddc, 0 },
55065		{ "PLL_TUNETDIV_0_2", 13, 3 },
55066		{ "PLL_TUNEMDIV_0_1", 11, 2 },
55067		{ "PLL_TUNEATST", 10, 1 },
55068		{ "VREG_RANGE_0_1", 8, 2 },
55069		{ "CE0DLTVCCA", 7, 1 },
55070		{ "VREG_VCCTUNE_0_1", 5, 2 },
55071		{ "CE0DLTVCCD1", 4, 1 },
55072		{ "CE0DLTVCCD2", 3, 1 },
55073		{ "S0INSDLYTAP", 2, 1 },
55074		{ "S1INSDLYTAP", 1, 1 },
55075	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x44de0, 0 },
55076		{ "EN_SLICE_N_WR", 8, 8 },
55077		{ "EN_SLICE_N_WR_FFE", 4, 4 },
55078	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x44de8, 0 },
55079		{ "EN_TERM_N_WR", 8, 8 },
55080		{ "EN_TERM_N_WR_FFE", 4, 4 },
55081	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x44de4, 0 },
55082		{ "EN_SLICE_P_WR", 8, 8 },
55083		{ "EN_SLICE_P_WR_FFE", 4, 4 },
55084	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x44dec, 0 },
55085		{ "EN_TERM_P_WR", 8, 8 },
55086		{ "EN_TERM_P_WR_FFE", 4, 4 },
55087	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x44dd4, 0 },
55088		{ "INTERP_SIG_SLEW", 12, 4 },
55089		{ "POST_CURSOR", 8, 4 },
55090		{ "SLEW_CTL", 4, 4 },
55091	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44c74, 0 },
55092		{ "CHECKER_ENABLE", 15, 1 },
55093		{ "CHECKER_RESET", 14, 1 },
55094		{ "SYNC", 6, 6 },
55095		{ "DP18_DFT_ERROR", 0, 6 },
55096	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44c20, 0 },
55097		{ "DIGITAL_EYE_EN", 15, 1 },
55098		{ "BUMP", 14, 1 },
55099		{ "TRIG_PERIOD", 13, 1 },
55100		{ "CNTL_POL", 12, 1 },
55101		{ "CNTL_SRC", 8, 1 },
55102		{ "DIGITAL_EYE_VALUE", 0, 8 },
55103	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x44cc8, 0 },
55104		{ "MEMINTD00_POS", 14, 2 },
55105		{ "MEMINTD01_PO", 12, 2 },
55106		{ "MEMINTD02_POS", 10, 2 },
55107		{ "MEMINTD03_POS", 8, 2 },
55108		{ "MEMINTD04_POS", 6, 2 },
55109		{ "MEMINTD05_POS", 4, 2 },
55110		{ "MEMINTD06_POS", 2, 2 },
55111		{ "MEMINTD07_POS", 0, 2 },
55112	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x44ccc, 0 },
55113		{ "MEMINTD08_POS", 14, 2 },
55114		{ "MEMINTD09_POS", 12, 2 },
55115		{ "MEMINTD10_POS", 10, 2 },
55116		{ "MEMINTD11_POS", 8, 2 },
55117		{ "MEMINTD12_POS", 6, 2 },
55118		{ "MEMINTD13_POS", 4, 2 },
55119		{ "MEMINTD14_POS", 2, 2 },
55120		{ "MEMINTD15_POS", 0, 2 },
55121	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x44cd0, 0 },
55122		{ "MEMINTD16_POS", 14, 2 },
55123		{ "MEMINTD17_POS", 12, 2 },
55124		{ "MEMINTD18_POS", 10, 2 },
55125		{ "MEMINTD19_POS", 8, 2 },
55126		{ "MEMINTD20_POS", 6, 2 },
55127		{ "MEMINTD21_POS", 4, 2 },
55128		{ "MEMINTD22_POS", 2, 2 },
55129		{ "MEMINTD23_POS", 0, 2 },
55130	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44c78, 0 },
55131		{ "SYSCLK_DQSCLK_OFFSET", 8, 7 },
55132		{ "SYSCLK_RDCLK_OFFSET", 0, 7 },
55133	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x44cd4, 0 },
55134		{ "DQS_ALIGN_SM", 11, 5 },
55135		{ "DQS_ALIGN_CNTR", 7, 4 },
55136		{ "ITERATION_CNTR", 6, 1 },
55137		{ "DQS_ALIGN_ITER_CNTR", 0, 6 },
55138	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x44cd8, 0 },
55139		{ "CALIBRATE_BIT", 13, 3 },
55140		{ "DQS_ALIGN_QUAD", 11, 2 },
55141		{ "DQS_QUAD_CONFIG", 8, 3 },
55142		{ "OPERATE_MODE", 4, 4 },
55143		{ "EN_DQS_OFFSET", 3, 1 },
55144		{ "DQS_ALIGN_JITTER", 2, 1 },
55145		{ "DIS_CLK_GATE", 1, 1 },
55146		{ "MAX_DQS_ITER", 0, 1 },
55147	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x44db4, 0 },
55148		{ "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 },
55149		{ "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 },
55150	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x44db8, 0 },
55151		{ "APPROACH_ALIGNMENT", 15, 1 },
55152	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x44cdc, 0 },
55153		{ "DQS_OFFSET", 8, 7 },
55154	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x44c2c, 0 },
55155		{ "DP18_HS_PROBE_A_SEL", 11, 5 },
55156		{ "DP18_HS_PROBE_B_SEL", 6, 5 },
55157		{ "RD_DEBUG_SEL", 3, 3 },
55158		{ "WR_DEBUG_SEL", 0, 3 },
55159	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x44dfc, 0 },
55160		{ "MASTER_PD_CNTL", 15, 1 },
55161		{ "ANALOG_INPUT_STAB2", 14, 1 },
55162		{ "EYEDAC_PD", 13, 1 },
55163		{ "ANALOG_OUTPUT_STAB", 9, 1 },
55164		{ "ANALOG_INPUT_STAB1", 8, 1 },
55165		{ "SYSCLK_CLK_GATE", 6, 2 },
55166		{ "WR_FIFO_STAB", 5, 1 },
55167		{ "DELAY_LINE_CTL_OVERRIDE", 4, 1 },
55168		{ "DP18_RX_PD", 2, 2 },
55169		{ "TX_TRISTATE_CNTL", 1, 1 },
55170		{ "VCC_REG_PD", 0, 1 },
55171	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44c48, 0 },
55172		{ "DYN_POWER_CNTL_EN", 15, 1 },
55173		{ "DQS_ALIGN_BY_QUAD", 4, 1 },
55174	{ "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x44dbc, 0 },
55175		{ "QUAD0_PWR_CTL", 12, 4 },
55176		{ "QUAD1_PWR_CTL", 8, 4 },
55177		{ "QUAD2_PWR_CTL", 4, 4 },
55178		{ "QUAD3_PWR_CTL", 0, 4 },
55179	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44e00, 0 },
55180	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44e04, 0 },
55181		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
55182		{ "DFT_FORCE_OUTPUTS", 7, 1 },
55183		{ "DFT_PRBS7_GEN_EN", 6, 1 },
55184		{ "DP18_WRAPSEL", 5, 1 },
55185		{ "HW_VALUE", 4, 1 },
55186		{ "MRS_CMD_DATA_N0", 3, 1 },
55187		{ "MRS_CMD_DATA_N1", 2, 1 },
55188		{ "MRS_CMD_DATA_N2", 1, 1 },
55189		{ "MRS_CMD_DATA_N3", 0, 1 },
55190	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x44ff0, 0 },
55191	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x44ff4, 0 },
55192		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
55193	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44e08, 0 },
55194	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x44e0c, 0 },
55195		{ "DATA_BIT_DIR_16_23", 8, 8 },
55196		{ "WL_ADVANCE_DISABLE", 7, 1 },
55197		{ "DISABLE_PING_PONG", 6, 1 },
55198		{ "DELAY_PING_PONG_HALF", 5, 1 },
55199		{ "ADVANCE_PING_PONG", 4, 1 },
55200		{ "ATEST_MUX_CTL0", 3, 1 },
55201		{ "ATEST_MUX_CTL1", 2, 1 },
55202		{ "ATEST_MUX_CTL2", 1, 1 },
55203		{ "ATEST_MUX_CTL3", 0, 1 },
55204	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44e10, 0 },
55205		{ "QUAD0_CLK16_BIT0", 15, 1 },
55206		{ "QUAD1_CLK16_BIT1", 14, 1 },
55207		{ "QUAD2_CLK16_BIT2", 13, 1 },
55208		{ "QUAD3_CLK16_BIT3", 12, 1 },
55209		{ "QUAD0_CLK18_BIT4", 11, 1 },
55210		{ "QUAD1_CLK18_BIT5", 10, 1 },
55211		{ "QUAD2_CLK20_BIT6", 9, 1 },
55212		{ "QUAD3_CLK20_BIT7", 8, 1 },
55213		{ "QUAD2_CLK22_BIT8", 7, 1 },
55214		{ "QUAD3_CLK22_BIT9", 6, 1 },
55215		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
55216		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
55217		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
55218		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
55219	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44e14, 0 },
55220		{ "QUAD0_CLK16_BIT0", 15, 1 },
55221		{ "QUAD1_CLK16_BIT1", 14, 1 },
55222		{ "QUAD2_CLK16_BIT2", 13, 1 },
55223		{ "QUAD3_CLK16_BIT3", 12, 1 },
55224		{ "QUAD0_CLK18_BIT4", 11, 1 },
55225		{ "QUAD1_CLK18_BIT5", 10, 1 },
55226		{ "QUAD2_CLK20_BIT6", 9, 1 },
55227		{ "QUAD3_CLK20_BIT7", 8, 1 },
55228		{ "QUAD2_CLK22_BIT8", 7, 1 },
55229		{ "QUAD3_CLK22_BIT9", 6, 1 },
55230		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
55231		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
55232		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
55233		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
55234		{ "QUAD2_CLK18_BIT14", 1, 1 },
55235		{ "QUAD3_CLK18_BIT15", 0, 1 },
55236	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x44ff8, 0 },
55237		{ "DQ_WR_OFFSET_N0", 12, 4 },
55238		{ "DQ_WR_OFFSET_N1", 8, 4 },
55239		{ "DQ_WR_OFFSET_N2", 4, 4 },
55240		{ "DQ_WR_OFFSET_N3", 0, 4 },
55241	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44e18, 0 },
55242		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
55243		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
55244		{ "SxMCVREF_0_3", 4, 4 },
55245		{ "SxPODVREF", 3, 1 },
55246		{ "DISABLE_TERMINATION", 2, 1 },
55247		{ "READ_CENTERING_MODE", 0, 2 },
55248	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x44e1c, 0 },
55249		{ "SYSCLK_ENABLE", 15, 1 },
55250		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
55251		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
55252		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
55253		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
55254		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
55255		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
55256		{ "CONTINUOUS_UPDATE", 2, 1 },
55257	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x44fcc, 0 },
55258		{ "SYSCLK_ROT", 8, 7 },
55259		{ "BB_LOCK", 7, 1 },
55260	{ "MC_DDRPHY_DP18_WRCLK_EDGE", 0x44f7c, 0 },
55261		{ "FAIL_PASS_VALUE", 8, 7 },
55262		{ "PASS_FAIL_VALUE", 0, 8 },
55263	{ "MC_DDRPHY_DP18_WRCLK_STATUS", 0x44f78, 0 },
55264		{ "WRCLK_CALIB_DONE", 15, 1 },
55265		{ "VALUE_UPDATED", 14, 1 },
55266		{ "FAIL_PASS_V", 13, 1 },
55267		{ "PASS_FAIL_V", 12, 1 },
55268		{ "FP_PF_EDGE_NF", 11, 1 },
55269		{ "NON_SYMETRIC", 10, 1 },
55270		{ "FULL_RANGE", 8, 1 },
55271		{ "QUAD3_EDGES", 7, 1 },
55272		{ "QUAD2_EDGES", 6, 1 },
55273		{ "QUAD1_EDGES", 5, 1 },
55274		{ "QUAD0_EDGES", 4, 1 },
55275		{ "QUAD3_CAVEAT", 3, 1 },
55276		{ "QUAD2_CAVEAT", 2, 1 },
55277		{ "QUAD1_CAVEAT", 1, 1 },
55278		{ "QUAD0_CAVEAT", 0, 1 },
55279	{ "MC_DDRPHY_DP18_WRCLK_CNTL", 0x44e58, 0 },
55280		{ "PRBS_WAIT", 14, 2 },
55281		{ "PRBS_SYNC_EARLY", 13, 1 },
55282		{ "RD_DELAY_EARLY", 12, 1 },
55283		{ "SS_QUAD_CAL", 10, 1 },
55284		{ "SS_QUAD", 8, 2 },
55285		{ "SS_RD_DELAY", 7, 1 },
55286		{ "FORCE_HI_Z", 6, 1 },
55287	{ "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x44e7c, 0 },
55288	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x44fd0, 0 },
55289		{ "TSYS_WRCLK", 8, 7 },
55290	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x44ec0, 0 },
55291		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
55292		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
55293	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x44ec4, 0 },
55294		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
55295		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
55296	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44e24, 0 },
55297		{ "DQSCLK_SELECT0", 14, 2 },
55298		{ "RDCLK_SELECT0", 12, 2 },
55299		{ "DQSCLK_SELECT1", 10, 2 },
55300		{ "RDCLK_SELECT1", 8, 2 },
55301		{ "DQSCLK_SELECT2", 6, 2 },
55302		{ "RDCLK_SELECT2", 4, 2 },
55303		{ "DQSCLK_SELECT3", 2, 2 },
55304		{ "RDCLK_SELECT3", 0, 2 },
55305	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44f70, 0 },
55306		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
55307		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
55308	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44f74, 0 },
55309		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
55310		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
55311	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x44ee0, 0 },
55312		{ "WR_DELAY", 6, 10 },
55313	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x44ee4, 0 },
55314		{ "WR_DELAY", 6, 10 },
55315	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x44ee8, 0 },
55316		{ "WR_DELAY", 6, 10 },
55317	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x44eec, 0 },
55318		{ "WR_DELAY", 6, 10 },
55319	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x44ef0, 0 },
55320		{ "WR_DELAY", 6, 10 },
55321	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x44ef4, 0 },
55322		{ "WR_DELAY", 6, 10 },
55323	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x44ef8, 0 },
55324		{ "WR_DELAY", 6, 10 },
55325	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x44efc, 0 },
55326		{ "WR_DELAY", 6, 10 },
55327	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44f00, 0 },
55328		{ "WR_DELAY", 6, 10 },
55329	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44f04, 0 },
55330		{ "WR_DELAY", 6, 10 },
55331	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44f08, 0 },
55332		{ "WR_DELAY", 6, 10 },
55333	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x44f0c, 0 },
55334		{ "WR_DELAY", 6, 10 },
55335	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44f10, 0 },
55336		{ "WR_DELAY", 6, 10 },
55337	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44f14, 0 },
55338		{ "WR_DELAY", 6, 10 },
55339	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44f18, 0 },
55340		{ "WR_DELAY", 6, 10 },
55341	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x44f1c, 0 },
55342		{ "WR_DELAY", 6, 10 },
55343	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44f20, 0 },
55344		{ "WR_DELAY", 6, 10 },
55345	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44f24, 0 },
55346		{ "WR_DELAY", 6, 10 },
55347	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44f28, 0 },
55348		{ "WR_DELAY", 6, 10 },
55349	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x44f2c, 0 },
55350		{ "WR_DELAY", 6, 10 },
55351	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44f30, 0 },
55352		{ "WR_DELAY", 6, 10 },
55353	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44f34, 0 },
55354		{ "WR_DELAY", 6, 10 },
55355	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44f38, 0 },
55356		{ "WR_DELAY", 6, 10 },
55357	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x44f3c, 0 },
55358		{ "WR_DELAY", 6, 10 },
55359	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44f40, 0 },
55360		{ "RD_DELAY_BITS0_6", 9, 7 },
55361		{ "RD_DELAY_BITS8_14", 1, 7 },
55362	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44f44, 0 },
55363		{ "RD_DELAY_BITS0_6", 9, 7 },
55364		{ "RD_DELAY_BITS8_14", 1, 7 },
55365	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44f48, 0 },
55366		{ "RD_DELAY_BITS0_6", 9, 7 },
55367		{ "RD_DELAY_BITS8_14", 1, 7 },
55368	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x44f4c, 0 },
55369		{ "RD_DELAY_BITS0_6", 9, 7 },
55370		{ "RD_DELAY_BITS8_14", 1, 7 },
55371	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44f50, 0 },
55372		{ "RD_DELAY_BITS0_6", 9, 7 },
55373		{ "RD_DELAY_BITS8_14", 1, 7 },
55374	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44f54, 0 },
55375		{ "RD_DELAY_BITS0_6", 9, 7 },
55376		{ "RD_DELAY_BITS8_14", 1, 7 },
55377	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44f58, 0 },
55378		{ "RD_DELAY_BITS0_6", 9, 7 },
55379		{ "RD_DELAY_BITS8_14", 1, 7 },
55380	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x44f5c, 0 },
55381		{ "RD_DELAY_BITS0_6", 9, 7 },
55382		{ "RD_DELAY_BITS8_14", 1, 7 },
55383	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44f60, 0 },
55384		{ "RD_DELAY_BITS0_6", 9, 7 },
55385		{ "RD_DELAY_BITS8_14", 1, 7 },
55386	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44f64, 0 },
55387		{ "RD_DELAY_BITS0_6", 9, 7 },
55388		{ "RD_DELAY_BITS8_14", 1, 7 },
55389	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44f68, 0 },
55390		{ "RD_DELAY_BITS0_6", 9, 7 },
55391		{ "RD_DELAY_BITS8_14", 1, 7 },
55392	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x44f6c, 0 },
55393		{ "RD_DELAY_BITS0_6", 9, 7 },
55394		{ "RD_DELAY_BITS8_14", 1, 7 },
55395	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44e30, 0 },
55396		{ "OFFSET_BITS1_7", 8, 7 },
55397		{ "OFFSET_BITS9_15", 0, 7 },
55398	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44e34, 0 },
55399		{ "OFFSET_BITS1_7", 8, 7 },
55400		{ "OFFSET_BITS9_15", 0, 7 },
55401	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x44fc0, 0 },
55402		{ "REFERENCE_BITS1_7", 8, 7 },
55403		{ "REFERENCE_BITS9_15", 0, 7 },
55404	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x44fc4, 0 },
55405		{ "REFERENCE_BITS1_7", 8, 7 },
55406		{ "REFERENCE_BITS9_15", 0, 7 },
55407	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x44fc8, 0 },
55408		{ "REFERENCE", 8, 7 },
55409	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44f80, 0 },
55410		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55411		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55412	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44f84, 0 },
55413		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55414		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55415	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44f88, 0 },
55416		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55417		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55418	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x44f8c, 0 },
55419		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55420		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55421	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44f90, 0 },
55422		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55423		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55424	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44f94, 0 },
55425		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55426		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55427	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44f98, 0 },
55428		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55429		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55430	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x44f9c, 0 },
55431		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55432		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55433	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x44fa0, 0 },
55434		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55435		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55436	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x44fa4, 0 },
55437		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55438		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55439	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x44fa8, 0 },
55440		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55441		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55442	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x44fac, 0 },
55443		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55444		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55445	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44e28, 0 },
55446		{ "MIN_RD_EYE_SIZE", 8, 6 },
55447		{ "MAX_DQS_DRIFT", 0, 6 },
55448	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44e38, 0 },
55449	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x44e3c, 0 },
55450		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
55451	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44e40, 0 },
55452	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44e44, 0 },
55453		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
55454	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x44e4c, 0 },
55455		{ "DQS_GATE_DELAY_N0", 12, 3 },
55456		{ "DQS_GATE_DELAY_N1", 8, 3 },
55457		{ "DQS_GATE_DELAY_N2", 4, 3 },
55458		{ "DQS_GATE_DELAY_N3", 0, 3 },
55459	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x44e50, 0 },
55460		{ "NO_EYE_DETECTED", 15, 1 },
55461		{ "LEADING_EDGE_FOUND", 14, 1 },
55462		{ "TRAILING_EDGE_FOUND", 13, 1 },
55463		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
55464		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
55465		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
55466		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
55467		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
55468		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
55469		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
55470		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
55471		{ "EYE_CLIPPING", 4, 1 },
55472		{ "NO_DQS", 3, 1 },
55473		{ "NO_LOCK", 2, 1 },
55474		{ "DRIFT_ERROR", 1, 1 },
55475		{ "MIN_EYE", 0, 1 },
55476	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44e54, 0 },
55477		{ "NO_EYE_DETECTED_MASK", 15, 1 },
55478		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
55479		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
55480		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
55481		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
55482		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
55483		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
55484		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
55485		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
55486		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
55487		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
55488		{ "EYE_CLIPPING_MASK", 4, 1 },
55489		{ "NO_DQS_MASK", 3, 1 },
55490		{ "NO_LOCK_MASK", 2, 1 },
55491		{ "DRIFT_ERROR_MASK", 1, 1 },
55492		{ "MIN_EYE_MASK", 0, 1 },
55493	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x44e5c, 0 },
55494		{ "CLK_LEVEL", 14, 2 },
55495		{ "FINE_STEPPING", 13, 1 },
55496		{ "WR_LVL_DONE", 12, 1 },
55497		{ "WL_ERR_CLK16_ST", 11, 1 },
55498		{ "WL_ERR_CLK18_ST", 10, 1 },
55499		{ "WL_ERR_CLK20_ST", 9, 1 },
55500		{ "WL_ERR_CLK22_ST", 8, 1 },
55501		{ "ZERO_DETECTED", 7, 1 },
55502	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44e60, 0 },
55503		{ "BIT_CENTERED", 11, 5 },
55504		{ "SMALL_STEP_LEFT", 10, 1 },
55505		{ "BIG_STEP_RIGHT", 9, 1 },
55506		{ "MATCH_STEP_RIGHT", 8, 1 },
55507		{ "JUMP_BACK_RIGHT", 7, 1 },
55508		{ "SMALL_STEP_RIGHT", 6, 1 },
55509		{ "WR_CNTR_DONE", 5, 1 },
55510	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44e64, 0 },
55511		{ "FW_LEFT_SIDE", 5, 11 },
55512	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44e68, 0 },
55513		{ "FW_RIGHT_SIDE", 5, 11 },
55514	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x44e6c, 0 },
55515		{ "WL_ERR_CLK16", 15, 1 },
55516		{ "WL_ERR_CLK18", 14, 1 },
55517		{ "WL_ERR_CLK20", 13, 1 },
55518		{ "WL_ERR_CLK22", 12, 1 },
55519		{ "VALID_NS_BIG_L", 7, 1 },
55520		{ "INVALID_NS_SMALL_L", 6, 1 },
55521		{ "VALID_NS_BIG_R", 5, 1 },
55522		{ "INVALID_NS_BIG_R", 4, 1 },
55523		{ "VALID_NS_JUMP_BACK", 3, 1 },
55524		{ "INVALID_NS_SMALL_R", 2, 1 },
55525		{ "OFFSET_ERR", 1, 1 },
55526	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44e70, 0 },
55527		{ "WL_ERR_CLK16_MASK", 15, 1 },
55528		{ "WL_ERR_CLK18_MASK", 14, 1 },
55529		{ "WL_ERR_CLK20_MASK", 13, 1 },
55530		{ "WR_ERR_CLK22_MASK", 12, 1 },
55531		{ "DQS_REC_LOW_POWER", 11, 1 },
55532		{ "DQ_REC_LOW_POWER", 10, 1 },
55533		{ "VALID_NS_BIG_L_MASK", 7, 1 },
55534		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
55535		{ "VALID_NS_BIG_R_MASK", 5, 1 },
55536		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
55537		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
55538		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
55539		{ "OFFSET_ERR_MASK", 1, 1 },
55540		{ "ADVANCE_PR_VALUE", 0, 1 },
55541	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x44fd8, 0 },
55542		{ "PLL_TUNE_0_2", 13, 3 },
55543		{ "PLL_TUNECP_0_2", 10, 3 },
55544		{ "PLL_TUNEF_0_5", 4, 6 },
55545		{ "PLL_TUNEVCO_0_1", 2, 2 },
55546		{ "PLL_PLLXTR_0_1", 0, 2 },
55547	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x44fdc, 0 },
55548		{ "PLL_TUNETDIV_0_2", 13, 3 },
55549		{ "PLL_TUNEMDIV_0_1", 11, 2 },
55550		{ "PLL_TUNEATST", 10, 1 },
55551		{ "VREG_RANGE_0_1", 8, 2 },
55552		{ "CE0DLTVCCA", 7, 1 },
55553		{ "VREG_VCCTUNE_0_1", 5, 2 },
55554		{ "CE0DLTVCCD1", 4, 1 },
55555		{ "CE0DLTVCCD2", 3, 1 },
55556		{ "S0INSDLYTAP", 2, 1 },
55557		{ "S1INSDLYTAP", 1, 1 },
55558	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x44fe0, 0 },
55559		{ "EN_SLICE_N_WR", 8, 8 },
55560		{ "EN_SLICE_N_WR_FFE", 4, 4 },
55561	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x44fe8, 0 },
55562		{ "EN_TERM_N_WR", 8, 8 },
55563		{ "EN_TERM_N_WR_FFE", 4, 4 },
55564	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x44fe4, 0 },
55565		{ "EN_SLICE_P_WR", 8, 8 },
55566		{ "EN_SLICE_P_WR_FFE", 4, 4 },
55567	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x44fec, 0 },
55568		{ "EN_TERM_P_WR", 8, 8 },
55569		{ "EN_TERM_P_WR_FFE", 4, 4 },
55570	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x44fd4, 0 },
55571		{ "INTERP_SIG_SLEW", 12, 4 },
55572		{ "POST_CURSOR", 8, 4 },
55573		{ "SLEW_CTL", 4, 4 },
55574	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44e74, 0 },
55575		{ "CHECKER_ENABLE", 15, 1 },
55576		{ "CHECKER_RESET", 14, 1 },
55577		{ "SYNC", 6, 6 },
55578		{ "DP18_DFT_ERROR", 0, 6 },
55579	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44e20, 0 },
55580		{ "DIGITAL_EYE_EN", 15, 1 },
55581		{ "BUMP", 14, 1 },
55582		{ "TRIG_PERIOD", 13, 1 },
55583		{ "CNTL_POL", 12, 1 },
55584		{ "CNTL_SRC", 8, 1 },
55585		{ "DIGITAL_EYE_VALUE", 0, 8 },
55586	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x44ec8, 0 },
55587		{ "MEMINTD00_POS", 14, 2 },
55588		{ "MEMINTD01_PO", 12, 2 },
55589		{ "MEMINTD02_POS", 10, 2 },
55590		{ "MEMINTD03_POS", 8, 2 },
55591		{ "MEMINTD04_POS", 6, 2 },
55592		{ "MEMINTD05_POS", 4, 2 },
55593		{ "MEMINTD06_POS", 2, 2 },
55594		{ "MEMINTD07_POS", 0, 2 },
55595	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x44ecc, 0 },
55596		{ "MEMINTD08_POS", 14, 2 },
55597		{ "MEMINTD09_POS", 12, 2 },
55598		{ "MEMINTD10_POS", 10, 2 },
55599		{ "MEMINTD11_POS", 8, 2 },
55600		{ "MEMINTD12_POS", 6, 2 },
55601		{ "MEMINTD13_POS", 4, 2 },
55602		{ "MEMINTD14_POS", 2, 2 },
55603		{ "MEMINTD15_POS", 0, 2 },
55604	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x44ed0, 0 },
55605		{ "MEMINTD16_POS", 14, 2 },
55606		{ "MEMINTD17_POS", 12, 2 },
55607		{ "MEMINTD18_POS", 10, 2 },
55608		{ "MEMINTD19_POS", 8, 2 },
55609		{ "MEMINTD20_POS", 6, 2 },
55610		{ "MEMINTD21_POS", 4, 2 },
55611		{ "MEMINTD22_POS", 2, 2 },
55612		{ "MEMINTD23_POS", 0, 2 },
55613	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44e78, 0 },
55614		{ "SYSCLK_DQSCLK_OFFSET", 8, 7 },
55615		{ "SYSCLK_RDCLK_OFFSET", 0, 7 },
55616	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x44ed4, 0 },
55617		{ "DQS_ALIGN_SM", 11, 5 },
55618		{ "DQS_ALIGN_CNTR", 7, 4 },
55619		{ "ITERATION_CNTR", 6, 1 },
55620		{ "DQS_ALIGN_ITER_CNTR", 0, 6 },
55621	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x44ed8, 0 },
55622		{ "CALIBRATE_BIT", 13, 3 },
55623		{ "DQS_ALIGN_QUAD", 11, 2 },
55624		{ "DQS_QUAD_CONFIG", 8, 3 },
55625		{ "OPERATE_MODE", 4, 4 },
55626		{ "EN_DQS_OFFSET", 3, 1 },
55627		{ "DQS_ALIGN_JITTER", 2, 1 },
55628		{ "DIS_CLK_GATE", 1, 1 },
55629		{ "MAX_DQS_ITER", 0, 1 },
55630	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x44fb4, 0 },
55631		{ "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 },
55632		{ "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 },
55633	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x44fb8, 0 },
55634		{ "APPROACH_ALIGNMENT", 15, 1 },
55635	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x44edc, 0 },
55636		{ "DQS_OFFSET", 8, 7 },
55637	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x44e2c, 0 },
55638		{ "DP18_HS_PROBE_A_SEL", 11, 5 },
55639		{ "DP18_HS_PROBE_B_SEL", 6, 5 },
55640		{ "RD_DEBUG_SEL", 3, 3 },
55641		{ "WR_DEBUG_SEL", 0, 3 },
55642	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x44ffc, 0 },
55643		{ "MASTER_PD_CNTL", 15, 1 },
55644		{ "ANALOG_INPUT_STAB2", 14, 1 },
55645		{ "EYEDAC_PD", 13, 1 },
55646		{ "ANALOG_OUTPUT_STAB", 9, 1 },
55647		{ "ANALOG_INPUT_STAB1", 8, 1 },
55648		{ "SYSCLK_CLK_GATE", 6, 2 },
55649		{ "WR_FIFO_STAB", 5, 1 },
55650		{ "DELAY_LINE_CTL_OVERRIDE", 4, 1 },
55651		{ "DP18_RX_PD", 2, 2 },
55652		{ "TX_TRISTATE_CNTL", 1, 1 },
55653		{ "VCC_REG_PD", 0, 1 },
55654	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44e48, 0 },
55655		{ "DYN_POWER_CNTL_EN", 15, 1 },
55656		{ "DQS_ALIGN_BY_QUAD", 4, 1 },
55657	{ "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x44fbc, 0 },
55658		{ "QUAD0_PWR_CTL", 12, 4 },
55659		{ "QUAD1_PWR_CTL", 8, 4 },
55660		{ "QUAD2_PWR_CTL", 4, 4 },
55661		{ "QUAD3_PWR_CTL", 0, 4 },
55662	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x45000, 0 },
55663	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x45004, 0 },
55664		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
55665		{ "DFT_FORCE_OUTPUTS", 7, 1 },
55666		{ "DFT_PRBS7_GEN_EN", 6, 1 },
55667		{ "DP18_WRAPSEL", 5, 1 },
55668		{ "HW_VALUE", 4, 1 },
55669		{ "MRS_CMD_DATA_N0", 3, 1 },
55670		{ "MRS_CMD_DATA_N1", 2, 1 },
55671		{ "MRS_CMD_DATA_N2", 1, 1 },
55672		{ "MRS_CMD_DATA_N3", 0, 1 },
55673	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x451f0, 0 },
55674	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x451f4, 0 },
55675		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
55676	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x45008, 0 },
55677	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4500c, 0 },
55678		{ "DATA_BIT_DIR_16_23", 8, 8 },
55679		{ "WL_ADVANCE_DISABLE", 7, 1 },
55680		{ "DISABLE_PING_PONG", 6, 1 },
55681		{ "DELAY_PING_PONG_HALF", 5, 1 },
55682		{ "ADVANCE_PING_PONG", 4, 1 },
55683		{ "ATEST_MUX_CTL0", 3, 1 },
55684		{ "ATEST_MUX_CTL1", 2, 1 },
55685		{ "ATEST_MUX_CTL2", 1, 1 },
55686		{ "ATEST_MUX_CTL3", 0, 1 },
55687	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x45010, 0 },
55688		{ "QUAD0_CLK16_BIT0", 15, 1 },
55689		{ "QUAD1_CLK16_BIT1", 14, 1 },
55690		{ "QUAD2_CLK16_BIT2", 13, 1 },
55691		{ "QUAD3_CLK16_BIT3", 12, 1 },
55692		{ "QUAD0_CLK18_BIT4", 11, 1 },
55693		{ "QUAD1_CLK18_BIT5", 10, 1 },
55694		{ "QUAD2_CLK20_BIT6", 9, 1 },
55695		{ "QUAD3_CLK20_BIT7", 8, 1 },
55696		{ "QUAD2_CLK22_BIT8", 7, 1 },
55697		{ "QUAD3_CLK22_BIT9", 6, 1 },
55698		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
55699		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
55700		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
55701		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
55702	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x45014, 0 },
55703		{ "QUAD0_CLK16_BIT0", 15, 1 },
55704		{ "QUAD1_CLK16_BIT1", 14, 1 },
55705		{ "QUAD2_CLK16_BIT2", 13, 1 },
55706		{ "QUAD3_CLK16_BIT3", 12, 1 },
55707		{ "QUAD0_CLK18_BIT4", 11, 1 },
55708		{ "QUAD1_CLK18_BIT5", 10, 1 },
55709		{ "QUAD2_CLK20_BIT6", 9, 1 },
55710		{ "QUAD3_CLK20_BIT7", 8, 1 },
55711		{ "QUAD2_CLK22_BIT8", 7, 1 },
55712		{ "QUAD3_CLK22_BIT9", 6, 1 },
55713		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
55714		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
55715		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
55716		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
55717		{ "QUAD2_CLK18_BIT14", 1, 1 },
55718		{ "QUAD3_CLK18_BIT15", 0, 1 },
55719	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x451f8, 0 },
55720		{ "DQ_WR_OFFSET_N0", 12, 4 },
55721		{ "DQ_WR_OFFSET_N1", 8, 4 },
55722		{ "DQ_WR_OFFSET_N2", 4, 4 },
55723		{ "DQ_WR_OFFSET_N3", 0, 4 },
55724	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x45018, 0 },
55725		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
55726		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
55727		{ "SxMCVREF_0_3", 4, 4 },
55728		{ "SxPODVREF", 3, 1 },
55729		{ "DISABLE_TERMINATION", 2, 1 },
55730		{ "READ_CENTERING_MODE", 0, 2 },
55731	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x4501c, 0 },
55732		{ "SYSCLK_ENABLE", 15, 1 },
55733		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
55734		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
55735		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
55736		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
55737		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
55738		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
55739		{ "CONTINUOUS_UPDATE", 2, 1 },
55740	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x451cc, 0 },
55741		{ "SYSCLK_ROT", 8, 7 },
55742		{ "BB_LOCK", 7, 1 },
55743	{ "MC_DDRPHY_DP18_WRCLK_EDGE", 0x4517c, 0 },
55744		{ "FAIL_PASS_VALUE", 8, 7 },
55745		{ "PASS_FAIL_VALUE", 0, 8 },
55746	{ "MC_DDRPHY_DP18_WRCLK_STATUS", 0x45178, 0 },
55747		{ "WRCLK_CALIB_DONE", 15, 1 },
55748		{ "VALUE_UPDATED", 14, 1 },
55749		{ "FAIL_PASS_V", 13, 1 },
55750		{ "PASS_FAIL_V", 12, 1 },
55751		{ "FP_PF_EDGE_NF", 11, 1 },
55752		{ "NON_SYMETRIC", 10, 1 },
55753		{ "FULL_RANGE", 8, 1 },
55754		{ "QUAD3_EDGES", 7, 1 },
55755		{ "QUAD2_EDGES", 6, 1 },
55756		{ "QUAD1_EDGES", 5, 1 },
55757		{ "QUAD0_EDGES", 4, 1 },
55758		{ "QUAD3_CAVEAT", 3, 1 },
55759		{ "QUAD2_CAVEAT", 2, 1 },
55760		{ "QUAD1_CAVEAT", 1, 1 },
55761		{ "QUAD0_CAVEAT", 0, 1 },
55762	{ "MC_DDRPHY_DP18_WRCLK_CNTL", 0x45058, 0 },
55763		{ "PRBS_WAIT", 14, 2 },
55764		{ "PRBS_SYNC_EARLY", 13, 1 },
55765		{ "RD_DELAY_EARLY", 12, 1 },
55766		{ "SS_QUAD_CAL", 10, 1 },
55767		{ "SS_QUAD", 8, 2 },
55768		{ "SS_RD_DELAY", 7, 1 },
55769		{ "FORCE_HI_Z", 6, 1 },
55770	{ "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x4507c, 0 },
55771	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x451d0, 0 },
55772		{ "TSYS_WRCLK", 8, 7 },
55773	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x450c0, 0 },
55774		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
55775		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
55776	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x450c4, 0 },
55777		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
55778		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
55779	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x45024, 0 },
55780		{ "DQSCLK_SELECT0", 14, 2 },
55781		{ "RDCLK_SELECT0", 12, 2 },
55782		{ "DQSCLK_SELECT1", 10, 2 },
55783		{ "RDCLK_SELECT1", 8, 2 },
55784		{ "DQSCLK_SELECT2", 6, 2 },
55785		{ "RDCLK_SELECT2", 4, 2 },
55786		{ "DQSCLK_SELECT3", 2, 2 },
55787		{ "RDCLK_SELECT3", 0, 2 },
55788	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x45170, 0 },
55789		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
55790		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
55791	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x45174, 0 },
55792		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
55793		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
55794	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x450e0, 0 },
55795		{ "WR_DELAY", 6, 10 },
55796	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x450e4, 0 },
55797		{ "WR_DELAY", 6, 10 },
55798	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x450e8, 0 },
55799		{ "WR_DELAY", 6, 10 },
55800	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x450ec, 0 },
55801		{ "WR_DELAY", 6, 10 },
55802	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x450f0, 0 },
55803		{ "WR_DELAY", 6, 10 },
55804	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x450f4, 0 },
55805		{ "WR_DELAY", 6, 10 },
55806	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x450f8, 0 },
55807		{ "WR_DELAY", 6, 10 },
55808	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x450fc, 0 },
55809		{ "WR_DELAY", 6, 10 },
55810	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x45100, 0 },
55811		{ "WR_DELAY", 6, 10 },
55812	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x45104, 0 },
55813		{ "WR_DELAY", 6, 10 },
55814	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x45108, 0 },
55815		{ "WR_DELAY", 6, 10 },
55816	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4510c, 0 },
55817		{ "WR_DELAY", 6, 10 },
55818	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x45110, 0 },
55819		{ "WR_DELAY", 6, 10 },
55820	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x45114, 0 },
55821		{ "WR_DELAY", 6, 10 },
55822	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x45118, 0 },
55823		{ "WR_DELAY", 6, 10 },
55824	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4511c, 0 },
55825		{ "WR_DELAY", 6, 10 },
55826	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x45120, 0 },
55827		{ "WR_DELAY", 6, 10 },
55828	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x45124, 0 },
55829		{ "WR_DELAY", 6, 10 },
55830	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x45128, 0 },
55831		{ "WR_DELAY", 6, 10 },
55832	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4512c, 0 },
55833		{ "WR_DELAY", 6, 10 },
55834	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x45130, 0 },
55835		{ "WR_DELAY", 6, 10 },
55836	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x45134, 0 },
55837		{ "WR_DELAY", 6, 10 },
55838	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x45138, 0 },
55839		{ "WR_DELAY", 6, 10 },
55840	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4513c, 0 },
55841		{ "WR_DELAY", 6, 10 },
55842	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x45140, 0 },
55843		{ "RD_DELAY_BITS0_6", 9, 7 },
55844		{ "RD_DELAY_BITS8_14", 1, 7 },
55845	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x45144, 0 },
55846		{ "RD_DELAY_BITS0_6", 9, 7 },
55847		{ "RD_DELAY_BITS8_14", 1, 7 },
55848	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x45148, 0 },
55849		{ "RD_DELAY_BITS0_6", 9, 7 },
55850		{ "RD_DELAY_BITS8_14", 1, 7 },
55851	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4514c, 0 },
55852		{ "RD_DELAY_BITS0_6", 9, 7 },
55853		{ "RD_DELAY_BITS8_14", 1, 7 },
55854	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x45150, 0 },
55855		{ "RD_DELAY_BITS0_6", 9, 7 },
55856		{ "RD_DELAY_BITS8_14", 1, 7 },
55857	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x45154, 0 },
55858		{ "RD_DELAY_BITS0_6", 9, 7 },
55859		{ "RD_DELAY_BITS8_14", 1, 7 },
55860	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x45158, 0 },
55861		{ "RD_DELAY_BITS0_6", 9, 7 },
55862		{ "RD_DELAY_BITS8_14", 1, 7 },
55863	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4515c, 0 },
55864		{ "RD_DELAY_BITS0_6", 9, 7 },
55865		{ "RD_DELAY_BITS8_14", 1, 7 },
55866	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x45160, 0 },
55867		{ "RD_DELAY_BITS0_6", 9, 7 },
55868		{ "RD_DELAY_BITS8_14", 1, 7 },
55869	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x45164, 0 },
55870		{ "RD_DELAY_BITS0_6", 9, 7 },
55871		{ "RD_DELAY_BITS8_14", 1, 7 },
55872	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x45168, 0 },
55873		{ "RD_DELAY_BITS0_6", 9, 7 },
55874		{ "RD_DELAY_BITS8_14", 1, 7 },
55875	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4516c, 0 },
55876		{ "RD_DELAY_BITS0_6", 9, 7 },
55877		{ "RD_DELAY_BITS8_14", 1, 7 },
55878	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x45030, 0 },
55879		{ "OFFSET_BITS1_7", 8, 7 },
55880		{ "OFFSET_BITS9_15", 0, 7 },
55881	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x45034, 0 },
55882		{ "OFFSET_BITS1_7", 8, 7 },
55883		{ "OFFSET_BITS9_15", 0, 7 },
55884	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x451c0, 0 },
55885		{ "REFERENCE_BITS1_7", 8, 7 },
55886		{ "REFERENCE_BITS9_15", 0, 7 },
55887	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x451c4, 0 },
55888		{ "REFERENCE_BITS1_7", 8, 7 },
55889		{ "REFERENCE_BITS9_15", 0, 7 },
55890	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x451c8, 0 },
55891		{ "REFERENCE", 8, 7 },
55892	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x45180, 0 },
55893		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55894		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55895	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x45184, 0 },
55896		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55897		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55898	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x45188, 0 },
55899		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55900		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55901	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4518c, 0 },
55902		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55903		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55904	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x45190, 0 },
55905		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55906		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55907	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x45194, 0 },
55908		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55909		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55910	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x45198, 0 },
55911		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55912		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55913	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4519c, 0 },
55914		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55915		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55916	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x451a0, 0 },
55917		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55918		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55919	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x451a4, 0 },
55920		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55921		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55922	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x451a8, 0 },
55923		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55924		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55925	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x451ac, 0 },
55926		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
55927		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
55928	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x45028, 0 },
55929		{ "MIN_RD_EYE_SIZE", 8, 6 },
55930		{ "MAX_DQS_DRIFT", 0, 6 },
55931	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x45038, 0 },
55932	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4503c, 0 },
55933		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
55934	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x45040, 0 },
55935	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x45044, 0 },
55936		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
55937	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4504c, 0 },
55938		{ "DQS_GATE_DELAY_N0", 12, 3 },
55939		{ "DQS_GATE_DELAY_N1", 8, 3 },
55940		{ "DQS_GATE_DELAY_N2", 4, 3 },
55941		{ "DQS_GATE_DELAY_N3", 0, 3 },
55942	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x45050, 0 },
55943		{ "NO_EYE_DETECTED", 15, 1 },
55944		{ "LEADING_EDGE_FOUND", 14, 1 },
55945		{ "TRAILING_EDGE_FOUND", 13, 1 },
55946		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
55947		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
55948		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
55949		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
55950		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
55951		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
55952		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
55953		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
55954		{ "EYE_CLIPPING", 4, 1 },
55955		{ "NO_DQS", 3, 1 },
55956		{ "NO_LOCK", 2, 1 },
55957		{ "DRIFT_ERROR", 1, 1 },
55958		{ "MIN_EYE", 0, 1 },
55959	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x45054, 0 },
55960		{ "NO_EYE_DETECTED_MASK", 15, 1 },
55961		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
55962		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
55963		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
55964		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
55965		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
55966		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
55967		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
55968		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
55969		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
55970		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
55971		{ "EYE_CLIPPING_MASK", 4, 1 },
55972		{ "NO_DQS_MASK", 3, 1 },
55973		{ "NO_LOCK_MASK", 2, 1 },
55974		{ "DRIFT_ERROR_MASK", 1, 1 },
55975		{ "MIN_EYE_MASK", 0, 1 },
55976	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4505c, 0 },
55977		{ "CLK_LEVEL", 14, 2 },
55978		{ "FINE_STEPPING", 13, 1 },
55979		{ "WR_LVL_DONE", 12, 1 },
55980		{ "WL_ERR_CLK16_ST", 11, 1 },
55981		{ "WL_ERR_CLK18_ST", 10, 1 },
55982		{ "WL_ERR_CLK20_ST", 9, 1 },
55983		{ "WL_ERR_CLK22_ST", 8, 1 },
55984		{ "ZERO_DETECTED", 7, 1 },
55985	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x45060, 0 },
55986		{ "BIT_CENTERED", 11, 5 },
55987		{ "SMALL_STEP_LEFT", 10, 1 },
55988		{ "BIG_STEP_RIGHT", 9, 1 },
55989		{ "MATCH_STEP_RIGHT", 8, 1 },
55990		{ "JUMP_BACK_RIGHT", 7, 1 },
55991		{ "SMALL_STEP_RIGHT", 6, 1 },
55992		{ "WR_CNTR_DONE", 5, 1 },
55993	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x45064, 0 },
55994		{ "FW_LEFT_SIDE", 5, 11 },
55995	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x45068, 0 },
55996		{ "FW_RIGHT_SIDE", 5, 11 },
55997	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x4506c, 0 },
55998		{ "WL_ERR_CLK16", 15, 1 },
55999		{ "WL_ERR_CLK18", 14, 1 },
56000		{ "WL_ERR_CLK20", 13, 1 },
56001		{ "WL_ERR_CLK22", 12, 1 },
56002		{ "VALID_NS_BIG_L", 7, 1 },
56003		{ "INVALID_NS_SMALL_L", 6, 1 },
56004		{ "VALID_NS_BIG_R", 5, 1 },
56005		{ "INVALID_NS_BIG_R", 4, 1 },
56006		{ "VALID_NS_JUMP_BACK", 3, 1 },
56007		{ "INVALID_NS_SMALL_R", 2, 1 },
56008		{ "OFFSET_ERR", 1, 1 },
56009	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x45070, 0 },
56010		{ "WL_ERR_CLK16_MASK", 15, 1 },
56011		{ "WL_ERR_CLK18_MASK", 14, 1 },
56012		{ "WL_ERR_CLK20_MASK", 13, 1 },
56013		{ "WR_ERR_CLK22_MASK", 12, 1 },
56014		{ "DQS_REC_LOW_POWER", 11, 1 },
56015		{ "DQ_REC_LOW_POWER", 10, 1 },
56016		{ "VALID_NS_BIG_L_MASK", 7, 1 },
56017		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
56018		{ "VALID_NS_BIG_R_MASK", 5, 1 },
56019		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
56020		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
56021		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
56022		{ "OFFSET_ERR_MASK", 1, 1 },
56023		{ "ADVANCE_PR_VALUE", 0, 1 },
56024	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x451d8, 0 },
56025		{ "PLL_TUNE_0_2", 13, 3 },
56026		{ "PLL_TUNECP_0_2", 10, 3 },
56027		{ "PLL_TUNEF_0_5", 4, 6 },
56028		{ "PLL_TUNEVCO_0_1", 2, 2 },
56029		{ "PLL_PLLXTR_0_1", 0, 2 },
56030	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x451dc, 0 },
56031		{ "PLL_TUNETDIV_0_2", 13, 3 },
56032		{ "PLL_TUNEMDIV_0_1", 11, 2 },
56033		{ "PLL_TUNEATST", 10, 1 },
56034		{ "VREG_RANGE_0_1", 8, 2 },
56035		{ "CE0DLTVCCA", 7, 1 },
56036		{ "VREG_VCCTUNE_0_1", 5, 2 },
56037		{ "CE0DLTVCCD1", 4, 1 },
56038		{ "CE0DLTVCCD2", 3, 1 },
56039		{ "S0INSDLYTAP", 2, 1 },
56040		{ "S1INSDLYTAP", 1, 1 },
56041	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x451e0, 0 },
56042		{ "EN_SLICE_N_WR", 8, 8 },
56043		{ "EN_SLICE_N_WR_FFE", 4, 4 },
56044	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x451e8, 0 },
56045		{ "EN_TERM_N_WR", 8, 8 },
56046		{ "EN_TERM_N_WR_FFE", 4, 4 },
56047	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x451e4, 0 },
56048		{ "EN_SLICE_P_WR", 8, 8 },
56049		{ "EN_SLICE_P_WR_FFE", 4, 4 },
56050	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x451ec, 0 },
56051		{ "EN_TERM_P_WR", 8, 8 },
56052		{ "EN_TERM_P_WR_FFE", 4, 4 },
56053	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x451d4, 0 },
56054		{ "INTERP_SIG_SLEW", 12, 4 },
56055		{ "POST_CURSOR", 8, 4 },
56056		{ "SLEW_CTL", 4, 4 },
56057	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x45074, 0 },
56058		{ "CHECKER_ENABLE", 15, 1 },
56059		{ "CHECKER_RESET", 14, 1 },
56060		{ "SYNC", 6, 6 },
56061		{ "DP18_DFT_ERROR", 0, 6 },
56062	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x45020, 0 },
56063		{ "DIGITAL_EYE_EN", 15, 1 },
56064		{ "BUMP", 14, 1 },
56065		{ "TRIG_PERIOD", 13, 1 },
56066		{ "CNTL_POL", 12, 1 },
56067		{ "CNTL_SRC", 8, 1 },
56068		{ "DIGITAL_EYE_VALUE", 0, 8 },
56069	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x450c8, 0 },
56070		{ "MEMINTD00_POS", 14, 2 },
56071		{ "MEMINTD01_PO", 12, 2 },
56072		{ "MEMINTD02_POS", 10, 2 },
56073		{ "MEMINTD03_POS", 8, 2 },
56074		{ "MEMINTD04_POS", 6, 2 },
56075		{ "MEMINTD05_POS", 4, 2 },
56076		{ "MEMINTD06_POS", 2, 2 },
56077		{ "MEMINTD07_POS", 0, 2 },
56078	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x450cc, 0 },
56079		{ "MEMINTD08_POS", 14, 2 },
56080		{ "MEMINTD09_POS", 12, 2 },
56081		{ "MEMINTD10_POS", 10, 2 },
56082		{ "MEMINTD11_POS", 8, 2 },
56083		{ "MEMINTD12_POS", 6, 2 },
56084		{ "MEMINTD13_POS", 4, 2 },
56085		{ "MEMINTD14_POS", 2, 2 },
56086		{ "MEMINTD15_POS", 0, 2 },
56087	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x450d0, 0 },
56088		{ "MEMINTD16_POS", 14, 2 },
56089		{ "MEMINTD17_POS", 12, 2 },
56090		{ "MEMINTD18_POS", 10, 2 },
56091		{ "MEMINTD19_POS", 8, 2 },
56092		{ "MEMINTD20_POS", 6, 2 },
56093		{ "MEMINTD21_POS", 4, 2 },
56094		{ "MEMINTD22_POS", 2, 2 },
56095		{ "MEMINTD23_POS", 0, 2 },
56096	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x45078, 0 },
56097		{ "SYSCLK_DQSCLK_OFFSET", 8, 7 },
56098		{ "SYSCLK_RDCLK_OFFSET", 0, 7 },
56099	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x450d4, 0 },
56100		{ "DQS_ALIGN_SM", 11, 5 },
56101		{ "DQS_ALIGN_CNTR", 7, 4 },
56102		{ "ITERATION_CNTR", 6, 1 },
56103		{ "DQS_ALIGN_ITER_CNTR", 0, 6 },
56104	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x450d8, 0 },
56105		{ "CALIBRATE_BIT", 13, 3 },
56106		{ "DQS_ALIGN_QUAD", 11, 2 },
56107		{ "DQS_QUAD_CONFIG", 8, 3 },
56108		{ "OPERATE_MODE", 4, 4 },
56109		{ "EN_DQS_OFFSET", 3, 1 },
56110		{ "DQS_ALIGN_JITTER", 2, 1 },
56111		{ "DIS_CLK_GATE", 1, 1 },
56112		{ "MAX_DQS_ITER", 0, 1 },
56113	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x451b4, 0 },
56114		{ "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 },
56115		{ "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 },
56116	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x451b8, 0 },
56117		{ "APPROACH_ALIGNMENT", 15, 1 },
56118	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x450dc, 0 },
56119		{ "DQS_OFFSET", 8, 7 },
56120	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x4502c, 0 },
56121		{ "DP18_HS_PROBE_A_SEL", 11, 5 },
56122		{ "DP18_HS_PROBE_B_SEL", 6, 5 },
56123		{ "RD_DEBUG_SEL", 3, 3 },
56124		{ "WR_DEBUG_SEL", 0, 3 },
56125	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x451fc, 0 },
56126		{ "MASTER_PD_CNTL", 15, 1 },
56127		{ "ANALOG_INPUT_STAB2", 14, 1 },
56128		{ "EYEDAC_PD", 13, 1 },
56129		{ "ANALOG_OUTPUT_STAB", 9, 1 },
56130		{ "ANALOG_INPUT_STAB1", 8, 1 },
56131		{ "SYSCLK_CLK_GATE", 6, 2 },
56132		{ "WR_FIFO_STAB", 5, 1 },
56133		{ "DELAY_LINE_CTL_OVERRIDE", 4, 1 },
56134		{ "DP18_RX_PD", 2, 2 },
56135		{ "TX_TRISTATE_CNTL", 1, 1 },
56136		{ "VCC_REG_PD", 0, 1 },
56137	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x45048, 0 },
56138		{ "DYN_POWER_CNTL_EN", 15, 1 },
56139		{ "DQS_ALIGN_BY_QUAD", 4, 1 },
56140	{ "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x451bc, 0 },
56141		{ "QUAD0_PWR_CTL", 12, 4 },
56142		{ "QUAD1_PWR_CTL", 8, 4 },
56143		{ "QUAD2_PWR_CTL", 4, 4 },
56144		{ "QUAD3_PWR_CTL", 0, 4 },
56145	{ "MC_DDRPHY_SEQ_RD_WR_DATA0", 0x47200, 0 },
56146	{ "MC_DDRPHY_SEQ_RD_WR_DATA1", 0x47204, 0 },
56147	{ "MC_DDRPHY_SEQ_CONFIG0", 0x47208, 0 },
56148		{ "MPR_PATTERN_BIT", 15, 1 },
56149		{ "TWO_CYCLE_ADDR_EN", 14, 1 },
56150		{ "MR_MASK_EN", 10, 4 },
56151		{ "PARITY_DLY", 9, 1 },
56152		{ "FORCE_RESERVED", 7, 1 },
56153		{ "HALT_ROTATION", 6, 1 },
56154		{ "FORCE_MPR", 5, 1 },
56155		{ "IPW_SIDEAB_SEL", 2, 1 },
56156		{ "PARITY_A17_MASK", 1, 1 },
56157		{ "X16_DEVICE", 0, 1 },
56158	{ "MC_DDRPHY_SEQ_RESERVED_ADDR0", 0x4720c, 0 },
56159	{ "MC_DDRPHY_SEQ_RESERVED_ADDR1", 0x47210, 0 },
56160	{ "MC_DDRPHY_SEQ_RESERVED_ADDR2", 0x47214, 0 },
56161	{ "MC_DDRPHY_SEQ_RESERVED_ADDR3", 0x47218, 0 },
56162	{ "MC_DDRPHY_SEQ_RESERVED_ADDR4", 0x4721c, 0 },
56163	{ "MC_DDRPHY_SEQ_ERROR_STATUS0", 0x47220, 0 },
56164		{ "MULTIPLE_REQ_ERROR", 15, 1 },
56165		{ "INVALID_REQTYPE_ERRO", 14, 1 },
56166		{ "EARLY_REQ_ERROR", 13, 1 },
56167		{ "MULTIPLE_REQ_SOURCE", 10, 3 },
56168		{ "INVALID_REQTYPE", 6, 4 },
56169		{ "INVALID_REQ_SOURCE", 3, 3 },
56170		{ "EARLY_REQ_SOURCE", 0, 3 },
56171	{ "MC_DDRPHY_SEQ_ERROR_MASK0", 0x47224, 0 },
56172		{ "MULT_REQ_ERR_MASK", 15, 1 },
56173		{ "INVALID_REQTYPE_ERR_MASK", 14, 1 },
56174		{ "EARLY_REQ_ERR_MASK", 13, 1 },
56175	{ "MC_DDRPHY_SEQ_ODT_WR_CONFIG0", 0x47228, 0 },
56176		{ "ODT_WR_VALUES_BITS0_7", 8, 8 },
56177		{ "ODT_WR_VALUES_BITS8_15", 0, 8 },
56178	{ "MC_DDRPHY_SEQ_ODT_WR_CONFIG1", 0x4722c, 0 },
56179		{ "ODT_WR_VALUES_BITS0_7", 8, 8 },
56180		{ "ODT_WR_VALUES_BITS8_15", 0, 8 },
56181	{ "MC_DDRPHY_SEQ_ODT_WR_CONFIG2", 0x47230, 0 },
56182		{ "ODT_WR_VALUES_BITS0_7", 8, 8 },
56183		{ "ODT_WR_VALUES_BITS8_15", 0, 8 },
56184	{ "MC_DDRPHY_SEQ_ODT_WR_CONFIG3", 0x47234, 0 },
56185		{ "ODT_WR_VALUES_BITS0_7", 8, 8 },
56186		{ "ODT_WR_VALUES_BITS8_15", 0, 8 },
56187	{ "MC_DDRPHY_SEQ_ODT_RD_CONFIG0", 0x47238, 0 },
56188		{ "ODT_RD_VALUES_x2", 8, 8 },
56189		{ "ODT_RD_VALUES_x2plus1", 0, 8 },
56190	{ "MC_DDRPHY_SEQ_ODT_RD_CONFIG1", 0x4723c, 0 },
56191		{ "ODT_RD_VALUES_x2", 8, 8 },
56192		{ "ODT_RD_VALUES_x2plus1", 0, 8 },
56193	{ "MC_DDRPHY_SEQ_ODT_RD_CONFIG2", 0x47240, 0 },
56194		{ "ODT_RD_VALUES_x2", 8, 8 },
56195		{ "ODT_RD_VALUES_x2plus1", 0, 8 },
56196	{ "MC_DDRPHY_SEQ_ODT_RD_CONFIG3", 0x47244, 0 },
56197		{ "ODT_RD_VALUES_x2", 8, 8 },
56198		{ "ODT_RD_VALUES_x2plus1", 0, 8 },
56199	{ "MC_DDRPHY_SEQ_MEM_TIMING_PARAM0", 0x47248, 0 },
56200		{ "TMOD_CYCLES", 12, 4 },
56201		{ "TRCD_CYCLES", 8, 4 },
56202		{ "TRP_CYCLES", 4, 4 },
56203		{ "TRFC_CYCLES", 0, 4 },
56204	{ "MC_DDRPHY_SEQ_MEM_TIMING_PARAM1", 0x4724c, 0 },
56205		{ "TZQINIT_CYCLES", 12, 4 },
56206		{ "TZQCS_CYCLES", 8, 4 },
56207		{ "TWLDQSEN_CYCLES", 4, 4 },
56208		{ "TWRMRD_CYCLES", 0, 4 },
56209	{ "MC_DDRPHY_SEQ_MEM_TIMING_PARAM2", 0x47250, 0 },
56210		{ "TODTLON_OFF_CYCLES", 12, 4 },
56211		{ "TRC_CYCLES", 8, 4 },
56212		{ "TMRSC_CYCLES", 4, 4 },
56213		{ "MRS_CMD_SPACE", 0, 4 },
56214	{ "MC_DDRPHY_WC_CONFIG0", 0x47600, 0 },
56215		{ "TWLO_TWLOE", 8, 8 },
56216		{ "WL_ONE_DQS_PULSE", 7, 1 },
56217		{ "FW_WR_RD", 1, 6 },
56218		{ "CUSTOM_INIT_WRITE", 0, 1 },
56219	{ "MC_DDRPHY_WC_CONFIG1", 0x47604, 0 },
56220		{ "BIG_STEP", 12, 4 },
56221		{ "SMALL_STEP", 9, 3 },
56222		{ "WR_PRE_DLY", 3, 6 },
56223	{ "MC_DDRPHY_WC_CONFIG2", 0x47608, 0 },
56224		{ "NUM_VALID_SAMPLES", 12, 4 },
56225		{ "FW_RD_WR", 6, 6 },
56226		{ "TWR_MPR", 2, 4 },
56227		{ "EN_RESET_WR_DELAY_WL", 0, 1 },
56228	{ "MC_DDRPHY_WC_CONFIG3", 0x47614, 0 },
56229		{ "DDR4_MRS_CMD_DQ_EN", 15, 1 },
56230		{ "MRS_CMD_DQ_ON", 9, 6 },
56231		{ "MRS_CMD_DQ_OFF", 3, 6 },
56232	{ "MC_DDRPHY_WC_WRCLK_CNTL", 0x47618, 0 },
56233		{ "WRCLK_CAL_START", 15, 1 },
56234		{ "WRCLK_CAL_DONE", 14, 1 },
56235	{ "MC_DDRPHY_WC_ERROR_STATUS0", 0x4760c, 0 },
56236		{ "WR_CNTL_ERROR", 15, 1 },
56237	{ "MC_DDRPHY_WC_ERROR_MASK0", 0x47610, 0 },
56238		{ "WR_CNTL_ERROR_MASK", 15, 1 },
56239	{ "MC_DDRPHY_RC_CONFIG0", 0x47400, 0 },
56240		{ "GLOBAL_PHY_OFFSET", 12, 4 },
56241		{ "ADVANCE_RD_VALID", 11, 1 },
56242		{ "ERS_MODE", 10, 1 },
56243		{ "SINGLE_BIT_MPR_RP0", 6, 1 },
56244		{ "SINGLE_BIT_MPR_RP1", 5, 1 },
56245		{ "SINGLE_BIT_MPR_RP2", 4, 1 },
56246		{ "SINGLE_BIT_MPR_RP3", 3, 1 },
56247		{ "ALIGN_ON_EVEN_CYCLES", 2, 1 },
56248		{ "PERFORM_RDCLK_ALIGN", 1, 1 },
56249		{ "STAGGERED_PATTERN", 0, 1 },
56250	{ "MC_DDRPHY_RC_CONFIG1", 0x47404, 0 },
56251		{ "OUTER_LOOP_CNT", 2, 14 },
56252	{ "MC_DDRPHY_RC_CONFIG2", 0x47408, 0 },
56253		{ "CONSEQ_PASS", 11, 5 },
56254		{ "BURST_WINDOW", 5, 2 },
56255		{ "ALLOW_RD_FIFO_AUTO_R_ESET", 4, 1 },
56256		{ "DIS_LOW_PWR_PER_CAL", 3, 1 },
56257	{ "MC_DDRPHY_RC_CONFIG3", 0x4741c, 0 },
56258		{ "FINE_CAL_STEP_SIZE", 13, 3 },
56259		{ "COARSE_CAL_STEP_SIZE", 9, 4 },
56260		{ "DQ_SEL_QUAD", 7, 2 },
56261		{ "DQ_SEL_LANE", 4, 3 },
56262	{ "MC_DDRPHY_RC_PERIODIC", 0x47420, 0 },
56263	{ "MC_DDRPHY_RC_ERROR_STATUS0", 0x47414, 0 },
56264		{ "RD_CNTL_ERROR", 15, 1 },
56265	{ "MC_DDRPHY_RC_ERROR_MASK0", 0x47418, 0 },
56266		{ "RD_CNTL_ERROR_MASK", 15, 1 },
56267	{ "MC_DDRPHY_APB_CONFIG0", 0x47800, 0 },
56268		{ "DISABLE_PARITY_CHECKER", 15, 1 },
56269		{ "GENERATE_EVEN_PARITY", 14, 1 },
56270		{ "FORCE_ON_CLK_GATE", 13, 1 },
56271		{ "DEBUG_BUS_SEL_LO", 12, 1 },
56272		{ "DEBUG_BUS_SEL_HI", 8, 4 },
56273	{ "MC_DDRPHY_APB_ERROR_STATUS0", 0x47804, 0 },
56274		{ "INVALID_ADDRESS", 15, 1 },
56275		{ "WR_PAR_ERR", 14, 1 },
56276	{ "MC_DDRPHY_APB_ERROR_MASK0", 0x47808, 0 },
56277		{ "INVALID_ADDRESS_MASK", 15, 1 },
56278		{ "WR_PAR_ERR_MASK", 14, 1 },
56279	{ "MC_DDRPHY_APB_DP18_POPULATION", 0x4780c, 0 },
56280		{ "DP18_0_Populated", 15, 1 },
56281		{ "DP18_1_Populated", 14, 1 },
56282		{ "DP18_2_Populated", 13, 1 },
56283		{ "DP18_3_Populated", 12, 1 },
56284		{ "DP18_4_Populated", 11, 1 },
56285		{ "DP18_5_Populated", 10, 1 },
56286		{ "DP18_6_Populated", 9, 1 },
56287		{ "DP18_7_Populated", 8, 1 },
56288		{ "DP18_8_Populated", 7, 1 },
56289		{ "DP18_9_Populated", 6, 1 },
56290		{ "DP18_10_Populated", 5, 1 },
56291		{ "DP18_11_Populated", 4, 1 },
56292		{ "DP18_12_Populated", 3, 1 },
56293		{ "DP18_13_Populated", 2, 1 },
56294		{ "DP18_14_Populated", 1, 1 },
56295	{ "MC_DDRPHY_APB_ADR_POPULATION", 0x47810, 0 },
56296		{ "ADR16_0_Populated", 15, 1 },
56297		{ "ADR16_1_Populated", 14, 1 },
56298		{ "ADR16_2_Populated", 13, 1 },
56299		{ "ADR16_3_Populated", 12, 1 },
56300		{ "ADR12_0_Populated", 7, 1 },
56301		{ "ADR12_1_Populated", 6, 1 },
56302		{ "ADR12_2_Populated", 5, 1 },
56303		{ "ADR12_3_Populated", 4, 1 },
56304	{ "MC_DDRPHY_APB_ATEST_MUX_SEL", 0x47814, 0 },
56305		{ "ATEST_CNTL", 10, 6 },
56306	{ "MC_DDRPHY_APB_MTCTL_REG0", 0x47820, 0 },
56307		{ "MT_DATA_MUX4_1MODE", 15, 1 },
56308		{ "MT_PLL_RESET", 14, 1 },
56309		{ "MT_SYSCLK_RESET", 13, 1 },
56310		{ "MT_GLOBAL_PHY_OFFSET", 9, 4 },
56311		{ "MT_DQ_SEL_QUAD", 7, 2 },
56312		{ "MT_PERFORM_RDCLK_ALIGN", 6, 1 },
56313		{ "MT_ALIGN_ON_EVEN_CYCLES", 5, 1 },
56314		{ "MT_WRCLK_CAL_START", 4, 1 },
56315	{ "MC_DDRPHY_APB_MTCTL_REG1", 0x47824, 0 },
56316		{ "MT_WPRD_ENABLE", 15, 1 },
56317		{ "MT_PVTP", 10, 5 },
56318		{ "MT_PVTN", 5, 5 },
56319	{ "MC_DDRPHY_APB_MTSTAT_REG0", 0x47828, 0 },
56320	{ "MC_DDRPHY_APB_MTSTAT_REG1", 0x4782c, 0 },
56321		{ "MT_ADR32_PLL_LOCK_SUM", 1, 1 },
56322		{ "MT_DP18_PLL_LOCK_SUM", 0, 1 },
56323	{ "MC_LMC_MCSTAT", 0x40040, 0 },
56324		{ "INIT_COMPLETE", 31, 1 },
56325		{ "SELF_REF_MODE", 30, 1 },
56326		{ "IDLE", 29, 1 },
56327		{ "DFI_INIT_COMPLETE", 28, 1 },
56328		{ "PREFILL_COMPLETE", 27, 1 },
56329	{ "MC_LMC_MCOPT1", 0x40080, 0 },
56330		{ "MC_PROTOCOL", 31, 1 },
56331		{ "DM_ENABLE", 30, 1 },
56332		{ "ECC_EN", 29, 1 },
56333		{ "ECC_COR", 28, 1 },
56334		{ "RDIMM", 27, 1 },
56335		{ "PMUM", 25, 2 },
56336		{ "WIDTH0", 24, 1 },
56337		{ "PORT_ID_CHK_EN", 23, 1 },
56338		{ "UIOS", 22, 1 },
56339		{ "QUADCS_RDIMM", 21, 1 },
56340		{ "ZQCL_EN", 20, 1 },
56341		{ "WIDTH1", 19, 1 },
56342		{ "WD_DLY", 18, 1 },
56343		{ "QDEPTH", 16, 2 },
56344		{ "RWOO", 15, 1 },
56345		{ "WOOO", 14, 1 },
56346		{ "DCOO", 13, 1 },
56347		{ "DEF_REF", 12, 1 },
56348		{ "DEV_TYPE", 11, 1 },
56349		{ "CA_PTY_DLY", 10, 1 },
56350		{ "ECC_MUX", 8, 2 },
56351		{ "CE_THRESHOLD", 0, 8 },
56352	{ "MC_LMC_MCOPT2", 0x40084, 0 },
56353		{ "SELF_REF_EN", 31, 1 },
56354		{ "XSR_PREVENT", 30, 1 },
56355		{ "INIT_START", 29, 1 },
56356		{ "MC_ENABLE", 28, 1 },
56357		{ "CLK_DISABLE", 24, 4 },
56358		{ "RESET_RANK", 20, 4 },
56359		{ "MCIF_COMP_PTY_EN", 19, 1 },
56360		{ "CKE_OE", 17, 1 },
56361		{ "RESET_OE", 16, 1 },
56362		{ "DFI_PHYUD_CNTL", 14, 1 },
56363		{ "DFI_PHYUD_ACK", 13, 1 },
56364		{ "DFI_INIT_START", 12, 1 },
56365		{ "PM_ENABLE", 8, 4 },
56366		{ "RD_DEFREF_CNT", 4, 4 },
56367	{ "MC_LMC_CFGR0", 0x40100, 0 },
56368		{ "ROW_WIDTH", 12, 3 },
56369		{ "ADDR_MODE", 8, 4 },
56370		{ "MIRROR", 4, 1 },
56371		{ "RANK_ENABLE", 0, 1 },
56372	{ "MC_LMC_INITSEQ0", 0x40140, 0 },
56373		{ "INIT_ENABLE", 31, 1 },
56374		{ "WAIT", 16, 12 },
56375		{ "EN_MULTI_RANK_SEL", 4, 1 },
56376		{ "RANK", 0, 4 },
56377	{ "MC_LMC_CMD0", 0x40144, 0 },
56378		{ "CMD", 29, 3 },
56379		{ "CMD_ACTN", 28, 1 },
56380		{ "BG1", 23, 1 },
56381		{ "BANK", 20, 3 },
56382		{ "ADDR", 0, 16 },
56383	{ "MC_LMC_INITSEQ1", 0x40148, 0 },
56384		{ "INIT_ENABLE", 31, 1 },
56385		{ "WAIT", 16, 12 },
56386		{ "EN_MULTI_RANK_SEL", 4, 1 },
56387		{ "RANK", 0, 4 },
56388	{ "MC_LMC_CMD1", 0x4014c, 0 },
56389		{ "CMD", 29, 3 },
56390		{ "CMD_ACTN", 28, 1 },
56391		{ "BG1", 23, 1 },
56392		{ "BANK", 20, 3 },
56393		{ "ADDR", 0, 16 },
56394	{ "MC_LMC_INITSEQ2", 0x40150, 0 },
56395		{ "INIT_ENABLE", 31, 1 },
56396		{ "WAIT", 16, 12 },
56397		{ "EN_MULTI_RANK_SEL", 4, 1 },
56398		{ "RANK", 0, 4 },
56399	{ "MC_LMC_CMD2", 0x40154, 0 },
56400		{ "CMD", 29, 3 },
56401		{ "CMD_ACTN", 28, 1 },
56402		{ "BG1", 23, 1 },
56403		{ "BANK", 20, 3 },
56404		{ "ADDR", 0, 16 },
56405	{ "MC_LMC_INITSEQ3", 0x40158, 0 },
56406		{ "INIT_ENABLE", 31, 1 },
56407		{ "WAIT", 16, 12 },
56408		{ "EN_MULTI_RANK_SEL", 4, 1 },
56409		{ "RANK", 0, 4 },
56410	{ "MC_LMC_CMD3", 0x4015c, 0 },
56411		{ "CMD", 29, 3 },
56412		{ "CMD_ACTN", 28, 1 },
56413		{ "BG1", 23, 1 },
56414		{ "BANK", 20, 3 },
56415		{ "ADDR", 0, 16 },
56416	{ "MC_LMC_INITSEQ4", 0x40160, 0 },
56417		{ "INIT_ENABLE", 31, 1 },
56418		{ "WAIT", 16, 12 },
56419		{ "EN_MULTI_RANK_SEL", 4, 1 },
56420		{ "RANK", 0, 4 },
56421	{ "MC_LMC_CMD4", 0x40164, 0 },
56422		{ "CMD", 29, 3 },
56423		{ "CMD_ACTN", 28, 1 },
56424		{ "BG1", 23, 1 },
56425		{ "BANK", 20, 3 },
56426		{ "ADDR", 0, 16 },
56427	{ "MC_LMC_INITSEQ5", 0x40168, 0 },
56428		{ "INIT_ENABLE", 31, 1 },
56429		{ "WAIT", 16, 12 },
56430		{ "EN_MULTI_RANK_SEL", 4, 1 },
56431		{ "RANK", 0, 4 },
56432	{ "MC_LMC_CMD5", 0x4016c, 0 },
56433		{ "CMD", 29, 3 },
56434		{ "CMD_ACTN", 28, 1 },
56435		{ "BG1", 23, 1 },
56436		{ "BANK", 20, 3 },
56437		{ "ADDR", 0, 16 },
56438	{ "MC_LMC_INITSEQ6", 0x40170, 0 },
56439		{ "INIT_ENABLE", 31, 1 },
56440		{ "WAIT", 16, 12 },
56441		{ "EN_MULTI_RANK_SEL", 4, 1 },
56442		{ "RANK", 0, 4 },
56443	{ "MC_LMC_CMD6", 0x40174, 0 },
56444		{ "CMD", 29, 3 },
56445		{ "CMD_ACTN", 28, 1 },
56446		{ "BG1", 23, 1 },
56447		{ "BANK", 20, 3 },
56448		{ "ADDR", 0, 16 },
56449	{ "MC_LMC_INITSEQ7", 0x40178, 0 },
56450		{ "INIT_ENABLE", 31, 1 },
56451		{ "WAIT", 16, 12 },
56452		{ "EN_MULTI_RANK_SEL", 4, 1 },
56453		{ "RANK", 0, 4 },
56454	{ "MC_LMC_CMD7", 0x4017c, 0 },
56455		{ "CMD", 29, 3 },
56456		{ "CMD_ACTN", 28, 1 },
56457		{ "BG1", 23, 1 },
56458		{ "BANK", 20, 3 },
56459		{ "ADDR", 0, 16 },
56460	{ "MC_LMC_INITSEQ8", 0x40180, 0 },
56461		{ "INIT_ENABLE", 31, 1 },
56462		{ "WAIT", 16, 12 },
56463		{ "EN_MULTI_RANK_SEL", 4, 1 },
56464		{ "RANK", 0, 4 },
56465	{ "MC_LMC_CMD8", 0x40184, 0 },
56466		{ "CMD", 29, 3 },
56467		{ "CMD_ACTN", 28, 1 },
56468		{ "BG1", 23, 1 },
56469		{ "BANK", 20, 3 },
56470		{ "ADDR", 0, 16 },
56471	{ "MC_LMC_INITSEQ9", 0x40188, 0 },
56472		{ "INIT_ENABLE", 31, 1 },
56473		{ "WAIT", 16, 12 },
56474		{ "EN_MULTI_RANK_SEL", 4, 1 },
56475		{ "RANK", 0, 4 },
56476	{ "MC_LMC_CMD9", 0x4018c, 0 },
56477		{ "CMD", 29, 3 },
56478		{ "CMD_ACTN", 28, 1 },
56479		{ "BG1", 23, 1 },
56480		{ "BANK", 20, 3 },
56481		{ "ADDR", 0, 16 },
56482	{ "MC_LMC_INITSEQ10", 0x40190, 0 },
56483		{ "INIT_ENABLE", 31, 1 },
56484		{ "WAIT", 16, 12 },
56485		{ "EN_MULTI_RANK_SEL", 4, 1 },
56486		{ "RANK", 0, 4 },
56487	{ "MC_LMC_CMD10", 0x40194, 0 },
56488		{ "CMD", 29, 3 },
56489		{ "CMD_ACTN", 28, 1 },
56490		{ "BG1", 23, 1 },
56491		{ "BANK", 20, 3 },
56492		{ "ADDR", 0, 16 },
56493	{ "MC_LMC_INITSEQ11", 0x40198, 0 },
56494		{ "INIT_ENABLE", 31, 1 },
56495		{ "WAIT", 16, 12 },
56496		{ "EN_MULTI_RANK_SEL", 4, 1 },
56497		{ "RANK", 0, 4 },
56498	{ "MC_LMC_CMD11", 0x4019c, 0 },
56499		{ "CMD", 29, 3 },
56500		{ "CMD_ACTN", 28, 1 },
56501		{ "BG1", 23, 1 },
56502		{ "BANK", 20, 3 },
56503		{ "ADDR", 0, 16 },
56504	{ "MC_LMC_INITSEQ12", 0x401a0, 0 },
56505		{ "INIT_ENABLE", 31, 1 },
56506		{ "WAIT", 16, 12 },
56507		{ "EN_MULTI_RANK_SEL", 4, 1 },
56508		{ "RANK", 0, 4 },
56509	{ "MC_LMC_CMD12", 0x401a4, 0 },
56510		{ "CMD", 29, 3 },
56511		{ "CMD_ACTN", 28, 1 },
56512		{ "BG1", 23, 1 },
56513		{ "BANK", 20, 3 },
56514		{ "ADDR", 0, 16 },
56515	{ "MC_LMC_INITSEQ13", 0x401a8, 0 },
56516		{ "INIT_ENABLE", 31, 1 },
56517		{ "WAIT", 16, 12 },
56518		{ "EN_MULTI_RANK_SEL", 4, 1 },
56519		{ "RANK", 0, 4 },
56520	{ "MC_LMC_CMD13", 0x401ac, 0 },
56521		{ "CMD", 29, 3 },
56522		{ "CMD_ACTN", 28, 1 },
56523		{ "BG1", 23, 1 },
56524		{ "BANK", 20, 3 },
56525		{ "ADDR", 0, 16 },
56526	{ "MC_LMC_INITSEQ14", 0x401b0, 0 },
56527		{ "INIT_ENABLE", 31, 1 },
56528		{ "WAIT", 16, 12 },
56529		{ "EN_MULTI_RANK_SEL", 4, 1 },
56530		{ "RANK", 0, 4 },
56531	{ "MC_LMC_CMD14", 0x401b4, 0 },
56532		{ "CMD", 29, 3 },
56533		{ "CMD_ACTN", 28, 1 },
56534		{ "BG1", 23, 1 },
56535		{ "BANK", 20, 3 },
56536		{ "ADDR", 0, 16 },
56537	{ "MC_LMC_INITSEQ15", 0x401b8, 0 },
56538		{ "INIT_ENABLE", 31, 1 },
56539		{ "WAIT", 16, 12 },
56540		{ "EN_MULTI_RANK_SEL", 4, 1 },
56541		{ "RANK", 0, 4 },
56542	{ "MC_LMC_CMD15", 0x401bc, 0 },
56543		{ "CMD", 29, 3 },
56544		{ "CMD_ACTN", 28, 1 },
56545		{ "BG1", 23, 1 },
56546		{ "BANK", 20, 3 },
56547		{ "ADDR", 0, 16 },
56548	{ "MC_LMC_SDTR0", 0x40200, 0 },
56549		{ "REFI", 16, 16 },
56550		{ "T_RFC_XPR", 0, 12 },
56551	{ "MC_LMC_SDTR1", 0x40204, 0 },
56552		{ "T_LEADOFF", 31, 1 },
56553		{ "ODT_DELAY", 30, 1 },
56554		{ "ODT_WIDTH", 29, 1 },
56555		{ "T_WTRO", 24, 4 },
56556		{ "T_RTWO", 16, 4 },
56557		{ "T_RTW_ADJ", 12, 4 },
56558		{ "T_WTWO", 8, 4 },
56559		{ "T_RTRO", 0, 4 },
56560	{ "MC_LMC_SDTR2", 0x40208, 0 },
56561		{ "T_CWL", 28, 4 },
56562		{ "T_RCD0", 24, 4 },
56563		{ "T_PL", 20, 4 },
56564		{ "T_RP0", 16, 4 },
56565		{ "T_RP1", 15, 1 },
56566		{ "T_RCD1", 14, 1 },
56567		{ "T_RC", 8, 6 },
56568		{ "T_RAS", 0, 6 },
56569	{ "MC_LMC_SDTR3", 0x4020c, 0 },
56570		{ "T_WTR_S", 28, 4 },
56571		{ "T_WTR", 24, 4 },
56572		{ "FAW_ADJ", 20, 2 },
56573		{ "T_RTP", 16, 4 },
56574		{ "T_RRD_L", 12, 4 },
56575		{ "T_RRD", 8, 4 },
56576		{ "T_XSDLL", 0, 8 },
56577	{ "MC_LMC_SDTR4", 0x40210, 0 },
56578		{ "T_RDDATA_EN", 24, 7 },
56579		{ "T_SYS_RDLAT", 16, 6 },
56580		{ "T_CCD_L", 12, 4 },
56581		{ "T_CCD", 8, 3 },
56582		{ "T_CPDED", 5, 3 },
56583		{ "T_MOD", 0, 5 },
56584	{ "MC_LMC_SDTR5", 0x40214, 0 },
56585		{ "T_PHY_WRDATA", 24, 3 },
56586		{ "T_PHY_WRLAT", 16, 5 },
56587	{ "MC_LMC_DBG0", 0x40228, 0 },
56588		{ "T_SYS_RDLAT_DBG", 16, 5 },
56589	{ "MC_LMC_SMR0", 0x40240, 0 },
56590		{ "SMR0_RFU0", 13, 3 },
56591		{ "PPD", 12, 1 },
56592		{ "WR_RTP", 9, 3 },
56593		{ "SMR0_DLL", 8, 1 },
56594		{ "TM", 7, 1 },
56595		{ "CL31", 4, 3 },
56596		{ "RBT", 3, 1 },
56597		{ "CL0", 2, 1 },
56598		{ "BL", 0, 2 },
56599	{ "MC_LMC_SMR1", 0x40244, 0 },
56600		{ "QOFF", 12, 1 },
56601		{ "TDQS", 11, 1 },
56602		{ "SMR1_RFU0", 10, 1 },
56603		{ "RTT_NOM0", 9, 1 },
56604		{ "SMR1_RFU1", 8, 1 },
56605		{ "WR_LEVEL", 7, 1 },
56606		{ "RTT_NOM1", 6, 1 },
56607		{ "DIC0", 5, 1 },
56608		{ "AL", 3, 2 },
56609		{ "RTT_NOM2", 2, 1 },
56610		{ "DIC1", 1, 1 },
56611		{ "SMR1_DLL", 0, 1 },
56612	{ "MC_LMC_SMR2", 0x40248, 0 },
56613		{ "WR_CRC", 12, 1 },
56614		{ "RD_CRC", 11, 1 },
56615		{ "RTT_WR", 9, 2 },
56616		{ "SMR2_RFU0", 8, 1 },
56617		{ "SRT_ASR1", 7, 1 },
56618		{ "ASR0", 6, 1 },
56619		{ "CWL", 3, 3 },
56620		{ "PASR", 0, 3 },
56621	{ "MC_LMC_SMR3", 0x4024c, 0 },
56622		{ "MPR_RD_FMT", 11, 2 },
56623		{ "SMR3_RFU0", 9, 2 },
56624		{ "FGR_MODE", 6, 3 },
56625		{ "MRS_RDO", 5, 1 },
56626		{ "DRAM_ADR", 4, 1 },
56627		{ "GD_MODE", 3, 1 },
56628		{ "MPR", 2, 1 },
56629		{ "MPR_SEL", 0, 2 },
56630	{ "MC_LMC_SMR4", 0x40250, 0 },
56631		{ "WR_PRE", 12, 1 },
56632		{ "RD_PRE", 11, 1 },
56633		{ "RPT_MODE", 10, 1 },
56634		{ "FESR_MODE", 9, 1 },
56635		{ "CS_LAT_MODE", 6, 3 },
56636		{ "ALERT_STAT", 5, 1 },
56637		{ "IVM_MODE", 4, 1 },
56638		{ "TCR_MODE", 3, 1 },
56639		{ "TCR_RANGE", 2, 1 },
56640		{ "MPD_MODE", 1, 1 },
56641		{ "SMR4_RFU", 0, 1 },
56642	{ "MC_LMC_SMR5", 0x40254, 0 },
56643		{ "RD_DBI", 11, 1 },
56644		{ "WR_DBI", 10, 1 },
56645		{ "DM_MODE", 9, 1 },
56646		{ "RTT_PARK", 6, 3 },
56647		{ "SMR5_RFU", 5, 1 },
56648		{ "PAR_ERR_STAT", 4, 1 },
56649		{ "CRC_CLEAR", 3, 1 },
56650		{ "PAR_LAT_MODE", 0, 3 },
56651	{ "MC_LMC_SMR6", 0x40258, 0 },
56652		{ "TCCD_L", 10, 3 },
56653		{ "SRM6_RFU", 7, 3 },
56654		{ "VREF_DQ_RANGE", 6, 1 },
56655		{ "VREF_DQ_VALUE", 0, 6 },
56656	{ "MC_LMC_ODTR0", 0x40280, 0 },
56657		{ "RK0W", 25, 1 },
56658		{ "RK0R", 24, 1 },
56659	{ "MC_LMC_CALSTAT", 0x40304, 0 },
56660		{ "PHYUPD_ERR", 28, 4 },
56661		{ "PHYUPD_BUSY", 27, 1 },
56662	{ "MC_LMC_T_PHYUPD0", 0x40330, 0 },
56663	{ "MC_LMC_T_PHYUPD1", 0x40334, 0 },
56664	{ "MC_LMC_T_PHYUPD2", 0x40338, 0 },
56665	{ "MC_LMC_T_PHYUPD3", 0x4033c, 0 },
56666	{ "MC_P_DDRPHY_RST_CTRL", 0x41300, 0 },
56667		{ "PHY_CAL_REQ", 21, 1 },
56668		{ "PHY_DRAM_WL", 17, 4 },
56669		{ "PHY_CALIB_DONE", 5, 1 },
56670		{ "CTL_CAL_REQ", 4, 1 },
56671		{ "CTL_CKE", 3, 1 },
56672		{ "CTL_RST_N", 2, 1 },
56673		{ "DDRIO_ENABLE", 1, 1 },
56674		{ "PHY_RST_N", 0, 1 },
56675	{ "MC_P_PERFORMANCE_CTRL", 0x41304, 0 },
56676		{ "BUF_USE_TH", 12, 3 },
56677		{ "MC_IDLE_TH", 8, 4 },
56678		{ "RMW_DEFER_EN", 7, 1 },
56679		{ "DDR3_BRBC_MODE", 6, 1 },
56680		{ "RMW_DWRITE_EN", 5, 1 },
56681		{ "RMW_MERGE_EN", 4, 1 },
56682		{ "SYNC_PAB_EN", 3, 1 },
56683		{ "STALL_CHK_BIT", 2, 1 },
56684		{ "DDR3_BRC_MODE", 1, 1 },
56685		{ "RMW_PERF_CTRL", 0, 1 },
56686	{ "MC_P_ECC_CTRL", 0x41308, 0 },
56687		{ "ECC_BYPASS_BIST", 1, 1 },
56688		{ "ECC_DISABLE", 0, 1 },
56689	{ "MC_P_PAR_ENABLE", 0x4130c, 0 },
56690		{ "ECC_UE_PAR_ENABLE", 3, 1 },
56691		{ "ECC_CE_PAR_ENABLE", 2, 1 },
56692		{ "PERR_REG_INT_ENABLE", 1, 1 },
56693		{ "PERR_BLK_INT_ENABLE", 0, 1 },
56694	{ "MC_P_PAR_CAUSE", 0x41310, 0 },
56695		{ "ECC_UE_PAR_CAUSE", 3, 1 },
56696		{ "ECC_CE_PAR_CAUSE", 2, 1 },
56697		{ "FIFOR_PAR_CAUSE", 1, 1 },
56698		{ "RDATA_FIFOR_PAR_CAUSE", 0, 1 },
56699	{ "MC_P_INT_ENABLE", 0x41314, 0 },
56700		{ "ECC_UE_INT_ENABLE", 2, 1 },
56701		{ "ECC_CE_INT_ENABLE", 1, 1 },
56702		{ "PERR_INT_ENABLE", 0, 1 },
56703	{ "MC_P_INT_CAUSE", 0x41318, 0 },
56704		{ "ECC_UE_INT_CAUSE", 2, 1 },
56705		{ "ECC_CE_INT_CAUSE", 1, 1 },
56706		{ "PERR_INT_CAUSE", 0, 1 },
56707	{ "MC_P_ECC_STATUS", 0x4131c, 0 },
56708		{ "ECC_CECNT", 16, 16 },
56709		{ "ECC_UECNT", 0, 16 },
56710	{ "MC_P_PHY_CTRL", 0x41320, 0 },
56711	{ "MC_P_STATIC_CFG_STATUS", 0x41324, 0 },
56712		{ "STATIC_PP64", 26, 1 },
56713		{ "STATIC_PPEN", 25, 1 },
56714		{ "STATIC_OOOEN", 24, 1 },
56715		{ "STATIC_AWEN", 23, 1 },
56716		{ "STATIC_SWLAT", 18, 5 },
56717		{ "STATIC_WLAT", 17, 1 },
56718		{ "STATIC_ALIGN", 16, 1 },
56719		{ "STATIC_SLAT", 11, 5 },
56720		{ "STATIC_LAT", 10, 1 },
56721		{ "STATIC_MODE", 9, 1 },
56722		{ "STATIC_DEN", 6, 3 },
56723		{ "STATIC_ORG", 5, 1 },
56724		{ "STATIC_RKS", 4, 1 },
56725		{ "STATIC_WIDTH", 1, 3 },
56726		{ "STATIC_SLOW", 0, 1 },
56727	{ "MC_P_CORE_PCTL_STAT", 0x41328, 0 },
56728	{ "MC_P_DEBUG_CNT", 0x4132c, 0 },
56729		{ "WDATA_OCNT", 8, 5 },
56730		{ "RDATA_OCNT", 0, 5 },
56731	{ "MC_CE_ERR_DATA_RDATA", 0x41330, 0 },
56732	{ "MC_CE_ERR_DATA_RDATA", 0x41334, 0 },
56733	{ "MC_CE_ERR_DATA_RDATA", 0x41338, 0 },
56734	{ "MC_CE_ERR_DATA_RDATA", 0x4133c, 0 },
56735	{ "MC_CE_ERR_DATA_RDATA", 0x41340, 0 },
56736	{ "MC_CE_ERR_DATA_RDATA", 0x41344, 0 },
56737	{ "MC_CE_ERR_DATA_RDATA", 0x41348, 0 },
56738	{ "MC_CE_ERR_DATA_RDATA", 0x4134c, 0 },
56739	{ "MC_CE_ERR_DATA_RDATA", 0x41350, 0 },
56740	{ "MC_CE_ERR_DATA_RDATA", 0x41354, 0 },
56741	{ "MC_CE_ERR_DATA_RDATA", 0x41358, 0 },
56742	{ "MC_CE_ERR_DATA_RDATA", 0x4135c, 0 },
56743	{ "MC_CE_ERR_DATA_RDATA", 0x41360, 0 },
56744	{ "MC_CE_ERR_DATA_RDATA", 0x41364, 0 },
56745	{ "MC_CE_ERR_DATA_RDATA", 0x41368, 0 },
56746	{ "MC_CE_ERR_DATA_RDATA", 0x4136c, 0 },
56747	{ "MC_UE_ERR_DATA_RDATA", 0x41370, 0 },
56748	{ "MC_UE_ERR_DATA_RDATA", 0x41374, 0 },
56749	{ "MC_UE_ERR_DATA_RDATA", 0x41378, 0 },
56750	{ "MC_UE_ERR_DATA_RDATA", 0x4137c, 0 },
56751	{ "MC_UE_ERR_DATA_RDATA", 0x41380, 0 },
56752	{ "MC_UE_ERR_DATA_RDATA", 0x41384, 0 },
56753	{ "MC_UE_ERR_DATA_RDATA", 0x41388, 0 },
56754	{ "MC_UE_ERR_DATA_RDATA", 0x4138c, 0 },
56755	{ "MC_UE_ERR_DATA_RDATA", 0x41390, 0 },
56756	{ "MC_UE_ERR_DATA_RDATA", 0x41394, 0 },
56757	{ "MC_UE_ERR_DATA_RDATA", 0x41398, 0 },
56758	{ "MC_UE_ERR_DATA_RDATA", 0x4139c, 0 },
56759	{ "MC_UE_ERR_DATA_RDATA", 0x413a0, 0 },
56760	{ "MC_UE_ERR_DATA_RDATA", 0x413a4, 0 },
56761	{ "MC_UE_ERR_DATA_RDATA", 0x413a8, 0 },
56762	{ "MC_UE_ERR_DATA_RDATA", 0x413ac, 0 },
56763	{ "MC_CE_ADDR", 0x413b0, 0 },
56764	{ "MC_UE_ADDR", 0x413b4, 0 },
56765	{ "MC_P_DEEP_SLEEP", 0x413b8, 0 },
56766		{ "SleepStatus", 1, 1 },
56767		{ "SleepReq", 0, 1 },
56768	{ "MC_P_FPGA_BONUS", 0x413bc, 0 },
56769	{ "MC_P_DEBUG_CFG", 0x413c0, 0 },
56770		{ "DEBUG_OR", 15, 1 },
56771		{ "DEBUG_HI", 14, 1 },
56772		{ "DEBUG_RPT", 13, 1 },
56773		{ "DEBUGPAGE", 10, 3 },
56774		{ "DEBUGSELH", 5, 5 },
56775		{ "DEBUGSELL", 0, 5 },
56776	{ "MC_P_DEBUG_RPT", 0x413c4, 0 },
56777	{ "MC_P_PHY_ADR_CK_EN", 0x413c8, 0 },
56778	{ "MC_CE_ERR_ECC_DATA0", 0x413d0, 0 },
56779	{ "MC_CE_ERR_ECC_DATA1", 0x413d4, 0 },
56780	{ "MC_UE_ERR_ECC_DATA0", 0x413d8, 0 },
56781	{ "MC_UE_ERR_ECC_DATA1", 0x413dc, 0 },
56782	{ "MC_P_RMW_PRIO", 0x413f0, 0 },
56783		{ "WR_HI_TH", 24, 8 },
56784		{ "WR_MID_TH", 16, 8 },
56785		{ "RD_HI_TH", 8, 8 },
56786		{ "RD_MID_TH", 0, 8 },
56787	{ "MC_P_BIST_CMD", 0x41400, 0 },
56788		{ "START_BIST", 31, 1 },
56789		{ "BURST_LEN", 16, 2 },
56790		{ "BIST_CMD_GAP", 8, 8 },
56791		{ "BIST_OPCODE", 0, 2 },
56792	{ "MC_P_BIST_CMD_ADDR", 0x41404, 0 },
56793	{ "MC_P_BIST_CMD_LEN", 0x41408, 0 },
56794	{ "MC_P_BIST_DATA_PATTERN", 0x4140c, 0 },
56795	{ "MC_P_BIST_USER_WMASK0", 0x41414, 0 },
56796	{ "MC_P_BIST_USER_WMASK1", 0x41418, 0 },
56797	{ "MC_P_BIST_USER_WMASK2", 0x4141c, 0 },
56798		{ "MASK_128_1", 9, 1 },
56799		{ "MASK_128_0", 8, 1 },
56800		{ "USER_MASK_ECC", 0, 8 },
56801	{ "MC_P_BIST_NUM_ERR", 0x41480, 0 },
56802	{ "MC_P_BIST_ERR_FIRST_ADDR", 0x41484, 0 },
56803	{ "MC_P_BIST_STATUS_RDATA", 0x41488, 0 },
56804	{ "MC_P_BIST_STATUS_RDATA", 0x4148c, 0 },
56805	{ "MC_P_BIST_STATUS_RDATA", 0x41490, 0 },
56806	{ "MC_P_BIST_STATUS_RDATA", 0x41494, 0 },
56807	{ "MC_P_BIST_STATUS_RDATA", 0x41498, 0 },
56808	{ "MC_P_BIST_STATUS_RDATA", 0x4149c, 0 },
56809	{ "MC_P_BIST_STATUS_RDATA", 0x414a0, 0 },
56810	{ "MC_P_BIST_STATUS_RDATA", 0x414a4, 0 },
56811	{ "MC_P_BIST_STATUS_RDATA", 0x414a8, 0 },
56812	{ "MC_P_BIST_STATUS_RDATA", 0x414ac, 0 },
56813	{ "MC_P_BIST_STATUS_RDATA", 0x414b0, 0 },
56814	{ "MC_P_BIST_STATUS_RDATA", 0x414b4, 0 },
56815	{ "MC_P_BIST_STATUS_RDATA", 0x414b8, 0 },
56816	{ "MC_P_BIST_STATUS_RDATA", 0x414bc, 0 },
56817	{ "MC_P_BIST_STATUS_RDATA", 0x414c0, 0 },
56818	{ "MC_P_BIST_STATUS_RDATA", 0x414c4, 0 },
56819	{ "MC_P_BIST_STATUS_RDATA", 0x414c8, 0 },
56820	{ "MC_P_BIST_STATUS_RDATA", 0x414cc, 0 },
56821	{ "MC_P_BIST_CRC_SEED", 0x414d0, 0 },
56822	{ NULL }
56823};
56824
56825struct reg_info t6_edc_t60_regs[] = {
56826	{ "EDC_H_REF", 0x50000, 0 },
56827		{ "SleepStatus", 31, 1 },
56828		{ "SleepReq", 30, 1 },
56829		{ "PING_PONG", 29, 1 },
56830		{ "QDR_ClkPhase", 24, 3 },
56831		{ "MaxOpsPerTRC", 21, 3 },
56832		{ "NumPipeStages", 19, 2 },
56833		{ "EDC_INST_NUM", 18, 1 },
56834		{ "ENABLE_PERF", 17, 1 },
56835		{ "ECC_BYPASS", 16, 1 },
56836		{ "RefFreq", 0, 16 },
56837	{ "EDC_H_BIST_CMD", 0x50004, 0 },
56838		{ "START_BIST", 31, 1 },
56839		{ "BURST_LEN", 16, 2 },
56840		{ "BIST_CMD_GAP", 8, 8 },
56841		{ "BIST_OPCODE", 0, 2 },
56842	{ "EDC_H_BIST_CMD_ADDR", 0x50008, 0 },
56843	{ "EDC_H_BIST_CMD_LEN", 0x5000c, 0 },
56844	{ "EDC_H_BIST_DATA_PATTERN", 0x50010, 0 },
56845	{ "EDC_H_BIST_USER_WDATA0", 0x50014, 0 },
56846	{ "EDC_H_BIST_USER_WDATA1", 0x50018, 0 },
56847	{ "EDC_H_BIST_USER_WDATA2", 0x5001c, 0 },
56848		{ "USER_DATA_MASK", 8, 9 },
56849		{ "USER_DATA2", 0, 8 },
56850	{ "EDC_H_BIST_NUM_ERR", 0x50020, 0 },
56851	{ "EDC_H_BIST_ERR_FIRST_ADDR", 0x50024, 0 },
56852	{ "EDC_H_BIST_STATUS_RDATA", 0x50028, 0 },
56853	{ "EDC_H_BIST_STATUS_RDATA", 0x5002c, 0 },
56854	{ "EDC_H_BIST_STATUS_RDATA", 0x50030, 0 },
56855	{ "EDC_H_BIST_STATUS_RDATA", 0x50034, 0 },
56856	{ "EDC_H_BIST_STATUS_RDATA", 0x50038, 0 },
56857	{ "EDC_H_BIST_STATUS_RDATA", 0x5003c, 0 },
56858	{ "EDC_H_BIST_STATUS_RDATA", 0x50040, 0 },
56859	{ "EDC_H_BIST_STATUS_RDATA", 0x50044, 0 },
56860	{ "EDC_H_BIST_STATUS_RDATA", 0x50048, 0 },
56861	{ "EDC_H_BIST_STATUS_RDATA", 0x5004c, 0 },
56862	{ "EDC_H_BIST_STATUS_RDATA", 0x50050, 0 },
56863	{ "EDC_H_BIST_STATUS_RDATA", 0x50054, 0 },
56864	{ "EDC_H_BIST_STATUS_RDATA", 0x50058, 0 },
56865	{ "EDC_H_BIST_STATUS_RDATA", 0x5005c, 0 },
56866	{ "EDC_H_BIST_STATUS_RDATA", 0x50060, 0 },
56867	{ "EDC_H_BIST_STATUS_RDATA", 0x50064, 0 },
56868	{ "EDC_H_BIST_STATUS_RDATA", 0x50068, 0 },
56869	{ "EDC_H_BIST_STATUS_RDATA", 0x5006c, 0 },
56870	{ "EDC_H_PAR_ENABLE", 0x50070, 0 },
56871		{ "ECC_UE_PAR_ENABLE", 2, 1 },
56872		{ "ECC_CE_PAR_ENABLE", 1, 1 },
56873		{ "PERR_PAR_ENABLE", 0, 1 },
56874	{ "EDC_H_INT_ENABLE", 0x50074, 0 },
56875		{ "ECC_UE_INT_ENABLE", 2, 1 },
56876		{ "ECC_CE_INT_ENABLE", 1, 1 },
56877		{ "PERR_INT_ENABLE", 0, 1 },
56878	{ "EDC_H_INT_CAUSE", 0x50078, 0 },
56879		{ "ECC_UE_INT0_CAUSE", 5, 1 },
56880		{ "ECC_CE_INT0_CAUSE", 4, 1 },
56881		{ "PERR_INT0_CAUSE", 3, 1 },
56882		{ "ECC_UE_INT_CAUSE", 2, 1 },
56883		{ "ECC_CE_INT_CAUSE", 1, 1 },
56884		{ "PERR_INT_CAUSE", 0, 1 },
56885	{ "EDC_H_ECC_STATUS", 0x5007c, 0 },
56886		{ "ECC_CECNT", 16, 16 },
56887		{ "ECC_UECNT", 0, 16 },
56888	{ "EDC_H_ECC_ERR_SEL", 0x50080, 0 },
56889	{ "EDC_H_ECC_ERR_ADDR", 0x50084, 0 },
56890	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x50090, 0 },
56891	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x50094, 0 },
56892	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x50098, 0 },
56893	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x5009c, 0 },
56894	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500a0, 0 },
56895	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500a4, 0 },
56896	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500a8, 0 },
56897	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500ac, 0 },
56898	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500b0, 0 },
56899	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500b4, 0 },
56900	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500b8, 0 },
56901	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500bc, 0 },
56902	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500c0, 0 },
56903	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500c4, 0 },
56904	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500c8, 0 },
56905	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500cc, 0 },
56906	{ "EDC_H_DBG_MA_CMD_INTF", 0x50300, 0 },
56907		{ "MCmdAddr", 12, 20 },
56908		{ "MCmdLen", 5, 7 },
56909		{ "MCmdNRE", 4, 1 },
56910		{ "MCmdNRB", 3, 1 },
56911		{ "MCmdWr", 2, 1 },
56912		{ "MCmdRdy", 1, 1 },
56913		{ "MCmdVld", 0, 1 },
56914	{ "EDC_H_DBG_MA_WDATA_INTF", 0x50304, 0 },
56915		{ "MWDataVld", 31, 1 },
56916		{ "MWDataRdy", 30, 1 },
56917		{ "MWData", 0, 30 },
56918	{ "EDC_H_DBG_MA_RDATA_INTF", 0x50308, 0 },
56919		{ "MRspVld", 31, 1 },
56920		{ "MRspRdy", 30, 1 },
56921		{ "MRspData", 0, 30 },
56922	{ "EDC_H_DBG_BIST_CMD_INTF", 0x5030c, 0 },
56923		{ "BCmdAddr", 9, 23 },
56924		{ "BCmdLen", 3, 6 },
56925		{ "BCmdWr", 2, 1 },
56926		{ "BCmdRdy", 1, 1 },
56927		{ "BCmdVld", 0, 1 },
56928	{ "EDC_H_DBG_BIST_WDATA_INTF", 0x50310, 0 },
56929		{ "BWDataVld", 31, 1 },
56930		{ "BWDataRdy", 30, 1 },
56931		{ "BWData", 0, 30 },
56932	{ "EDC_H_DBG_BIST_RDATA_INTF", 0x50314, 0 },
56933		{ "BRspVld", 31, 1 },
56934		{ "BRspRdy", 30, 1 },
56935		{ "BRspData", 0, 30 },
56936	{ "EDC_H_DBG_EDRAM_CMD_INTF", 0x50318, 0 },
56937		{ "EdramAddr", 16, 16 },
56938		{ "EdramDwsn", 8, 8 },
56939		{ "EdramCra", 5, 3 },
56940		{ "EdramRefEnLo", 4, 1 },
56941		{ "Edram1WrEnLo", 3, 1 },
56942		{ "Edram1RdEnLo", 2, 1 },
56943		{ "Edram0WrEnLo", 1, 1 },
56944		{ "Edram0RdEnLo", 0, 1 },
56945	{ "EDC_H_DBG_EDRAM_WDATA_INTF", 0x5031c, 0 },
56946		{ "EdramWData", 9, 23 },
56947		{ "EdramWByteEn", 0, 9 },
56948	{ "EDC_H_DBG_EDRAM0_RDATA_INTF", 0x50320, 0 },
56949	{ "EDC_H_DBG_EDRAM1_RDATA_INTF", 0x50324, 0 },
56950	{ "EDC_H_DBG_MA_WR_REQ_CNT", 0x50328, 0 },
56951	{ "EDC_H_DBG_MA_WR_EXP_DAT_CYC_CNT", 0x5032c, 0 },
56952	{ "EDC_H_DBG_MA_WR_DAT_CYC_CNT", 0x50330, 0 },
56953	{ "EDC_H_DBG_MA_RD_REQ_CNT", 0x50334, 0 },
56954	{ "EDC_H_DBG_MA_RD_EXP_DAT_CYC_CNT", 0x50338, 0 },
56955	{ "EDC_H_DBG_MA_RD_DAT_CYC_CNT", 0x5033c, 0 },
56956	{ "EDC_H_DBG_BIST_WR_REQ_CNT", 0x50340, 0 },
56957	{ "EDC_H_DBG_BIST_WR_EXP_DAT_CYC_CNT", 0x50344, 0 },
56958	{ "EDC_H_DBG_BIST_WR_DAT_CYC_CNT", 0x50348, 0 },
56959	{ "EDC_H_DBG_BIST_RD_REQ_CNT", 0x5034c, 0 },
56960	{ "EDC_H_DBG_BIST_RD_EXP_DAT_CYC_CNT", 0x50350, 0 },
56961	{ "EDC_H_DBG_BIST_RD_DAT_CYC_CNT", 0x50354, 0 },
56962	{ "EDC_H_DBG_EDRAM0_WR_REQ_CNT", 0x50358, 0 },
56963	{ "EDC_H_DBG_EDRAM0_RD_REQ_CNT", 0x5035c, 0 },
56964	{ "EDC_H_DBG_EDRAM0_RMW_CNT", 0x50360, 0 },
56965	{ "EDC_H_DBG_EDRAM1_WR_REQ_CNT", 0x50364, 0 },
56966	{ "EDC_H_DBG_EDRAM1_RD_REQ_CNT", 0x50368, 0 },
56967	{ "EDC_H_DBG_EDRAM1_RMW_CNT", 0x5036c, 0 },
56968	{ "EDC_H_DBG_EDRAM_REF_BURST_CNT", 0x50370, 0 },
56969	{ "EDC_H_DBG_FIFO_STATUS", 0x50374, 0 },
56970		{ "rdtag_notfull", 17, 1 },
56971		{ "rdtag_notempty", 16, 1 },
56972		{ "inp_cmdq_notfull_arb", 15, 1 },
56973		{ "inp_cmdq_notempty", 14, 1 },
56974		{ "inp_wrdq_wrrdy", 13, 1 },
56975		{ "inp_wrdq_notempty", 12, 1 },
56976		{ "inp_beq_wrrdy_open", 11, 1 },
56977		{ "inp_beq_notempty", 10, 1 },
56978		{ "rddq_notfull_open", 9, 1 },
56979		{ "rddq_rdcnt", 4, 5 },
56980		{ "rdsideq_notfull", 3, 1 },
56981		{ "rdsideq_notempty", 2, 1 },
56982		{ "stg_cmdq_notempty", 1, 1 },
56983		{ "stg_wrdq_notempty", 0, 1 },
56984	{ "EDC_H_DBG_FSM_STATE", 0x50378, 0 },
56985		{ "CmdSplitFsm", 3, 1 },
56986		{ "CmdFsm", 0, 3 },
56987	{ "EDC_H_DBG_STALL_CYCLES", 0x5037c, 0 },
56988		{ "stall_rmw", 19, 1 },
56989		{ "stall_edc_cmd", 18, 1 },
56990		{ "dead_cycle0", 17, 1 },
56991		{ "dead_cycle1", 16, 1 },
56992		{ "dead_cycle0_bbi", 15, 1 },
56993		{ "dead_cycle1_bbi", 14, 1 },
56994		{ "dead_cycle0_max_op", 13, 1 },
56995		{ "dead_cycle1_max_op", 12, 1 },
56996		{ "dead_cycle0_pre_ref", 11, 1 },
56997		{ "dead_cycle1_pre_ref", 10, 1 },
56998		{ "dead_cycle0_post_ref", 9, 1 },
56999		{ "dead_cycle1_post_ref", 8, 1 },
57000		{ "dead_cycle0_rmw", 7, 1 },
57001		{ "dead_cycle1_rmw", 6, 1 },
57002		{ "dead_cycle0_bbi_rmw", 5, 1 },
57003		{ "dead_cycle1_bbi_rmw", 4, 1 },
57004		{ "dead_cycle0_pre_ref_rmw", 3, 1 },
57005		{ "dead_cycle1_pre_ref_rmw", 2, 1 },
57006		{ "dead_cycle0_post_ref_rmw", 1, 1 },
57007		{ "dead_cycle1_post_ref_rmw", 0, 1 },
57008	{ "EDC_H_DBG_CMD_QUEUE", 0x50380, 0 },
57009		{ "ECmdNRE", 31, 1 },
57010		{ "ECmdNRB", 30, 1 },
57011		{ "ECmdWr", 29, 1 },
57012		{ "ECmdLen", 22, 7 },
57013		{ "ECmdAddr", 0, 22 },
57014	{ "EDC_H_DBG_REFRESH", 0x50384, 0 },
57015		{ "RefDone", 12, 1 },
57016		{ "RefCntExpr", 11, 1 },
57017		{ "RefPtr", 8, 3 },
57018		{ "RefCnt", 0, 8 },
57019	{ "EDC_H_BIST_CRC_SEED", 0x50400, 0 },
57020	{ NULL }
57021};
57022
57023struct reg_info t6_edc_t61_regs[] = {
57024	{ "EDC_H_REF", 0x50800, 0 },
57025		{ "SleepStatus", 31, 1 },
57026		{ "SleepReq", 30, 1 },
57027		{ "PING_PONG", 29, 1 },
57028		{ "QDR_ClkPhase", 24, 3 },
57029		{ "MaxOpsPerTRC", 21, 3 },
57030		{ "NumPipeStages", 19, 2 },
57031		{ "EDC_INST_NUM", 18, 1 },
57032		{ "ENABLE_PERF", 17, 1 },
57033		{ "ECC_BYPASS", 16, 1 },
57034		{ "RefFreq", 0, 16 },
57035	{ "EDC_H_BIST_CMD", 0x50804, 0 },
57036		{ "START_BIST", 31, 1 },
57037		{ "BURST_LEN", 16, 2 },
57038		{ "BIST_CMD_GAP", 8, 8 },
57039		{ "BIST_OPCODE", 0, 2 },
57040	{ "EDC_H_BIST_CMD_ADDR", 0x50808, 0 },
57041	{ "EDC_H_BIST_CMD_LEN", 0x5080c, 0 },
57042	{ "EDC_H_BIST_DATA_PATTERN", 0x50810, 0 },
57043	{ "EDC_H_BIST_USER_WDATA0", 0x50814, 0 },
57044	{ "EDC_H_BIST_USER_WDATA1", 0x50818, 0 },
57045	{ "EDC_H_BIST_USER_WDATA2", 0x5081c, 0 },
57046		{ "USER_DATA_MASK", 8, 9 },
57047		{ "USER_DATA2", 0, 8 },
57048	{ "EDC_H_BIST_NUM_ERR", 0x50820, 0 },
57049	{ "EDC_H_BIST_ERR_FIRST_ADDR", 0x50824, 0 },
57050	{ "EDC_H_BIST_STATUS_RDATA", 0x50828, 0 },
57051	{ "EDC_H_BIST_STATUS_RDATA", 0x5082c, 0 },
57052	{ "EDC_H_BIST_STATUS_RDATA", 0x50830, 0 },
57053	{ "EDC_H_BIST_STATUS_RDATA", 0x50834, 0 },
57054	{ "EDC_H_BIST_STATUS_RDATA", 0x50838, 0 },
57055	{ "EDC_H_BIST_STATUS_RDATA", 0x5083c, 0 },
57056	{ "EDC_H_BIST_STATUS_RDATA", 0x50840, 0 },
57057	{ "EDC_H_BIST_STATUS_RDATA", 0x50844, 0 },
57058	{ "EDC_H_BIST_STATUS_RDATA", 0x50848, 0 },
57059	{ "EDC_H_BIST_STATUS_RDATA", 0x5084c, 0 },
57060	{ "EDC_H_BIST_STATUS_RDATA", 0x50850, 0 },
57061	{ "EDC_H_BIST_STATUS_RDATA", 0x50854, 0 },
57062	{ "EDC_H_BIST_STATUS_RDATA", 0x50858, 0 },
57063	{ "EDC_H_BIST_STATUS_RDATA", 0x5085c, 0 },
57064	{ "EDC_H_BIST_STATUS_RDATA", 0x50860, 0 },
57065	{ "EDC_H_BIST_STATUS_RDATA", 0x50864, 0 },
57066	{ "EDC_H_BIST_STATUS_RDATA", 0x50868, 0 },
57067	{ "EDC_H_BIST_STATUS_RDATA", 0x5086c, 0 },
57068	{ "EDC_H_PAR_ENABLE", 0x50870, 0 },
57069		{ "ECC_UE_PAR_ENABLE", 2, 1 },
57070		{ "ECC_CE_PAR_ENABLE", 1, 1 },
57071		{ "PERR_PAR_ENABLE", 0, 1 },
57072	{ "EDC_H_INT_ENABLE", 0x50874, 0 },
57073		{ "ECC_UE_INT_ENABLE", 2, 1 },
57074		{ "ECC_CE_INT_ENABLE", 1, 1 },
57075		{ "PERR_INT_ENABLE", 0, 1 },
57076	{ "EDC_H_INT_CAUSE", 0x50878, 0 },
57077		{ "ECC_UE_INT0_CAUSE", 5, 1 },
57078		{ "ECC_CE_INT0_CAUSE", 4, 1 },
57079		{ "PERR_INT0_CAUSE", 3, 1 },
57080		{ "ECC_UE_INT_CAUSE", 2, 1 },
57081		{ "ECC_CE_INT_CAUSE", 1, 1 },
57082		{ "PERR_INT_CAUSE", 0, 1 },
57083	{ "EDC_H_ECC_STATUS", 0x5087c, 0 },
57084		{ "ECC_CECNT", 16, 16 },
57085		{ "ECC_UECNT", 0, 16 },
57086	{ "EDC_H_ECC_ERR_SEL", 0x50880, 0 },
57087	{ "EDC_H_ECC_ERR_ADDR", 0x50884, 0 },
57088	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x50890, 0 },
57089	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x50894, 0 },
57090	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x50898, 0 },
57091	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x5089c, 0 },
57092	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508a0, 0 },
57093	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508a4, 0 },
57094	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508a8, 0 },
57095	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508ac, 0 },
57096	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508b0, 0 },
57097	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508b4, 0 },
57098	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508b8, 0 },
57099	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508bc, 0 },
57100	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508c0, 0 },
57101	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508c4, 0 },
57102	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508c8, 0 },
57103	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508cc, 0 },
57104	{ "EDC_H_DBG_MA_CMD_INTF", 0x50b00, 0 },
57105		{ "MCmdAddr", 12, 20 },
57106		{ "MCmdLen", 5, 7 },
57107		{ "MCmdNRE", 4, 1 },
57108		{ "MCmdNRB", 3, 1 },
57109		{ "MCmdWr", 2, 1 },
57110		{ "MCmdRdy", 1, 1 },
57111		{ "MCmdVld", 0, 1 },
57112	{ "EDC_H_DBG_MA_WDATA_INTF", 0x50b04, 0 },
57113		{ "MWDataVld", 31, 1 },
57114		{ "MWDataRdy", 30, 1 },
57115		{ "MWData", 0, 30 },
57116	{ "EDC_H_DBG_MA_RDATA_INTF", 0x50b08, 0 },
57117		{ "MRspVld", 31, 1 },
57118		{ "MRspRdy", 30, 1 },
57119		{ "MRspData", 0, 30 },
57120	{ "EDC_H_DBG_BIST_CMD_INTF", 0x50b0c, 0 },
57121		{ "BCmdAddr", 9, 23 },
57122		{ "BCmdLen", 3, 6 },
57123		{ "BCmdWr", 2, 1 },
57124		{ "BCmdRdy", 1, 1 },
57125		{ "BCmdVld", 0, 1 },
57126	{ "EDC_H_DBG_BIST_WDATA_INTF", 0x50b10, 0 },
57127		{ "BWDataVld", 31, 1 },
57128		{ "BWDataRdy", 30, 1 },
57129		{ "BWData", 0, 30 },
57130	{ "EDC_H_DBG_BIST_RDATA_INTF", 0x50b14, 0 },
57131		{ "BRspVld", 31, 1 },
57132		{ "BRspRdy", 30, 1 },
57133		{ "BRspData", 0, 30 },
57134	{ "EDC_H_DBG_EDRAM_CMD_INTF", 0x50b18, 0 },
57135		{ "EdramAddr", 16, 16 },
57136		{ "EdramDwsn", 8, 8 },
57137		{ "EdramCra", 5, 3 },
57138		{ "EdramRefEnLo", 4, 1 },
57139		{ "Edram1WrEnLo", 3, 1 },
57140		{ "Edram1RdEnLo", 2, 1 },
57141		{ "Edram0WrEnLo", 1, 1 },
57142		{ "Edram0RdEnLo", 0, 1 },
57143	{ "EDC_H_DBG_EDRAM_WDATA_INTF", 0x50b1c, 0 },
57144		{ "EdramWData", 9, 23 },
57145		{ "EdramWByteEn", 0, 9 },
57146	{ "EDC_H_DBG_EDRAM0_RDATA_INTF", 0x50b20, 0 },
57147	{ "EDC_H_DBG_EDRAM1_RDATA_INTF", 0x50b24, 0 },
57148	{ "EDC_H_DBG_MA_WR_REQ_CNT", 0x50b28, 0 },
57149	{ "EDC_H_DBG_MA_WR_EXP_DAT_CYC_CNT", 0x50b2c, 0 },
57150	{ "EDC_H_DBG_MA_WR_DAT_CYC_CNT", 0x50b30, 0 },
57151	{ "EDC_H_DBG_MA_RD_REQ_CNT", 0x50b34, 0 },
57152	{ "EDC_H_DBG_MA_RD_EXP_DAT_CYC_CNT", 0x50b38, 0 },
57153	{ "EDC_H_DBG_MA_RD_DAT_CYC_CNT", 0x50b3c, 0 },
57154	{ "EDC_H_DBG_BIST_WR_REQ_CNT", 0x50b40, 0 },
57155	{ "EDC_H_DBG_BIST_WR_EXP_DAT_CYC_CNT", 0x50b44, 0 },
57156	{ "EDC_H_DBG_BIST_WR_DAT_CYC_CNT", 0x50b48, 0 },
57157	{ "EDC_H_DBG_BIST_RD_REQ_CNT", 0x50b4c, 0 },
57158	{ "EDC_H_DBG_BIST_RD_EXP_DAT_CYC_CNT", 0x50b50, 0 },
57159	{ "EDC_H_DBG_BIST_RD_DAT_CYC_CNT", 0x50b54, 0 },
57160	{ "EDC_H_DBG_EDRAM0_WR_REQ_CNT", 0x50b58, 0 },
57161	{ "EDC_H_DBG_EDRAM0_RD_REQ_CNT", 0x50b5c, 0 },
57162	{ "EDC_H_DBG_EDRAM0_RMW_CNT", 0x50b60, 0 },
57163	{ "EDC_H_DBG_EDRAM1_WR_REQ_CNT", 0x50b64, 0 },
57164	{ "EDC_H_DBG_EDRAM1_RD_REQ_CNT", 0x50b68, 0 },
57165	{ "EDC_H_DBG_EDRAM1_RMW_CNT", 0x50b6c, 0 },
57166	{ "EDC_H_DBG_EDRAM_REF_BURST_CNT", 0x50b70, 0 },
57167	{ "EDC_H_DBG_FIFO_STATUS", 0x50b74, 0 },
57168		{ "rdtag_notfull", 17, 1 },
57169		{ "rdtag_notempty", 16, 1 },
57170		{ "inp_cmdq_notfull_arb", 15, 1 },
57171		{ "inp_cmdq_notempty", 14, 1 },
57172		{ "inp_wrdq_wrrdy", 13, 1 },
57173		{ "inp_wrdq_notempty", 12, 1 },
57174		{ "inp_beq_wrrdy_open", 11, 1 },
57175		{ "inp_beq_notempty", 10, 1 },
57176		{ "rddq_notfull_open", 9, 1 },
57177		{ "rddq_rdcnt", 4, 5 },
57178		{ "rdsideq_notfull", 3, 1 },
57179		{ "rdsideq_notempty", 2, 1 },
57180		{ "stg_cmdq_notempty", 1, 1 },
57181		{ "stg_wrdq_notempty", 0, 1 },
57182	{ "EDC_H_DBG_FSM_STATE", 0x50b78, 0 },
57183		{ "CmdSplitFsm", 3, 1 },
57184		{ "CmdFsm", 0, 3 },
57185	{ "EDC_H_DBG_STALL_CYCLES", 0x50b7c, 0 },
57186		{ "stall_rmw", 19, 1 },
57187		{ "stall_edc_cmd", 18, 1 },
57188		{ "dead_cycle0", 17, 1 },
57189		{ "dead_cycle1", 16, 1 },
57190		{ "dead_cycle0_bbi", 15, 1 },
57191		{ "dead_cycle1_bbi", 14, 1 },
57192		{ "dead_cycle0_max_op", 13, 1 },
57193		{ "dead_cycle1_max_op", 12, 1 },
57194		{ "dead_cycle0_pre_ref", 11, 1 },
57195		{ "dead_cycle1_pre_ref", 10, 1 },
57196		{ "dead_cycle0_post_ref", 9, 1 },
57197		{ "dead_cycle1_post_ref", 8, 1 },
57198		{ "dead_cycle0_rmw", 7, 1 },
57199		{ "dead_cycle1_rmw", 6, 1 },
57200		{ "dead_cycle0_bbi_rmw", 5, 1 },
57201		{ "dead_cycle1_bbi_rmw", 4, 1 },
57202		{ "dead_cycle0_pre_ref_rmw", 3, 1 },
57203		{ "dead_cycle1_pre_ref_rmw", 2, 1 },
57204		{ "dead_cycle0_post_ref_rmw", 1, 1 },
57205		{ "dead_cycle1_post_ref_rmw", 0, 1 },
57206	{ "EDC_H_DBG_CMD_QUEUE", 0x50b80, 0 },
57207		{ "ECmdNRE", 31, 1 },
57208		{ "ECmdNRB", 30, 1 },
57209		{ "ECmdWr", 29, 1 },
57210		{ "ECmdLen", 22, 7 },
57211		{ "ECmdAddr", 0, 22 },
57212	{ "EDC_H_DBG_REFRESH", 0x50b84, 0 },
57213		{ "RefDone", 12, 1 },
57214		{ "RefCntExpr", 11, 1 },
57215		{ "RefPtr", 8, 3 },
57216		{ "RefCnt", 0, 8 },
57217	{ "EDC_H_BIST_CRC_SEED", 0x50c00, 0 },
57218	{ NULL }
57219};
57220
57221struct reg_info t6_hma_t6_regs[] = {
57222	{ "HMA_TABLE_ACCESS", 0x51000, 0 },
57223		{ "TRIG", 31, 1 },
57224		{ "RW", 30, 1 },
57225		{ "L_SEL", 0, 4 },
57226	{ "HMA_TABLE_LINE0", 0x51004, 0 },
57227	{ "HMA_TABLE_LINE1", 0x51008, 0 },
57228	{ "HMA_TABLE_LINE2", 0x5100c, 0 },
57229	{ "HMA_TABLE_LINE3", 0x51010, 0 },
57230	{ "HMA_TABLE_LINE4", 0x51014, 0 },
57231	{ "HMA_TABLE_LINE5", 0x51018, 0 },
57232		{ "FID", 16, 11 },
57233		{ "NOS", 15, 1 },
57234		{ "RO", 14, 1 },
57235		{ "TPH", 12, 2 },
57236		{ "TPH_V", 11, 1 },
57237		{ "DCA", 0, 11 },
57238	{ "HMA_COOKIE", 0x5101c, 0 },
57239		{ "C_REQ", 31, 1 },
57240		{ "C_FID", 18, 11 },
57241		{ "C_VAL", 8, 10 },
57242		{ "C_SEL", 0, 4 },
57243	{ "HMA_CFG", 0x51020, 0 },
57244		{ "OP_MODE", 31, 1 },
57245	{ "HMA_TLB_ACCESS", 0x51028, 0 },
57246		{ "TRIG", 31, 1 },
57247		{ "RW", 30, 1 },
57248		{ "INV_ALL", 29, 1 },
57249		{ "LOCK_ENTRY", 28, 1 },
57250		{ "E_SEL", 0, 5 },
57251	{ "HMA_TLB_BITS", 0x5102c, 0 },
57252		{ "VA", 12, 20 },
57253		{ "VALID_E", 4, 1 },
57254		{ "LOCK", 3, 1 },
57255		{ "USED", 2, 1 },
57256		{ "REGION", 0, 2 },
57257	{ "HMA_TLB_DESC_0_H", 0x51030, 0 },
57258	{ "HMA_TLB_DESC_0_L", 0x51034, 0 },
57259	{ "HMA_TLB_DESC_1_H", 0x51038, 0 },
57260	{ "HMA_TLB_DESC_1_L", 0x5103c, 0 },
57261	{ "HMA_TLB_DESC_2_H", 0x51040, 0 },
57262	{ "HMA_TLB_DESC_2_L", 0x51044, 0 },
57263	{ "HMA_TLB_DESC_3_H", 0x51048, 0 },
57264	{ "HMA_TLB_DESC_3_L", 0x5104c, 0 },
57265	{ "HMA_TLB_DESC_4_H", 0x51050, 0 },
57266	{ "HMA_TLB_DESC_4_L", 0x51054, 0 },
57267	{ "HMA_TLB_DESC_5_H", 0x51058, 0 },
57268	{ "HMA_TLB_DESC_5_L", 0x5105c, 0 },
57269	{ "HMA_TLB_DESC_6_H", 0x51060, 0 },
57270	{ "HMA_TLB_DESC_6_L", 0x51064, 0 },
57271	{ "HMA_TLB_DESC_7_H", 0x51068, 0 },
57272	{ "HMA_TLB_DESC_7_L", 0x5106c, 0 },
57273	{ "HMA_REG0_MIN", 0x51070, 0 },
57274		{ "ADDR0_MIN", 12, 20 },
57275	{ "HMA_REG0_MAX", 0x51074, 0 },
57276		{ "ADDR0_MAX", 12, 20 },
57277	{ "HMA_REG0_MASK", 0x51078, 0 },
57278		{ "PAGE_SIZE0", 12, 20 },
57279	{ "HMA_REG0_BASE", 0x5107c, 0 },
57280	{ "HMA_REG1_MIN", 0x51080, 0 },
57281		{ "ADDR1_MIN", 12, 20 },
57282	{ "HMA_REG1_MAX", 0x51084, 0 },
57283		{ "ADDR1_MAX", 12, 20 },
57284	{ "HMA_REG1_MASK", 0x51088, 0 },
57285		{ "PAGE_SIZE1", 12, 20 },
57286	{ "HMA_REG1_BASE", 0x5108c, 0 },
57287	{ "HMA_REG2_MIN", 0x51090, 0 },
57288		{ "ADDR2_MIN", 12, 20 },
57289	{ "HMA_REG2_MAX", 0x51094, 0 },
57290		{ "ADDR2_MAX", 12, 20 },
57291	{ "HMA_REG2_MASK", 0x51098, 0 },
57292		{ "PAGE_SIZE2", 12, 20 },
57293	{ "HMA_REG2_BASE", 0x5109c, 0 },
57294	{ "HMA_REG3_MIN", 0x510a0, 0 },
57295		{ "ADDR3_MIN", 12, 20 },
57296	{ "HMA_REG3_MAX", 0x510a4, 0 },
57297		{ "ADDR3_MAX", 12, 20 },
57298	{ "HMA_REG3_MASK", 0x510a8, 0 },
57299		{ "PAGE_SIZE3", 12, 20 },
57300	{ "HMA_REG3_BASE", 0x510ac, 0 },
57301	{ "HMA_SW_SYNC", 0x510b0, 0 },
57302		{ "ENTER_SYNC", 31, 1 },
57303		{ "EXIT_SYNC", 30, 1 },
57304	{ "HMA_PAR_ENABLE", 0x51300, 0 },
57305	{ "HMA_INT_ENABLE", 0x51304, 0 },
57306		{ "IDTF_INT_ENABLE", 5, 1 },
57307		{ "OTF_INT_ENABLE", 4, 1 },
57308		{ "RTF_INT_ENABLE", 3, 1 },
57309		{ "PCIEMST_INT_ENABLE", 2, 1 },
57310		{ "MAMST_INT_ENABLE", 1, 1 },
57311		{ "PERR_INT_ENABLE", 0, 1 },
57312	{ "HMA_INT_CAUSE", 0x51308, 0 },
57313		{ "IDTF_INT_CAUSE", 5, 1 },
57314		{ "OTF_INT_CAUSE", 4, 1 },
57315		{ "RTF_INT_CAUSE", 3, 1 },
57316		{ "PCIEMST_INT_CAUSE", 2, 1 },
57317		{ "MAMST_INT_CAUSE", 1, 1 },
57318		{ "PERR_INT_CAUSE", 0, 1 },
57319	{ "HMA_MA_MST_ERR", 0x5130c, 0 },
57320	{ "HMA_RTF_ERR", 0x51310, 0 },
57321	{ "HMA_OTF_ERR", 0x51314, 0 },
57322	{ "HMA_IDTF_ERR", 0x51318, 0 },
57323	{ "HMA_EXIT_TF", 0x5131c, 0 },
57324		{ "TRIG", 31, 1 },
57325		{ "RTF", 30, 1 },
57326		{ "OTF", 29, 1 },
57327		{ "IDTF", 28, 1 },
57328	{ "HMA_LOCAL_DEBUG_CFG", 0x51320, 0 },
57329		{ "DEBUG_OR", 15, 1 },
57330		{ "DEBUG_HI", 14, 1 },
57331		{ "DEBUG_RPT", 13, 1 },
57332		{ "DEBUGPAGE", 10, 3 },
57333		{ "DEBUGSELH", 5, 5 },
57334		{ "DEBUGSELL", 0, 5 },
57335	{ "HMA_LOCAL_DEBUG_RPT", 0x51324, 0 },
57336	{ NULL }
57337};
57338