1/* This file is automatically generated --- changes will be lost */
2/* Generation Date : Mon Dec  7 19:40:45 IST 2015 */
3/* Directory name: t5_reg.txt, Changeset: 6934:86d3c0167c2c */
4__FBSDID("$FreeBSD: stable/11/usr.sbin/cxgbetool/reg_defs_t5.c 339393 2018-10-16 22:09:33Z np $");
5
6struct reg_info t5_sge_regs[] = {
7	{ "SGE_PF_KDOORBELL", 0x1e000, 0 },
8		{ "QID", 15, 17 },
9		{ "Priority", 14, 1 },
10		{ "Type", 13, 1 },
11		{ "PIDX", 0, 13 },
12	{ "SGE_PF_GTS", 0x1e004, 0 },
13		{ "IngressQID", 16, 16 },
14		{ "TimerReg", 13, 3 },
15		{ "SEIntArm", 12, 1 },
16		{ "CIDXInc", 0, 12 },
17	{ "SGE_PF_KTIMESTAMP_LO", 0x1e008, 0 },
18	{ "SGE_PF_KTIMESTAMP_HI", 0x1e00c, 0 },
19	{ "SGE_PF_KDOORBELL", 0x1e400, 0 },
20		{ "QID", 15, 17 },
21		{ "Priority", 14, 1 },
22		{ "Type", 13, 1 },
23		{ "PIDX", 0, 13 },
24	{ "SGE_PF_GTS", 0x1e404, 0 },
25		{ "IngressQID", 16, 16 },
26		{ "TimerReg", 13, 3 },
27		{ "SEIntArm", 12, 1 },
28		{ "CIDXInc", 0, 12 },
29	{ "SGE_PF_KTIMESTAMP_LO", 0x1e408, 0 },
30	{ "SGE_PF_KTIMESTAMP_HI", 0x1e40c, 0 },
31	{ "SGE_PF_KDOORBELL", 0x1e800, 0 },
32		{ "QID", 15, 17 },
33		{ "Priority", 14, 1 },
34		{ "Type", 13, 1 },
35		{ "PIDX", 0, 13 },
36	{ "SGE_PF_GTS", 0x1e804, 0 },
37		{ "IngressQID", 16, 16 },
38		{ "TimerReg", 13, 3 },
39		{ "SEIntArm", 12, 1 },
40		{ "CIDXInc", 0, 12 },
41	{ "SGE_PF_KTIMESTAMP_LO", 0x1e808, 0 },
42	{ "SGE_PF_KTIMESTAMP_HI", 0x1e80c, 0 },
43	{ "SGE_PF_KDOORBELL", 0x1ec00, 0 },
44		{ "QID", 15, 17 },
45		{ "Priority", 14, 1 },
46		{ "Type", 13, 1 },
47		{ "PIDX", 0, 13 },
48	{ "SGE_PF_GTS", 0x1ec04, 0 },
49		{ "IngressQID", 16, 16 },
50		{ "TimerReg", 13, 3 },
51		{ "SEIntArm", 12, 1 },
52		{ "CIDXInc", 0, 12 },
53	{ "SGE_PF_KTIMESTAMP_LO", 0x1ec08, 0 },
54	{ "SGE_PF_KTIMESTAMP_HI", 0x1ec0c, 0 },
55	{ "SGE_PF_KDOORBELL", 0x1f000, 0 },
56		{ "QID", 15, 17 },
57		{ "Priority", 14, 1 },
58		{ "Type", 13, 1 },
59		{ "PIDX", 0, 13 },
60	{ "SGE_PF_GTS", 0x1f004, 0 },
61		{ "IngressQID", 16, 16 },
62		{ "TimerReg", 13, 3 },
63		{ "SEIntArm", 12, 1 },
64		{ "CIDXInc", 0, 12 },
65	{ "SGE_PF_KTIMESTAMP_LO", 0x1f008, 0 },
66	{ "SGE_PF_KTIMESTAMP_HI", 0x1f00c, 0 },
67	{ "SGE_PF_KDOORBELL", 0x1f400, 0 },
68		{ "QID", 15, 17 },
69		{ "Priority", 14, 1 },
70		{ "Type", 13, 1 },
71		{ "PIDX", 0, 13 },
72	{ "SGE_PF_GTS", 0x1f404, 0 },
73		{ "IngressQID", 16, 16 },
74		{ "TimerReg", 13, 3 },
75		{ "SEIntArm", 12, 1 },
76		{ "CIDXInc", 0, 12 },
77	{ "SGE_PF_KTIMESTAMP_LO", 0x1f408, 0 },
78	{ "SGE_PF_KTIMESTAMP_HI", 0x1f40c, 0 },
79	{ "SGE_PF_KDOORBELL", 0x1f800, 0 },
80		{ "QID", 15, 17 },
81		{ "Priority", 14, 1 },
82		{ "Type", 13, 1 },
83		{ "PIDX", 0, 13 },
84	{ "SGE_PF_GTS", 0x1f804, 0 },
85		{ "IngressQID", 16, 16 },
86		{ "TimerReg", 13, 3 },
87		{ "SEIntArm", 12, 1 },
88		{ "CIDXInc", 0, 12 },
89	{ "SGE_PF_KTIMESTAMP_LO", 0x1f808, 0 },
90	{ "SGE_PF_KTIMESTAMP_HI", 0x1f80c, 0 },
91	{ "SGE_PF_KDOORBELL", 0x1fc00, 0 },
92		{ "QID", 15, 17 },
93		{ "Priority", 14, 1 },
94		{ "Type", 13, 1 },
95		{ "PIDX", 0, 13 },
96	{ "SGE_PF_GTS", 0x1fc04, 0 },
97		{ "IngressQID", 16, 16 },
98		{ "TimerReg", 13, 3 },
99		{ "SEIntArm", 12, 1 },
100		{ "CIDXInc", 0, 12 },
101	{ "SGE_PF_KTIMESTAMP_LO", 0x1fc08, 0 },
102	{ "SGE_PF_KTIMESTAMP_HI", 0x1fc0c, 0 },
103	{ "SGE_CONTROL", 0x1008, 0 },
104		{ "IgrAllCPLtoFL", 31, 1 },
105		{ "FLSplitMin", 22, 9 },
106		{ "RxPktCPLMode", 18, 1 },
107		{ "EgrStatusPageSize", 17, 1 },
108		{ "IngHintEnable1", 15, 1 },
109		{ "IngHintEnable0", 14, 1 },
110		{ "IngIntCompareIDX", 13, 1 },
111		{ "PktShift", 10, 3 },
112		{ "IngPCIeBoundary", 7, 3 },
113		{ "IngPadBoundary", 4, 3 },
114		{ "GlobalEnable", 0, 1 },
115	{ "SGE_HOST_PAGE_SIZE", 0x100c, 0 },
116		{ "HostPageSizePF7", 28, 4 },
117		{ "HostPageSizePF6", 24, 4 },
118		{ "HostPageSizePF5", 20, 4 },
119		{ "HostPageSizePF4", 16, 4 },
120		{ "HostPageSizePF3", 12, 4 },
121		{ "HostPageSizePF2", 8, 4 },
122		{ "HostPageSizePF1", 4, 4 },
123		{ "HostPageSizePF0", 0, 4 },
124	{ "SGE_EGRESS_QUEUES_PER_PAGE_PF", 0x1010, 0 },
125		{ "QueuesPerPagePF7", 28, 4 },
126		{ "QueuesPerPagePF6", 24, 4 },
127		{ "QueuesPerPagePF5", 20, 4 },
128		{ "QueuesPerPagePF4", 16, 4 },
129		{ "QueuesPerPagePF3", 12, 4 },
130		{ "QueuesPerPagePF2", 8, 4 },
131		{ "QueuesPerPagePF1", 4, 4 },
132		{ "QueuesPerPagePF0", 0, 4 },
133	{ "SGE_EGRESS_QUEUES_PER_PAGE_VF", 0x1014, 0 },
134		{ "QueuesPerPageVFPF7", 28, 4 },
135		{ "QueuesPerPageVFPF6", 24, 4 },
136		{ "QueuesPerPageVFPF5", 20, 4 },
137		{ "QueuesPerPageVFPF4", 16, 4 },
138		{ "QueuesPerPageVFPF3", 12, 4 },
139		{ "QueuesPerPageVFPF2", 8, 4 },
140		{ "QueuesPerPageVFPF1", 4, 4 },
141		{ "QueuesPerPageVFPF0", 0, 4 },
142	{ "SGE_USER_MODE_LIMITS", 0x1018, 0 },
143		{ "Opcode_Min", 24, 8 },
144		{ "Opcode_Max", 16, 8 },
145		{ "Length_Min", 8, 8 },
146		{ "Length_Max", 0, 8 },
147	{ "SGE_WR_ERROR", 0x101c, 0 },
148	{ "SGE_PERR_INJECT", 0x1020, 0 },
149		{ "MemSel", 1, 5 },
150		{ "InjectDataErr", 0, 1 },
151	{ "SGE_INT_CAUSE1", 0x1024, 0 },
152		{ "perr_pc_chpi_rsp2", 31, 1 },
153		{ "perr_flm_CreditFifo", 30, 1 },
154		{ "perr_imsg_hint_fifo", 29, 1 },
155		{ "perr_pc_mctag", 24, 1 },
156		{ "perr_pc_chpi_rsp1", 23, 1 },
157		{ "perr_pc_chpi_rsp0", 22, 1 },
158		{ "perr_dbp_pc_rsp_fifo3", 21, 1 },
159		{ "perr_dbp_pc_rsp_fifo2", 20, 1 },
160		{ "perr_dbp_pc_rsp_fifo1", 19, 1 },
161		{ "perr_dbp_pc_rsp_fifo0", 18, 1 },
162		{ "perr_dmarbt", 17, 1 },
163		{ "perr_flm_DbpFifo", 16, 1 },
164		{ "perr_flm_MCReq_fifo", 15, 1 },
165		{ "perr_flm_HintFifo", 14, 1 },
166		{ "perr_align_ctl_fifo3", 13, 1 },
167		{ "perr_align_ctl_fifo2", 12, 1 },
168		{ "perr_align_ctl_fifo1", 11, 1 },
169		{ "perr_align_ctl_fifo0", 10, 1 },
170		{ "perr_edma_fifo3", 9, 1 },
171		{ "perr_edma_fifo2", 8, 1 },
172		{ "perr_edma_fifo1", 7, 1 },
173		{ "perr_edma_fifo0", 6, 1 },
174		{ "perr_pd_fifo3", 5, 1 },
175		{ "perr_pd_fifo2", 4, 1 },
176		{ "perr_pd_fifo1", 3, 1 },
177		{ "perr_pd_fifo0", 2, 1 },
178		{ "perr_ing_ctxt_mifrsp", 1, 1 },
179		{ "perr_egr_ctxt_mifrsp", 0, 1 },
180	{ "SGE_INT_ENABLE1", 0x1028, 0 },
181		{ "perr_pc_chpi_rsp2", 31, 1 },
182		{ "perr_flm_CreditFifo", 30, 1 },
183		{ "perr_imsg_hint_fifo", 29, 1 },
184		{ "perr_pc_mctag", 24, 1 },
185		{ "perr_pc_chpi_rsp1", 23, 1 },
186		{ "perr_pc_chpi_rsp0", 22, 1 },
187		{ "perr_dbp_pc_rsp_fifo3", 21, 1 },
188		{ "perr_dbp_pc_rsp_fifo2", 20, 1 },
189		{ "perr_dbp_pc_rsp_fifo1", 19, 1 },
190		{ "perr_dbp_pc_rsp_fifo0", 18, 1 },
191		{ "perr_dmarbt", 17, 1 },
192		{ "perr_flm_DbpFifo", 16, 1 },
193		{ "perr_flm_MCReq_fifo", 15, 1 },
194		{ "perr_flm_HintFifo", 14, 1 },
195		{ "perr_align_ctl_fifo3", 13, 1 },
196		{ "perr_align_ctl_fifo2", 12, 1 },
197		{ "perr_align_ctl_fifo1", 11, 1 },
198		{ "perr_align_ctl_fifo0", 10, 1 },
199		{ "perr_edma_fifo3", 9, 1 },
200		{ "perr_edma_fifo2", 8, 1 },
201		{ "perr_edma_fifo1", 7, 1 },
202		{ "perr_edma_fifo0", 6, 1 },
203		{ "perr_pd_fifo3", 5, 1 },
204		{ "perr_pd_fifo2", 4, 1 },
205		{ "perr_pd_fifo1", 3, 1 },
206		{ "perr_pd_fifo0", 2, 1 },
207		{ "perr_ing_ctxt_mifrsp", 1, 1 },
208		{ "perr_egr_ctxt_mifrsp", 0, 1 },
209	{ "SGE_PERR_ENABLE1", 0x102c, 0 },
210		{ "perr_pc_chpi_rsp2", 31, 1 },
211		{ "perr_flm_CreditFifo", 30, 1 },
212		{ "perr_imsg_hint_fifo", 29, 1 },
213		{ "perr_pc_mctag", 24, 1 },
214		{ "perr_pc_chpi_rsp1", 23, 1 },
215		{ "perr_pc_chpi_rsp0", 22, 1 },
216		{ "perr_dbp_pc_rsp_fifo3", 21, 1 },
217		{ "perr_dbp_pc_rsp_fifo2", 20, 1 },
218		{ "perr_dbp_pc_rsp_fifo1", 19, 1 },
219		{ "perr_dbp_pc_rsp_fifo0", 18, 1 },
220		{ "perr_dmarbt", 17, 1 },
221		{ "perr_flm_DbpFifo", 16, 1 },
222		{ "perr_flm_MCReq_fifo", 15, 1 },
223		{ "perr_flm_HintFifo", 14, 1 },
224		{ "perr_align_ctl_fifo3", 13, 1 },
225		{ "perr_align_ctl_fifo2", 12, 1 },
226		{ "perr_align_ctl_fifo1", 11, 1 },
227		{ "perr_align_ctl_fifo0", 10, 1 },
228		{ "perr_edma_fifo3", 9, 1 },
229		{ "perr_edma_fifo2", 8, 1 },
230		{ "perr_edma_fifo1", 7, 1 },
231		{ "perr_edma_fifo0", 6, 1 },
232		{ "perr_pd_fifo3", 5, 1 },
233		{ "perr_pd_fifo2", 4, 1 },
234		{ "perr_pd_fifo1", 3, 1 },
235		{ "perr_pd_fifo0", 2, 1 },
236		{ "perr_ing_ctxt_mifrsp", 1, 1 },
237		{ "perr_egr_ctxt_mifrsp", 0, 1 },
238	{ "SGE_INT_CAUSE2", 0x1030, 0 },
239		{ "perr_dbp_hint_fl_fifo", 24, 1 },
240		{ "perr_egr_dbp_tx_coal", 23, 1 },
241		{ "perr_dbp_fl_fifo", 22, 1 },
242		{ "perr_eswitch_fifo3", 21, 1 },
243		{ "perr_eswitch_fifo2", 20, 1 },
244		{ "perr_eswitch_fifo1", 19, 1 },
245		{ "perr_eswitch_fifo0", 18, 1 },
246		{ "perr_pc_dbp1", 17, 1 },
247		{ "perr_pc_dbp0", 16, 1 },
248		{ "perr_pc_dbp2", 15, 1 },
249		{ "perr_conm_sram", 14, 1 },
250		{ "perr_pc_mc_rsp", 13, 1 },
251		{ "perr_isw_idma0_fifo", 12, 1 },
252		{ "perr_isw_idma1_fifo", 11, 1 },
253		{ "perr_isw_dbp_fifo", 10, 1 },
254		{ "perr_isw_gts_fifo", 9, 1 },
255		{ "perr_itp_evr", 8, 1 },
256		{ "perr_flm_cntxmem", 7, 1 },
257		{ "perr_flm_l1Cache", 6, 1 },
258		{ "perr_dbp_hint_fifo", 5, 1 },
259		{ "perr_dbp_hp_fifo", 4, 1 },
260		{ "perr_dbp_lp_fifo", 3, 1 },
261		{ "perr_ing_ctxt_cache", 2, 1 },
262		{ "perr_egr_ctxt_cache", 1, 1 },
263		{ "perr_base_size", 0, 1 },
264	{ "SGE_INT_ENABLE2", 0x1034, 0 },
265		{ "perr_dbp_hint_fl_fifo", 24, 1 },
266		{ "perr_egr_dbp_tx_coal", 23, 1 },
267		{ "perr_dbp_fl_fifo", 22, 1 },
268		{ "perr_eswitch_fifo3", 21, 1 },
269		{ "perr_eswitch_fifo2", 20, 1 },
270		{ "perr_eswitch_fifo1", 19, 1 },
271		{ "perr_eswitch_fifo0", 18, 1 },
272		{ "perr_pc_dbp1", 17, 1 },
273		{ "perr_pc_dbp0", 16, 1 },
274		{ "perr_pc_dbp2", 15, 1 },
275		{ "perr_conm_sram", 14, 1 },
276		{ "perr_pc_mc_rsp", 13, 1 },
277		{ "perr_isw_idma0_fifo", 12, 1 },
278		{ "perr_isw_idma1_fifo", 11, 1 },
279		{ "perr_isw_dbp_fifo", 10, 1 },
280		{ "perr_isw_gts_fifo", 9, 1 },
281		{ "perr_itp_evr", 8, 1 },
282		{ "perr_flm_cntxmem", 7, 1 },
283		{ "perr_flm_l1Cache", 6, 1 },
284		{ "perr_dbp_hint_fifo", 5, 1 },
285		{ "perr_dbp_hp_fifo", 4, 1 },
286		{ "perr_dbp_lp_fifo", 3, 1 },
287		{ "perr_ing_ctxt_cache", 2, 1 },
288		{ "perr_egr_ctxt_cache", 1, 1 },
289		{ "perr_base_size", 0, 1 },
290	{ "SGE_PERR_ENABLE2", 0x1038, 0 },
291		{ "perr_dbp_hint_fl_fifo", 24, 1 },
292		{ "perr_egr_dbp_tx_coal", 23, 1 },
293		{ "perr_dbp_fl_fifo", 22, 1 },
294		{ "perr_eswitch_fifo3", 21, 1 },
295		{ "perr_eswitch_fifo2", 20, 1 },
296		{ "perr_eswitch_fifo1", 19, 1 },
297		{ "perr_eswitch_fifo0", 18, 1 },
298		{ "perr_pc_dbp1", 17, 1 },
299		{ "perr_pc_dbp0", 16, 1 },
300		{ "perr_pc_dbp2", 15, 1 },
301		{ "perr_conm_sram", 14, 1 },
302		{ "perr_pc_mc_rsp", 13, 1 },
303		{ "perr_isw_idma0_fifo", 12, 1 },
304		{ "perr_isw_idma1_fifo", 11, 1 },
305		{ "perr_isw_dbp_fifo", 10, 1 },
306		{ "perr_isw_gts_fifo", 9, 1 },
307		{ "perr_itp_evr", 8, 1 },
308		{ "perr_flm_cntxmem", 7, 1 },
309		{ "perr_flm_l1Cache", 6, 1 },
310		{ "perr_dbp_hint_fifo", 5, 1 },
311		{ "perr_dbp_hp_fifo", 4, 1 },
312		{ "perr_dbp_lp_fifo", 3, 1 },
313		{ "perr_ing_ctxt_cache", 2, 1 },
314		{ "perr_egr_ctxt_cache", 1, 1 },
315		{ "perr_base_size", 0, 1 },
316	{ "SGE_INT_CAUSE3", 0x103c, 0 },
317		{ "err_flm_dbp", 31, 1 },
318		{ "err_flm_idma1", 30, 1 },
319		{ "err_flm_idma0", 29, 1 },
320		{ "err_flm_hint", 28, 1 },
321		{ "err_pcie_error3", 27, 1 },
322		{ "err_pcie_error2", 26, 1 },
323		{ "err_pcie_error1", 25, 1 },
324		{ "err_pcie_error0", 24, 1 },
325		{ "err_timer_above_max_qid", 23, 1 },
326		{ "err_cpl_exceed_iqe_size", 22, 1 },
327		{ "err_invalid_cidx_inc", 21, 1 },
328		{ "err_itp_time_paused", 20, 1 },
329		{ "err_cpl_opcode_0", 19, 1 },
330		{ "err_dropped_db", 18, 1 },
331		{ "err_data_cpl_on_high_qid1", 17, 1 },
332		{ "err_data_cpl_on_high_qid0", 16, 1 },
333		{ "err_bad_db_pidx3", 15, 1 },
334		{ "err_bad_db_pidx2", 14, 1 },
335		{ "err_bad_db_pidx1", 13, 1 },
336		{ "err_bad_db_pidx0", 12, 1 },
337		{ "err_ing_pcie_chan", 11, 1 },
338		{ "err_ing_ctxt_prio", 10, 1 },
339		{ "err_egr_ctxt_prio", 9, 1 },
340		{ "dbfifo_hp_int", 8, 1 },
341		{ "dbfifo_lp_int", 7, 1 },
342		{ "reg_address_err", 6, 1 },
343		{ "ingress_size_err", 5, 1 },
344		{ "egress_size_err", 4, 1 },
345		{ "err_inv_ctxt3", 3, 1 },
346		{ "err_inv_ctxt2", 2, 1 },
347		{ "err_inv_ctxt1", 1, 1 },
348		{ "err_inv_ctxt0", 0, 1 },
349	{ "SGE_INT_ENABLE3", 0x1040, 0 },
350		{ "err_flm_dbp", 31, 1 },
351		{ "err_flm_idma1", 30, 1 },
352		{ "err_flm_idma0", 29, 1 },
353		{ "err_flm_hint", 28, 1 },
354		{ "err_pcie_error3", 27, 1 },
355		{ "err_pcie_error2", 26, 1 },
356		{ "err_pcie_error1", 25, 1 },
357		{ "err_pcie_error0", 24, 1 },
358		{ "err_timer_above_max_qid", 23, 1 },
359		{ "err_cpl_exceed_iqe_size", 22, 1 },
360		{ "err_invalid_cidx_inc", 21, 1 },
361		{ "err_itp_time_paused", 20, 1 },
362		{ "err_cpl_opcode_0", 19, 1 },
363		{ "err_dropped_db", 18, 1 },
364		{ "err_data_cpl_on_high_qid1", 17, 1 },
365		{ "err_data_cpl_on_high_qid0", 16, 1 },
366		{ "err_bad_db_pidx3", 15, 1 },
367		{ "err_bad_db_pidx2", 14, 1 },
368		{ "err_bad_db_pidx1", 13, 1 },
369		{ "err_bad_db_pidx0", 12, 1 },
370		{ "err_ing_pcie_chan", 11, 1 },
371		{ "err_ing_ctxt_prio", 10, 1 },
372		{ "err_egr_ctxt_prio", 9, 1 },
373		{ "dbfifo_hp_int", 8, 1 },
374		{ "dbfifo_lp_int", 7, 1 },
375		{ "reg_address_err", 6, 1 },
376		{ "ingress_size_err", 5, 1 },
377		{ "egress_size_err", 4, 1 },
378		{ "err_inv_ctxt3", 3, 1 },
379		{ "err_inv_ctxt2", 2, 1 },
380		{ "err_inv_ctxt1", 1, 1 },
381		{ "err_inv_ctxt0", 0, 1 },
382	{ "SGE_FL_BUFFER_SIZE0", 0x1044, 0 },
383		{ "Size", 4, 28 },
384	{ "SGE_FL_BUFFER_SIZE1", 0x1048, 0 },
385		{ "Size", 4, 28 },
386	{ "SGE_FL_BUFFER_SIZE2", 0x104c, 0 },
387		{ "Size", 4, 28 },
388	{ "SGE_FL_BUFFER_SIZE3", 0x1050, 0 },
389		{ "Size", 4, 28 },
390	{ "SGE_FL_BUFFER_SIZE4", 0x1054, 0 },
391		{ "Size", 4, 28 },
392	{ "SGE_FL_BUFFER_SIZE5", 0x1058, 0 },
393		{ "Size", 4, 28 },
394	{ "SGE_FL_BUFFER_SIZE6", 0x105c, 0 },
395		{ "Size", 4, 28 },
396	{ "SGE_FL_BUFFER_SIZE7", 0x1060, 0 },
397		{ "Size", 4, 28 },
398	{ "SGE_FL_BUFFER_SIZE8", 0x1064, 0 },
399		{ "Size", 4, 28 },
400	{ "SGE_FL_BUFFER_SIZE9", 0x1068, 0 },
401		{ "Size", 4, 28 },
402	{ "SGE_FL_BUFFER_SIZE10", 0x106c, 0 },
403		{ "Size", 4, 28 },
404	{ "SGE_FL_BUFFER_SIZE11", 0x1070, 0 },
405		{ "Size", 4, 28 },
406	{ "SGE_FL_BUFFER_SIZE12", 0x1074, 0 },
407		{ "Size", 4, 28 },
408	{ "SGE_FL_BUFFER_SIZE13", 0x1078, 0 },
409		{ "Size", 4, 28 },
410	{ "SGE_FL_BUFFER_SIZE14", 0x107c, 0 },
411		{ "Size", 4, 28 },
412	{ "SGE_FL_BUFFER_SIZE15", 0x1080, 0 },
413		{ "Size", 4, 28 },
414	{ "SGE_DBQ_CTXT_BADDR", 0x1084, 0 },
415		{ "BaseAddr", 3, 29 },
416	{ "SGE_IMSG_CTXT_BADDR", 0x1088, 0 },
417		{ "BaseAddr", 3, 29 },
418	{ "SGE_FLM_CACHE_BADDR", 0x108c, 0 },
419		{ "BaseAddr", 3, 29 },
420	{ "SGE_FLM_CFG", 0x1090, 0 },
421		{ "OpMode", 26, 6 },
422		{ "NoHdr", 18, 1 },
423		{ "CachePtrCnt", 16, 2 },
424		{ "EDRAMPtrCnt", 14, 2 },
425		{ "HdrStartFLQ", 11, 3 },
426		{ "FetchThresh", 6, 5 },
427		{ "CreditCnt", 4, 2 },
428		{ "CreditCntPacking", 2, 2 },
429		{ "NoEDRAM", 0, 1 },
430	{ "SGE_CONM_CTRL", 0x1094, 0 },
431		{ "EgrThresholdPacking", 14, 6 },
432		{ "EgrThreshold", 8, 6 },
433		{ "IngThreshold", 2, 6 },
434	{ "SGE_TIMESTAMP_LO", 0x1098, 0 },
435	{ "SGE_TIMESTAMP_HI", 0x109c, 0 },
436		{ "Opcode", 28, 2 },
437		{ "Value", 0, 28 },
438	{ "SGE_INGRESS_RX_THRESHOLD", 0x10a0, 0 },
439		{ "Threshold_0", 24, 6 },
440		{ "Threshold_1", 16, 6 },
441		{ "Threshold_2", 8, 6 },
442		{ "Threshold_3", 0, 6 },
443	{ "SGE_DBFIFO_STATUS", 0x10a4, 0 },
444		{ "Bar2Valid", 31, 1 },
445		{ "Bar2Full", 30, 1 },
446		{ "LP_Int_Thresh", 18, 12 },
447		{ "LP_Count", 0, 18 },
448	{ "SGE_DOORBELL_CONTROL", 0x10a8, 0 },
449		{ "HintDepthCtl", 27, 5 },
450		{ "NoCoalesce", 26, 1 },
451		{ "HP_Weight", 24, 2 },
452		{ "HP_Disable", 23, 1 },
453		{ "ForceUserDBtoLP", 22, 1 },
454		{ "ForceVFPF0DBtoLP", 21, 1 },
455		{ "ForceVFPF1DBtoLP", 20, 1 },
456		{ "ForceVFPF2DBtoLP", 19, 1 },
457		{ "ForceVFPF3DBtoLP", 18, 1 },
458		{ "ForceVFPF4DBtoLP", 17, 1 },
459		{ "ForceVFPF5DBtoLP", 16, 1 },
460		{ "ForceVFPF6DBtoLP", 15, 1 },
461		{ "ForceVFPF7DBtoLP", 14, 1 },
462		{ "Enable_Drop", 13, 1 },
463		{ "Drop_Timeout", 1, 12 },
464		{ "Dropped_DB", 0, 1 },
465	{ "SGE_DROPPED_DOORBELL", 0x10ac, 0 },
466	{ "SGE_DOORBELL_THROTTLE_CONTROL", 0x10b0, 0 },
467		{ "Bar2ThrottleCount", 16, 8 },
468		{ "ClrCoalesceDisable", 15, 1 },
469		{ "OpenBar2GateOnce", 14, 1 },
470		{ "ForceOpenBar2Gate", 13, 1 },
471		{ "Throttle_Count", 1, 12 },
472		{ "Throttle_Enable", 0, 1 },
473	{ "SGE_ITP_CONTROL", 0x10b4, 0 },
474		{ "Critical_Time", 10, 15 },
475		{ "LL_Empty", 4, 6 },
476		{ "LL_Read_Wait_Disable", 0, 1 },
477	{ "SGE_TIMER_VALUE_0_AND_1", 0x10b8, 0 },
478		{ "TimerValue0", 16, 16 },
479		{ "TimerValue1", 0, 16 },
480	{ "SGE_TIMER_VALUE_2_AND_3", 0x10bc, 0 },
481		{ "TimerValue2", 16, 16 },
482		{ "TimerValue3", 0, 16 },
483	{ "SGE_TIMER_VALUE_4_AND_5", 0x10c0, 0 },
484		{ "TimerValue4", 16, 16 },
485		{ "TimerValue5", 0, 16 },
486	{ "SGE_DEBUG_INDEX", 0x10cc, 0 },
487	{ "SGE_DEBUG_DATA_HIGH", 0x10d0, 0 },
488	{ "SGE_DEBUG_DATA_LOW", 0x10d4, 0 },
489	{ "SGE_REVISION", 0x10d8, 0 },
490	{ "SGE_INT_CAUSE4", 0x10dc, 0 },
491		{ "bar2_egress_len_or_addr_err", 29, 1 },
492		{ "err_cpl_exceed_max_iqe_size1", 28, 1 },
493		{ "err_cpl_exceed_max_iqe_size0", 27, 1 },
494		{ "err_wr_len_too_large3", 26, 1 },
495		{ "err_wr_len_too_large2", 25, 1 },
496		{ "err_wr_len_too_large1", 24, 1 },
497		{ "err_wr_len_too_large0", 23, 1 },
498		{ "err_large_minfetch_with_txcoal3", 22, 1 },
499		{ "err_large_minfetch_with_txcoal2", 21, 1 },
500		{ "err_large_minfetch_with_txcoal1", 20, 1 },
501		{ "err_large_minfetch_with_txcoal0", 19, 1 },
502		{ "coal_with_hp_disable_err", 18, 1 },
503		{ "bar2_egress_coal0_err", 17, 1 },
504		{ "bar2_egress_size_err", 16, 1 },
505		{ "flm_pc_rsp_err", 15, 1 },
506		{ "dbfifo_hp_int_low", 14, 1 },
507		{ "dbfifo_lp_int_low", 13, 1 },
508		{ "dbfifo_fl_int_low", 12, 1 },
509		{ "dbfifo_fl_int", 11, 1 },
510		{ "err_rx_cpl_packet_size1", 10, 1 },
511		{ "err_rx_cpl_packet_size0", 9, 1 },
512		{ "err_bad_upfl_inc_credit3", 8, 1 },
513		{ "err_bad_upfl_inc_credit2", 7, 1 },
514		{ "err_bad_upfl_inc_credit1", 6, 1 },
515		{ "err_bad_upfl_inc_credit0", 5, 1 },
516		{ "err_physaddr_len0_idma1", 4, 1 },
517		{ "err_physaddr_len0_idma0", 3, 1 },
518		{ "err_flm_invalid_pkt_drop1", 2, 1 },
519		{ "err_flm_invalid_pkt_drop0", 1, 1 },
520		{ "err_unexpected_timer", 0, 1 },
521	{ "SGE_INT_ENABLE4", 0x10e0, 0 },
522		{ "bar2_egress_len_or_addr_err", 29, 1 },
523		{ "err_cpl_exceed_max_iqe_size1", 28, 1 },
524		{ "err_cpl_exceed_max_iqe_size0", 27, 1 },
525		{ "err_wr_len_too_large3", 26, 1 },
526		{ "err_wr_len_too_large2", 25, 1 },
527		{ "err_wr_len_too_large1", 24, 1 },
528		{ "err_wr_len_too_large0", 23, 1 },
529		{ "err_large_minfetch_with_txcoal3", 22, 1 },
530		{ "err_large_minfetch_with_txcoal2", 21, 1 },
531		{ "err_large_minfetch_with_txcoal1", 20, 1 },
532		{ "err_large_minfetch_with_txcoal0", 19, 1 },
533		{ "coal_with_hp_disable_err", 18, 1 },
534		{ "bar2_egress_coal0_err", 17, 1 },
535		{ "bar2_egress_size_err", 16, 1 },
536		{ "flm_pc_rsp_err", 15, 1 },
537		{ "dbfifo_hp_int_low", 14, 1 },
538		{ "dbfifo_lp_int_low", 13, 1 },
539		{ "dbfifo_fl_int_low", 12, 1 },
540		{ "dbfifo_fl_int", 11, 1 },
541		{ "err_rx_cpl_packet_size1", 10, 1 },
542		{ "err_rx_cpl_packet_size0", 9, 1 },
543		{ "err_bad_upfl_inc_credit3", 8, 1 },
544		{ "err_bad_upfl_inc_credit2", 7, 1 },
545		{ "err_bad_upfl_inc_credit1", 6, 1 },
546		{ "err_bad_upfl_inc_credit0", 5, 1 },
547		{ "err_physaddr_len0_idma1", 4, 1 },
548		{ "err_physaddr_len0_idma0", 3, 1 },
549		{ "err_flm_invalid_pkt_drop1", 2, 1 },
550		{ "err_flm_invalid_pkt_drop0", 1, 1 },
551		{ "err_unexpected_timer", 0, 1 },
552	{ "SGE_STAT_TOTAL", 0x10e4, 0 },
553	{ "SGE_STAT_MATCH", 0x10e8, 0 },
554	{ "SGE_STAT_CFG", 0x10ec, 0 },
555		{ "StatSource", 9, 4 },
556		{ "ITPOpMode", 8, 1 },
557		{ "EgrCtxtOpMode", 6, 2 },
558		{ "IngCtxtOpMode", 4, 2 },
559		{ "StatMode", 2, 2 },
560	{ "SGE_HINT_CFG", 0x10f0, 0 },
561		{ "uPCutoffThreshLp", 12, 11 },
562		{ "HintsAllowedNoHdr", 6, 6 },
563		{ "HintsAllowedHdr", 0, 6 },
564	{ "SGE_INGRESS_QUEUES_PER_PAGE_PF", 0x10f4, 0 },
565		{ "QueuesPerPagePF7", 28, 4 },
566		{ "QueuesPerPagePF6", 24, 4 },
567		{ "QueuesPerPagePF5", 20, 4 },
568		{ "QueuesPerPagePF4", 16, 4 },
569		{ "QueuesPerPagePF3", 12, 4 },
570		{ "QueuesPerPagePF2", 8, 4 },
571		{ "QueuesPerPagePF1", 4, 4 },
572		{ "QueuesPerPagePF0", 0, 4 },
573	{ "SGE_INGRESS_QUEUES_PER_PAGE_VF", 0x10f8, 0 },
574		{ "QueuesPerPageVFPF7", 28, 4 },
575		{ "QueuesPerPageVFPF6", 24, 4 },
576		{ "QueuesPerPageVFPF5", 20, 4 },
577		{ "QueuesPerPageVFPF4", 16, 4 },
578		{ "QueuesPerPageVFPF3", 12, 4 },
579		{ "QueuesPerPageVFPF2", 8, 4 },
580		{ "QueuesPerPageVFPF1", 4, 4 },
581		{ "QueuesPerPageVFPF0", 0, 4 },
582	{ "SGE_ERROR_STATS", 0x1100, 0 },
583		{ "Cause_Register", 24, 3 },
584		{ "Cause_Bit", 19, 5 },
585		{ "Uncaptured_Error", 18, 1 },
586		{ "Error_QID_Valid", 17, 1 },
587		{ "Error_QID", 0, 17 },
588	{ "SGE_INT_CAUSE5", 0x110c, 0 },
589		{ "err_T_RxCRC", 31, 1 },
590		{ "perr_MC_RspData", 30, 1 },
591		{ "perr_PC_RspData", 29, 1 },
592		{ "perr_PD_RdRspData", 28, 1 },
593		{ "perr_U_RxData", 27, 1 },
594		{ "perr_UD_RxData", 26, 1 },
595		{ "perr_uP_Data", 25, 1 },
596		{ "perr_CIM2SGE_RxData", 24, 1 },
597		{ "perr_hint_delay_fifo1", 23, 1 },
598		{ "perr_hint_delay_fifo0", 22, 1 },
599		{ "perr_imsg_pd_fifo", 21, 1 },
600		{ "perr_ulptx_fifo1", 20, 1 },
601		{ "perr_ulptx_fifo0", 19, 1 },
602		{ "perr_idma2imsg_fifo1", 18, 1 },
603		{ "perr_idma2imsg_fifo0", 17, 1 },
604		{ "perr_pointer_data_fifo0", 16, 1 },
605		{ "perr_pointer_data_fifo1", 15, 1 },
606		{ "perr_pointer_hdr_fifo0", 14, 1 },
607		{ "perr_pointer_hdr_fifo1", 13, 1 },
608		{ "perr_payload_fifo0", 12, 1 },
609		{ "perr_payload_fifo1", 11, 1 },
610		{ "perr_edma_input_fifo3", 10, 1 },
611		{ "perr_edma_input_fifo2", 9, 1 },
612		{ "perr_edma_input_fifo1", 8, 1 },
613		{ "perr_edma_input_fifo0", 7, 1 },
614		{ "perr_mgt_bar2_fifo", 6, 1 },
615		{ "perr_headersplit_fifo1", 5, 1 },
616		{ "perr_headersplit_fifo0", 4, 1 },
617		{ "perr_cim_fifo1", 3, 1 },
618		{ "perr_cim_fifo0", 2, 1 },
619		{ "perr_idma_switch_output_fifo1", 1, 1 },
620		{ "perr_idma_switch_output_fifo0", 0, 1 },
621	{ "SGE_INT_ENABLE5", 0x1110, 0 },
622		{ "err_T_RxCRC", 31, 1 },
623		{ "perr_MC_RspData", 30, 1 },
624		{ "perr_PC_RspData", 29, 1 },
625		{ "perr_PD_RdRspData", 28, 1 },
626		{ "perr_U_RxData", 27, 1 },
627		{ "perr_UD_RxData", 26, 1 },
628		{ "perr_uP_Data", 25, 1 },
629		{ "perr_CIM2SGE_RxData", 24, 1 },
630		{ "perr_hint_delay_fifo1", 23, 1 },
631		{ "perr_hint_delay_fifo0", 22, 1 },
632		{ "perr_imsg_pd_fifo", 21, 1 },
633		{ "perr_ulptx_fifo1", 20, 1 },
634		{ "perr_ulptx_fifo0", 19, 1 },
635		{ "perr_idma2imsg_fifo1", 18, 1 },
636		{ "perr_idma2imsg_fifo0", 17, 1 },
637		{ "perr_pointer_data_fifo0", 16, 1 },
638		{ "perr_pointer_data_fifo1", 15, 1 },
639		{ "perr_pointer_hdr_fifo0", 14, 1 },
640		{ "perr_pointer_hdr_fifo1", 13, 1 },
641		{ "perr_payload_fifo0", 12, 1 },
642		{ "perr_payload_fifo1", 11, 1 },
643		{ "perr_edma_input_fifo3", 10, 1 },
644		{ "perr_edma_input_fifo2", 9, 1 },
645		{ "perr_edma_input_fifo1", 8, 1 },
646		{ "perr_edma_input_fifo0", 7, 1 },
647		{ "perr_mgt_bar2_fifo", 6, 1 },
648		{ "perr_headersplit_fifo1", 5, 1 },
649		{ "perr_headersplit_fifo0", 4, 1 },
650		{ "perr_cim_fifo1", 3, 1 },
651		{ "perr_cim_fifo0", 2, 1 },
652		{ "perr_idma_switch_output_fifo1", 1, 1 },
653		{ "perr_idma_switch_output_fifo0", 0, 1 },
654	{ "SGE_PERR_ENABLE5", 0x1114, 0 },
655		{ "err_T_RxCRC", 31, 1 },
656		{ "perr_MC_RspData", 30, 1 },
657		{ "perr_PC_RspData", 29, 1 },
658		{ "perr_PD_RdRspData", 28, 1 },
659		{ "perr_U_RxData", 27, 1 },
660		{ "perr_UD_RxData", 26, 1 },
661		{ "perr_uP_Data", 25, 1 },
662		{ "perr_CIM2SGE_RxData", 24, 1 },
663		{ "perr_hint_delay_fifo1", 23, 1 },
664		{ "perr_hint_delay_fifo0", 22, 1 },
665		{ "perr_imsg_pd_fifo", 21, 1 },
666		{ "perr_ulptx_fifo1", 20, 1 },
667		{ "perr_ulptx_fifo0", 19, 1 },
668		{ "perr_idma2imsg_fifo1", 18, 1 },
669		{ "perr_idma2imsg_fifo0", 17, 1 },
670		{ "perr_pointer_data_fifo0", 16, 1 },
671		{ "perr_pointer_data_fifo1", 15, 1 },
672		{ "perr_pointer_hdr_fifo0", 14, 1 },
673		{ "perr_pointer_hdr_fifo1", 13, 1 },
674		{ "perr_payload_fifo0", 12, 1 },
675		{ "perr_payload_fifo1", 11, 1 },
676		{ "perr_edma_input_fifo3", 10, 1 },
677		{ "perr_edma_input_fifo2", 9, 1 },
678		{ "perr_edma_input_fifo1", 8, 1 },
679		{ "perr_edma_input_fifo0", 7, 1 },
680		{ "perr_mgt_bar2_fifo", 6, 1 },
681		{ "perr_headersplit_fifo1", 5, 1 },
682		{ "perr_headersplit_fifo0", 4, 1 },
683		{ "perr_cim_fifo1", 3, 1 },
684		{ "perr_cim_fifo0", 2, 1 },
685		{ "perr_idma_switch_output_fifo1", 1, 1 },
686		{ "perr_idma_switch_output_fifo0", 0, 1 },
687	{ "SGE_DBFIFO_STATUS2", 0x1118, 0 },
688		{ "FL_Int_Thresh", 24, 4 },
689		{ "FL_Count", 14, 10 },
690		{ "HP_Int_Thresh", 10, 4 },
691		{ "HP_Count", 0, 10 },
692	{ "SGE_FETCH_BURST_MAX_0_AND_1", 0x111c, 0 },
693		{ "FetchBurstMax0", 16, 10 },
694		{ "FetchBurstMax1", 0, 10 },
695	{ "SGE_FETCH_BURST_MAX_2_AND_3", 0x1120, 0 },
696		{ "FetchBurstMax2", 16, 10 },
697		{ "FetchBurstMax3", 0, 10 },
698	{ "SGE_CONTROL2", 0x1124, 0 },
699		{ "uPFLCutoffDis", 21, 1 },
700		{ "RxCplSizeAutocorrect", 20, 1 },
701		{ "IdmaArbRoundRobin", 19, 1 },
702		{ "IngPackBoundary", 16, 3 },
703		{ "CGEN_Egress_Context", 15, 1 },
704		{ "CGEN_Ingress_Context", 14, 1 },
705		{ "CGEN_IDMA", 13, 1 },
706		{ "CGEN_DBP", 12, 1 },
707		{ "CGEN_EDMA", 11, 1 },
708		{ "VFIFO_Enable", 10, 1 },
709		{ "FLM_Reschedule_Mode", 9, 1 },
710		{ "HintDepthCtlFL", 4, 5 },
711		{ "Force_Ordering", 3, 1 },
712		{ "TX_Coalesce_Size", 2, 1 },
713		{ "Coal_Strict_CIM_Pri", 1, 1 },
714		{ "TX_Coalesce_Pri", 0, 1 },
715	{ "SGE_DEEP_SLEEP", 0x1128, 0 },
716		{ "IDMA1_Sleep_Status", 11, 1 },
717		{ "IDMA0_Sleep_Status", 10, 1 },
718		{ "IDMA1_Sleep_Req", 9, 1 },
719		{ "IDMA0_Sleep_Req", 8, 1 },
720		{ "EDMA3_Sleep_Status", 7, 1 },
721		{ "EDMA2_Sleep_Status", 6, 1 },
722		{ "EDMA1_Sleep_Status", 5, 1 },
723		{ "EDMA0_Sleep_Status", 4, 1 },
724		{ "EDMA3_Sleep_Req", 3, 1 },
725		{ "EDMA2_Sleep_Req", 2, 1 },
726		{ "EDMA1_Sleep_Req", 1, 1 },
727		{ "EDMA0_Sleep_Req", 0, 1 },
728	{ "SGE_DOORBELL_THROTTLE_THRESHOLD", 0x112c, 0 },
729		{ "Throttle_Threshold_fl", 16, 4 },
730		{ "Throttle_Threshold_hp", 12, 4 },
731		{ "Throttle_Threshold_lp", 0, 12 },
732	{ "SGE_DBP_FETCH_THRESHOLD", 0x1130, 0 },
733		{ "dbp_fetch_threshold_fl", 21, 4 },
734		{ "dbp_fetch_threshold_hp", 17, 4 },
735		{ "dbp_fetch_threshold_lp", 5, 12 },
736		{ "dbp_fetch_threshold_mode", 4, 1 },
737		{ "dbp_fetch_threshold_en3", 3, 1 },
738		{ "dbp_fetch_threshold_en2", 2, 1 },
739		{ "dbp_fetch_threshold_en1", 1, 1 },
740		{ "dbp_fetch_threshold_en0", 0, 1 },
741	{ "SGE_DBP_FETCH_THRESHOLD_QUEUE", 0x1134, 0 },
742		{ "dbp_fetch_threshold_iq1", 16, 16 },
743		{ "dbp_fetch_threshold_iq0", 0, 16 },
744	{ "SGE_DBVFIFO_BADDR", 0x1138, 0 },
745		{ "BaseAddr", 3, 29 },
746	{ "SGE_DBVFIFO_SIZE", 0x113c, 0 },
747		{ "Size", 6, 12 },
748	{ "SGE_DBFIFO_STATUS3", 0x1140, 0 },
749		{ "LP_Ptrs_Equal", 21, 1 },
750		{ "LP_Snaphot", 20, 1 },
751		{ "FL_Int_Thresh_Low", 16, 4 },
752		{ "HP_Int_Thresh_Low", 12, 4 },
753		{ "LP_Int_Thresh_Low", 0, 12 },
754	{ "SGE_CHANGESET", 0x1144, 0 },
755	{ "SGE_PC_RSP_ERROR", 0x1148, 0 },
756	{ "SGE_PC0_REQ_BIST_CMD", 0x1180, 0 },
757	{ "SGE_PC0_REQ_BIST_ERROR_CNT", 0x1184, 0 },
758	{ "SGE_PC1_REQ_BIST_CMD", 0x1190, 0 },
759	{ "SGE_PC1_REQ_BIST_ERROR_CNT", 0x1194, 0 },
760	{ "SGE_PC0_RSP_BIST_CMD", 0x11a0, 0 },
761	{ "SGE_PC0_RSP_BIST_ERROR_CNT", 0x11a4, 0 },
762	{ "SGE_PC1_RSP_BIST_CMD", 0x11b0, 0 },
763	{ "SGE_PC1_RSP_BIST_ERROR_CNT", 0x11b4, 0 },
764	{ "SGE_CTXT_CMD", 0x11fc, 0 },
765		{ "Busy", 31, 1 },
766		{ "Opcode", 28, 2 },
767		{ "CtxtType", 24, 2 },
768		{ "QID", 0, 17 },
769	{ "SGE_CTXT_DATA0", 0x1200, 0 },
770	{ "SGE_CTXT_DATA1", 0x1204, 0 },
771	{ "SGE_CTXT_DATA2", 0x1208, 0 },
772	{ "SGE_CTXT_DATA3", 0x120c, 0 },
773	{ "SGE_CTXT_DATA4", 0x1210, 0 },
774	{ "SGE_CTXT_DATA5", 0x1214, 0 },
775	{ "SGE_CTXT_DATA6", 0x1218, 0 },
776	{ "SGE_CTXT_DATA7", 0x121c, 0 },
777	{ "SGE_CTXT_MASK0", 0x1220, 0 },
778	{ "SGE_CTXT_MASK1", 0x1224, 0 },
779	{ "SGE_CTXT_MASK2", 0x1228, 0 },
780	{ "SGE_CTXT_MASK3", 0x122c, 0 },
781	{ "SGE_CTXT_MASK4", 0x1230, 0 },
782	{ "SGE_CTXT_MASK5", 0x1234, 0 },
783	{ "SGE_CTXT_MASK6", 0x1238, 0 },
784	{ "SGE_CTXT_MASK7", 0x123c, 0 },
785	{ "SGE_DEBUG_DATA_HIGH_INDEX_0", 0x1280, 0 },
786		{ "CIM_WM", 24, 2 },
787		{ "debug_uP_SOP_cnt", 20, 4 },
788		{ "debug_uP_EOP_cnt", 16, 4 },
789		{ "debug_CIM_SOP1_cnt", 12, 4 },
790		{ "debug_CIM_EOP1_cnt", 8, 4 },
791		{ "debug_CIM_SOP0_cnt", 4, 4 },
792		{ "debug_CIM_EOP0_cnt", 0, 4 },
793	{ "SGE_DEBUG_DATA_HIGH_INDEX_1", 0x1284, 0 },
794		{ "debug_T_Rx_SOP1_cnt", 28, 4 },
795		{ "debug_T_Rx_EOP1_cnt", 24, 4 },
796		{ "debug_T_Rx_SOP0_cnt", 20, 4 },
797		{ "debug_T_Rx_EOP0_cnt", 16, 4 },
798		{ "debug_U_Rx_SOP1_cnt", 12, 4 },
799		{ "debug_U_Rx_EOP1_cnt", 8, 4 },
800		{ "debug_U_Rx_SOP0_cnt", 4, 4 },
801		{ "debug_U_Rx_EOP0_cnt", 0, 4 },
802	{ "SGE_DEBUG_DATA_HIGH_INDEX_2", 0x1288, 0 },
803		{ "debug_UD_Rx_SOP3_cnt", 28, 4 },
804		{ "debug_UD_Rx_EOP3_cnt", 24, 4 },
805		{ "debug_UD_Rx_SOP2_cnt", 20, 4 },
806		{ "debug_UD_Rx_EOP2_cnt", 16, 4 },
807		{ "debug_UD_Rx_SOP1_cnt", 12, 4 },
808		{ "debug_UD_Rx_EOP1_cnt", 8, 4 },
809		{ "debug_UD_Rx_SOP0_cnt", 4, 4 },
810		{ "debug_UD_Rx_EOP0_cnt", 0, 4 },
811	{ "SGE_DEBUG_DATA_HIGH_INDEX_3", 0x128c, 0 },
812		{ "debug_U_Tx_SOP3_cnt", 28, 4 },
813		{ "debug_U_Tx_EOP3_cnt", 24, 4 },
814		{ "debug_U_Tx_SOP2_cnt", 20, 4 },
815		{ "debug_U_Tx_EOP2_cnt", 16, 4 },
816		{ "debug_U_Tx_SOP1_cnt", 12, 4 },
817		{ "debug_U_Tx_EOP1_cnt", 8, 4 },
818		{ "debug_U_Tx_SOP0_cnt", 4, 4 },
819		{ "debug_U_Tx_EOP0_cnt", 0, 4 },
820	{ "SGE_DEBUG_DATA_HIGH_INDEX_4", 0x1290, 0 },
821		{ "debug_PC_Rsp_SOP1_cnt", 28, 4 },
822		{ "debug_PC_Rsp_EOP1_cnt", 24, 4 },
823		{ "debug_PC_Rsp_SOP0_cnt", 20, 4 },
824		{ "debug_PC_Rsp_EOP0_cnt", 16, 4 },
825		{ "debug_PC_Req_SOP1_cnt", 12, 4 },
826		{ "debug_PC_Req_EOP1_cnt", 8, 4 },
827		{ "debug_PC_Req_SOP0_cnt", 4, 4 },
828		{ "debug_PC_Req_EOP0_cnt", 0, 4 },
829	{ "SGE_DEBUG_DATA_HIGH_INDEX_5", 0x1294, 0 },
830		{ "debug_PD_RdReq_SOP3_cnt", 28, 4 },
831		{ "debug_PD_RdReq_EOP3_cnt", 24, 4 },
832		{ "debug_PD_RdReq_SOP2_cnt", 20, 4 },
833		{ "debug_PD_RdReq_EOP2_cnt", 16, 4 },
834		{ "debug_PD_RdReq_SOP1_cnt", 12, 4 },
835		{ "debug_PD_RdReq_EOP1_cnt", 8, 4 },
836		{ "debug_PD_RdReq_SOP0_cnt", 4, 4 },
837		{ "debug_PD_RdReq_EOP0_cnt", 0, 4 },
838	{ "SGE_DEBUG_DATA_HIGH_INDEX_6", 0x1298, 0 },
839		{ "debug_PD_RdRsp_SOP3_cnt", 28, 4 },
840		{ "debug_PD_RdRsp_EOP3_cnt", 24, 4 },
841		{ "debug_PD_RdRsp_SOP2_cnt", 20, 4 },
842		{ "debug_PD_RdRsp_EOP2_cnt", 16, 4 },
843		{ "debug_PD_RdRsp_SOP1_cnt", 12, 4 },
844		{ "debug_PD_RdRsp_EOP1_cnt", 8, 4 },
845		{ "debug_PD_RdRsp_SOP0_cnt", 4, 4 },
846		{ "debug_PD_RdRsp_EOP0_cnt", 0, 4 },
847	{ "SGE_DEBUG_DATA_HIGH_INDEX_7", 0x129c, 0 },
848		{ "debug_PD_WrReq_SOP3_cnt", 28, 4 },
849		{ "debug_PD_WrReq_EOP3_cnt", 24, 4 },
850		{ "debug_PD_WrReq_SOP2_cnt", 20, 4 },
851		{ "debug_PD_WrReq_EOP2_cnt", 16, 4 },
852		{ "debug_PD_WrReq_SOP1_cnt", 12, 4 },
853		{ "debug_PD_WrReq_EOP1_cnt", 8, 4 },
854		{ "debug_PD_WrReq_SOP0_cnt", 4, 4 },
855		{ "debug_PD_WrReq_EOP0_cnt", 0, 4 },
856	{ "SGE_DEBUG_DATA_HIGH_INDEX_8", 0x12a0, 0 },
857		{ "GlobalEnable_Off", 29, 1 },
858		{ "debug_CIM2SGE_RxAFull_d", 27, 2 },
859		{ "debug_CPLSW_CIM_TxAFull_d", 25, 2 },
860		{ "debug_uP_Full", 24, 1 },
861		{ "debug_M_rd_req_outstanding_PC", 23, 1 },
862		{ "debug_M_rd_req_outstanding_VFIFO", 22, 1 },
863		{ "debug_M_rd_req_outstanding_IMSG", 21, 1 },
864		{ "debug_M_rd_req_outstanding_CMARB", 20, 1 },
865		{ "debug_M_rd_req_outstanding_FLM", 19, 1 },
866		{ "debug_M_ReqVld", 18, 1 },
867		{ "debug_M_ReqRdy", 17, 1 },
868		{ "debug_M_RspVld", 16, 1 },
869		{ "debug_PD_WrReq_Int3_cnt", 12, 4 },
870		{ "debug_PD_WrReq_Int2_cnt", 8, 4 },
871		{ "debug_PD_WrReq_Int1_cnt", 4, 4 },
872		{ "debug_PD_WrReq_Int0_cnt", 0, 4 },
873	{ "SGE_DEBUG_DATA_HIGH_INDEX_9", 0x12a4, 0 },
874		{ "debug_CPLSW_TP_Rx_SOP1_cnt", 28, 4 },
875		{ "debug_CPLSW_TP_Rx_EOP1_cnt", 24, 4 },
876		{ "debug_CPLSW_TP_Rx_SOP0_cnt", 20, 4 },
877		{ "debug_CPLSW_TP_Rx_EOP0_cnt", 16, 4 },
878		{ "debug_CPLSW_CIM_SOP1_cnt", 12, 4 },
879		{ "debug_CPLSW_CIM_EOP1_cnt", 8, 4 },
880		{ "debug_CPLSW_CIM_SOP0_cnt", 4, 4 },
881		{ "debug_CPLSW_CIM_EOP0_cnt", 0, 4 },
882	{ "SGE_DEBUG_DATA_HIGH_INDEX_10", 0x12a8, 0 },
883		{ "debug_T_RxAFull_d", 30, 2 },
884		{ "debug_PD_RdRspAFull_d", 26, 4 },
885		{ "debug_PD_RdReqAFull_d", 22, 4 },
886		{ "debug_PD_WrReqAFull_d", 18, 4 },
887		{ "debug_PC_RspAFull_d", 15, 3 },
888		{ "debug_PC_ReqAFull_d", 12, 3 },
889		{ "debug_U_TxAFull_d", 8, 4 },
890		{ "debug_UD_RxAFull_d", 4, 4 },
891		{ "debug_U_RxAFull_d", 2, 2 },
892		{ "debug_CIM_AFull_d", 0, 2 },
893	{ "SGE_DEBUG_DATA_HIGH_INDEX_11", 0x12ac, 0 },
894		{ "debug_flm_idma1_cache_data_active", 24, 1 },
895		{ "debug_flm_idma1_cache_hdr_active", 23, 1 },
896		{ "debug_flm_idma1_ctxt_data_active", 22, 1 },
897		{ "debug_flm_idma1_ctxt_hdr_active", 21, 1 },
898		{ "debug_st_flm_idma1_cache", 19, 2 },
899		{ "debug_st_flm_idma1_ctxt", 16, 3 },
900		{ "debug_flm_idma0_cache_data_active", 8, 1 },
901		{ "debug_flm_idma0_cache_hdr_active", 7, 1 },
902		{ "debug_flm_idma0_ctxt_data_active", 6, 1 },
903		{ "debug_flm_idma0_ctxt_hdr_active", 5, 1 },
904		{ "debug_st_flm_idma0_cache", 3, 2 },
905		{ "debug_st_flm_idma0_ctxt", 0, 3 },
906	{ "SGE_DEBUG_DATA_HIGH_INDEX_12", 0x12b0, 0 },
907		{ "debug_CPLSW_SOP1_cnt", 28, 4 },
908		{ "debug_CPLSW_EOP1_cnt", 24, 4 },
909		{ "debug_CPLSW_SOP0_cnt", 20, 4 },
910		{ "debug_CPLSW_EOP0_cnt", 16, 4 },
911		{ "debug_PC_Rsp_SOP2_cnt", 12, 4 },
912		{ "debug_PC_Rsp_EOP2_cnt", 8, 4 },
913		{ "debug_PC_Req_SOP2_cnt", 4, 4 },
914		{ "debug_PC_Req_EOP2_cnt", 0, 4 },
915	{ "SGE_DEBUG_DATA_HIGH_INDEX_13", 0x12b4, 0 },
916	{ "SGE_DEBUG_DATA_HIGH_INDEX_14", 0x12b8, 0 },
917	{ "SGE_DEBUG_DATA_HIGH_INDEX_15", 0x12bc, 0 },
918	{ "SGE_DEBUG_DATA_LOW_INDEX_0", 0x12c0, 0 },
919		{ "debug_st_idma1_flm_req", 29, 3 },
920		{ "debug_st_idma0_flm_req", 26, 3 },
921		{ "debug_st_imsg_ctxt", 23, 3 },
922		{ "debug_st_imsg", 18, 5 },
923		{ "debug_st_idma1_ialn", 16, 2 },
924		{ "debug_st_idma1_idma_sm", 9, 6 },
925		{ "debug_st_idma0_ialn", 7, 2 },
926		{ "debug_st_idma0_idma_sm", 0, 6 },
927	{ "SGE_DEBUG_DATA_LOW_INDEX_1", 0x12c4, 0 },
928		{ "debug_itp_empty", 12, 6 },
929		{ "debug_itp_expired", 6, 6 },
930		{ "debug_itp_pause", 5, 1 },
931		{ "debug_itp_del_done", 4, 1 },
932		{ "debug_itp_add_done", 3, 1 },
933		{ "debug_itp_evr_state", 0, 3 },
934	{ "SGE_DEBUG_DATA_LOW_INDEX_2", 0x12c8, 0 },
935		{ "debug_st_dbp_thread2_cimfl", 25, 5 },
936		{ "debug_st_dbp_thread2_main", 20, 5 },
937		{ "debug_st_dbp_thread1_cimfl", 15, 5 },
938		{ "debug_st_dbp_thread1_main", 10, 5 },
939		{ "debug_st_dbp_thread0_cimfl", 5, 5 },
940		{ "debug_st_dbp_thread0_main", 0, 5 },
941	{ "SGE_DEBUG_DATA_LOW_INDEX_3", 0x12cc, 0 },
942		{ "debug_st_dbp_upcp_main", 14, 5 },
943		{ "debug_st_dbp_dbfifo_main", 13, 1 },
944		{ "debug_st_dbp_ctxt", 10, 3 },
945		{ "debug_st_dbp_thread3_cimfl", 5, 5 },
946		{ "debug_st_dbp_thread3_main", 0, 5 },
947	{ "SGE_DEBUG_DATA_LOW_INDEX_4", 0x12d0, 0 },
948		{ "debug_st_edma3_align_sub", 29, 3 },
949		{ "debug_st_edma3_align", 27, 2 },
950		{ "debug_st_edma3_req", 24, 3 },
951		{ "debug_st_edma2_align_sub", 21, 3 },
952		{ "debug_st_edma2_align", 19, 2 },
953		{ "debug_st_edma2_req", 16, 3 },
954		{ "debug_st_edma1_align_sub", 13, 3 },
955		{ "debug_st_edma1_align", 11, 2 },
956		{ "debug_st_edma1_req", 8, 3 },
957		{ "debug_st_edma0_align_sub", 5, 3 },
958		{ "debug_st_edma0_align", 3, 2 },
959		{ "debug_st_edma0_req", 0, 3 },
960	{ "SGE_DEBUG_DATA_LOW_INDEX_5", 0x12d4, 0 },
961		{ "debug_st_flm_dbptr", 30, 2 },
962		{ "debug_flm_cache_locked_count", 23, 7 },
963		{ "debug_flm_cache_agent", 20, 3 },
964		{ "debug_st_flm_cache", 16, 4 },
965		{ "debug_flm_dbptr_cidx_stall", 12, 1 },
966		{ "debug_flm_dbptr_qid", 0, 12 },
967	{ "SGE_DEBUG_DATA_LOW_INDEX_6", 0x12d8, 0 },
968	{ "SGE_DEBUG_DATA_LOW_INDEX_7", 0x12dc, 0 },
969	{ "SGE_DEBUG_DATA_LOW_INDEX_8", 0x12e0, 0 },
970	{ "SGE_DEBUG_DATA_LOW_INDEX_9", 0x12e4, 0 },
971	{ "SGE_DEBUG_DATA_LOW_INDEX_10", 0x12e8, 0 },
972		{ "debug_imsg_cpl", 16, 8 },
973		{ "debug_imsg_qid", 0, 16 },
974	{ "SGE_DEBUG_DATA_LOW_INDEX_11", 0x12ec, 0 },
975		{ "debug_idma1_qid", 16, 16 },
976		{ "debug_idma0_qid", 0, 16 },
977	{ "SGE_DEBUG_DATA_LOW_INDEX_12", 0x12f0, 0 },
978		{ "debug_idma1_flm_req_qid", 16, 16 },
979		{ "debug_idma0_flm_req_qid", 0, 16 },
980	{ "SGE_DEBUG_DATA_LOW_INDEX_13", 0x12f4, 0 },
981	{ "SGE_DEBUG_DATA_LOW_INDEX_14", 0x12f8, 0 },
982	{ "SGE_DEBUG_DATA_LOW_INDEX_15", 0x12fc, 0 },
983	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1300, 0 },
984		{ "Egress_Size", 27, 5 },
985		{ "Egress_Base", 10, 17 },
986		{ "Ingress2_Size", 5, 5 },
987		{ "Ingress1_Size", 0, 5 },
988	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1308, 0 },
989		{ "Egress_Size", 27, 5 },
990		{ "Egress_Base", 10, 17 },
991		{ "Ingress2_Size", 5, 5 },
992		{ "Ingress1_Size", 0, 5 },
993	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1310, 0 },
994		{ "Egress_Size", 27, 5 },
995		{ "Egress_Base", 10, 17 },
996		{ "Ingress2_Size", 5, 5 },
997		{ "Ingress1_Size", 0, 5 },
998	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1318, 0 },
999		{ "Egress_Size", 27, 5 },
1000		{ "Egress_Base", 10, 17 },
1001		{ "Ingress2_Size", 5, 5 },
1002		{ "Ingress1_Size", 0, 5 },
1003	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1320, 0 },
1004		{ "Egress_Size", 27, 5 },
1005		{ "Egress_Base", 10, 17 },
1006		{ "Ingress2_Size", 5, 5 },
1007		{ "Ingress1_Size", 0, 5 },
1008	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1328, 0 },
1009		{ "Egress_Size", 27, 5 },
1010		{ "Egress_Base", 10, 17 },
1011		{ "Ingress2_Size", 5, 5 },
1012		{ "Ingress1_Size", 0, 5 },
1013	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1330, 0 },
1014		{ "Egress_Size", 27, 5 },
1015		{ "Egress_Base", 10, 17 },
1016		{ "Ingress2_Size", 5, 5 },
1017		{ "Ingress1_Size", 0, 5 },
1018	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1338, 0 },
1019		{ "Egress_Size", 27, 5 },
1020		{ "Egress_Base", 10, 17 },
1021		{ "Ingress2_Size", 5, 5 },
1022		{ "Ingress1_Size", 0, 5 },
1023	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1340, 0 },
1024		{ "Egress_Size", 27, 5 },
1025		{ "Egress_Base", 10, 17 },
1026		{ "Ingress2_Size", 5, 5 },
1027		{ "Ingress1_Size", 0, 5 },
1028	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1348, 0 },
1029		{ "Egress_Size", 27, 5 },
1030		{ "Egress_Base", 10, 17 },
1031		{ "Ingress2_Size", 5, 5 },
1032		{ "Ingress1_Size", 0, 5 },
1033	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1350, 0 },
1034		{ "Egress_Size", 27, 5 },
1035		{ "Egress_Base", 10, 17 },
1036		{ "Ingress2_Size", 5, 5 },
1037		{ "Ingress1_Size", 0, 5 },
1038	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1358, 0 },
1039		{ "Egress_Size", 27, 5 },
1040		{ "Egress_Base", 10, 17 },
1041		{ "Ingress2_Size", 5, 5 },
1042		{ "Ingress1_Size", 0, 5 },
1043	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1360, 0 },
1044		{ "Egress_Size", 27, 5 },
1045		{ "Egress_Base", 10, 17 },
1046		{ "Ingress2_Size", 5, 5 },
1047		{ "Ingress1_Size", 0, 5 },
1048	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1368, 0 },
1049		{ "Egress_Size", 27, 5 },
1050		{ "Egress_Base", 10, 17 },
1051		{ "Ingress2_Size", 5, 5 },
1052		{ "Ingress1_Size", 0, 5 },
1053	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1370, 0 },
1054		{ "Egress_Size", 27, 5 },
1055		{ "Egress_Base", 10, 17 },
1056		{ "Ingress2_Size", 5, 5 },
1057		{ "Ingress1_Size", 0, 5 },
1058	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1378, 0 },
1059		{ "Egress_Size", 27, 5 },
1060		{ "Egress_Base", 10, 17 },
1061		{ "Ingress2_Size", 5, 5 },
1062		{ "Ingress1_Size", 0, 5 },
1063	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1380, 0 },
1064		{ "Egress_Size", 27, 5 },
1065		{ "Egress_Base", 10, 17 },
1066		{ "Ingress2_Size", 5, 5 },
1067		{ "Ingress1_Size", 0, 5 },
1068	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1388, 0 },
1069		{ "Egress_Size", 27, 5 },
1070		{ "Egress_Base", 10, 17 },
1071		{ "Ingress2_Size", 5, 5 },
1072		{ "Ingress1_Size", 0, 5 },
1073	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1390, 0 },
1074		{ "Egress_Size", 27, 5 },
1075		{ "Egress_Base", 10, 17 },
1076		{ "Ingress2_Size", 5, 5 },
1077		{ "Ingress1_Size", 0, 5 },
1078	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1398, 0 },
1079		{ "Egress_Size", 27, 5 },
1080		{ "Egress_Base", 10, 17 },
1081		{ "Ingress2_Size", 5, 5 },
1082		{ "Ingress1_Size", 0, 5 },
1083	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x13a0, 0 },
1084		{ "Egress_Size", 27, 5 },
1085		{ "Egress_Base", 10, 17 },
1086		{ "Ingress2_Size", 5, 5 },
1087		{ "Ingress1_Size", 0, 5 },
1088	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x13a8, 0 },
1089		{ "Egress_Size", 27, 5 },
1090		{ "Egress_Base", 10, 17 },
1091		{ "Ingress2_Size", 5, 5 },
1092		{ "Ingress1_Size", 0, 5 },
1093	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x13b0, 0 },
1094		{ "Egress_Size", 27, 5 },
1095		{ "Egress_Base", 10, 17 },
1096		{ "Ingress2_Size", 5, 5 },
1097		{ "Ingress1_Size", 0, 5 },
1098	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x13b8, 0 },
1099		{ "Egress_Size", 27, 5 },
1100		{ "Egress_Base", 10, 17 },
1101		{ "Ingress2_Size", 5, 5 },
1102		{ "Ingress1_Size", 0, 5 },
1103	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x13c0, 0 },
1104		{ "Egress_Size", 27, 5 },
1105		{ "Egress_Base", 10, 17 },
1106		{ "Ingress2_Size", 5, 5 },
1107		{ "Ingress1_Size", 0, 5 },
1108	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x13c8, 0 },
1109		{ "Egress_Size", 27, 5 },
1110		{ "Egress_Base", 10, 17 },
1111		{ "Ingress2_Size", 5, 5 },
1112		{ "Ingress1_Size", 0, 5 },
1113	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x13d0, 0 },
1114		{ "Egress_Size", 27, 5 },
1115		{ "Egress_Base", 10, 17 },
1116		{ "Ingress2_Size", 5, 5 },
1117		{ "Ingress1_Size", 0, 5 },
1118	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x13d8, 0 },
1119		{ "Egress_Size", 27, 5 },
1120		{ "Egress_Base", 10, 17 },
1121		{ "Ingress2_Size", 5, 5 },
1122		{ "Ingress1_Size", 0, 5 },
1123	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x13e0, 0 },
1124		{ "Egress_Size", 27, 5 },
1125		{ "Egress_Base", 10, 17 },
1126		{ "Ingress2_Size", 5, 5 },
1127		{ "Ingress1_Size", 0, 5 },
1128	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x13e8, 0 },
1129		{ "Egress_Size", 27, 5 },
1130		{ "Egress_Base", 10, 17 },
1131		{ "Ingress2_Size", 5, 5 },
1132		{ "Ingress1_Size", 0, 5 },
1133	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x13f0, 0 },
1134		{ "Egress_Size", 27, 5 },
1135		{ "Egress_Base", 10, 17 },
1136		{ "Ingress2_Size", 5, 5 },
1137		{ "Ingress1_Size", 0, 5 },
1138	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x13f8, 0 },
1139		{ "Egress_Size", 27, 5 },
1140		{ "Egress_Base", 10, 17 },
1141		{ "Ingress2_Size", 5, 5 },
1142		{ "Ingress1_Size", 0, 5 },
1143	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1400, 0 },
1144		{ "Egress_Size", 27, 5 },
1145		{ "Egress_Base", 10, 17 },
1146		{ "Ingress2_Size", 5, 5 },
1147		{ "Ingress1_Size", 0, 5 },
1148	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1408, 0 },
1149		{ "Egress_Size", 27, 5 },
1150		{ "Egress_Base", 10, 17 },
1151		{ "Ingress2_Size", 5, 5 },
1152		{ "Ingress1_Size", 0, 5 },
1153	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1410, 0 },
1154		{ "Egress_Size", 27, 5 },
1155		{ "Egress_Base", 10, 17 },
1156		{ "Ingress2_Size", 5, 5 },
1157		{ "Ingress1_Size", 0, 5 },
1158	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1418, 0 },
1159		{ "Egress_Size", 27, 5 },
1160		{ "Egress_Base", 10, 17 },
1161		{ "Ingress2_Size", 5, 5 },
1162		{ "Ingress1_Size", 0, 5 },
1163	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1420, 0 },
1164		{ "Egress_Size", 27, 5 },
1165		{ "Egress_Base", 10, 17 },
1166		{ "Ingress2_Size", 5, 5 },
1167		{ "Ingress1_Size", 0, 5 },
1168	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1428, 0 },
1169		{ "Egress_Size", 27, 5 },
1170		{ "Egress_Base", 10, 17 },
1171		{ "Ingress2_Size", 5, 5 },
1172		{ "Ingress1_Size", 0, 5 },
1173	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1430, 0 },
1174		{ "Egress_Size", 27, 5 },
1175		{ "Egress_Base", 10, 17 },
1176		{ "Ingress2_Size", 5, 5 },
1177		{ "Ingress1_Size", 0, 5 },
1178	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1438, 0 },
1179		{ "Egress_Size", 27, 5 },
1180		{ "Egress_Base", 10, 17 },
1181		{ "Ingress2_Size", 5, 5 },
1182		{ "Ingress1_Size", 0, 5 },
1183	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1440, 0 },
1184		{ "Egress_Size", 27, 5 },
1185		{ "Egress_Base", 10, 17 },
1186		{ "Ingress2_Size", 5, 5 },
1187		{ "Ingress1_Size", 0, 5 },
1188	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1448, 0 },
1189		{ "Egress_Size", 27, 5 },
1190		{ "Egress_Base", 10, 17 },
1191		{ "Ingress2_Size", 5, 5 },
1192		{ "Ingress1_Size", 0, 5 },
1193	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1450, 0 },
1194		{ "Egress_Size", 27, 5 },
1195		{ "Egress_Base", 10, 17 },
1196		{ "Ingress2_Size", 5, 5 },
1197		{ "Ingress1_Size", 0, 5 },
1198	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1458, 0 },
1199		{ "Egress_Size", 27, 5 },
1200		{ "Egress_Base", 10, 17 },
1201		{ "Ingress2_Size", 5, 5 },
1202		{ "Ingress1_Size", 0, 5 },
1203	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1460, 0 },
1204		{ "Egress_Size", 27, 5 },
1205		{ "Egress_Base", 10, 17 },
1206		{ "Ingress2_Size", 5, 5 },
1207		{ "Ingress1_Size", 0, 5 },
1208	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1468, 0 },
1209		{ "Egress_Size", 27, 5 },
1210		{ "Egress_Base", 10, 17 },
1211		{ "Ingress2_Size", 5, 5 },
1212		{ "Ingress1_Size", 0, 5 },
1213	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1470, 0 },
1214		{ "Egress_Size", 27, 5 },
1215		{ "Egress_Base", 10, 17 },
1216		{ "Ingress2_Size", 5, 5 },
1217		{ "Ingress1_Size", 0, 5 },
1218	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1478, 0 },
1219		{ "Egress_Size", 27, 5 },
1220		{ "Egress_Base", 10, 17 },
1221		{ "Ingress2_Size", 5, 5 },
1222		{ "Ingress1_Size", 0, 5 },
1223	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1480, 0 },
1224		{ "Egress_Size", 27, 5 },
1225		{ "Egress_Base", 10, 17 },
1226		{ "Ingress2_Size", 5, 5 },
1227		{ "Ingress1_Size", 0, 5 },
1228	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1488, 0 },
1229		{ "Egress_Size", 27, 5 },
1230		{ "Egress_Base", 10, 17 },
1231		{ "Ingress2_Size", 5, 5 },
1232		{ "Ingress1_Size", 0, 5 },
1233	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1490, 0 },
1234		{ "Egress_Size", 27, 5 },
1235		{ "Egress_Base", 10, 17 },
1236		{ "Ingress2_Size", 5, 5 },
1237		{ "Ingress1_Size", 0, 5 },
1238	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1498, 0 },
1239		{ "Egress_Size", 27, 5 },
1240		{ "Egress_Base", 10, 17 },
1241		{ "Ingress2_Size", 5, 5 },
1242		{ "Ingress1_Size", 0, 5 },
1243	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x14a0, 0 },
1244		{ "Egress_Size", 27, 5 },
1245		{ "Egress_Base", 10, 17 },
1246		{ "Ingress2_Size", 5, 5 },
1247		{ "Ingress1_Size", 0, 5 },
1248	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x14a8, 0 },
1249		{ "Egress_Size", 27, 5 },
1250		{ "Egress_Base", 10, 17 },
1251		{ "Ingress2_Size", 5, 5 },
1252		{ "Ingress1_Size", 0, 5 },
1253	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x14b0, 0 },
1254		{ "Egress_Size", 27, 5 },
1255		{ "Egress_Base", 10, 17 },
1256		{ "Ingress2_Size", 5, 5 },
1257		{ "Ingress1_Size", 0, 5 },
1258	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x14b8, 0 },
1259		{ "Egress_Size", 27, 5 },
1260		{ "Egress_Base", 10, 17 },
1261		{ "Ingress2_Size", 5, 5 },
1262		{ "Ingress1_Size", 0, 5 },
1263	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x14c0, 0 },
1264		{ "Egress_Size", 27, 5 },
1265		{ "Egress_Base", 10, 17 },
1266		{ "Ingress2_Size", 5, 5 },
1267		{ "Ingress1_Size", 0, 5 },
1268	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x14c8, 0 },
1269		{ "Egress_Size", 27, 5 },
1270		{ "Egress_Base", 10, 17 },
1271		{ "Ingress2_Size", 5, 5 },
1272		{ "Ingress1_Size", 0, 5 },
1273	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x14d0, 0 },
1274		{ "Egress_Size", 27, 5 },
1275		{ "Egress_Base", 10, 17 },
1276		{ "Ingress2_Size", 5, 5 },
1277		{ "Ingress1_Size", 0, 5 },
1278	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x14d8, 0 },
1279		{ "Egress_Size", 27, 5 },
1280		{ "Egress_Base", 10, 17 },
1281		{ "Ingress2_Size", 5, 5 },
1282		{ "Ingress1_Size", 0, 5 },
1283	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x14e0, 0 },
1284		{ "Egress_Size", 27, 5 },
1285		{ "Egress_Base", 10, 17 },
1286		{ "Ingress2_Size", 5, 5 },
1287		{ "Ingress1_Size", 0, 5 },
1288	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x14e8, 0 },
1289		{ "Egress_Size", 27, 5 },
1290		{ "Egress_Base", 10, 17 },
1291		{ "Ingress2_Size", 5, 5 },
1292		{ "Ingress1_Size", 0, 5 },
1293	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x14f0, 0 },
1294		{ "Egress_Size", 27, 5 },
1295		{ "Egress_Base", 10, 17 },
1296		{ "Ingress2_Size", 5, 5 },
1297		{ "Ingress1_Size", 0, 5 },
1298	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x14f8, 0 },
1299		{ "Egress_Size", 27, 5 },
1300		{ "Egress_Base", 10, 17 },
1301		{ "Ingress2_Size", 5, 5 },
1302		{ "Ingress1_Size", 0, 5 },
1303	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1500, 0 },
1304		{ "Egress_Size", 27, 5 },
1305		{ "Egress_Base", 10, 17 },
1306		{ "Ingress2_Size", 5, 5 },
1307		{ "Ingress1_Size", 0, 5 },
1308	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1508, 0 },
1309		{ "Egress_Size", 27, 5 },
1310		{ "Egress_Base", 10, 17 },
1311		{ "Ingress2_Size", 5, 5 },
1312		{ "Ingress1_Size", 0, 5 },
1313	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1510, 0 },
1314		{ "Egress_Size", 27, 5 },
1315		{ "Egress_Base", 10, 17 },
1316		{ "Ingress2_Size", 5, 5 },
1317		{ "Ingress1_Size", 0, 5 },
1318	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1518, 0 },
1319		{ "Egress_Size", 27, 5 },
1320		{ "Egress_Base", 10, 17 },
1321		{ "Ingress2_Size", 5, 5 },
1322		{ "Ingress1_Size", 0, 5 },
1323	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1520, 0 },
1324		{ "Egress_Size", 27, 5 },
1325		{ "Egress_Base", 10, 17 },
1326		{ "Ingress2_Size", 5, 5 },
1327		{ "Ingress1_Size", 0, 5 },
1328	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1528, 0 },
1329		{ "Egress_Size", 27, 5 },
1330		{ "Egress_Base", 10, 17 },
1331		{ "Ingress2_Size", 5, 5 },
1332		{ "Ingress1_Size", 0, 5 },
1333	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1530, 0 },
1334		{ "Egress_Size", 27, 5 },
1335		{ "Egress_Base", 10, 17 },
1336		{ "Ingress2_Size", 5, 5 },
1337		{ "Ingress1_Size", 0, 5 },
1338	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1538, 0 },
1339		{ "Egress_Size", 27, 5 },
1340		{ "Egress_Base", 10, 17 },
1341		{ "Ingress2_Size", 5, 5 },
1342		{ "Ingress1_Size", 0, 5 },
1343	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1540, 0 },
1344		{ "Egress_Size", 27, 5 },
1345		{ "Egress_Base", 10, 17 },
1346		{ "Ingress2_Size", 5, 5 },
1347		{ "Ingress1_Size", 0, 5 },
1348	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1548, 0 },
1349		{ "Egress_Size", 27, 5 },
1350		{ "Egress_Base", 10, 17 },
1351		{ "Ingress2_Size", 5, 5 },
1352		{ "Ingress1_Size", 0, 5 },
1353	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1550, 0 },
1354		{ "Egress_Size", 27, 5 },
1355		{ "Egress_Base", 10, 17 },
1356		{ "Ingress2_Size", 5, 5 },
1357		{ "Ingress1_Size", 0, 5 },
1358	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1558, 0 },
1359		{ "Egress_Size", 27, 5 },
1360		{ "Egress_Base", 10, 17 },
1361		{ "Ingress2_Size", 5, 5 },
1362		{ "Ingress1_Size", 0, 5 },
1363	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1560, 0 },
1364		{ "Egress_Size", 27, 5 },
1365		{ "Egress_Base", 10, 17 },
1366		{ "Ingress2_Size", 5, 5 },
1367		{ "Ingress1_Size", 0, 5 },
1368	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1568, 0 },
1369		{ "Egress_Size", 27, 5 },
1370		{ "Egress_Base", 10, 17 },
1371		{ "Ingress2_Size", 5, 5 },
1372		{ "Ingress1_Size", 0, 5 },
1373	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1570, 0 },
1374		{ "Egress_Size", 27, 5 },
1375		{ "Egress_Base", 10, 17 },
1376		{ "Ingress2_Size", 5, 5 },
1377		{ "Ingress1_Size", 0, 5 },
1378	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1578, 0 },
1379		{ "Egress_Size", 27, 5 },
1380		{ "Egress_Base", 10, 17 },
1381		{ "Ingress2_Size", 5, 5 },
1382		{ "Ingress1_Size", 0, 5 },
1383	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1580, 0 },
1384		{ "Egress_Size", 27, 5 },
1385		{ "Egress_Base", 10, 17 },
1386		{ "Ingress2_Size", 5, 5 },
1387		{ "Ingress1_Size", 0, 5 },
1388	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1588, 0 },
1389		{ "Egress_Size", 27, 5 },
1390		{ "Egress_Base", 10, 17 },
1391		{ "Ingress2_Size", 5, 5 },
1392		{ "Ingress1_Size", 0, 5 },
1393	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1590, 0 },
1394		{ "Egress_Size", 27, 5 },
1395		{ "Egress_Base", 10, 17 },
1396		{ "Ingress2_Size", 5, 5 },
1397		{ "Ingress1_Size", 0, 5 },
1398	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1598, 0 },
1399		{ "Egress_Size", 27, 5 },
1400		{ "Egress_Base", 10, 17 },
1401		{ "Ingress2_Size", 5, 5 },
1402		{ "Ingress1_Size", 0, 5 },
1403	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x15a0, 0 },
1404		{ "Egress_Size", 27, 5 },
1405		{ "Egress_Base", 10, 17 },
1406		{ "Ingress2_Size", 5, 5 },
1407		{ "Ingress1_Size", 0, 5 },
1408	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x15a8, 0 },
1409		{ "Egress_Size", 27, 5 },
1410		{ "Egress_Base", 10, 17 },
1411		{ "Ingress2_Size", 5, 5 },
1412		{ "Ingress1_Size", 0, 5 },
1413	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x15b0, 0 },
1414		{ "Egress_Size", 27, 5 },
1415		{ "Egress_Base", 10, 17 },
1416		{ "Ingress2_Size", 5, 5 },
1417		{ "Ingress1_Size", 0, 5 },
1418	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x15b8, 0 },
1419		{ "Egress_Size", 27, 5 },
1420		{ "Egress_Base", 10, 17 },
1421		{ "Ingress2_Size", 5, 5 },
1422		{ "Ingress1_Size", 0, 5 },
1423	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x15c0, 0 },
1424		{ "Egress_Size", 27, 5 },
1425		{ "Egress_Base", 10, 17 },
1426		{ "Ingress2_Size", 5, 5 },
1427		{ "Ingress1_Size", 0, 5 },
1428	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x15c8, 0 },
1429		{ "Egress_Size", 27, 5 },
1430		{ "Egress_Base", 10, 17 },
1431		{ "Ingress2_Size", 5, 5 },
1432		{ "Ingress1_Size", 0, 5 },
1433	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x15d0, 0 },
1434		{ "Egress_Size", 27, 5 },
1435		{ "Egress_Base", 10, 17 },
1436		{ "Ingress2_Size", 5, 5 },
1437		{ "Ingress1_Size", 0, 5 },
1438	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x15d8, 0 },
1439		{ "Egress_Size", 27, 5 },
1440		{ "Egress_Base", 10, 17 },
1441		{ "Ingress2_Size", 5, 5 },
1442		{ "Ingress1_Size", 0, 5 },
1443	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x15e0, 0 },
1444		{ "Egress_Size", 27, 5 },
1445		{ "Egress_Base", 10, 17 },
1446		{ "Ingress2_Size", 5, 5 },
1447		{ "Ingress1_Size", 0, 5 },
1448	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x15e8, 0 },
1449		{ "Egress_Size", 27, 5 },
1450		{ "Egress_Base", 10, 17 },
1451		{ "Ingress2_Size", 5, 5 },
1452		{ "Ingress1_Size", 0, 5 },
1453	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x15f0, 0 },
1454		{ "Egress_Size", 27, 5 },
1455		{ "Egress_Base", 10, 17 },
1456		{ "Ingress2_Size", 5, 5 },
1457		{ "Ingress1_Size", 0, 5 },
1458	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x15f8, 0 },
1459		{ "Egress_Size", 27, 5 },
1460		{ "Egress_Base", 10, 17 },
1461		{ "Ingress2_Size", 5, 5 },
1462		{ "Ingress1_Size", 0, 5 },
1463	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1600, 0 },
1464		{ "Egress_Size", 27, 5 },
1465		{ "Egress_Base", 10, 17 },
1466		{ "Ingress2_Size", 5, 5 },
1467		{ "Ingress1_Size", 0, 5 },
1468	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1608, 0 },
1469		{ "Egress_Size", 27, 5 },
1470		{ "Egress_Base", 10, 17 },
1471		{ "Ingress2_Size", 5, 5 },
1472		{ "Ingress1_Size", 0, 5 },
1473	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1610, 0 },
1474		{ "Egress_Size", 27, 5 },
1475		{ "Egress_Base", 10, 17 },
1476		{ "Ingress2_Size", 5, 5 },
1477		{ "Ingress1_Size", 0, 5 },
1478	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1618, 0 },
1479		{ "Egress_Size", 27, 5 },
1480		{ "Egress_Base", 10, 17 },
1481		{ "Ingress2_Size", 5, 5 },
1482		{ "Ingress1_Size", 0, 5 },
1483	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1620, 0 },
1484		{ "Egress_Size", 27, 5 },
1485		{ "Egress_Base", 10, 17 },
1486		{ "Ingress2_Size", 5, 5 },
1487		{ "Ingress1_Size", 0, 5 },
1488	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1628, 0 },
1489		{ "Egress_Size", 27, 5 },
1490		{ "Egress_Base", 10, 17 },
1491		{ "Ingress2_Size", 5, 5 },
1492		{ "Ingress1_Size", 0, 5 },
1493	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1630, 0 },
1494		{ "Egress_Size", 27, 5 },
1495		{ "Egress_Base", 10, 17 },
1496		{ "Ingress2_Size", 5, 5 },
1497		{ "Ingress1_Size", 0, 5 },
1498	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1638, 0 },
1499		{ "Egress_Size", 27, 5 },
1500		{ "Egress_Base", 10, 17 },
1501		{ "Ingress2_Size", 5, 5 },
1502		{ "Ingress1_Size", 0, 5 },
1503	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1640, 0 },
1504		{ "Egress_Size", 27, 5 },
1505		{ "Egress_Base", 10, 17 },
1506		{ "Ingress2_Size", 5, 5 },
1507		{ "Ingress1_Size", 0, 5 },
1508	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1648, 0 },
1509		{ "Egress_Size", 27, 5 },
1510		{ "Egress_Base", 10, 17 },
1511		{ "Ingress2_Size", 5, 5 },
1512		{ "Ingress1_Size", 0, 5 },
1513	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1650, 0 },
1514		{ "Egress_Size", 27, 5 },
1515		{ "Egress_Base", 10, 17 },
1516		{ "Ingress2_Size", 5, 5 },
1517		{ "Ingress1_Size", 0, 5 },
1518	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1658, 0 },
1519		{ "Egress_Size", 27, 5 },
1520		{ "Egress_Base", 10, 17 },
1521		{ "Ingress2_Size", 5, 5 },
1522		{ "Ingress1_Size", 0, 5 },
1523	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1660, 0 },
1524		{ "Egress_Size", 27, 5 },
1525		{ "Egress_Base", 10, 17 },
1526		{ "Ingress2_Size", 5, 5 },
1527		{ "Ingress1_Size", 0, 5 },
1528	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1668, 0 },
1529		{ "Egress_Size", 27, 5 },
1530		{ "Egress_Base", 10, 17 },
1531		{ "Ingress2_Size", 5, 5 },
1532		{ "Ingress1_Size", 0, 5 },
1533	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1670, 0 },
1534		{ "Egress_Size", 27, 5 },
1535		{ "Egress_Base", 10, 17 },
1536		{ "Ingress2_Size", 5, 5 },
1537		{ "Ingress1_Size", 0, 5 },
1538	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1678, 0 },
1539		{ "Egress_Size", 27, 5 },
1540		{ "Egress_Base", 10, 17 },
1541		{ "Ingress2_Size", 5, 5 },
1542		{ "Ingress1_Size", 0, 5 },
1543	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1680, 0 },
1544		{ "Egress_Size", 27, 5 },
1545		{ "Egress_Base", 10, 17 },
1546		{ "Ingress2_Size", 5, 5 },
1547		{ "Ingress1_Size", 0, 5 },
1548	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1688, 0 },
1549		{ "Egress_Size", 27, 5 },
1550		{ "Egress_Base", 10, 17 },
1551		{ "Ingress2_Size", 5, 5 },
1552		{ "Ingress1_Size", 0, 5 },
1553	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1690, 0 },
1554		{ "Egress_Size", 27, 5 },
1555		{ "Egress_Base", 10, 17 },
1556		{ "Ingress2_Size", 5, 5 },
1557		{ "Ingress1_Size", 0, 5 },
1558	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1698, 0 },
1559		{ "Egress_Size", 27, 5 },
1560		{ "Egress_Base", 10, 17 },
1561		{ "Ingress2_Size", 5, 5 },
1562		{ "Ingress1_Size", 0, 5 },
1563	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x16a0, 0 },
1564		{ "Egress_Size", 27, 5 },
1565		{ "Egress_Base", 10, 17 },
1566		{ "Ingress2_Size", 5, 5 },
1567		{ "Ingress1_Size", 0, 5 },
1568	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x16a8, 0 },
1569		{ "Egress_Size", 27, 5 },
1570		{ "Egress_Base", 10, 17 },
1571		{ "Ingress2_Size", 5, 5 },
1572		{ "Ingress1_Size", 0, 5 },
1573	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x16b0, 0 },
1574		{ "Egress_Size", 27, 5 },
1575		{ "Egress_Base", 10, 17 },
1576		{ "Ingress2_Size", 5, 5 },
1577		{ "Ingress1_Size", 0, 5 },
1578	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x16b8, 0 },
1579		{ "Egress_Size", 27, 5 },
1580		{ "Egress_Base", 10, 17 },
1581		{ "Ingress2_Size", 5, 5 },
1582		{ "Ingress1_Size", 0, 5 },
1583	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x16c0, 0 },
1584		{ "Egress_Size", 27, 5 },
1585		{ "Egress_Base", 10, 17 },
1586		{ "Ingress2_Size", 5, 5 },
1587		{ "Ingress1_Size", 0, 5 },
1588	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x16c8, 0 },
1589		{ "Egress_Size", 27, 5 },
1590		{ "Egress_Base", 10, 17 },
1591		{ "Ingress2_Size", 5, 5 },
1592		{ "Ingress1_Size", 0, 5 },
1593	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x16d0, 0 },
1594		{ "Egress_Size", 27, 5 },
1595		{ "Egress_Base", 10, 17 },
1596		{ "Ingress2_Size", 5, 5 },
1597		{ "Ingress1_Size", 0, 5 },
1598	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x16d8, 0 },
1599		{ "Egress_Size", 27, 5 },
1600		{ "Egress_Base", 10, 17 },
1601		{ "Ingress2_Size", 5, 5 },
1602		{ "Ingress1_Size", 0, 5 },
1603	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x16e0, 0 },
1604		{ "Egress_Size", 27, 5 },
1605		{ "Egress_Base", 10, 17 },
1606		{ "Ingress2_Size", 5, 5 },
1607		{ "Ingress1_Size", 0, 5 },
1608	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x16e8, 0 },
1609		{ "Egress_Size", 27, 5 },
1610		{ "Egress_Base", 10, 17 },
1611		{ "Ingress2_Size", 5, 5 },
1612		{ "Ingress1_Size", 0, 5 },
1613	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x16f0, 0 },
1614		{ "Egress_Size", 27, 5 },
1615		{ "Egress_Base", 10, 17 },
1616		{ "Ingress2_Size", 5, 5 },
1617		{ "Ingress1_Size", 0, 5 },
1618	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x16f8, 0 },
1619		{ "Egress_Size", 27, 5 },
1620		{ "Egress_Base", 10, 17 },
1621		{ "Ingress2_Size", 5, 5 },
1622		{ "Ingress1_Size", 0, 5 },
1623	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1700, 0 },
1624		{ "Egress_Size", 27, 5 },
1625		{ "Egress_Base", 10, 17 },
1626		{ "Ingress2_Size", 5, 5 },
1627		{ "Ingress1_Size", 0, 5 },
1628	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1708, 0 },
1629		{ "Egress_Size", 27, 5 },
1630		{ "Egress_Base", 10, 17 },
1631		{ "Ingress2_Size", 5, 5 },
1632		{ "Ingress1_Size", 0, 5 },
1633	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1710, 0 },
1634		{ "Egress_Size", 27, 5 },
1635		{ "Egress_Base", 10, 17 },
1636		{ "Ingress2_Size", 5, 5 },
1637		{ "Ingress1_Size", 0, 5 },
1638	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1718, 0 },
1639		{ "Egress_Size", 27, 5 },
1640		{ "Egress_Base", 10, 17 },
1641		{ "Ingress2_Size", 5, 5 },
1642		{ "Ingress1_Size", 0, 5 },
1643	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1720, 0 },
1644		{ "Egress_Size", 27, 5 },
1645		{ "Egress_Base", 10, 17 },
1646		{ "Ingress2_Size", 5, 5 },
1647		{ "Ingress1_Size", 0, 5 },
1648	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1728, 0 },
1649		{ "Egress_Size", 27, 5 },
1650		{ "Egress_Base", 10, 17 },
1651		{ "Ingress2_Size", 5, 5 },
1652		{ "Ingress1_Size", 0, 5 },
1653	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1730, 0 },
1654		{ "Egress_Size", 27, 5 },
1655		{ "Egress_Base", 10, 17 },
1656		{ "Ingress2_Size", 5, 5 },
1657		{ "Ingress1_Size", 0, 5 },
1658	{ "SGE_QUEUE_BASE_MAP_HIGH", 0x1738, 0 },
1659		{ "Egress_Size", 27, 5 },
1660		{ "Egress_Base", 10, 17 },
1661		{ "Ingress2_Size", 5, 5 },
1662		{ "Ingress1_Size", 0, 5 },
1663	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1304, 0 },
1664		{ "Ingress2_Base", 16, 16 },
1665		{ "Ingress1_Base", 0, 16 },
1666	{ "SGE_QUEUE_BASE_MAP_LOW", 0x130c, 0 },
1667		{ "Ingress2_Base", 16, 16 },
1668		{ "Ingress1_Base", 0, 16 },
1669	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1314, 0 },
1670		{ "Ingress2_Base", 16, 16 },
1671		{ "Ingress1_Base", 0, 16 },
1672	{ "SGE_QUEUE_BASE_MAP_LOW", 0x131c, 0 },
1673		{ "Ingress2_Base", 16, 16 },
1674		{ "Ingress1_Base", 0, 16 },
1675	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1324, 0 },
1676		{ "Ingress2_Base", 16, 16 },
1677		{ "Ingress1_Base", 0, 16 },
1678	{ "SGE_QUEUE_BASE_MAP_LOW", 0x132c, 0 },
1679		{ "Ingress2_Base", 16, 16 },
1680		{ "Ingress1_Base", 0, 16 },
1681	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1334, 0 },
1682		{ "Ingress2_Base", 16, 16 },
1683		{ "Ingress1_Base", 0, 16 },
1684	{ "SGE_QUEUE_BASE_MAP_LOW", 0x133c, 0 },
1685		{ "Ingress2_Base", 16, 16 },
1686		{ "Ingress1_Base", 0, 16 },
1687	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1344, 0 },
1688		{ "Ingress2_Base", 16, 16 },
1689		{ "Ingress1_Base", 0, 16 },
1690	{ "SGE_QUEUE_BASE_MAP_LOW", 0x134c, 0 },
1691		{ "Ingress2_Base", 16, 16 },
1692		{ "Ingress1_Base", 0, 16 },
1693	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1354, 0 },
1694		{ "Ingress2_Base", 16, 16 },
1695		{ "Ingress1_Base", 0, 16 },
1696	{ "SGE_QUEUE_BASE_MAP_LOW", 0x135c, 0 },
1697		{ "Ingress2_Base", 16, 16 },
1698		{ "Ingress1_Base", 0, 16 },
1699	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1364, 0 },
1700		{ "Ingress2_Base", 16, 16 },
1701		{ "Ingress1_Base", 0, 16 },
1702	{ "SGE_QUEUE_BASE_MAP_LOW", 0x136c, 0 },
1703		{ "Ingress2_Base", 16, 16 },
1704		{ "Ingress1_Base", 0, 16 },
1705	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1374, 0 },
1706		{ "Ingress2_Base", 16, 16 },
1707		{ "Ingress1_Base", 0, 16 },
1708	{ "SGE_QUEUE_BASE_MAP_LOW", 0x137c, 0 },
1709		{ "Ingress2_Base", 16, 16 },
1710		{ "Ingress1_Base", 0, 16 },
1711	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1384, 0 },
1712		{ "Ingress2_Base", 16, 16 },
1713		{ "Ingress1_Base", 0, 16 },
1714	{ "SGE_QUEUE_BASE_MAP_LOW", 0x138c, 0 },
1715		{ "Ingress2_Base", 16, 16 },
1716		{ "Ingress1_Base", 0, 16 },
1717	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1394, 0 },
1718		{ "Ingress2_Base", 16, 16 },
1719		{ "Ingress1_Base", 0, 16 },
1720	{ "SGE_QUEUE_BASE_MAP_LOW", 0x139c, 0 },
1721		{ "Ingress2_Base", 16, 16 },
1722		{ "Ingress1_Base", 0, 16 },
1723	{ "SGE_QUEUE_BASE_MAP_LOW", 0x13a4, 0 },
1724		{ "Ingress2_Base", 16, 16 },
1725		{ "Ingress1_Base", 0, 16 },
1726	{ "SGE_QUEUE_BASE_MAP_LOW", 0x13ac, 0 },
1727		{ "Ingress2_Base", 16, 16 },
1728		{ "Ingress1_Base", 0, 16 },
1729	{ "SGE_QUEUE_BASE_MAP_LOW", 0x13b4, 0 },
1730		{ "Ingress2_Base", 16, 16 },
1731		{ "Ingress1_Base", 0, 16 },
1732	{ "SGE_QUEUE_BASE_MAP_LOW", 0x13bc, 0 },
1733		{ "Ingress2_Base", 16, 16 },
1734		{ "Ingress1_Base", 0, 16 },
1735	{ "SGE_QUEUE_BASE_MAP_LOW", 0x13c4, 0 },
1736		{ "Ingress2_Base", 16, 16 },
1737		{ "Ingress1_Base", 0, 16 },
1738	{ "SGE_QUEUE_BASE_MAP_LOW", 0x13cc, 0 },
1739		{ "Ingress2_Base", 16, 16 },
1740		{ "Ingress1_Base", 0, 16 },
1741	{ "SGE_QUEUE_BASE_MAP_LOW", 0x13d4, 0 },
1742		{ "Ingress2_Base", 16, 16 },
1743		{ "Ingress1_Base", 0, 16 },
1744	{ "SGE_QUEUE_BASE_MAP_LOW", 0x13dc, 0 },
1745		{ "Ingress2_Base", 16, 16 },
1746		{ "Ingress1_Base", 0, 16 },
1747	{ "SGE_QUEUE_BASE_MAP_LOW", 0x13e4, 0 },
1748		{ "Ingress2_Base", 16, 16 },
1749		{ "Ingress1_Base", 0, 16 },
1750	{ "SGE_QUEUE_BASE_MAP_LOW", 0x13ec, 0 },
1751		{ "Ingress2_Base", 16, 16 },
1752		{ "Ingress1_Base", 0, 16 },
1753	{ "SGE_QUEUE_BASE_MAP_LOW", 0x13f4, 0 },
1754		{ "Ingress2_Base", 16, 16 },
1755		{ "Ingress1_Base", 0, 16 },
1756	{ "SGE_QUEUE_BASE_MAP_LOW", 0x13fc, 0 },
1757		{ "Ingress2_Base", 16, 16 },
1758		{ "Ingress1_Base", 0, 16 },
1759	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1404, 0 },
1760		{ "Ingress2_Base", 16, 16 },
1761		{ "Ingress1_Base", 0, 16 },
1762	{ "SGE_QUEUE_BASE_MAP_LOW", 0x140c, 0 },
1763		{ "Ingress2_Base", 16, 16 },
1764		{ "Ingress1_Base", 0, 16 },
1765	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1414, 0 },
1766		{ "Ingress2_Base", 16, 16 },
1767		{ "Ingress1_Base", 0, 16 },
1768	{ "SGE_QUEUE_BASE_MAP_LOW", 0x141c, 0 },
1769		{ "Ingress2_Base", 16, 16 },
1770		{ "Ingress1_Base", 0, 16 },
1771	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1424, 0 },
1772		{ "Ingress2_Base", 16, 16 },
1773		{ "Ingress1_Base", 0, 16 },
1774	{ "SGE_QUEUE_BASE_MAP_LOW", 0x142c, 0 },
1775		{ "Ingress2_Base", 16, 16 },
1776		{ "Ingress1_Base", 0, 16 },
1777	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1434, 0 },
1778		{ "Ingress2_Base", 16, 16 },
1779		{ "Ingress1_Base", 0, 16 },
1780	{ "SGE_QUEUE_BASE_MAP_LOW", 0x143c, 0 },
1781		{ "Ingress2_Base", 16, 16 },
1782		{ "Ingress1_Base", 0, 16 },
1783	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1444, 0 },
1784		{ "Ingress2_Base", 16, 16 },
1785		{ "Ingress1_Base", 0, 16 },
1786	{ "SGE_QUEUE_BASE_MAP_LOW", 0x144c, 0 },
1787		{ "Ingress2_Base", 16, 16 },
1788		{ "Ingress1_Base", 0, 16 },
1789	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1454, 0 },
1790		{ "Ingress2_Base", 16, 16 },
1791		{ "Ingress1_Base", 0, 16 },
1792	{ "SGE_QUEUE_BASE_MAP_LOW", 0x145c, 0 },
1793		{ "Ingress2_Base", 16, 16 },
1794		{ "Ingress1_Base", 0, 16 },
1795	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1464, 0 },
1796		{ "Ingress2_Base", 16, 16 },
1797		{ "Ingress1_Base", 0, 16 },
1798	{ "SGE_QUEUE_BASE_MAP_LOW", 0x146c, 0 },
1799		{ "Ingress2_Base", 16, 16 },
1800		{ "Ingress1_Base", 0, 16 },
1801	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1474, 0 },
1802		{ "Ingress2_Base", 16, 16 },
1803		{ "Ingress1_Base", 0, 16 },
1804	{ "SGE_QUEUE_BASE_MAP_LOW", 0x147c, 0 },
1805		{ "Ingress2_Base", 16, 16 },
1806		{ "Ingress1_Base", 0, 16 },
1807	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1484, 0 },
1808		{ "Ingress2_Base", 16, 16 },
1809		{ "Ingress1_Base", 0, 16 },
1810	{ "SGE_QUEUE_BASE_MAP_LOW", 0x148c, 0 },
1811		{ "Ingress2_Base", 16, 16 },
1812		{ "Ingress1_Base", 0, 16 },
1813	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1494, 0 },
1814		{ "Ingress2_Base", 16, 16 },
1815		{ "Ingress1_Base", 0, 16 },
1816	{ "SGE_QUEUE_BASE_MAP_LOW", 0x149c, 0 },
1817		{ "Ingress2_Base", 16, 16 },
1818		{ "Ingress1_Base", 0, 16 },
1819	{ "SGE_QUEUE_BASE_MAP_LOW", 0x14a4, 0 },
1820		{ "Ingress2_Base", 16, 16 },
1821		{ "Ingress1_Base", 0, 16 },
1822	{ "SGE_QUEUE_BASE_MAP_LOW", 0x14ac, 0 },
1823		{ "Ingress2_Base", 16, 16 },
1824		{ "Ingress1_Base", 0, 16 },
1825	{ "SGE_QUEUE_BASE_MAP_LOW", 0x14b4, 0 },
1826		{ "Ingress2_Base", 16, 16 },
1827		{ "Ingress1_Base", 0, 16 },
1828	{ "SGE_QUEUE_BASE_MAP_LOW", 0x14bc, 0 },
1829		{ "Ingress2_Base", 16, 16 },
1830		{ "Ingress1_Base", 0, 16 },
1831	{ "SGE_QUEUE_BASE_MAP_LOW", 0x14c4, 0 },
1832		{ "Ingress2_Base", 16, 16 },
1833		{ "Ingress1_Base", 0, 16 },
1834	{ "SGE_QUEUE_BASE_MAP_LOW", 0x14cc, 0 },
1835		{ "Ingress2_Base", 16, 16 },
1836		{ "Ingress1_Base", 0, 16 },
1837	{ "SGE_QUEUE_BASE_MAP_LOW", 0x14d4, 0 },
1838		{ "Ingress2_Base", 16, 16 },
1839		{ "Ingress1_Base", 0, 16 },
1840	{ "SGE_QUEUE_BASE_MAP_LOW", 0x14dc, 0 },
1841		{ "Ingress2_Base", 16, 16 },
1842		{ "Ingress1_Base", 0, 16 },
1843	{ "SGE_QUEUE_BASE_MAP_LOW", 0x14e4, 0 },
1844		{ "Ingress2_Base", 16, 16 },
1845		{ "Ingress1_Base", 0, 16 },
1846	{ "SGE_QUEUE_BASE_MAP_LOW", 0x14ec, 0 },
1847		{ "Ingress2_Base", 16, 16 },
1848		{ "Ingress1_Base", 0, 16 },
1849	{ "SGE_QUEUE_BASE_MAP_LOW", 0x14f4, 0 },
1850		{ "Ingress2_Base", 16, 16 },
1851		{ "Ingress1_Base", 0, 16 },
1852	{ "SGE_QUEUE_BASE_MAP_LOW", 0x14fc, 0 },
1853		{ "Ingress2_Base", 16, 16 },
1854		{ "Ingress1_Base", 0, 16 },
1855	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1504, 0 },
1856		{ "Ingress2_Base", 16, 16 },
1857		{ "Ingress1_Base", 0, 16 },
1858	{ "SGE_QUEUE_BASE_MAP_LOW", 0x150c, 0 },
1859		{ "Ingress2_Base", 16, 16 },
1860		{ "Ingress1_Base", 0, 16 },
1861	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1514, 0 },
1862		{ "Ingress2_Base", 16, 16 },
1863		{ "Ingress1_Base", 0, 16 },
1864	{ "SGE_QUEUE_BASE_MAP_LOW", 0x151c, 0 },
1865		{ "Ingress2_Base", 16, 16 },
1866		{ "Ingress1_Base", 0, 16 },
1867	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1524, 0 },
1868		{ "Ingress2_Base", 16, 16 },
1869		{ "Ingress1_Base", 0, 16 },
1870	{ "SGE_QUEUE_BASE_MAP_LOW", 0x152c, 0 },
1871		{ "Ingress2_Base", 16, 16 },
1872		{ "Ingress1_Base", 0, 16 },
1873	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1534, 0 },
1874		{ "Ingress2_Base", 16, 16 },
1875		{ "Ingress1_Base", 0, 16 },
1876	{ "SGE_QUEUE_BASE_MAP_LOW", 0x153c, 0 },
1877		{ "Ingress2_Base", 16, 16 },
1878		{ "Ingress1_Base", 0, 16 },
1879	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1544, 0 },
1880		{ "Ingress2_Base", 16, 16 },
1881		{ "Ingress1_Base", 0, 16 },
1882	{ "SGE_QUEUE_BASE_MAP_LOW", 0x154c, 0 },
1883		{ "Ingress2_Base", 16, 16 },
1884		{ "Ingress1_Base", 0, 16 },
1885	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1554, 0 },
1886		{ "Ingress2_Base", 16, 16 },
1887		{ "Ingress1_Base", 0, 16 },
1888	{ "SGE_QUEUE_BASE_MAP_LOW", 0x155c, 0 },
1889		{ "Ingress2_Base", 16, 16 },
1890		{ "Ingress1_Base", 0, 16 },
1891	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1564, 0 },
1892		{ "Ingress2_Base", 16, 16 },
1893		{ "Ingress1_Base", 0, 16 },
1894	{ "SGE_QUEUE_BASE_MAP_LOW", 0x156c, 0 },
1895		{ "Ingress2_Base", 16, 16 },
1896		{ "Ingress1_Base", 0, 16 },
1897	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1574, 0 },
1898		{ "Ingress2_Base", 16, 16 },
1899		{ "Ingress1_Base", 0, 16 },
1900	{ "SGE_QUEUE_BASE_MAP_LOW", 0x157c, 0 },
1901		{ "Ingress2_Base", 16, 16 },
1902		{ "Ingress1_Base", 0, 16 },
1903	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1584, 0 },
1904		{ "Ingress2_Base", 16, 16 },
1905		{ "Ingress1_Base", 0, 16 },
1906	{ "SGE_QUEUE_BASE_MAP_LOW", 0x158c, 0 },
1907		{ "Ingress2_Base", 16, 16 },
1908		{ "Ingress1_Base", 0, 16 },
1909	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1594, 0 },
1910		{ "Ingress2_Base", 16, 16 },
1911		{ "Ingress1_Base", 0, 16 },
1912	{ "SGE_QUEUE_BASE_MAP_LOW", 0x159c, 0 },
1913		{ "Ingress2_Base", 16, 16 },
1914		{ "Ingress1_Base", 0, 16 },
1915	{ "SGE_QUEUE_BASE_MAP_LOW", 0x15a4, 0 },
1916		{ "Ingress2_Base", 16, 16 },
1917		{ "Ingress1_Base", 0, 16 },
1918	{ "SGE_QUEUE_BASE_MAP_LOW", 0x15ac, 0 },
1919		{ "Ingress2_Base", 16, 16 },
1920		{ "Ingress1_Base", 0, 16 },
1921	{ "SGE_QUEUE_BASE_MAP_LOW", 0x15b4, 0 },
1922		{ "Ingress2_Base", 16, 16 },
1923		{ "Ingress1_Base", 0, 16 },
1924	{ "SGE_QUEUE_BASE_MAP_LOW", 0x15bc, 0 },
1925		{ "Ingress2_Base", 16, 16 },
1926		{ "Ingress1_Base", 0, 16 },
1927	{ "SGE_QUEUE_BASE_MAP_LOW", 0x15c4, 0 },
1928		{ "Ingress2_Base", 16, 16 },
1929		{ "Ingress1_Base", 0, 16 },
1930	{ "SGE_QUEUE_BASE_MAP_LOW", 0x15cc, 0 },
1931		{ "Ingress2_Base", 16, 16 },
1932		{ "Ingress1_Base", 0, 16 },
1933	{ "SGE_QUEUE_BASE_MAP_LOW", 0x15d4, 0 },
1934		{ "Ingress2_Base", 16, 16 },
1935		{ "Ingress1_Base", 0, 16 },
1936	{ "SGE_QUEUE_BASE_MAP_LOW", 0x15dc, 0 },
1937		{ "Ingress2_Base", 16, 16 },
1938		{ "Ingress1_Base", 0, 16 },
1939	{ "SGE_QUEUE_BASE_MAP_LOW", 0x15e4, 0 },
1940		{ "Ingress2_Base", 16, 16 },
1941		{ "Ingress1_Base", 0, 16 },
1942	{ "SGE_QUEUE_BASE_MAP_LOW", 0x15ec, 0 },
1943		{ "Ingress2_Base", 16, 16 },
1944		{ "Ingress1_Base", 0, 16 },
1945	{ "SGE_QUEUE_BASE_MAP_LOW", 0x15f4, 0 },
1946		{ "Ingress2_Base", 16, 16 },
1947		{ "Ingress1_Base", 0, 16 },
1948	{ "SGE_QUEUE_BASE_MAP_LOW", 0x15fc, 0 },
1949		{ "Ingress2_Base", 16, 16 },
1950		{ "Ingress1_Base", 0, 16 },
1951	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1604, 0 },
1952		{ "Ingress2_Base", 16, 16 },
1953		{ "Ingress1_Base", 0, 16 },
1954	{ "SGE_QUEUE_BASE_MAP_LOW", 0x160c, 0 },
1955		{ "Ingress2_Base", 16, 16 },
1956		{ "Ingress1_Base", 0, 16 },
1957	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1614, 0 },
1958		{ "Ingress2_Base", 16, 16 },
1959		{ "Ingress1_Base", 0, 16 },
1960	{ "SGE_QUEUE_BASE_MAP_LOW", 0x161c, 0 },
1961		{ "Ingress2_Base", 16, 16 },
1962		{ "Ingress1_Base", 0, 16 },
1963	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1624, 0 },
1964		{ "Ingress2_Base", 16, 16 },
1965		{ "Ingress1_Base", 0, 16 },
1966	{ "SGE_QUEUE_BASE_MAP_LOW", 0x162c, 0 },
1967		{ "Ingress2_Base", 16, 16 },
1968		{ "Ingress1_Base", 0, 16 },
1969	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1634, 0 },
1970		{ "Ingress2_Base", 16, 16 },
1971		{ "Ingress1_Base", 0, 16 },
1972	{ "SGE_QUEUE_BASE_MAP_LOW", 0x163c, 0 },
1973		{ "Ingress2_Base", 16, 16 },
1974		{ "Ingress1_Base", 0, 16 },
1975	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1644, 0 },
1976		{ "Ingress2_Base", 16, 16 },
1977		{ "Ingress1_Base", 0, 16 },
1978	{ "SGE_QUEUE_BASE_MAP_LOW", 0x164c, 0 },
1979		{ "Ingress2_Base", 16, 16 },
1980		{ "Ingress1_Base", 0, 16 },
1981	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1654, 0 },
1982		{ "Ingress2_Base", 16, 16 },
1983		{ "Ingress1_Base", 0, 16 },
1984	{ "SGE_QUEUE_BASE_MAP_LOW", 0x165c, 0 },
1985		{ "Ingress2_Base", 16, 16 },
1986		{ "Ingress1_Base", 0, 16 },
1987	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1664, 0 },
1988		{ "Ingress2_Base", 16, 16 },
1989		{ "Ingress1_Base", 0, 16 },
1990	{ "SGE_QUEUE_BASE_MAP_LOW", 0x166c, 0 },
1991		{ "Ingress2_Base", 16, 16 },
1992		{ "Ingress1_Base", 0, 16 },
1993	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1674, 0 },
1994		{ "Ingress2_Base", 16, 16 },
1995		{ "Ingress1_Base", 0, 16 },
1996	{ "SGE_QUEUE_BASE_MAP_LOW", 0x167c, 0 },
1997		{ "Ingress2_Base", 16, 16 },
1998		{ "Ingress1_Base", 0, 16 },
1999	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1684, 0 },
2000		{ "Ingress2_Base", 16, 16 },
2001		{ "Ingress1_Base", 0, 16 },
2002	{ "SGE_QUEUE_BASE_MAP_LOW", 0x168c, 0 },
2003		{ "Ingress2_Base", 16, 16 },
2004		{ "Ingress1_Base", 0, 16 },
2005	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1694, 0 },
2006		{ "Ingress2_Base", 16, 16 },
2007		{ "Ingress1_Base", 0, 16 },
2008	{ "SGE_QUEUE_BASE_MAP_LOW", 0x169c, 0 },
2009		{ "Ingress2_Base", 16, 16 },
2010		{ "Ingress1_Base", 0, 16 },
2011	{ "SGE_QUEUE_BASE_MAP_LOW", 0x16a4, 0 },
2012		{ "Ingress2_Base", 16, 16 },
2013		{ "Ingress1_Base", 0, 16 },
2014	{ "SGE_QUEUE_BASE_MAP_LOW", 0x16ac, 0 },
2015		{ "Ingress2_Base", 16, 16 },
2016		{ "Ingress1_Base", 0, 16 },
2017	{ "SGE_QUEUE_BASE_MAP_LOW", 0x16b4, 0 },
2018		{ "Ingress2_Base", 16, 16 },
2019		{ "Ingress1_Base", 0, 16 },
2020	{ "SGE_QUEUE_BASE_MAP_LOW", 0x16bc, 0 },
2021		{ "Ingress2_Base", 16, 16 },
2022		{ "Ingress1_Base", 0, 16 },
2023	{ "SGE_QUEUE_BASE_MAP_LOW", 0x16c4, 0 },
2024		{ "Ingress2_Base", 16, 16 },
2025		{ "Ingress1_Base", 0, 16 },
2026	{ "SGE_QUEUE_BASE_MAP_LOW", 0x16cc, 0 },
2027		{ "Ingress2_Base", 16, 16 },
2028		{ "Ingress1_Base", 0, 16 },
2029	{ "SGE_QUEUE_BASE_MAP_LOW", 0x16d4, 0 },
2030		{ "Ingress2_Base", 16, 16 },
2031		{ "Ingress1_Base", 0, 16 },
2032	{ "SGE_QUEUE_BASE_MAP_LOW", 0x16dc, 0 },
2033		{ "Ingress2_Base", 16, 16 },
2034		{ "Ingress1_Base", 0, 16 },
2035	{ "SGE_QUEUE_BASE_MAP_LOW", 0x16e4, 0 },
2036		{ "Ingress2_Base", 16, 16 },
2037		{ "Ingress1_Base", 0, 16 },
2038	{ "SGE_QUEUE_BASE_MAP_LOW", 0x16ec, 0 },
2039		{ "Ingress2_Base", 16, 16 },
2040		{ "Ingress1_Base", 0, 16 },
2041	{ "SGE_QUEUE_BASE_MAP_LOW", 0x16f4, 0 },
2042		{ "Ingress2_Base", 16, 16 },
2043		{ "Ingress1_Base", 0, 16 },
2044	{ "SGE_QUEUE_BASE_MAP_LOW", 0x16fc, 0 },
2045		{ "Ingress2_Base", 16, 16 },
2046		{ "Ingress1_Base", 0, 16 },
2047	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1704, 0 },
2048		{ "Ingress2_Base", 16, 16 },
2049		{ "Ingress1_Base", 0, 16 },
2050	{ "SGE_QUEUE_BASE_MAP_LOW", 0x170c, 0 },
2051		{ "Ingress2_Base", 16, 16 },
2052		{ "Ingress1_Base", 0, 16 },
2053	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1714, 0 },
2054		{ "Ingress2_Base", 16, 16 },
2055		{ "Ingress1_Base", 0, 16 },
2056	{ "SGE_QUEUE_BASE_MAP_LOW", 0x171c, 0 },
2057		{ "Ingress2_Base", 16, 16 },
2058		{ "Ingress1_Base", 0, 16 },
2059	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1724, 0 },
2060		{ "Ingress2_Base", 16, 16 },
2061		{ "Ingress1_Base", 0, 16 },
2062	{ "SGE_QUEUE_BASE_MAP_LOW", 0x172c, 0 },
2063		{ "Ingress2_Base", 16, 16 },
2064		{ "Ingress1_Base", 0, 16 },
2065	{ "SGE_QUEUE_BASE_MAP_LOW", 0x1734, 0 },
2066		{ "Ingress2_Base", 16, 16 },
2067		{ "Ingress1_Base", 0, 16 },
2068	{ "SGE_QUEUE_BASE_MAP_LOW", 0x173c, 0 },
2069		{ "Ingress2_Base", 16, 16 },
2070		{ "Ingress1_Base", 0, 16 },
2071	{ "SGE_LA_RDPTR_0", 0x1800, 0 },
2072	{ "SGE_LA_RDDATA_0", 0x1804, 0 },
2073	{ "SGE_LA_WRPTR_0", 0x1808, 0 },
2074	{ "SGE_LA_RESERVED_0", 0x180c, 0 },
2075	{ "SGE_LA_RDPTR_1", 0x1810, 0 },
2076	{ "SGE_LA_RDDATA_1", 0x1814, 0 },
2077	{ "SGE_LA_WRPTR_1", 0x1818, 0 },
2078	{ "SGE_LA_RESERVED_1", 0x181c, 0 },
2079	{ "SGE_LA_RDPTR_2", 0x1820, 0 },
2080	{ "SGE_LA_RDDATA_2", 0x1824, 0 },
2081	{ "SGE_LA_WRPTR_2", 0x1828, 0 },
2082	{ "SGE_LA_RESERVED_2", 0x182c, 0 },
2083	{ "SGE_LA_RDPTR_3", 0x1830, 0 },
2084	{ "SGE_LA_RDDATA_3", 0x1834, 0 },
2085	{ "SGE_LA_WRPTR_3", 0x1838, 0 },
2086	{ "SGE_LA_RESERVED_3", 0x183c, 0 },
2087	{ "SGE_LA_RDPTR_4", 0x1840, 0 },
2088	{ "SGE_LA_RDDATA_4", 0x1844, 0 },
2089	{ "SGE_LA_WRPTR_4", 0x1848, 0 },
2090	{ "SGE_LA_RESERVED_4", 0x184c, 0 },
2091	{ "SGE_LA_RDPTR_5", 0x1850, 0 },
2092	{ "SGE_LA_RDDATA_5", 0x1854, 0 },
2093	{ "SGE_LA_WRPTR_5", 0x1858, 0 },
2094	{ "SGE_LA_RESERVED_5", 0x185c, 0 },
2095	{ "SGE_LA_RDPTR_6", 0x1860, 0 },
2096	{ "SGE_LA_RDDATA_6", 0x1864, 0 },
2097	{ "SGE_LA_WRPTR_6", 0x1868, 0 },
2098	{ "SGE_LA_RESERVED_6", 0x186c, 0 },
2099	{ "SGE_LA_RDPTR_7", 0x1870, 0 },
2100	{ "SGE_LA_RDDATA_7", 0x1874, 0 },
2101	{ "SGE_LA_WRPTR_7", 0x1878, 0 },
2102	{ "SGE_LA_RESERVED_7", 0x187c, 0 },
2103	{ "SGE_LA_RDPTR_8", 0x1880, 0 },
2104	{ "SGE_LA_RDDATA_8", 0x1884, 0 },
2105	{ "SGE_LA_WRPTR_8", 0x1888, 0 },
2106	{ "SGE_LA_RESERVED_8", 0x188c, 0 },
2107	{ "SGE_LA_RDPTR_9", 0x1890, 0 },
2108	{ "SGE_LA_RDDATA_9", 0x1894, 0 },
2109	{ "SGE_LA_WRPTR_9", 0x1898, 0 },
2110	{ "SGE_LA_RESERVED_9", 0x189c, 0 },
2111	{ "SGE_LA_RDPTR_10", 0x18a0, 0 },
2112	{ "SGE_LA_RDDATA_10", 0x18a4, 0 },
2113	{ "SGE_LA_WRPTR_10", 0x18a8, 0 },
2114	{ "SGE_LA_RESERVED_10", 0x18ac, 0 },
2115	{ "SGE_LA_RDPTR_11", 0x18b0, 0 },
2116	{ "SGE_LA_RDDATA_11", 0x18b4, 0 },
2117	{ "SGE_LA_WRPTR_11", 0x18b8, 0 },
2118	{ "SGE_LA_RESERVED_11", 0x18bc, 0 },
2119	{ "SGE_LA_RDPTR_12", 0x18c0, 0 },
2120	{ "SGE_LA_RDDATA_12", 0x18c4, 0 },
2121	{ "SGE_LA_WRPTR_12", 0x18c8, 0 },
2122	{ "SGE_LA_RESERVED_12", 0x18cc, 0 },
2123	{ "SGE_LA_RDPTR_13", 0x18d0, 0 },
2124	{ "SGE_LA_RDDATA_13", 0x18d4, 0 },
2125	{ "SGE_LA_WRPTR_13", 0x18d8, 0 },
2126	{ "SGE_LA_RESERVED_13", 0x18dc, 0 },
2127	{ "SGE_LA_RDPTR_14", 0x18e0, 0 },
2128	{ "SGE_LA_RDDATA_14", 0x18e4, 0 },
2129	{ "SGE_LA_WRPTR_14", 0x18e8, 0 },
2130	{ "SGE_LA_RESERVED_14", 0x18ec, 0 },
2131	{ "SGE_LA_RDPTR_15", 0x18f0, 0 },
2132	{ "SGE_LA_RDDATA_15", 0x18f4, 0 },
2133	{ "SGE_LA_WRPTR_15", 0x18f8, 0 },
2134	{ "SGE_LA_RESERVED_15", 0x18fc, 0 },
2135	{ NULL }
2136};
2137
2138struct reg_info t5_pcie_regs[] = {
2139	{ "PCIE_INT_ENABLE", 0x3000, 0 },
2140		{ "IPGrpPerr", 31, 1 },
2141		{ "NonFatalErr", 30, 1 },
2142		{ "RdRspErr", 29, 1 },
2143		{ "TRGT1GrpPerr", 28, 1 },
2144		{ "IPSOTPerr", 27, 1 },
2145		{ "IPRetryPerr", 26, 1 },
2146		{ "IPRxDataGrpPerr", 25, 1 },
2147		{ "IPRxHdrGrpPerr", 24, 1 },
2148		{ "PIOTagQPerr", 23, 1 },
2149		{ "MAGrpPerr", 22, 1 },
2150		{ "VFIDPerr", 21, 1 },
2151		{ "FIDPerr", 20, 1 },
2152		{ "CfgSnpPerr", 19, 1 },
2153		{ "HRspPerr", 18, 1 },
2154		{ "HReqRdPerr", 17, 1 },
2155		{ "HReqWrPerr", 16, 1 },
2156		{ "DRspPerr", 15, 1 },
2157		{ "DReqRdPerr", 14, 1 },
2158		{ "DReqWrPerr", 13, 1 },
2159		{ "CRspPerr", 12, 1 },
2160		{ "CReqRdPerr", 11, 1 },
2161		{ "MstTagQPerr", 10, 1 },
2162		{ "TgtTagQPerr", 9, 1 },
2163		{ "PIOReqGrpPerr", 8, 1 },
2164		{ "PIOCplGrpPerr", 7, 1 },
2165		{ "MSIXDIPerr", 6, 1 },
2166		{ "MSIXDataPerr", 5, 1 },
2167		{ "MSIXAddrHPerr", 4, 1 },
2168		{ "MSIXAddrLPerr", 3, 1 },
2169		{ "MSIXStiPerr", 2, 1 },
2170		{ "MstTimeoutPerr", 1, 1 },
2171		{ "MstGrpPerr", 0, 1 },
2172	{ "PCIE_INT_CAUSE", 0x3004, 0 },
2173		{ "IPGrpPerr", 31, 1 },
2174		{ "NonFatalErr", 30, 1 },
2175		{ "RdRspErr", 29, 1 },
2176		{ "TRGT1GrpPerr", 28, 1 },
2177		{ "IPSOTPerr", 27, 1 },
2178		{ "IPRetryPerr", 26, 1 },
2179		{ "IPRxDataGrpPerr", 25, 1 },
2180		{ "IPRxHdrGrpPerr", 24, 1 },
2181		{ "PIOTagQPerr", 23, 1 },
2182		{ "MAGrpPerr", 22, 1 },
2183		{ "VFIDPerr", 21, 1 },
2184		{ "FIDPerr", 20, 1 },
2185		{ "CfgSnpPerr", 19, 1 },
2186		{ "HRspPerr", 18, 1 },
2187		{ "HReqRdPerr", 17, 1 },
2188		{ "HReqWrPerr", 16, 1 },
2189		{ "DRspPerr", 15, 1 },
2190		{ "DReqRdPerr", 14, 1 },
2191		{ "DReqWrPerr", 13, 1 },
2192		{ "CRspPerr", 12, 1 },
2193		{ "CReqRdPerr", 11, 1 },
2194		{ "MstTagQPerr", 10, 1 },
2195		{ "TgtTagQPerr", 9, 1 },
2196		{ "PIOReqGrpPerr", 8, 1 },
2197		{ "PIOCplGrpPerr", 7, 1 },
2198		{ "MSIXDIPerr", 6, 1 },
2199		{ "MSIXDataPerr", 5, 1 },
2200		{ "MSIXAddrHPerr", 4, 1 },
2201		{ "MSIXAddrLPerr", 3, 1 },
2202		{ "MSIXStiPerr", 2, 1 },
2203		{ "MstTimeoutPerr", 1, 1 },
2204		{ "MstGrpPerr", 0, 1 },
2205	{ "PCIE_PERR_ENABLE", 0x3008, 0 },
2206		{ "IPGrpPerr", 31, 1 },
2207		{ "TRGT1GrpPerr", 28, 1 },
2208		{ "IPSOTPerr", 27, 1 },
2209		{ "IPRetryPerr", 26, 1 },
2210		{ "IPRxDataGrpPerr", 25, 1 },
2211		{ "IPRxHdrGrpPerr", 24, 1 },
2212		{ "PIOTagQPerr", 23, 1 },
2213		{ "MAGrpPerr", 22, 1 },
2214		{ "VFIDPerr", 21, 1 },
2215		{ "FIDPerr", 20, 1 },
2216		{ "CfgSnpPerr", 19, 1 },
2217		{ "HRspPerr", 18, 1 },
2218		{ "HReqRdPerr", 17, 1 },
2219		{ "HReqWrPerr", 16, 1 },
2220		{ "DRspPerr", 15, 1 },
2221		{ "DReqRdPerr", 14, 1 },
2222		{ "DReqWrPerr", 13, 1 },
2223		{ "CRspPerr", 12, 1 },
2224		{ "CReqRdPerr", 11, 1 },
2225		{ "MstTagQPerr", 10, 1 },
2226		{ "TgtTagQPerr", 9, 1 },
2227		{ "PIOReqGrpPerr", 8, 1 },
2228		{ "PIOCplGrpPerr", 7, 1 },
2229		{ "MSIXDIPerr", 6, 1 },
2230		{ "MSIXDataPerr", 5, 1 },
2231		{ "MSIXAddrHPerr", 4, 1 },
2232		{ "MSIXAddrLPerr", 3, 1 },
2233		{ "MSIXStiPerr", 2, 1 },
2234		{ "MstTimeoutPerr", 1, 1 },
2235		{ "MstGrpPerr", 0, 1 },
2236	{ "PCIE_PERR_INJECT", 0x300c, 0 },
2237		{ "MemSel", 1, 5 },
2238		{ "IDE", 0, 1 },
2239	{ "PCIE_NONFAT_ERR", 0x3010, 0 },
2240		{ "MAReqTimeout", 29, 1 },
2241		{ "TRGT1BARTypeErr", 28, 1 },
2242		{ "MAExtraRspErr", 27, 1 },
2243		{ "MARspTimeout", 26, 1 },
2244		{ "INTVFAllMSIDisErr", 25, 1 },
2245		{ "INTVFRangeErr", 24, 1 },
2246		{ "INTPLIRspErr", 23, 1 },
2247		{ "MEMReqRdTagErr", 22, 1 },
2248		{ "CFGInitDoneErr", 21, 1 },
2249		{ "BAR2Timeout", 20, 1 },
2250		{ "VPDTimeout", 19, 1 },
2251		{ "MEMRspRdTagErr", 18, 1 },
2252		{ "MEMRspWrTagErr", 17, 1 },
2253		{ "PIORspRdTagErr", 16, 1 },
2254		{ "PIORspWrTagErr", 15, 1 },
2255		{ "DBITimeout", 14, 1 },
2256		{ "PIOUnAlindWr", 13, 1 },
2257		{ "BAR2RdErr", 12, 1 },
2258		{ "MAWrEOPErr", 11, 1 },
2259		{ "MARdEOPErr", 10, 1 },
2260		{ "RdRspErr", 9, 1 },
2261		{ "VPDRspErr", 8, 1 },
2262		{ "MemReq", 4, 1 },
2263		{ "PIOReq", 3, 1 },
2264		{ "BAR2Req", 2, 1 },
2265		{ "CfgSnp", 0, 1 },
2266	{ "PCIE_CFG", 0x3014, 0 },
2267		{ "PIOStopEn", 31, 1 },
2268		{ "DiagCtrlBus", 28, 3 },
2269		{ "IPPerrEn", 27, 1 },
2270		{ "CfgdExtTagEn", 26, 1 },
2271		{ "CfgdMaxPyldSz", 23, 3 },
2272		{ "CfgdMaxRdReqSz", 20, 3 },
2273		{ "DCAEn", 17, 1 },
2274		{ "CMDReqPriority", 16, 1 },
2275		{ "VPDReqProtect", 14, 2 },
2276		{ "DroppedRdRspData", 12, 1 },
2277		{ "AI_INTX_ReAssertEn", 11, 1 },
2278		{ "AutoTxnDisable", 10, 1 },
2279		{ "TC0_Stamp", 9, 1 },
2280		{ "AI_TCVal", 6, 3 },
2281		{ "DMAStopEn", 5, 1 },
2282		{ "DevStateRstMode", 4, 1 },
2283		{ "LinkReqRstPCIeCRstMode", 3, 1 },
2284		{ "LinkDnRstEn", 0, 1 },
2285	{ "PCIE_CFG2", 0x3018, 0 },
2286		{ "VPDTimer", 16, 16 },
2287		{ "BAR2Timer", 4, 12 },
2288		{ "MstReqRdRRASimple", 3, 1 },
2289		{ "TotMaxTag", 0, 2 },
2290	{ "PCIE_CFG3", 0x301c, 0 },
2291		{ "AutoPIOCookieMatch", 6, 1 },
2292		{ "FLRPndCplMode", 4, 2 },
2293		{ "HMADCASTFirstOnly", 2, 1 },
2294		{ "CMDDCASTFirstOnly", 1, 1 },
2295		{ "DMADCASTFirstOnly", 0, 1 },
2296	{ "PCIE_CFG4", 0x3020, 0 },
2297		{ "L1ClkRemovalEn", 17, 1 },
2298		{ "ReadyEnterL23", 16, 1 },
2299		{ "ExitL1", 12, 1 },
2300		{ "EnterL1", 8, 1 },
2301		{ "GenPME", 0, 8 },
2302	{ "PCIE_CFG5", 0x3024, 0 },
2303		{ "EnableSKPParityFix", 2, 1 },
2304		{ "EnableL2EntryInL1", 1, 1 },
2305		{ "HoldCplEnteringL1", 0, 1 },
2306	{ "PCIE_CFG6", 0x3028, 0 },
2307		{ "PERstTimerCount", 12, 14 },
2308		{ "PERstTimeout", 8, 1 },
2309		{ "PERstTimer", 0, 4 },
2310	{ "PCIE_CFG_SPACE_REQ", 0x3060, 0 },
2311		{ "Enable", 30, 1 },
2312		{ "AI", 29, 1 },
2313		{ "CS2", 28, 1 },
2314		{ "WrBE", 24, 4 },
2315		{ "VFVld", 23, 1 },
2316		{ "RVF", 16, 7 },
2317		{ "PF", 12, 3 },
2318		{ "ExtRegister", 8, 4 },
2319		{ "Register", 0, 8 },
2320	{ "PCIE_CFG_SPACE_DATA", 0x3064, 0 },
2321	{ "PCIE_MEM_ACCESS_BASE_WIN", 0x3068, 0 },
2322		{ "PCIEOfst", 10, 22 },
2323		{ "BIR", 8, 2 },
2324		{ "Window", 0, 8 },
2325	{ "PCIE_MEM_ACCESS_OFFSET", 0x306c, 0 },
2326		{ "MemOfst", 7, 25 },
2327		{ "PFNum", 0, 3 },
2328	{ "PCIE_MEM_ACCESS_BASE_WIN", 0x3070, 0 },
2329		{ "PCIEOfst", 10, 22 },
2330		{ "BIR", 8, 2 },
2331		{ "Window", 0, 8 },
2332	{ "PCIE_MEM_ACCESS_OFFSET", 0x3074, 0 },
2333		{ "MemOfst", 7, 25 },
2334		{ "PFNum", 0, 3 },
2335	{ "PCIE_MEM_ACCESS_BASE_WIN", 0x3078, 0 },
2336		{ "PCIEOfst", 10, 22 },
2337		{ "BIR", 8, 2 },
2338		{ "Window", 0, 8 },
2339	{ "PCIE_MEM_ACCESS_OFFSET", 0x307c, 0 },
2340		{ "MemOfst", 7, 25 },
2341		{ "PFNum", 0, 3 },
2342	{ "PCIE_MEM_ACCESS_BASE_WIN", 0x3080, 0 },
2343		{ "PCIEOfst", 10, 22 },
2344		{ "BIR", 8, 2 },
2345		{ "Window", 0, 8 },
2346	{ "PCIE_MEM_ACCESS_OFFSET", 0x3084, 0 },
2347		{ "MemOfst", 7, 25 },
2348		{ "PFNum", 0, 3 },
2349	{ "PCIE_MEM_ACCESS_BASE_WIN", 0x3088, 0 },
2350		{ "PCIEOfst", 10, 22 },
2351		{ "BIR", 8, 2 },
2352		{ "Window", 0, 8 },
2353	{ "PCIE_MEM_ACCESS_OFFSET", 0x308c, 0 },
2354		{ "MemOfst", 7, 25 },
2355		{ "PFNum", 0, 3 },
2356	{ "PCIE_MEM_ACCESS_BASE_WIN", 0x3090, 0 },
2357		{ "PCIEOfst", 10, 22 },
2358		{ "BIR", 8, 2 },
2359		{ "Window", 0, 8 },
2360	{ "PCIE_MEM_ACCESS_OFFSET", 0x3094, 0 },
2361		{ "MemOfst", 7, 25 },
2362		{ "PFNum", 0, 3 },
2363	{ "PCIE_MEM_ACCESS_BASE_WIN", 0x3098, 0 },
2364		{ "PCIEOfst", 10, 22 },
2365		{ "BIR", 8, 2 },
2366		{ "Window", 0, 8 },
2367	{ "PCIE_MEM_ACCESS_OFFSET", 0x309c, 0 },
2368		{ "MemOfst", 7, 25 },
2369		{ "PFNum", 0, 3 },
2370	{ "PCIE_MEM_ACCESS_BASE_WIN", 0x30a0, 0 },
2371		{ "PCIEOfst", 10, 22 },
2372		{ "BIR", 8, 2 },
2373		{ "Window", 0, 8 },
2374	{ "PCIE_MEM_ACCESS_OFFSET", 0x30a4, 0 },
2375		{ "MemOfst", 7, 25 },
2376		{ "PFNum", 0, 3 },
2377	{ "PCIE_MAILBOX_BASE_WIN", 0x30a8, 0 },
2378		{ "PCIEOfst", 6, 26 },
2379		{ "BIR", 4, 2 },
2380		{ "Window", 0, 2 },
2381	{ "PCIE_MAILBOX_OFFSET", 0x30ac, 0 },
2382		{ "MemOfst", 7, 25 },
2383	{ "PCIE_MA_CTRL", 0x30b0, 0 },
2384		{ "TagFree", 29, 1 },
2385		{ "MaxRspCnt", 24, 5 },
2386		{ "MaxReqCnt", 16, 7 },
2387		{ "MaxReqSize", 8, 3 },
2388		{ "MaxTag", 0, 5 },
2389	{ "PCIE_FW", 0x30b8, 0 },
2390	{ "PCIE_FW_PF", 0x30bc, 0 },
2391	{ "PCIE_FW_PF", 0x30c0, 0 },
2392	{ "PCIE_FW_PF", 0x30c4, 0 },
2393	{ "PCIE_FW_PF", 0x30c8, 0 },
2394	{ "PCIE_FW_PF", 0x30cc, 0 },
2395	{ "PCIE_FW_PF", 0x30d0, 0 },
2396	{ "PCIE_FW_PF", 0x30d4, 0 },
2397	{ "PCIE_FW_PF", 0x30d8, 0 },
2398	{ "PCIE_PIO_PAUSE", 0x30dc, 0 },
2399		{ "PIOPauseDone", 31, 1 },
2400		{ "MSTPauseDone", 30, 1 },
2401		{ "PauseTime", 4, 24 },
2402		{ "MSTPause", 1, 1 },
2403		{ "PIOPause", 0, 1 },
2404	{ "PCIE_MA_STAT", 0x30e0, 0 },
2405	{ "PCIE_STATIC_CFG1", 0x30e4, 0 },
2406		{ "AUXPOWER_DETECTED", 27, 1 },
2407	{ "PCIE_STATIC_CFG2", 0x30e8, 0 },
2408		{ "PL_CONTROL", 16, 16 },
2409		{ "STATIC_SPARE3", 0, 14 },
2410	{ "PCIE_DBG_INDIR_REQ", 0x30ec, 0 },
2411		{ "Enable", 31, 1 },
2412		{ "AI", 30, 1 },
2413		{ "Pointer", 8, 16 },
2414		{ "Select", 0, 4 },
2415	{ "PCIE_DBG_INDIR_DATA_0", 0x30f0, 0 },
2416	{ "PCIE_DBG_INDIR_DATA_1", 0x30f4, 0 },
2417	{ "PCIE_DBG_INDIR_DATA_2", 0x30f8, 0 },
2418	{ "PCIE_DBG_INDIR_DATA_3", 0x30fc, 0 },
2419	{ "PCIE_PF_INT_CFG", 0x3140, 0 },
2420		{ "PBAOfst", 28, 4 },
2421		{ "TABOfst", 24, 4 },
2422		{ "VecNum", 12, 10 },
2423		{ "VecBase", 0, 11 },
2424	{ "PCIE_PF_INT_CFG2", 0x3144, 0 },
2425		{ "SendFLRRsp", 31, 1 },
2426		{ "ImmFLRRsp", 24, 1 },
2427		{ "TxnDisable", 20, 1 },
2428	{ "PCIE_PF_INT_CFG", 0x3148, 0 },
2429		{ "PBAOfst", 28, 4 },
2430		{ "TABOfst", 24, 4 },
2431		{ "VecNum", 12, 10 },
2432		{ "VecBase", 0, 11 },
2433	{ "PCIE_PF_INT_CFG2", 0x314c, 0 },
2434		{ "SendFLRRsp", 31, 1 },
2435		{ "ImmFLRRsp", 24, 1 },
2436		{ "TxnDisable", 20, 1 },
2437	{ "PCIE_PF_INT_CFG", 0x3150, 0 },
2438		{ "PBAOfst", 28, 4 },
2439		{ "TABOfst", 24, 4 },
2440		{ "VecNum", 12, 10 },
2441		{ "VecBase", 0, 11 },
2442	{ "PCIE_PF_INT_CFG2", 0x3154, 0 },
2443		{ "SendFLRRsp", 31, 1 },
2444		{ "ImmFLRRsp", 24, 1 },
2445		{ "TxnDisable", 20, 1 },
2446	{ "PCIE_PF_INT_CFG", 0x3158, 0 },
2447		{ "PBAOfst", 28, 4 },
2448		{ "TABOfst", 24, 4 },
2449		{ "VecNum", 12, 10 },
2450		{ "VecBase", 0, 11 },
2451	{ "PCIE_PF_INT_CFG2", 0x315c, 0 },
2452		{ "SendFLRRsp", 31, 1 },
2453		{ "ImmFLRRsp", 24, 1 },
2454		{ "TxnDisable", 20, 1 },
2455	{ "PCIE_PF_INT_CFG", 0x3160, 0 },
2456		{ "PBAOfst", 28, 4 },
2457		{ "TABOfst", 24, 4 },
2458		{ "VecNum", 12, 10 },
2459		{ "VecBase", 0, 11 },
2460	{ "PCIE_PF_INT_CFG2", 0x3164, 0 },
2461		{ "SendFLRRsp", 31, 1 },
2462		{ "ImmFLRRsp", 24, 1 },
2463		{ "TxnDisable", 20, 1 },
2464	{ "PCIE_PF_INT_CFG", 0x3168, 0 },
2465		{ "PBAOfst", 28, 4 },
2466		{ "TABOfst", 24, 4 },
2467		{ "VecNum", 12, 10 },
2468		{ "VecBase", 0, 11 },
2469	{ "PCIE_PF_INT_CFG2", 0x316c, 0 },
2470		{ "SendFLRRsp", 31, 1 },
2471		{ "ImmFLRRsp", 24, 1 },
2472		{ "TxnDisable", 20, 1 },
2473	{ "PCIE_PF_INT_CFG", 0x3170, 0 },
2474		{ "PBAOfst", 28, 4 },
2475		{ "TABOfst", 24, 4 },
2476		{ "VecNum", 12, 10 },
2477		{ "VecBase", 0, 11 },
2478	{ "PCIE_PF_INT_CFG2", 0x3174, 0 },
2479		{ "SendFLRRsp", 31, 1 },
2480		{ "ImmFLRRsp", 24, 1 },
2481		{ "TxnDisable", 20, 1 },
2482	{ "PCIE_PF_INT_CFG", 0x3178, 0 },
2483		{ "PBAOfst", 28, 4 },
2484		{ "TABOfst", 24, 4 },
2485		{ "VecNum", 12, 10 },
2486		{ "VecBase", 0, 11 },
2487	{ "PCIE_PF_INT_CFG2", 0x317c, 0 },
2488		{ "SendFLRRsp", 31, 1 },
2489		{ "ImmFLRRsp", 24, 1 },
2490		{ "TxnDisable", 20, 1 },
2491	{ "PCIE_VF_INT_CFG", 0x3180, 0 },
2492		{ "VecNum", 12, 10 },
2493		{ "VecBase", 0, 11 },
2494	{ "PCIE_VF_INT_CFG2", 0x3184, 0 },
2495		{ "SendFLRRsp", 31, 1 },
2496		{ "ImmFLRRsp", 24, 1 },
2497		{ "TxnDisable", 20, 1 },
2498	{ "PCIE_VF_INT_CFG", 0x3188, 0 },
2499		{ "VecNum", 12, 10 },
2500		{ "VecBase", 0, 11 },
2501	{ "PCIE_VF_INT_CFG2", 0x318c, 0 },
2502		{ "SendFLRRsp", 31, 1 },
2503		{ "ImmFLRRsp", 24, 1 },
2504		{ "TxnDisable", 20, 1 },
2505	{ "PCIE_VF_INT_CFG", 0x3190, 0 },
2506		{ "VecNum", 12, 10 },
2507		{ "VecBase", 0, 11 },
2508	{ "PCIE_VF_INT_CFG2", 0x3194, 0 },
2509		{ "SendFLRRsp", 31, 1 },
2510		{ "ImmFLRRsp", 24, 1 },
2511		{ "TxnDisable", 20, 1 },
2512	{ "PCIE_VF_INT_CFG", 0x3198, 0 },
2513		{ "VecNum", 12, 10 },
2514		{ "VecBase", 0, 11 },
2515	{ "PCIE_VF_INT_CFG2", 0x319c, 0 },
2516		{ "SendFLRRsp", 31, 1 },
2517		{ "ImmFLRRsp", 24, 1 },
2518		{ "TxnDisable", 20, 1 },
2519	{ "PCIE_VF_INT_CFG", 0x31a0, 0 },
2520		{ "VecNum", 12, 10 },
2521		{ "VecBase", 0, 11 },
2522	{ "PCIE_VF_INT_CFG2", 0x31a4, 0 },
2523		{ "SendFLRRsp", 31, 1 },
2524		{ "ImmFLRRsp", 24, 1 },
2525		{ "TxnDisable", 20, 1 },
2526	{ "PCIE_VF_INT_CFG", 0x31a8, 0 },
2527		{ "VecNum", 12, 10 },
2528		{ "VecBase", 0, 11 },
2529	{ "PCIE_VF_INT_CFG2", 0x31ac, 0 },
2530		{ "SendFLRRsp", 31, 1 },
2531		{ "ImmFLRRsp", 24, 1 },
2532		{ "TxnDisable", 20, 1 },
2533	{ "PCIE_VF_INT_CFG", 0x31b0, 0 },
2534		{ "VecNum", 12, 10 },
2535		{ "VecBase", 0, 11 },
2536	{ "PCIE_VF_INT_CFG2", 0x31b4, 0 },
2537		{ "SendFLRRsp", 31, 1 },
2538		{ "ImmFLRRsp", 24, 1 },
2539		{ "TxnDisable", 20, 1 },
2540	{ "PCIE_VF_INT_CFG", 0x31b8, 0 },
2541		{ "VecNum", 12, 10 },
2542		{ "VecBase", 0, 11 },
2543	{ "PCIE_VF_INT_CFG2", 0x31bc, 0 },
2544		{ "SendFLRRsp", 31, 1 },
2545		{ "ImmFLRRsp", 24, 1 },
2546		{ "TxnDisable", 20, 1 },
2547	{ "PCIE_VF_INT_CFG", 0x31c0, 0 },
2548		{ "VecNum", 12, 10 },
2549		{ "VecBase", 0, 11 },
2550	{ "PCIE_VF_INT_CFG2", 0x31c4, 0 },
2551		{ "SendFLRRsp", 31, 1 },
2552		{ "ImmFLRRsp", 24, 1 },
2553		{ "TxnDisable", 20, 1 },
2554	{ "PCIE_VF_INT_CFG", 0x31c8, 0 },
2555		{ "VecNum", 12, 10 },
2556		{ "VecBase", 0, 11 },
2557	{ "PCIE_VF_INT_CFG2", 0x31cc, 0 },
2558		{ "SendFLRRsp", 31, 1 },
2559		{ "ImmFLRRsp", 24, 1 },
2560		{ "TxnDisable", 20, 1 },
2561	{ "PCIE_VF_INT_CFG", 0x31d0, 0 },
2562		{ "VecNum", 12, 10 },
2563		{ "VecBase", 0, 11 },
2564	{ "PCIE_VF_INT_CFG2", 0x31d4, 0 },
2565		{ "SendFLRRsp", 31, 1 },
2566		{ "ImmFLRRsp", 24, 1 },
2567		{ "TxnDisable", 20, 1 },
2568	{ "PCIE_VF_INT_CFG", 0x31d8, 0 },
2569		{ "VecNum", 12, 10 },
2570		{ "VecBase", 0, 11 },
2571	{ "PCIE_VF_INT_CFG2", 0x31dc, 0 },
2572		{ "SendFLRRsp", 31, 1 },
2573		{ "ImmFLRRsp", 24, 1 },
2574		{ "TxnDisable", 20, 1 },
2575	{ "PCIE_VF_INT_CFG", 0x31e0, 0 },
2576		{ "VecNum", 12, 10 },
2577		{ "VecBase", 0, 11 },
2578	{ "PCIE_VF_INT_CFG2", 0x31e4, 0 },
2579		{ "SendFLRRsp", 31, 1 },
2580		{ "ImmFLRRsp", 24, 1 },
2581		{ "TxnDisable", 20, 1 },
2582	{ "PCIE_VF_INT_CFG", 0x31e8, 0 },
2583		{ "VecNum", 12, 10 },
2584		{ "VecBase", 0, 11 },
2585	{ "PCIE_VF_INT_CFG2", 0x31ec, 0 },
2586		{ "SendFLRRsp", 31, 1 },
2587		{ "ImmFLRRsp", 24, 1 },
2588		{ "TxnDisable", 20, 1 },
2589	{ "PCIE_VF_INT_CFG", 0x31f0, 0 },
2590		{ "VecNum", 12, 10 },
2591		{ "VecBase", 0, 11 },
2592	{ "PCIE_VF_INT_CFG2", 0x31f4, 0 },
2593		{ "SendFLRRsp", 31, 1 },
2594		{ "ImmFLRRsp", 24, 1 },
2595		{ "TxnDisable", 20, 1 },
2596	{ "PCIE_VF_INT_CFG", 0x31f8, 0 },
2597		{ "VecNum", 12, 10 },
2598		{ "VecBase", 0, 11 },
2599	{ "PCIE_VF_INT_CFG2", 0x31fc, 0 },
2600		{ "SendFLRRsp", 31, 1 },
2601		{ "ImmFLRRsp", 24, 1 },
2602		{ "TxnDisable", 20, 1 },
2603	{ "PCIE_VF_INT_CFG", 0x3200, 0 },
2604		{ "VecNum", 12, 10 },
2605		{ "VecBase", 0, 11 },
2606	{ "PCIE_VF_INT_CFG2", 0x3204, 0 },
2607		{ "SendFLRRsp", 31, 1 },
2608		{ "ImmFLRRsp", 24, 1 },
2609		{ "TxnDisable", 20, 1 },
2610	{ "PCIE_VF_INT_CFG", 0x3208, 0 },
2611		{ "VecNum", 12, 10 },
2612		{ "VecBase", 0, 11 },
2613	{ "PCIE_VF_INT_CFG2", 0x320c, 0 },
2614		{ "SendFLRRsp", 31, 1 },
2615		{ "ImmFLRRsp", 24, 1 },
2616		{ "TxnDisable", 20, 1 },
2617	{ "PCIE_VF_INT_CFG", 0x3210, 0 },
2618		{ "VecNum", 12, 10 },
2619		{ "VecBase", 0, 11 },
2620	{ "PCIE_VF_INT_CFG2", 0x3214, 0 },
2621		{ "SendFLRRsp", 31, 1 },
2622		{ "ImmFLRRsp", 24, 1 },
2623		{ "TxnDisable", 20, 1 },
2624	{ "PCIE_VF_INT_CFG", 0x3218, 0 },
2625		{ "VecNum", 12, 10 },
2626		{ "VecBase", 0, 11 },
2627	{ "PCIE_VF_INT_CFG2", 0x321c, 0 },
2628		{ "SendFLRRsp", 31, 1 },
2629		{ "ImmFLRRsp", 24, 1 },
2630		{ "TxnDisable", 20, 1 },
2631	{ "PCIE_VF_INT_CFG", 0x3220, 0 },
2632		{ "VecNum", 12, 10 },
2633		{ "VecBase", 0, 11 },
2634	{ "PCIE_VF_INT_CFG2", 0x3224, 0 },
2635		{ "SendFLRRsp", 31, 1 },
2636		{ "ImmFLRRsp", 24, 1 },
2637		{ "TxnDisable", 20, 1 },
2638	{ "PCIE_VF_INT_CFG", 0x3228, 0 },
2639		{ "VecNum", 12, 10 },
2640		{ "VecBase", 0, 11 },
2641	{ "PCIE_VF_INT_CFG2", 0x322c, 0 },
2642		{ "SendFLRRsp", 31, 1 },
2643		{ "ImmFLRRsp", 24, 1 },
2644		{ "TxnDisable", 20, 1 },
2645	{ "PCIE_VF_INT_CFG", 0x3230, 0 },
2646		{ "VecNum", 12, 10 },
2647		{ "VecBase", 0, 11 },
2648	{ "PCIE_VF_INT_CFG2", 0x3234, 0 },
2649		{ "SendFLRRsp", 31, 1 },
2650		{ "ImmFLRRsp", 24, 1 },
2651		{ "TxnDisable", 20, 1 },
2652	{ "PCIE_VF_INT_CFG", 0x3238, 0 },
2653		{ "VecNum", 12, 10 },
2654		{ "VecBase", 0, 11 },
2655	{ "PCIE_VF_INT_CFG2", 0x323c, 0 },
2656		{ "SendFLRRsp", 31, 1 },
2657		{ "ImmFLRRsp", 24, 1 },
2658		{ "TxnDisable", 20, 1 },
2659	{ "PCIE_VF_INT_CFG", 0x3240, 0 },
2660		{ "VecNum", 12, 10 },
2661		{ "VecBase", 0, 11 },
2662	{ "PCIE_VF_INT_CFG2", 0x3244, 0 },
2663		{ "SendFLRRsp", 31, 1 },
2664		{ "ImmFLRRsp", 24, 1 },
2665		{ "TxnDisable", 20, 1 },
2666	{ "PCIE_VF_INT_CFG", 0x3248, 0 },
2667		{ "VecNum", 12, 10 },
2668		{ "VecBase", 0, 11 },
2669	{ "PCIE_VF_INT_CFG2", 0x324c, 0 },
2670		{ "SendFLRRsp", 31, 1 },
2671		{ "ImmFLRRsp", 24, 1 },
2672		{ "TxnDisable", 20, 1 },
2673	{ "PCIE_VF_INT_CFG", 0x3250, 0 },
2674		{ "VecNum", 12, 10 },
2675		{ "VecBase", 0, 11 },
2676	{ "PCIE_VF_INT_CFG2", 0x3254, 0 },
2677		{ "SendFLRRsp", 31, 1 },
2678		{ "ImmFLRRsp", 24, 1 },
2679		{ "TxnDisable", 20, 1 },
2680	{ "PCIE_VF_INT_CFG", 0x3258, 0 },
2681		{ "VecNum", 12, 10 },
2682		{ "VecBase", 0, 11 },
2683	{ "PCIE_VF_INT_CFG2", 0x325c, 0 },
2684		{ "SendFLRRsp", 31, 1 },
2685		{ "ImmFLRRsp", 24, 1 },
2686		{ "TxnDisable", 20, 1 },
2687	{ "PCIE_VF_INT_CFG", 0x3260, 0 },
2688		{ "VecNum", 12, 10 },
2689		{ "VecBase", 0, 11 },
2690	{ "PCIE_VF_INT_CFG2", 0x3264, 0 },
2691		{ "SendFLRRsp", 31, 1 },
2692		{ "ImmFLRRsp", 24, 1 },
2693		{ "TxnDisable", 20, 1 },
2694	{ "PCIE_VF_INT_CFG", 0x3268, 0 },
2695		{ "VecNum", 12, 10 },
2696		{ "VecBase", 0, 11 },
2697	{ "PCIE_VF_INT_CFG2", 0x326c, 0 },
2698		{ "SendFLRRsp", 31, 1 },
2699		{ "ImmFLRRsp", 24, 1 },
2700		{ "TxnDisable", 20, 1 },
2701	{ "PCIE_VF_INT_CFG", 0x3270, 0 },
2702		{ "VecNum", 12, 10 },
2703		{ "VecBase", 0, 11 },
2704	{ "PCIE_VF_INT_CFG2", 0x3274, 0 },
2705		{ "SendFLRRsp", 31, 1 },
2706		{ "ImmFLRRsp", 24, 1 },
2707		{ "TxnDisable", 20, 1 },
2708	{ "PCIE_VF_INT_CFG", 0x3278, 0 },
2709		{ "VecNum", 12, 10 },
2710		{ "VecBase", 0, 11 },
2711	{ "PCIE_VF_INT_CFG2", 0x327c, 0 },
2712		{ "SendFLRRsp", 31, 1 },
2713		{ "ImmFLRRsp", 24, 1 },
2714		{ "TxnDisable", 20, 1 },
2715	{ "PCIE_VF_INT_CFG", 0x3280, 0 },
2716		{ "VecNum", 12, 10 },
2717		{ "VecBase", 0, 11 },
2718	{ "PCIE_VF_INT_CFG2", 0x3284, 0 },
2719		{ "SendFLRRsp", 31, 1 },
2720		{ "ImmFLRRsp", 24, 1 },
2721		{ "TxnDisable", 20, 1 },
2722	{ "PCIE_VF_INT_CFG", 0x3288, 0 },
2723		{ "VecNum", 12, 10 },
2724		{ "VecBase", 0, 11 },
2725	{ "PCIE_VF_INT_CFG2", 0x328c, 0 },
2726		{ "SendFLRRsp", 31, 1 },
2727		{ "ImmFLRRsp", 24, 1 },
2728		{ "TxnDisable", 20, 1 },
2729	{ "PCIE_VF_INT_CFG", 0x3290, 0 },
2730		{ "VecNum", 12, 10 },
2731		{ "VecBase", 0, 11 },
2732	{ "PCIE_VF_INT_CFG2", 0x3294, 0 },
2733		{ "SendFLRRsp", 31, 1 },
2734		{ "ImmFLRRsp", 24, 1 },
2735		{ "TxnDisable", 20, 1 },
2736	{ "PCIE_VF_INT_CFG", 0x3298, 0 },
2737		{ "VecNum", 12, 10 },
2738		{ "VecBase", 0, 11 },
2739	{ "PCIE_VF_INT_CFG2", 0x329c, 0 },
2740		{ "SendFLRRsp", 31, 1 },
2741		{ "ImmFLRRsp", 24, 1 },
2742		{ "TxnDisable", 20, 1 },
2743	{ "PCIE_VF_INT_CFG", 0x32a0, 0 },
2744		{ "VecNum", 12, 10 },
2745		{ "VecBase", 0, 11 },
2746	{ "PCIE_VF_INT_CFG2", 0x32a4, 0 },
2747		{ "SendFLRRsp", 31, 1 },
2748		{ "ImmFLRRsp", 24, 1 },
2749		{ "TxnDisable", 20, 1 },
2750	{ "PCIE_VF_INT_CFG", 0x32a8, 0 },
2751		{ "VecNum", 12, 10 },
2752		{ "VecBase", 0, 11 },
2753	{ "PCIE_VF_INT_CFG2", 0x32ac, 0 },
2754		{ "SendFLRRsp", 31, 1 },
2755		{ "ImmFLRRsp", 24, 1 },
2756		{ "TxnDisable", 20, 1 },
2757	{ "PCIE_VF_INT_CFG", 0x32b0, 0 },
2758		{ "VecNum", 12, 10 },
2759		{ "VecBase", 0, 11 },
2760	{ "PCIE_VF_INT_CFG2", 0x32b4, 0 },
2761		{ "SendFLRRsp", 31, 1 },
2762		{ "ImmFLRRsp", 24, 1 },
2763		{ "TxnDisable", 20, 1 },
2764	{ "PCIE_VF_INT_CFG", 0x32b8, 0 },
2765		{ "VecNum", 12, 10 },
2766		{ "VecBase", 0, 11 },
2767	{ "PCIE_VF_INT_CFG2", 0x32bc, 0 },
2768		{ "SendFLRRsp", 31, 1 },
2769		{ "ImmFLRRsp", 24, 1 },
2770		{ "TxnDisable", 20, 1 },
2771	{ "PCIE_VF_INT_CFG", 0x32c0, 0 },
2772		{ "VecNum", 12, 10 },
2773		{ "VecBase", 0, 11 },
2774	{ "PCIE_VF_INT_CFG2", 0x32c4, 0 },
2775		{ "SendFLRRsp", 31, 1 },
2776		{ "ImmFLRRsp", 24, 1 },
2777		{ "TxnDisable", 20, 1 },
2778	{ "PCIE_VF_INT_CFG", 0x32c8, 0 },
2779		{ "VecNum", 12, 10 },
2780		{ "VecBase", 0, 11 },
2781	{ "PCIE_VF_INT_CFG2", 0x32cc, 0 },
2782		{ "SendFLRRsp", 31, 1 },
2783		{ "ImmFLRRsp", 24, 1 },
2784		{ "TxnDisable", 20, 1 },
2785	{ "PCIE_VF_INT_CFG", 0x32d0, 0 },
2786		{ "VecNum", 12, 10 },
2787		{ "VecBase", 0, 11 },
2788	{ "PCIE_VF_INT_CFG2", 0x32d4, 0 },
2789		{ "SendFLRRsp", 31, 1 },
2790		{ "ImmFLRRsp", 24, 1 },
2791		{ "TxnDisable", 20, 1 },
2792	{ "PCIE_VF_INT_CFG", 0x32d8, 0 },
2793		{ "VecNum", 12, 10 },
2794		{ "VecBase", 0, 11 },
2795	{ "PCIE_VF_INT_CFG2", 0x32dc, 0 },
2796		{ "SendFLRRsp", 31, 1 },
2797		{ "ImmFLRRsp", 24, 1 },
2798		{ "TxnDisable", 20, 1 },
2799	{ "PCIE_VF_INT_CFG", 0x32e0, 0 },
2800		{ "VecNum", 12, 10 },
2801		{ "VecBase", 0, 11 },
2802	{ "PCIE_VF_INT_CFG2", 0x32e4, 0 },
2803		{ "SendFLRRsp", 31, 1 },
2804		{ "ImmFLRRsp", 24, 1 },
2805		{ "TxnDisable", 20, 1 },
2806	{ "PCIE_VF_INT_CFG", 0x32e8, 0 },
2807		{ "VecNum", 12, 10 },
2808		{ "VecBase", 0, 11 },
2809	{ "PCIE_VF_INT_CFG2", 0x32ec, 0 },
2810		{ "SendFLRRsp", 31, 1 },
2811		{ "ImmFLRRsp", 24, 1 },
2812		{ "TxnDisable", 20, 1 },
2813	{ "PCIE_VF_INT_CFG", 0x32f0, 0 },
2814		{ "VecNum", 12, 10 },
2815		{ "VecBase", 0, 11 },
2816	{ "PCIE_VF_INT_CFG2", 0x32f4, 0 },
2817		{ "SendFLRRsp", 31, 1 },
2818		{ "ImmFLRRsp", 24, 1 },
2819		{ "TxnDisable", 20, 1 },
2820	{ "PCIE_VF_INT_CFG", 0x32f8, 0 },
2821		{ "VecNum", 12, 10 },
2822		{ "VecBase", 0, 11 },
2823	{ "PCIE_VF_INT_CFG2", 0x32fc, 0 },
2824		{ "SendFLRRsp", 31, 1 },
2825		{ "ImmFLRRsp", 24, 1 },
2826		{ "TxnDisable", 20, 1 },
2827	{ "PCIE_VF_INT_CFG", 0x3300, 0 },
2828		{ "VecNum", 12, 10 },
2829		{ "VecBase", 0, 11 },
2830	{ "PCIE_VF_INT_CFG2", 0x3304, 0 },
2831		{ "SendFLRRsp", 31, 1 },
2832		{ "ImmFLRRsp", 24, 1 },
2833		{ "TxnDisable", 20, 1 },
2834	{ "PCIE_VF_INT_CFG", 0x3308, 0 },
2835		{ "VecNum", 12, 10 },
2836		{ "VecBase", 0, 11 },
2837	{ "PCIE_VF_INT_CFG2", 0x330c, 0 },
2838		{ "SendFLRRsp", 31, 1 },
2839		{ "ImmFLRRsp", 24, 1 },
2840		{ "TxnDisable", 20, 1 },
2841	{ "PCIE_VF_INT_CFG", 0x3310, 0 },
2842		{ "VecNum", 12, 10 },
2843		{ "VecBase", 0, 11 },
2844	{ "PCIE_VF_INT_CFG2", 0x3314, 0 },
2845		{ "SendFLRRsp", 31, 1 },
2846		{ "ImmFLRRsp", 24, 1 },
2847		{ "TxnDisable", 20, 1 },
2848	{ "PCIE_VF_INT_CFG", 0x3318, 0 },
2849		{ "VecNum", 12, 10 },
2850		{ "VecBase", 0, 11 },
2851	{ "PCIE_VF_INT_CFG2", 0x331c, 0 },
2852		{ "SendFLRRsp", 31, 1 },
2853		{ "ImmFLRRsp", 24, 1 },
2854		{ "TxnDisable", 20, 1 },
2855	{ "PCIE_VF_INT_CFG", 0x3320, 0 },
2856		{ "VecNum", 12, 10 },
2857		{ "VecBase", 0, 11 },
2858	{ "PCIE_VF_INT_CFG2", 0x3324, 0 },
2859		{ "SendFLRRsp", 31, 1 },
2860		{ "ImmFLRRsp", 24, 1 },
2861		{ "TxnDisable", 20, 1 },
2862	{ "PCIE_VF_INT_CFG", 0x3328, 0 },
2863		{ "VecNum", 12, 10 },
2864		{ "VecBase", 0, 11 },
2865	{ "PCIE_VF_INT_CFG2", 0x332c, 0 },
2866		{ "SendFLRRsp", 31, 1 },
2867		{ "ImmFLRRsp", 24, 1 },
2868		{ "TxnDisable", 20, 1 },
2869	{ "PCIE_VF_INT_CFG", 0x3330, 0 },
2870		{ "VecNum", 12, 10 },
2871		{ "VecBase", 0, 11 },
2872	{ "PCIE_VF_INT_CFG2", 0x3334, 0 },
2873		{ "SendFLRRsp", 31, 1 },
2874		{ "ImmFLRRsp", 24, 1 },
2875		{ "TxnDisable", 20, 1 },
2876	{ "PCIE_VF_INT_CFG", 0x3338, 0 },
2877		{ "VecNum", 12, 10 },
2878		{ "VecBase", 0, 11 },
2879	{ "PCIE_VF_INT_CFG2", 0x333c, 0 },
2880		{ "SendFLRRsp", 31, 1 },
2881		{ "ImmFLRRsp", 24, 1 },
2882		{ "TxnDisable", 20, 1 },
2883	{ "PCIE_VF_INT_CFG", 0x3340, 0 },
2884		{ "VecNum", 12, 10 },
2885		{ "VecBase", 0, 11 },
2886	{ "PCIE_VF_INT_CFG2", 0x3344, 0 },
2887		{ "SendFLRRsp", 31, 1 },
2888		{ "ImmFLRRsp", 24, 1 },
2889		{ "TxnDisable", 20, 1 },
2890	{ "PCIE_VF_INT_CFG", 0x3348, 0 },
2891		{ "VecNum", 12, 10 },
2892		{ "VecBase", 0, 11 },
2893	{ "PCIE_VF_INT_CFG2", 0x334c, 0 },
2894		{ "SendFLRRsp", 31, 1 },
2895		{ "ImmFLRRsp", 24, 1 },
2896		{ "TxnDisable", 20, 1 },
2897	{ "PCIE_VF_INT_CFG", 0x3350, 0 },
2898		{ "VecNum", 12, 10 },
2899		{ "VecBase", 0, 11 },
2900	{ "PCIE_VF_INT_CFG2", 0x3354, 0 },
2901		{ "SendFLRRsp", 31, 1 },
2902		{ "ImmFLRRsp", 24, 1 },
2903		{ "TxnDisable", 20, 1 },
2904	{ "PCIE_VF_INT_CFG", 0x3358, 0 },
2905		{ "VecNum", 12, 10 },
2906		{ "VecBase", 0, 11 },
2907	{ "PCIE_VF_INT_CFG2", 0x335c, 0 },
2908		{ "SendFLRRsp", 31, 1 },
2909		{ "ImmFLRRsp", 24, 1 },
2910		{ "TxnDisable", 20, 1 },
2911	{ "PCIE_VF_INT_CFG", 0x3360, 0 },
2912		{ "VecNum", 12, 10 },
2913		{ "VecBase", 0, 11 },
2914	{ "PCIE_VF_INT_CFG2", 0x3364, 0 },
2915		{ "SendFLRRsp", 31, 1 },
2916		{ "ImmFLRRsp", 24, 1 },
2917		{ "TxnDisable", 20, 1 },
2918	{ "PCIE_VF_INT_CFG", 0x3368, 0 },
2919		{ "VecNum", 12, 10 },
2920		{ "VecBase", 0, 11 },
2921	{ "PCIE_VF_INT_CFG2", 0x336c, 0 },
2922		{ "SendFLRRsp", 31, 1 },
2923		{ "ImmFLRRsp", 24, 1 },
2924		{ "TxnDisable", 20, 1 },
2925	{ "PCIE_VF_INT_CFG", 0x3370, 0 },
2926		{ "VecNum", 12, 10 },
2927		{ "VecBase", 0, 11 },
2928	{ "PCIE_VF_INT_CFG2", 0x3374, 0 },
2929		{ "SendFLRRsp", 31, 1 },
2930		{ "ImmFLRRsp", 24, 1 },
2931		{ "TxnDisable", 20, 1 },
2932	{ "PCIE_VF_INT_CFG", 0x3378, 0 },
2933		{ "VecNum", 12, 10 },
2934		{ "VecBase", 0, 11 },
2935	{ "PCIE_VF_INT_CFG2", 0x337c, 0 },
2936		{ "SendFLRRsp", 31, 1 },
2937		{ "ImmFLRRsp", 24, 1 },
2938		{ "TxnDisable", 20, 1 },
2939	{ "PCIE_VF_INT_CFG", 0x3380, 0 },
2940		{ "VecNum", 12, 10 },
2941		{ "VecBase", 0, 11 },
2942	{ "PCIE_VF_INT_CFG2", 0x3384, 0 },
2943		{ "SendFLRRsp", 31, 1 },
2944		{ "ImmFLRRsp", 24, 1 },
2945		{ "TxnDisable", 20, 1 },
2946	{ "PCIE_VF_INT_CFG", 0x3388, 0 },
2947		{ "VecNum", 12, 10 },
2948		{ "VecBase", 0, 11 },
2949	{ "PCIE_VF_INT_CFG2", 0x338c, 0 },
2950		{ "SendFLRRsp", 31, 1 },
2951		{ "ImmFLRRsp", 24, 1 },
2952		{ "TxnDisable", 20, 1 },
2953	{ "PCIE_VF_INT_CFG", 0x3390, 0 },
2954		{ "VecNum", 12, 10 },
2955		{ "VecBase", 0, 11 },
2956	{ "PCIE_VF_INT_CFG2", 0x3394, 0 },
2957		{ "SendFLRRsp", 31, 1 },
2958		{ "ImmFLRRsp", 24, 1 },
2959		{ "TxnDisable", 20, 1 },
2960	{ "PCIE_VF_INT_CFG", 0x3398, 0 },
2961		{ "VecNum", 12, 10 },
2962		{ "VecBase", 0, 11 },
2963	{ "PCIE_VF_INT_CFG2", 0x339c, 0 },
2964		{ "SendFLRRsp", 31, 1 },
2965		{ "ImmFLRRsp", 24, 1 },
2966		{ "TxnDisable", 20, 1 },
2967	{ "PCIE_VF_INT_CFG", 0x33a0, 0 },
2968		{ "VecNum", 12, 10 },
2969		{ "VecBase", 0, 11 },
2970	{ "PCIE_VF_INT_CFG2", 0x33a4, 0 },
2971		{ "SendFLRRsp", 31, 1 },
2972		{ "ImmFLRRsp", 24, 1 },
2973		{ "TxnDisable", 20, 1 },
2974	{ "PCIE_VF_INT_CFG", 0x33a8, 0 },
2975		{ "VecNum", 12, 10 },
2976		{ "VecBase", 0, 11 },
2977	{ "PCIE_VF_INT_CFG2", 0x33ac, 0 },
2978		{ "SendFLRRsp", 31, 1 },
2979		{ "ImmFLRRsp", 24, 1 },
2980		{ "TxnDisable", 20, 1 },
2981	{ "PCIE_VF_INT_CFG", 0x33b0, 0 },
2982		{ "VecNum", 12, 10 },
2983		{ "VecBase", 0, 11 },
2984	{ "PCIE_VF_INT_CFG2", 0x33b4, 0 },
2985		{ "SendFLRRsp", 31, 1 },
2986		{ "ImmFLRRsp", 24, 1 },
2987		{ "TxnDisable", 20, 1 },
2988	{ "PCIE_VF_INT_CFG", 0x33b8, 0 },
2989		{ "VecNum", 12, 10 },
2990		{ "VecBase", 0, 11 },
2991	{ "PCIE_VF_INT_CFG2", 0x33bc, 0 },
2992		{ "SendFLRRsp", 31, 1 },
2993		{ "ImmFLRRsp", 24, 1 },
2994		{ "TxnDisable", 20, 1 },
2995	{ "PCIE_VF_INT_CFG", 0x33c0, 0 },
2996		{ "VecNum", 12, 10 },
2997		{ "VecBase", 0, 11 },
2998	{ "PCIE_VF_INT_CFG2", 0x33c4, 0 },
2999		{ "SendFLRRsp", 31, 1 },
3000		{ "ImmFLRRsp", 24, 1 },
3001		{ "TxnDisable", 20, 1 },
3002	{ "PCIE_VF_INT_CFG", 0x33c8, 0 },
3003		{ "VecNum", 12, 10 },
3004		{ "VecBase", 0, 11 },
3005	{ "PCIE_VF_INT_CFG2", 0x33cc, 0 },
3006		{ "SendFLRRsp", 31, 1 },
3007		{ "ImmFLRRsp", 24, 1 },
3008		{ "TxnDisable", 20, 1 },
3009	{ "PCIE_VF_INT_CFG", 0x33d0, 0 },
3010		{ "VecNum", 12, 10 },
3011		{ "VecBase", 0, 11 },
3012	{ "PCIE_VF_INT_CFG2", 0x33d4, 0 },
3013		{ "SendFLRRsp", 31, 1 },
3014		{ "ImmFLRRsp", 24, 1 },
3015		{ "TxnDisable", 20, 1 },
3016	{ "PCIE_VF_INT_CFG", 0x33d8, 0 },
3017		{ "VecNum", 12, 10 },
3018		{ "VecBase", 0, 11 },
3019	{ "PCIE_VF_INT_CFG2", 0x33dc, 0 },
3020		{ "SendFLRRsp", 31, 1 },
3021		{ "ImmFLRRsp", 24, 1 },
3022		{ "TxnDisable", 20, 1 },
3023	{ "PCIE_VF_INT_CFG", 0x33e0, 0 },
3024		{ "VecNum", 12, 10 },
3025		{ "VecBase", 0, 11 },
3026	{ "PCIE_VF_INT_CFG2", 0x33e4, 0 },
3027		{ "SendFLRRsp", 31, 1 },
3028		{ "ImmFLRRsp", 24, 1 },
3029		{ "TxnDisable", 20, 1 },
3030	{ "PCIE_VF_INT_CFG", 0x33e8, 0 },
3031		{ "VecNum", 12, 10 },
3032		{ "VecBase", 0, 11 },
3033	{ "PCIE_VF_INT_CFG2", 0x33ec, 0 },
3034		{ "SendFLRRsp", 31, 1 },
3035		{ "ImmFLRRsp", 24, 1 },
3036		{ "TxnDisable", 20, 1 },
3037	{ "PCIE_VF_INT_CFG", 0x33f0, 0 },
3038		{ "VecNum", 12, 10 },
3039		{ "VecBase", 0, 11 },
3040	{ "PCIE_VF_INT_CFG2", 0x33f4, 0 },
3041		{ "SendFLRRsp", 31, 1 },
3042		{ "ImmFLRRsp", 24, 1 },
3043		{ "TxnDisable", 20, 1 },
3044	{ "PCIE_VF_INT_CFG", 0x33f8, 0 },
3045		{ "VecNum", 12, 10 },
3046		{ "VecBase", 0, 11 },
3047	{ "PCIE_VF_INT_CFG2", 0x33fc, 0 },
3048		{ "SendFLRRsp", 31, 1 },
3049		{ "ImmFLRRsp", 24, 1 },
3050		{ "TxnDisable", 20, 1 },
3051	{ "PCIE_VF_INT_CFG", 0x3400, 0 },
3052		{ "VecNum", 12, 10 },
3053		{ "VecBase", 0, 11 },
3054	{ "PCIE_VF_INT_CFG2", 0x3404, 0 },
3055		{ "SendFLRRsp", 31, 1 },
3056		{ "ImmFLRRsp", 24, 1 },
3057		{ "TxnDisable", 20, 1 },
3058	{ "PCIE_VF_INT_CFG", 0x3408, 0 },
3059		{ "VecNum", 12, 10 },
3060		{ "VecBase", 0, 11 },
3061	{ "PCIE_VF_INT_CFG2", 0x340c, 0 },
3062		{ "SendFLRRsp", 31, 1 },
3063		{ "ImmFLRRsp", 24, 1 },
3064		{ "TxnDisable", 20, 1 },
3065	{ "PCIE_VF_INT_CFG", 0x3410, 0 },
3066		{ "VecNum", 12, 10 },
3067		{ "VecBase", 0, 11 },
3068	{ "PCIE_VF_INT_CFG2", 0x3414, 0 },
3069		{ "SendFLRRsp", 31, 1 },
3070		{ "ImmFLRRsp", 24, 1 },
3071		{ "TxnDisable", 20, 1 },
3072	{ "PCIE_VF_INT_CFG", 0x3418, 0 },
3073		{ "VecNum", 12, 10 },
3074		{ "VecBase", 0, 11 },
3075	{ "PCIE_VF_INT_CFG2", 0x341c, 0 },
3076		{ "SendFLRRsp", 31, 1 },
3077		{ "ImmFLRRsp", 24, 1 },
3078		{ "TxnDisable", 20, 1 },
3079	{ "PCIE_VF_INT_CFG", 0x3420, 0 },
3080		{ "VecNum", 12, 10 },
3081		{ "VecBase", 0, 11 },
3082	{ "PCIE_VF_INT_CFG2", 0x3424, 0 },
3083		{ "SendFLRRsp", 31, 1 },
3084		{ "ImmFLRRsp", 24, 1 },
3085		{ "TxnDisable", 20, 1 },
3086	{ "PCIE_VF_INT_CFG", 0x3428, 0 },
3087		{ "VecNum", 12, 10 },
3088		{ "VecBase", 0, 11 },
3089	{ "PCIE_VF_INT_CFG2", 0x342c, 0 },
3090		{ "SendFLRRsp", 31, 1 },
3091		{ "ImmFLRRsp", 24, 1 },
3092		{ "TxnDisable", 20, 1 },
3093	{ "PCIE_VF_INT_CFG", 0x3430, 0 },
3094		{ "VecNum", 12, 10 },
3095		{ "VecBase", 0, 11 },
3096	{ "PCIE_VF_INT_CFG2", 0x3434, 0 },
3097		{ "SendFLRRsp", 31, 1 },
3098		{ "ImmFLRRsp", 24, 1 },
3099		{ "TxnDisable", 20, 1 },
3100	{ "PCIE_VF_INT_CFG", 0x3438, 0 },
3101		{ "VecNum", 12, 10 },
3102		{ "VecBase", 0, 11 },
3103	{ "PCIE_VF_INT_CFG2", 0x343c, 0 },
3104		{ "SendFLRRsp", 31, 1 },
3105		{ "ImmFLRRsp", 24, 1 },
3106		{ "TxnDisable", 20, 1 },
3107	{ "PCIE_VF_INT_CFG", 0x3440, 0 },
3108		{ "VecNum", 12, 10 },
3109		{ "VecBase", 0, 11 },
3110	{ "PCIE_VF_INT_CFG2", 0x3444, 0 },
3111		{ "SendFLRRsp", 31, 1 },
3112		{ "ImmFLRRsp", 24, 1 },
3113		{ "TxnDisable", 20, 1 },
3114	{ "PCIE_VF_INT_CFG", 0x3448, 0 },
3115		{ "VecNum", 12, 10 },
3116		{ "VecBase", 0, 11 },
3117	{ "PCIE_VF_INT_CFG2", 0x344c, 0 },
3118		{ "SendFLRRsp", 31, 1 },
3119		{ "ImmFLRRsp", 24, 1 },
3120		{ "TxnDisable", 20, 1 },
3121	{ "PCIE_VF_INT_CFG", 0x3450, 0 },
3122		{ "VecNum", 12, 10 },
3123		{ "VecBase", 0, 11 },
3124	{ "PCIE_VF_INT_CFG2", 0x3454, 0 },
3125		{ "SendFLRRsp", 31, 1 },
3126		{ "ImmFLRRsp", 24, 1 },
3127		{ "TxnDisable", 20, 1 },
3128	{ "PCIE_VF_INT_CFG", 0x3458, 0 },
3129		{ "VecNum", 12, 10 },
3130		{ "VecBase", 0, 11 },
3131	{ "PCIE_VF_INT_CFG2", 0x345c, 0 },
3132		{ "SendFLRRsp", 31, 1 },
3133		{ "ImmFLRRsp", 24, 1 },
3134		{ "TxnDisable", 20, 1 },
3135	{ "PCIE_VF_INT_CFG", 0x3460, 0 },
3136		{ "VecNum", 12, 10 },
3137		{ "VecBase", 0, 11 },
3138	{ "PCIE_VF_INT_CFG2", 0x3464, 0 },
3139		{ "SendFLRRsp", 31, 1 },
3140		{ "ImmFLRRsp", 24, 1 },
3141		{ "TxnDisable", 20, 1 },
3142	{ "PCIE_VF_INT_CFG", 0x3468, 0 },
3143		{ "VecNum", 12, 10 },
3144		{ "VecBase", 0, 11 },
3145	{ "PCIE_VF_INT_CFG2", 0x346c, 0 },
3146		{ "SendFLRRsp", 31, 1 },
3147		{ "ImmFLRRsp", 24, 1 },
3148		{ "TxnDisable", 20, 1 },
3149	{ "PCIE_VF_INT_CFG", 0x3470, 0 },
3150		{ "VecNum", 12, 10 },
3151		{ "VecBase", 0, 11 },
3152	{ "PCIE_VF_INT_CFG2", 0x3474, 0 },
3153		{ "SendFLRRsp", 31, 1 },
3154		{ "ImmFLRRsp", 24, 1 },
3155		{ "TxnDisable", 20, 1 },
3156	{ "PCIE_VF_INT_CFG", 0x3478, 0 },
3157		{ "VecNum", 12, 10 },
3158		{ "VecBase", 0, 11 },
3159	{ "PCIE_VF_INT_CFG2", 0x347c, 0 },
3160		{ "SendFLRRsp", 31, 1 },
3161		{ "ImmFLRRsp", 24, 1 },
3162		{ "TxnDisable", 20, 1 },
3163	{ "PCIE_VF_INT_CFG", 0x3480, 0 },
3164		{ "VecNum", 12, 10 },
3165		{ "VecBase", 0, 11 },
3166	{ "PCIE_VF_INT_CFG2", 0x3484, 0 },
3167		{ "SendFLRRsp", 31, 1 },
3168		{ "ImmFLRRsp", 24, 1 },
3169		{ "TxnDisable", 20, 1 },
3170	{ "PCIE_VF_INT_CFG", 0x3488, 0 },
3171		{ "VecNum", 12, 10 },
3172		{ "VecBase", 0, 11 },
3173	{ "PCIE_VF_INT_CFG2", 0x348c, 0 },
3174		{ "SendFLRRsp", 31, 1 },
3175		{ "ImmFLRRsp", 24, 1 },
3176		{ "TxnDisable", 20, 1 },
3177	{ "PCIE_VF_INT_CFG", 0x3490, 0 },
3178		{ "VecNum", 12, 10 },
3179		{ "VecBase", 0, 11 },
3180	{ "PCIE_VF_INT_CFG2", 0x3494, 0 },
3181		{ "SendFLRRsp", 31, 1 },
3182		{ "ImmFLRRsp", 24, 1 },
3183		{ "TxnDisable", 20, 1 },
3184	{ "PCIE_VF_INT_CFG", 0x3498, 0 },
3185		{ "VecNum", 12, 10 },
3186		{ "VecBase", 0, 11 },
3187	{ "PCIE_VF_INT_CFG2", 0x349c, 0 },
3188		{ "SendFLRRsp", 31, 1 },
3189		{ "ImmFLRRsp", 24, 1 },
3190		{ "TxnDisable", 20, 1 },
3191	{ "PCIE_VF_INT_CFG", 0x34a0, 0 },
3192		{ "VecNum", 12, 10 },
3193		{ "VecBase", 0, 11 },
3194	{ "PCIE_VF_INT_CFG2", 0x34a4, 0 },
3195		{ "SendFLRRsp", 31, 1 },
3196		{ "ImmFLRRsp", 24, 1 },
3197		{ "TxnDisable", 20, 1 },
3198	{ "PCIE_VF_INT_CFG", 0x34a8, 0 },
3199		{ "VecNum", 12, 10 },
3200		{ "VecBase", 0, 11 },
3201	{ "PCIE_VF_INT_CFG2", 0x34ac, 0 },
3202		{ "SendFLRRsp", 31, 1 },
3203		{ "ImmFLRRsp", 24, 1 },
3204		{ "TxnDisable", 20, 1 },
3205	{ "PCIE_VF_INT_CFG", 0x34b0, 0 },
3206		{ "VecNum", 12, 10 },
3207		{ "VecBase", 0, 11 },
3208	{ "PCIE_VF_INT_CFG2", 0x34b4, 0 },
3209		{ "SendFLRRsp", 31, 1 },
3210		{ "ImmFLRRsp", 24, 1 },
3211		{ "TxnDisable", 20, 1 },
3212	{ "PCIE_VF_INT_CFG", 0x34b8, 0 },
3213		{ "VecNum", 12, 10 },
3214		{ "VecBase", 0, 11 },
3215	{ "PCIE_VF_INT_CFG2", 0x34bc, 0 },
3216		{ "SendFLRRsp", 31, 1 },
3217		{ "ImmFLRRsp", 24, 1 },
3218		{ "TxnDisable", 20, 1 },
3219	{ "PCIE_VF_INT_CFG", 0x34c0, 0 },
3220		{ "VecNum", 12, 10 },
3221		{ "VecBase", 0, 11 },
3222	{ "PCIE_VF_INT_CFG2", 0x34c4, 0 },
3223		{ "SendFLRRsp", 31, 1 },
3224		{ "ImmFLRRsp", 24, 1 },
3225		{ "TxnDisable", 20, 1 },
3226	{ "PCIE_VF_INT_CFG", 0x34c8, 0 },
3227		{ "VecNum", 12, 10 },
3228		{ "VecBase", 0, 11 },
3229	{ "PCIE_VF_INT_CFG2", 0x34cc, 0 },
3230		{ "SendFLRRsp", 31, 1 },
3231		{ "ImmFLRRsp", 24, 1 },
3232		{ "TxnDisable", 20, 1 },
3233	{ "PCIE_VF_INT_CFG", 0x34d0, 0 },
3234		{ "VecNum", 12, 10 },
3235		{ "VecBase", 0, 11 },
3236	{ "PCIE_VF_INT_CFG2", 0x34d4, 0 },
3237		{ "SendFLRRsp", 31, 1 },
3238		{ "ImmFLRRsp", 24, 1 },
3239		{ "TxnDisable", 20, 1 },
3240	{ "PCIE_VF_INT_CFG", 0x34d8, 0 },
3241		{ "VecNum", 12, 10 },
3242		{ "VecBase", 0, 11 },
3243	{ "PCIE_VF_INT_CFG2", 0x34dc, 0 },
3244		{ "SendFLRRsp", 31, 1 },
3245		{ "ImmFLRRsp", 24, 1 },
3246		{ "TxnDisable", 20, 1 },
3247	{ "PCIE_VF_INT_CFG", 0x34e0, 0 },
3248		{ "VecNum", 12, 10 },
3249		{ "VecBase", 0, 11 },
3250	{ "PCIE_VF_INT_CFG2", 0x34e4, 0 },
3251		{ "SendFLRRsp", 31, 1 },
3252		{ "ImmFLRRsp", 24, 1 },
3253		{ "TxnDisable", 20, 1 },
3254	{ "PCIE_VF_INT_CFG", 0x34e8, 0 },
3255		{ "VecNum", 12, 10 },
3256		{ "VecBase", 0, 11 },
3257	{ "PCIE_VF_INT_CFG2", 0x34ec, 0 },
3258		{ "SendFLRRsp", 31, 1 },
3259		{ "ImmFLRRsp", 24, 1 },
3260		{ "TxnDisable", 20, 1 },
3261	{ "PCIE_VF_INT_CFG", 0x34f0, 0 },
3262		{ "VecNum", 12, 10 },
3263		{ "VecBase", 0, 11 },
3264	{ "PCIE_VF_INT_CFG2", 0x34f4, 0 },
3265		{ "SendFLRRsp", 31, 1 },
3266		{ "ImmFLRRsp", 24, 1 },
3267		{ "TxnDisable", 20, 1 },
3268	{ "PCIE_VF_INT_CFG", 0x34f8, 0 },
3269		{ "VecNum", 12, 10 },
3270		{ "VecBase", 0, 11 },
3271	{ "PCIE_VF_INT_CFG2", 0x34fc, 0 },
3272		{ "SendFLRRsp", 31, 1 },
3273		{ "ImmFLRRsp", 24, 1 },
3274		{ "TxnDisable", 20, 1 },
3275	{ "PCIE_VF_INT_CFG", 0x3500, 0 },
3276		{ "VecNum", 12, 10 },
3277		{ "VecBase", 0, 11 },
3278	{ "PCIE_VF_INT_CFG2", 0x3504, 0 },
3279		{ "SendFLRRsp", 31, 1 },
3280		{ "ImmFLRRsp", 24, 1 },
3281		{ "TxnDisable", 20, 1 },
3282	{ "PCIE_VF_INT_CFG", 0x3508, 0 },
3283		{ "VecNum", 12, 10 },
3284		{ "VecBase", 0, 11 },
3285	{ "PCIE_VF_INT_CFG2", 0x350c, 0 },
3286		{ "SendFLRRsp", 31, 1 },
3287		{ "ImmFLRRsp", 24, 1 },
3288		{ "TxnDisable", 20, 1 },
3289	{ "PCIE_VF_INT_CFG", 0x3510, 0 },
3290		{ "VecNum", 12, 10 },
3291		{ "VecBase", 0, 11 },
3292	{ "PCIE_VF_INT_CFG2", 0x3514, 0 },
3293		{ "SendFLRRsp", 31, 1 },
3294		{ "ImmFLRRsp", 24, 1 },
3295		{ "TxnDisable", 20, 1 },
3296	{ "PCIE_VF_INT_CFG", 0x3518, 0 },
3297		{ "VecNum", 12, 10 },
3298		{ "VecBase", 0, 11 },
3299	{ "PCIE_VF_INT_CFG2", 0x351c, 0 },
3300		{ "SendFLRRsp", 31, 1 },
3301		{ "ImmFLRRsp", 24, 1 },
3302		{ "TxnDisable", 20, 1 },
3303	{ "PCIE_VF_INT_CFG", 0x3520, 0 },
3304		{ "VecNum", 12, 10 },
3305		{ "VecBase", 0, 11 },
3306	{ "PCIE_VF_INT_CFG2", 0x3524, 0 },
3307		{ "SendFLRRsp", 31, 1 },
3308		{ "ImmFLRRsp", 24, 1 },
3309		{ "TxnDisable", 20, 1 },
3310	{ "PCIE_VF_INT_CFG", 0x3528, 0 },
3311		{ "VecNum", 12, 10 },
3312		{ "VecBase", 0, 11 },
3313	{ "PCIE_VF_INT_CFG2", 0x352c, 0 },
3314		{ "SendFLRRsp", 31, 1 },
3315		{ "ImmFLRRsp", 24, 1 },
3316		{ "TxnDisable", 20, 1 },
3317	{ "PCIE_VF_INT_CFG", 0x3530, 0 },
3318		{ "VecNum", 12, 10 },
3319		{ "VecBase", 0, 11 },
3320	{ "PCIE_VF_INT_CFG2", 0x3534, 0 },
3321		{ "SendFLRRsp", 31, 1 },
3322		{ "ImmFLRRsp", 24, 1 },
3323		{ "TxnDisable", 20, 1 },
3324	{ "PCIE_VF_INT_CFG", 0x3538, 0 },
3325		{ "VecNum", 12, 10 },
3326		{ "VecBase", 0, 11 },
3327	{ "PCIE_VF_INT_CFG2", 0x353c, 0 },
3328		{ "SendFLRRsp", 31, 1 },
3329		{ "ImmFLRRsp", 24, 1 },
3330		{ "TxnDisable", 20, 1 },
3331	{ "PCIE_VF_INT_CFG", 0x3540, 0 },
3332		{ "VecNum", 12, 10 },
3333		{ "VecBase", 0, 11 },
3334	{ "PCIE_VF_INT_CFG2", 0x3544, 0 },
3335		{ "SendFLRRsp", 31, 1 },
3336		{ "ImmFLRRsp", 24, 1 },
3337		{ "TxnDisable", 20, 1 },
3338	{ "PCIE_VF_INT_CFG", 0x3548, 0 },
3339		{ "VecNum", 12, 10 },
3340		{ "VecBase", 0, 11 },
3341	{ "PCIE_VF_INT_CFG2", 0x354c, 0 },
3342		{ "SendFLRRsp", 31, 1 },
3343		{ "ImmFLRRsp", 24, 1 },
3344		{ "TxnDisable", 20, 1 },
3345	{ "PCIE_VF_INT_CFG", 0x3550, 0 },
3346		{ "VecNum", 12, 10 },
3347		{ "VecBase", 0, 11 },
3348	{ "PCIE_VF_INT_CFG2", 0x3554, 0 },
3349		{ "SendFLRRsp", 31, 1 },
3350		{ "ImmFLRRsp", 24, 1 },
3351		{ "TxnDisable", 20, 1 },
3352	{ "PCIE_VF_INT_CFG", 0x3558, 0 },
3353		{ "VecNum", 12, 10 },
3354		{ "VecBase", 0, 11 },
3355	{ "PCIE_VF_INT_CFG2", 0x355c, 0 },
3356		{ "SendFLRRsp", 31, 1 },
3357		{ "ImmFLRRsp", 24, 1 },
3358		{ "TxnDisable", 20, 1 },
3359	{ "PCIE_VF_INT_CFG", 0x3560, 0 },
3360		{ "VecNum", 12, 10 },
3361		{ "VecBase", 0, 11 },
3362	{ "PCIE_VF_INT_CFG2", 0x3564, 0 },
3363		{ "SendFLRRsp", 31, 1 },
3364		{ "ImmFLRRsp", 24, 1 },
3365		{ "TxnDisable", 20, 1 },
3366	{ "PCIE_VF_INT_CFG", 0x3568, 0 },
3367		{ "VecNum", 12, 10 },
3368		{ "VecBase", 0, 11 },
3369	{ "PCIE_VF_INT_CFG2", 0x356c, 0 },
3370		{ "SendFLRRsp", 31, 1 },
3371		{ "ImmFLRRsp", 24, 1 },
3372		{ "TxnDisable", 20, 1 },
3373	{ "PCIE_VF_INT_CFG", 0x3570, 0 },
3374		{ "VecNum", 12, 10 },
3375		{ "VecBase", 0, 11 },
3376	{ "PCIE_VF_INT_CFG2", 0x3574, 0 },
3377		{ "SendFLRRsp", 31, 1 },
3378		{ "ImmFLRRsp", 24, 1 },
3379		{ "TxnDisable", 20, 1 },
3380	{ "PCIE_VF_INT_CFG", 0x3578, 0 },
3381		{ "VecNum", 12, 10 },
3382		{ "VecBase", 0, 11 },
3383	{ "PCIE_VF_INT_CFG2", 0x357c, 0 },
3384		{ "SendFLRRsp", 31, 1 },
3385		{ "ImmFLRRsp", 24, 1 },
3386		{ "TxnDisable", 20, 1 },
3387	{ "PCIE_PF_MSI_EN", 0x35a8, 0 },
3388	{ "PCIE_VF_MSI_EN_0", 0x35ac, 0 },
3389	{ "PCIE_VF_MSI_EN_1", 0x35b0, 0 },
3390	{ "PCIE_VF_MSI_EN_2", 0x35b4, 0 },
3391	{ "PCIE_VF_MSI_EN_3", 0x35b8, 0 },
3392	{ "PCIE_PF_MSIX_EN", 0x35bc, 0 },
3393	{ "PCIE_VF_MSIX_EN_0", 0x35c0, 0 },
3394	{ "PCIE_VF_MSIX_EN_1", 0x35c4, 0 },
3395	{ "PCIE_VF_MSIX_EN_2", 0x35c8, 0 },
3396	{ "PCIE_VF_MSIX_EN_3", 0x35cc, 0 },
3397	{ "PCIE_FID_VFID_SEL", 0x35ec, 0 },
3398	{ "PCIE_FID_VFID", 0x3600, 0 },
3399		{ "Select", 30, 2 },
3400		{ "IDO", 24, 1 },
3401		{ "VFID", 16, 8 },
3402		{ "TC", 11, 3 },
3403		{ "VFVld", 10, 1 },
3404		{ "PF", 7, 3 },
3405		{ "RVF", 0, 7 },
3406	{ "PCIE_FID_VFID", 0x3604, 0 },
3407		{ "Select", 30, 2 },
3408		{ "IDO", 24, 1 },
3409		{ "VFID", 16, 8 },
3410		{ "TC", 11, 3 },
3411		{ "VFVld", 10, 1 },
3412		{ "PF", 7, 3 },
3413		{ "RVF", 0, 7 },
3414	{ "PCIE_FID_VFID", 0x3608, 0 },
3415		{ "Select", 30, 2 },
3416		{ "IDO", 24, 1 },
3417		{ "VFID", 16, 8 },
3418		{ "TC", 11, 3 },
3419		{ "VFVld", 10, 1 },
3420		{ "PF", 7, 3 },
3421		{ "RVF", 0, 7 },
3422	{ "PCIE_FID_VFID", 0x360c, 0 },
3423		{ "Select", 30, 2 },
3424		{ "IDO", 24, 1 },
3425		{ "VFID", 16, 8 },
3426		{ "TC", 11, 3 },
3427		{ "VFVld", 10, 1 },
3428		{ "PF", 7, 3 },
3429		{ "RVF", 0, 7 },
3430	{ "PCIE_FID_VFID", 0x3610, 0 },
3431		{ "Select", 30, 2 },
3432		{ "IDO", 24, 1 },
3433		{ "VFID", 16, 8 },
3434		{ "TC", 11, 3 },
3435		{ "VFVld", 10, 1 },
3436		{ "PF", 7, 3 },
3437		{ "RVF", 0, 7 },
3438	{ "PCIE_FID_VFID", 0x3614, 0 },
3439		{ "Select", 30, 2 },
3440		{ "IDO", 24, 1 },
3441		{ "VFID", 16, 8 },
3442		{ "TC", 11, 3 },
3443		{ "VFVld", 10, 1 },
3444		{ "PF", 7, 3 },
3445		{ "RVF", 0, 7 },
3446	{ "PCIE_FID_VFID", 0x3618, 0 },
3447		{ "Select", 30, 2 },
3448		{ "IDO", 24, 1 },
3449		{ "VFID", 16, 8 },
3450		{ "TC", 11, 3 },
3451		{ "VFVld", 10, 1 },
3452		{ "PF", 7, 3 },
3453		{ "RVF", 0, 7 },
3454	{ "PCIE_FID_VFID", 0x361c, 0 },
3455		{ "Select", 30, 2 },
3456		{ "IDO", 24, 1 },
3457		{ "VFID", 16, 8 },
3458		{ "TC", 11, 3 },
3459		{ "VFVld", 10, 1 },
3460		{ "PF", 7, 3 },
3461		{ "RVF", 0, 7 },
3462	{ "PCIE_FID_VFID", 0x3620, 0 },
3463		{ "Select", 30, 2 },
3464		{ "IDO", 24, 1 },
3465		{ "VFID", 16, 8 },
3466		{ "TC", 11, 3 },
3467		{ "VFVld", 10, 1 },
3468		{ "PF", 7, 3 },
3469		{ "RVF", 0, 7 },
3470	{ "PCIE_FID_VFID", 0x3624, 0 },
3471		{ "Select", 30, 2 },
3472		{ "IDO", 24, 1 },
3473		{ "VFID", 16, 8 },
3474		{ "TC", 11, 3 },
3475		{ "VFVld", 10, 1 },
3476		{ "PF", 7, 3 },
3477		{ "RVF", 0, 7 },
3478	{ "PCIE_FID_VFID", 0x3628, 0 },
3479		{ "Select", 30, 2 },
3480		{ "IDO", 24, 1 },
3481		{ "VFID", 16, 8 },
3482		{ "TC", 11, 3 },
3483		{ "VFVld", 10, 1 },
3484		{ "PF", 7, 3 },
3485		{ "RVF", 0, 7 },
3486	{ "PCIE_FID_VFID", 0x362c, 0 },
3487		{ "Select", 30, 2 },
3488		{ "IDO", 24, 1 },
3489		{ "VFID", 16, 8 },
3490		{ "TC", 11, 3 },
3491		{ "VFVld", 10, 1 },
3492		{ "PF", 7, 3 },
3493		{ "RVF", 0, 7 },
3494	{ "PCIE_FID_VFID", 0x3630, 0 },
3495		{ "Select", 30, 2 },
3496		{ "IDO", 24, 1 },
3497		{ "VFID", 16, 8 },
3498		{ "TC", 11, 3 },
3499		{ "VFVld", 10, 1 },
3500		{ "PF", 7, 3 },
3501		{ "RVF", 0, 7 },
3502	{ "PCIE_FID_VFID", 0x3634, 0 },
3503		{ "Select", 30, 2 },
3504		{ "IDO", 24, 1 },
3505		{ "VFID", 16, 8 },
3506		{ "TC", 11, 3 },
3507		{ "VFVld", 10, 1 },
3508		{ "PF", 7, 3 },
3509		{ "RVF", 0, 7 },
3510	{ "PCIE_FID_VFID", 0x3638, 0 },
3511		{ "Select", 30, 2 },
3512		{ "IDO", 24, 1 },
3513		{ "VFID", 16, 8 },
3514		{ "TC", 11, 3 },
3515		{ "VFVld", 10, 1 },
3516		{ "PF", 7, 3 },
3517		{ "RVF", 0, 7 },
3518	{ "PCIE_FID_VFID", 0x363c, 0 },
3519		{ "Select", 30, 2 },
3520		{ "IDO", 24, 1 },
3521		{ "VFID", 16, 8 },
3522		{ "TC", 11, 3 },
3523		{ "VFVld", 10, 1 },
3524		{ "PF", 7, 3 },
3525		{ "RVF", 0, 7 },
3526	{ "PCIE_FID_VFID", 0x3640, 0 },
3527		{ "Select", 30, 2 },
3528		{ "IDO", 24, 1 },
3529		{ "VFID", 16, 8 },
3530		{ "TC", 11, 3 },
3531		{ "VFVld", 10, 1 },
3532		{ "PF", 7, 3 },
3533		{ "RVF", 0, 7 },
3534	{ "PCIE_FID_VFID", 0x3644, 0 },
3535		{ "Select", 30, 2 },
3536		{ "IDO", 24, 1 },
3537		{ "VFID", 16, 8 },
3538		{ "TC", 11, 3 },
3539		{ "VFVld", 10, 1 },
3540		{ "PF", 7, 3 },
3541		{ "RVF", 0, 7 },
3542	{ "PCIE_FID_VFID", 0x3648, 0 },
3543		{ "Select", 30, 2 },
3544		{ "IDO", 24, 1 },
3545		{ "VFID", 16, 8 },
3546		{ "TC", 11, 3 },
3547		{ "VFVld", 10, 1 },
3548		{ "PF", 7, 3 },
3549		{ "RVF", 0, 7 },
3550	{ "PCIE_FID_VFID", 0x364c, 0 },
3551		{ "Select", 30, 2 },
3552		{ "IDO", 24, 1 },
3553		{ "VFID", 16, 8 },
3554		{ "TC", 11, 3 },
3555		{ "VFVld", 10, 1 },
3556		{ "PF", 7, 3 },
3557		{ "RVF", 0, 7 },
3558	{ "PCIE_FID_VFID", 0x3650, 0 },
3559		{ "Select", 30, 2 },
3560		{ "IDO", 24, 1 },
3561		{ "VFID", 16, 8 },
3562		{ "TC", 11, 3 },
3563		{ "VFVld", 10, 1 },
3564		{ "PF", 7, 3 },
3565		{ "RVF", 0, 7 },
3566	{ "PCIE_FID_VFID", 0x3654, 0 },
3567		{ "Select", 30, 2 },
3568		{ "IDO", 24, 1 },
3569		{ "VFID", 16, 8 },
3570		{ "TC", 11, 3 },
3571		{ "VFVld", 10, 1 },
3572		{ "PF", 7, 3 },
3573		{ "RVF", 0, 7 },
3574	{ "PCIE_FID_VFID", 0x3658, 0 },
3575		{ "Select", 30, 2 },
3576		{ "IDO", 24, 1 },
3577		{ "VFID", 16, 8 },
3578		{ "TC", 11, 3 },
3579		{ "VFVld", 10, 1 },
3580		{ "PF", 7, 3 },
3581		{ "RVF", 0, 7 },
3582	{ "PCIE_FID_VFID", 0x365c, 0 },
3583		{ "Select", 30, 2 },
3584		{ "IDO", 24, 1 },
3585		{ "VFID", 16, 8 },
3586		{ "TC", 11, 3 },
3587		{ "VFVld", 10, 1 },
3588		{ "PF", 7, 3 },
3589		{ "RVF", 0, 7 },
3590	{ "PCIE_FID_VFID", 0x3660, 0 },
3591		{ "Select", 30, 2 },
3592		{ "IDO", 24, 1 },
3593		{ "VFID", 16, 8 },
3594		{ "TC", 11, 3 },
3595		{ "VFVld", 10, 1 },
3596		{ "PF", 7, 3 },
3597		{ "RVF", 0, 7 },
3598	{ "PCIE_FID_VFID", 0x3664, 0 },
3599		{ "Select", 30, 2 },
3600		{ "IDO", 24, 1 },
3601		{ "VFID", 16, 8 },
3602		{ "TC", 11, 3 },
3603		{ "VFVld", 10, 1 },
3604		{ "PF", 7, 3 },
3605		{ "RVF", 0, 7 },
3606	{ "PCIE_FID_VFID", 0x3668, 0 },
3607		{ "Select", 30, 2 },
3608		{ "IDO", 24, 1 },
3609		{ "VFID", 16, 8 },
3610		{ "TC", 11, 3 },
3611		{ "VFVld", 10, 1 },
3612		{ "PF", 7, 3 },
3613		{ "RVF", 0, 7 },
3614	{ "PCIE_FID_VFID", 0x366c, 0 },
3615		{ "Select", 30, 2 },
3616		{ "IDO", 24, 1 },
3617		{ "VFID", 16, 8 },
3618		{ "TC", 11, 3 },
3619		{ "VFVld", 10, 1 },
3620		{ "PF", 7, 3 },
3621		{ "RVF", 0, 7 },
3622	{ "PCIE_FID_VFID", 0x3670, 0 },
3623		{ "Select", 30, 2 },
3624		{ "IDO", 24, 1 },
3625		{ "VFID", 16, 8 },
3626		{ "TC", 11, 3 },
3627		{ "VFVld", 10, 1 },
3628		{ "PF", 7, 3 },
3629		{ "RVF", 0, 7 },
3630	{ "PCIE_FID_VFID", 0x3674, 0 },
3631		{ "Select", 30, 2 },
3632		{ "IDO", 24, 1 },
3633		{ "VFID", 16, 8 },
3634		{ "TC", 11, 3 },
3635		{ "VFVld", 10, 1 },
3636		{ "PF", 7, 3 },
3637		{ "RVF", 0, 7 },
3638	{ "PCIE_FID_VFID", 0x3678, 0 },
3639		{ "Select", 30, 2 },
3640		{ "IDO", 24, 1 },
3641		{ "VFID", 16, 8 },
3642		{ "TC", 11, 3 },
3643		{ "VFVld", 10, 1 },
3644		{ "PF", 7, 3 },
3645		{ "RVF", 0, 7 },
3646	{ "PCIE_FID_VFID", 0x367c, 0 },
3647		{ "Select", 30, 2 },
3648		{ "IDO", 24, 1 },
3649		{ "VFID", 16, 8 },
3650		{ "TC", 11, 3 },
3651		{ "VFVld", 10, 1 },
3652		{ "PF", 7, 3 },
3653		{ "RVF", 0, 7 },
3654	{ "PCIE_FID_VFID", 0x3680, 0 },
3655		{ "Select", 30, 2 },
3656		{ "IDO", 24, 1 },
3657		{ "VFID", 16, 8 },
3658		{ "TC", 11, 3 },
3659		{ "VFVld", 10, 1 },
3660		{ "PF", 7, 3 },
3661		{ "RVF", 0, 7 },
3662	{ "PCIE_FID_VFID", 0x3684, 0 },
3663		{ "Select", 30, 2 },
3664		{ "IDO", 24, 1 },
3665		{ "VFID", 16, 8 },
3666		{ "TC", 11, 3 },
3667		{ "VFVld", 10, 1 },
3668		{ "PF", 7, 3 },
3669		{ "RVF", 0, 7 },
3670	{ "PCIE_FID_VFID", 0x3688, 0 },
3671		{ "Select", 30, 2 },
3672		{ "IDO", 24, 1 },
3673		{ "VFID", 16, 8 },
3674		{ "TC", 11, 3 },
3675		{ "VFVld", 10, 1 },
3676		{ "PF", 7, 3 },
3677		{ "RVF", 0, 7 },
3678	{ "PCIE_FID_VFID", 0x368c, 0 },
3679		{ "Select", 30, 2 },
3680		{ "IDO", 24, 1 },
3681		{ "VFID", 16, 8 },
3682		{ "TC", 11, 3 },
3683		{ "VFVld", 10, 1 },
3684		{ "PF", 7, 3 },
3685		{ "RVF", 0, 7 },
3686	{ "PCIE_FID_VFID", 0x3690, 0 },
3687		{ "Select", 30, 2 },
3688		{ "IDO", 24, 1 },
3689		{ "VFID", 16, 8 },
3690		{ "TC", 11, 3 },
3691		{ "VFVld", 10, 1 },
3692		{ "PF", 7, 3 },
3693		{ "RVF", 0, 7 },
3694	{ "PCIE_FID_VFID", 0x3694, 0 },
3695		{ "Select", 30, 2 },
3696		{ "IDO", 24, 1 },
3697		{ "VFID", 16, 8 },
3698		{ "TC", 11, 3 },
3699		{ "VFVld", 10, 1 },
3700		{ "PF", 7, 3 },
3701		{ "RVF", 0, 7 },
3702	{ "PCIE_FID_VFID", 0x3698, 0 },
3703		{ "Select", 30, 2 },
3704		{ "IDO", 24, 1 },
3705		{ "VFID", 16, 8 },
3706		{ "TC", 11, 3 },
3707		{ "VFVld", 10, 1 },
3708		{ "PF", 7, 3 },
3709		{ "RVF", 0, 7 },
3710	{ "PCIE_FID_VFID", 0x369c, 0 },
3711		{ "Select", 30, 2 },
3712		{ "IDO", 24, 1 },
3713		{ "VFID", 16, 8 },
3714		{ "TC", 11, 3 },
3715		{ "VFVld", 10, 1 },
3716		{ "PF", 7, 3 },
3717		{ "RVF", 0, 7 },
3718	{ "PCIE_FID_VFID", 0x36a0, 0 },
3719		{ "Select", 30, 2 },
3720		{ "IDO", 24, 1 },
3721		{ "VFID", 16, 8 },
3722		{ "TC", 11, 3 },
3723		{ "VFVld", 10, 1 },
3724		{ "PF", 7, 3 },
3725		{ "RVF", 0, 7 },
3726	{ "PCIE_FID_VFID", 0x36a4, 0 },
3727		{ "Select", 30, 2 },
3728		{ "IDO", 24, 1 },
3729		{ "VFID", 16, 8 },
3730		{ "TC", 11, 3 },
3731		{ "VFVld", 10, 1 },
3732		{ "PF", 7, 3 },
3733		{ "RVF", 0, 7 },
3734	{ "PCIE_FID_VFID", 0x36a8, 0 },
3735		{ "Select", 30, 2 },
3736		{ "IDO", 24, 1 },
3737		{ "VFID", 16, 8 },
3738		{ "TC", 11, 3 },
3739		{ "VFVld", 10, 1 },
3740		{ "PF", 7, 3 },
3741		{ "RVF", 0, 7 },
3742	{ "PCIE_FID_VFID", 0x36ac, 0 },
3743		{ "Select", 30, 2 },
3744		{ "IDO", 24, 1 },
3745		{ "VFID", 16, 8 },
3746		{ "TC", 11, 3 },
3747		{ "VFVld", 10, 1 },
3748		{ "PF", 7, 3 },
3749		{ "RVF", 0, 7 },
3750	{ "PCIE_FID_VFID", 0x36b0, 0 },
3751		{ "Select", 30, 2 },
3752		{ "IDO", 24, 1 },
3753		{ "VFID", 16, 8 },
3754		{ "TC", 11, 3 },
3755		{ "VFVld", 10, 1 },
3756		{ "PF", 7, 3 },
3757		{ "RVF", 0, 7 },
3758	{ "PCIE_FID_VFID", 0x36b4, 0 },
3759		{ "Select", 30, 2 },
3760		{ "IDO", 24, 1 },
3761		{ "VFID", 16, 8 },
3762		{ "TC", 11, 3 },
3763		{ "VFVld", 10, 1 },
3764		{ "PF", 7, 3 },
3765		{ "RVF", 0, 7 },
3766	{ "PCIE_FID_VFID", 0x36b8, 0 },
3767		{ "Select", 30, 2 },
3768		{ "IDO", 24, 1 },
3769		{ "VFID", 16, 8 },
3770		{ "TC", 11, 3 },
3771		{ "VFVld", 10, 1 },
3772		{ "PF", 7, 3 },
3773		{ "RVF", 0, 7 },
3774	{ "PCIE_FID_VFID", 0x36bc, 0 },
3775		{ "Select", 30, 2 },
3776		{ "IDO", 24, 1 },
3777		{ "VFID", 16, 8 },
3778		{ "TC", 11, 3 },
3779		{ "VFVld", 10, 1 },
3780		{ "PF", 7, 3 },
3781		{ "RVF", 0, 7 },
3782	{ "PCIE_FID_VFID", 0x36c0, 0 },
3783		{ "Select", 30, 2 },
3784		{ "IDO", 24, 1 },
3785		{ "VFID", 16, 8 },
3786		{ "TC", 11, 3 },
3787		{ "VFVld", 10, 1 },
3788		{ "PF", 7, 3 },
3789		{ "RVF", 0, 7 },
3790	{ "PCIE_FID_VFID", 0x36c4, 0 },
3791		{ "Select", 30, 2 },
3792		{ "IDO", 24, 1 },
3793		{ "VFID", 16, 8 },
3794		{ "TC", 11, 3 },
3795		{ "VFVld", 10, 1 },
3796		{ "PF", 7, 3 },
3797		{ "RVF", 0, 7 },
3798	{ "PCIE_FID_VFID", 0x36c8, 0 },
3799		{ "Select", 30, 2 },
3800		{ "IDO", 24, 1 },
3801		{ "VFID", 16, 8 },
3802		{ "TC", 11, 3 },
3803		{ "VFVld", 10, 1 },
3804		{ "PF", 7, 3 },
3805		{ "RVF", 0, 7 },
3806	{ "PCIE_FID_VFID", 0x36cc, 0 },
3807		{ "Select", 30, 2 },
3808		{ "IDO", 24, 1 },
3809		{ "VFID", 16, 8 },
3810		{ "TC", 11, 3 },
3811		{ "VFVld", 10, 1 },
3812		{ "PF", 7, 3 },
3813		{ "RVF", 0, 7 },
3814	{ "PCIE_FID_VFID", 0x36d0, 0 },
3815		{ "Select", 30, 2 },
3816		{ "IDO", 24, 1 },
3817		{ "VFID", 16, 8 },
3818		{ "TC", 11, 3 },
3819		{ "VFVld", 10, 1 },
3820		{ "PF", 7, 3 },
3821		{ "RVF", 0, 7 },
3822	{ "PCIE_FID_VFID", 0x36d4, 0 },
3823		{ "Select", 30, 2 },
3824		{ "IDO", 24, 1 },
3825		{ "VFID", 16, 8 },
3826		{ "TC", 11, 3 },
3827		{ "VFVld", 10, 1 },
3828		{ "PF", 7, 3 },
3829		{ "RVF", 0, 7 },
3830	{ "PCIE_FID_VFID", 0x36d8, 0 },
3831		{ "Select", 30, 2 },
3832		{ "IDO", 24, 1 },
3833		{ "VFID", 16, 8 },
3834		{ "TC", 11, 3 },
3835		{ "VFVld", 10, 1 },
3836		{ "PF", 7, 3 },
3837		{ "RVF", 0, 7 },
3838	{ "PCIE_FID_VFID", 0x36dc, 0 },
3839		{ "Select", 30, 2 },
3840		{ "IDO", 24, 1 },
3841		{ "VFID", 16, 8 },
3842		{ "TC", 11, 3 },
3843		{ "VFVld", 10, 1 },
3844		{ "PF", 7, 3 },
3845		{ "RVF", 0, 7 },
3846	{ "PCIE_FID_VFID", 0x36e0, 0 },
3847		{ "Select", 30, 2 },
3848		{ "IDO", 24, 1 },
3849		{ "VFID", 16, 8 },
3850		{ "TC", 11, 3 },
3851		{ "VFVld", 10, 1 },
3852		{ "PF", 7, 3 },
3853		{ "RVF", 0, 7 },
3854	{ "PCIE_FID_VFID", 0x36e4, 0 },
3855		{ "Select", 30, 2 },
3856		{ "IDO", 24, 1 },
3857		{ "VFID", 16, 8 },
3858		{ "TC", 11, 3 },
3859		{ "VFVld", 10, 1 },
3860		{ "PF", 7, 3 },
3861		{ "RVF", 0, 7 },
3862	{ "PCIE_FID_VFID", 0x36e8, 0 },
3863		{ "Select", 30, 2 },
3864		{ "IDO", 24, 1 },
3865		{ "VFID", 16, 8 },
3866		{ "TC", 11, 3 },
3867		{ "VFVld", 10, 1 },
3868		{ "PF", 7, 3 },
3869		{ "RVF", 0, 7 },
3870	{ "PCIE_FID_VFID", 0x36ec, 0 },
3871		{ "Select", 30, 2 },
3872		{ "IDO", 24, 1 },
3873		{ "VFID", 16, 8 },
3874		{ "TC", 11, 3 },
3875		{ "VFVld", 10, 1 },
3876		{ "PF", 7, 3 },
3877		{ "RVF", 0, 7 },
3878	{ "PCIE_FID_VFID", 0x36f0, 0 },
3879		{ "Select", 30, 2 },
3880		{ "IDO", 24, 1 },
3881		{ "VFID", 16, 8 },
3882		{ "TC", 11, 3 },
3883		{ "VFVld", 10, 1 },
3884		{ "PF", 7, 3 },
3885		{ "RVF", 0, 7 },
3886	{ "PCIE_FID_VFID", 0x36f4, 0 },
3887		{ "Select", 30, 2 },
3888		{ "IDO", 24, 1 },
3889		{ "VFID", 16, 8 },
3890		{ "TC", 11, 3 },
3891		{ "VFVld", 10, 1 },
3892		{ "PF", 7, 3 },
3893		{ "RVF", 0, 7 },
3894	{ "PCIE_FID_VFID", 0x36f8, 0 },
3895		{ "Select", 30, 2 },
3896		{ "IDO", 24, 1 },
3897		{ "VFID", 16, 8 },
3898		{ "TC", 11, 3 },
3899		{ "VFVld", 10, 1 },
3900		{ "PF", 7, 3 },
3901		{ "RVF", 0, 7 },
3902	{ "PCIE_FID_VFID", 0x36fc, 0 },
3903		{ "Select", 30, 2 },
3904		{ "IDO", 24, 1 },
3905		{ "VFID", 16, 8 },
3906		{ "TC", 11, 3 },
3907		{ "VFVld", 10, 1 },
3908		{ "PF", 7, 3 },
3909		{ "RVF", 0, 7 },
3910	{ "PCIE_FID_VFID", 0x3700, 0 },
3911		{ "Select", 30, 2 },
3912		{ "IDO", 24, 1 },
3913		{ "VFID", 16, 8 },
3914		{ "TC", 11, 3 },
3915		{ "VFVld", 10, 1 },
3916		{ "PF", 7, 3 },
3917		{ "RVF", 0, 7 },
3918	{ "PCIE_FID_VFID", 0x3704, 0 },
3919		{ "Select", 30, 2 },
3920		{ "IDO", 24, 1 },
3921		{ "VFID", 16, 8 },
3922		{ "TC", 11, 3 },
3923		{ "VFVld", 10, 1 },
3924		{ "PF", 7, 3 },
3925		{ "RVF", 0, 7 },
3926	{ "PCIE_FID_VFID", 0x3708, 0 },
3927		{ "Select", 30, 2 },
3928		{ "IDO", 24, 1 },
3929		{ "VFID", 16, 8 },
3930		{ "TC", 11, 3 },
3931		{ "VFVld", 10, 1 },
3932		{ "PF", 7, 3 },
3933		{ "RVF", 0, 7 },
3934	{ "PCIE_FID_VFID", 0x370c, 0 },
3935		{ "Select", 30, 2 },
3936		{ "IDO", 24, 1 },
3937		{ "VFID", 16, 8 },
3938		{ "TC", 11, 3 },
3939		{ "VFVld", 10, 1 },
3940		{ "PF", 7, 3 },
3941		{ "RVF", 0, 7 },
3942	{ "PCIE_FID_VFID", 0x3710, 0 },
3943		{ "Select", 30, 2 },
3944		{ "IDO", 24, 1 },
3945		{ "VFID", 16, 8 },
3946		{ "TC", 11, 3 },
3947		{ "VFVld", 10, 1 },
3948		{ "PF", 7, 3 },
3949		{ "RVF", 0, 7 },
3950	{ "PCIE_FID_VFID", 0x3714, 0 },
3951		{ "Select", 30, 2 },
3952		{ "IDO", 24, 1 },
3953		{ "VFID", 16, 8 },
3954		{ "TC", 11, 3 },
3955		{ "VFVld", 10, 1 },
3956		{ "PF", 7, 3 },
3957		{ "RVF", 0, 7 },
3958	{ "PCIE_FID_VFID", 0x3718, 0 },
3959		{ "Select", 30, 2 },
3960		{ "IDO", 24, 1 },
3961		{ "VFID", 16, 8 },
3962		{ "TC", 11, 3 },
3963		{ "VFVld", 10, 1 },
3964		{ "PF", 7, 3 },
3965		{ "RVF", 0, 7 },
3966	{ "PCIE_FID_VFID", 0x371c, 0 },
3967		{ "Select", 30, 2 },
3968		{ "IDO", 24, 1 },
3969		{ "VFID", 16, 8 },
3970		{ "TC", 11, 3 },
3971		{ "VFVld", 10, 1 },
3972		{ "PF", 7, 3 },
3973		{ "RVF", 0, 7 },
3974	{ "PCIE_FID_VFID", 0x3720, 0 },
3975		{ "Select", 30, 2 },
3976		{ "IDO", 24, 1 },
3977		{ "VFID", 16, 8 },
3978		{ "TC", 11, 3 },
3979		{ "VFVld", 10, 1 },
3980		{ "PF", 7, 3 },
3981		{ "RVF", 0, 7 },
3982	{ "PCIE_FID_VFID", 0x3724, 0 },
3983		{ "Select", 30, 2 },
3984		{ "IDO", 24, 1 },
3985		{ "VFID", 16, 8 },
3986		{ "TC", 11, 3 },
3987		{ "VFVld", 10, 1 },
3988		{ "PF", 7, 3 },
3989		{ "RVF", 0, 7 },
3990	{ "PCIE_FID_VFID", 0x3728, 0 },
3991		{ "Select", 30, 2 },
3992		{ "IDO", 24, 1 },
3993		{ "VFID", 16, 8 },
3994		{ "TC", 11, 3 },
3995		{ "VFVld", 10, 1 },
3996		{ "PF", 7, 3 },
3997		{ "RVF", 0, 7 },
3998	{ "PCIE_FID_VFID", 0x372c, 0 },
3999		{ "Select", 30, 2 },
4000		{ "IDO", 24, 1 },
4001		{ "VFID", 16, 8 },
4002		{ "TC", 11, 3 },
4003		{ "VFVld", 10, 1 },
4004		{ "PF", 7, 3 },
4005		{ "RVF", 0, 7 },
4006	{ "PCIE_FID_VFID", 0x3730, 0 },
4007		{ "Select", 30, 2 },
4008		{ "IDO", 24, 1 },
4009		{ "VFID", 16, 8 },
4010		{ "TC", 11, 3 },
4011		{ "VFVld", 10, 1 },
4012		{ "PF", 7, 3 },
4013		{ "RVF", 0, 7 },
4014	{ "PCIE_FID_VFID", 0x3734, 0 },
4015		{ "Select", 30, 2 },
4016		{ "IDO", 24, 1 },
4017		{ "VFID", 16, 8 },
4018		{ "TC", 11, 3 },
4019		{ "VFVld", 10, 1 },
4020		{ "PF", 7, 3 },
4021		{ "RVF", 0, 7 },
4022	{ "PCIE_FID_VFID", 0x3738, 0 },
4023		{ "Select", 30, 2 },
4024		{ "IDO", 24, 1 },
4025		{ "VFID", 16, 8 },
4026		{ "TC", 11, 3 },
4027		{ "VFVld", 10, 1 },
4028		{ "PF", 7, 3 },
4029		{ "RVF", 0, 7 },
4030	{ "PCIE_FID_VFID", 0x373c, 0 },
4031		{ "Select", 30, 2 },
4032		{ "IDO", 24, 1 },
4033		{ "VFID", 16, 8 },
4034		{ "TC", 11, 3 },
4035		{ "VFVld", 10, 1 },
4036		{ "PF", 7, 3 },
4037		{ "RVF", 0, 7 },
4038	{ "PCIE_FID_VFID", 0x3740, 0 },
4039		{ "Select", 30, 2 },
4040		{ "IDO", 24, 1 },
4041		{ "VFID", 16, 8 },
4042		{ "TC", 11, 3 },
4043		{ "VFVld", 10, 1 },
4044		{ "PF", 7, 3 },
4045		{ "RVF", 0, 7 },
4046	{ "PCIE_FID_VFID", 0x3744, 0 },
4047		{ "Select", 30, 2 },
4048		{ "IDO", 24, 1 },
4049		{ "VFID", 16, 8 },
4050		{ "TC", 11, 3 },
4051		{ "VFVld", 10, 1 },
4052		{ "PF", 7, 3 },
4053		{ "RVF", 0, 7 },
4054	{ "PCIE_FID_VFID", 0x3748, 0 },
4055		{ "Select", 30, 2 },
4056		{ "IDO", 24, 1 },
4057		{ "VFID", 16, 8 },
4058		{ "TC", 11, 3 },
4059		{ "VFVld", 10, 1 },
4060		{ "PF", 7, 3 },
4061		{ "RVF", 0, 7 },
4062	{ "PCIE_FID_VFID", 0x374c, 0 },
4063		{ "Select", 30, 2 },
4064		{ "IDO", 24, 1 },
4065		{ "VFID", 16, 8 },
4066		{ "TC", 11, 3 },
4067		{ "VFVld", 10, 1 },
4068		{ "PF", 7, 3 },
4069		{ "RVF", 0, 7 },
4070	{ "PCIE_FID_VFID", 0x3750, 0 },
4071		{ "Select", 30, 2 },
4072		{ "IDO", 24, 1 },
4073		{ "VFID", 16, 8 },
4074		{ "TC", 11, 3 },
4075		{ "VFVld", 10, 1 },
4076		{ "PF", 7, 3 },
4077		{ "RVF", 0, 7 },
4078	{ "PCIE_FID_VFID", 0x3754, 0 },
4079		{ "Select", 30, 2 },
4080		{ "IDO", 24, 1 },
4081		{ "VFID", 16, 8 },
4082		{ "TC", 11, 3 },
4083		{ "VFVld", 10, 1 },
4084		{ "PF", 7, 3 },
4085		{ "RVF", 0, 7 },
4086	{ "PCIE_FID_VFID", 0x3758, 0 },
4087		{ "Select", 30, 2 },
4088		{ "IDO", 24, 1 },
4089		{ "VFID", 16, 8 },
4090		{ "TC", 11, 3 },
4091		{ "VFVld", 10, 1 },
4092		{ "PF", 7, 3 },
4093		{ "RVF", 0, 7 },
4094	{ "PCIE_FID_VFID", 0x375c, 0 },
4095		{ "Select", 30, 2 },
4096		{ "IDO", 24, 1 },
4097		{ "VFID", 16, 8 },
4098		{ "TC", 11, 3 },
4099		{ "VFVld", 10, 1 },
4100		{ "PF", 7, 3 },
4101		{ "RVF", 0, 7 },
4102	{ "PCIE_FID_VFID", 0x3760, 0 },
4103		{ "Select", 30, 2 },
4104		{ "IDO", 24, 1 },
4105		{ "VFID", 16, 8 },
4106		{ "TC", 11, 3 },
4107		{ "VFVld", 10, 1 },
4108		{ "PF", 7, 3 },
4109		{ "RVF", 0, 7 },
4110	{ "PCIE_FID_VFID", 0x3764, 0 },
4111		{ "Select", 30, 2 },
4112		{ "IDO", 24, 1 },
4113		{ "VFID", 16, 8 },
4114		{ "TC", 11, 3 },
4115		{ "VFVld", 10, 1 },
4116		{ "PF", 7, 3 },
4117		{ "RVF", 0, 7 },
4118	{ "PCIE_FID_VFID", 0x3768, 0 },
4119		{ "Select", 30, 2 },
4120		{ "IDO", 24, 1 },
4121		{ "VFID", 16, 8 },
4122		{ "TC", 11, 3 },
4123		{ "VFVld", 10, 1 },
4124		{ "PF", 7, 3 },
4125		{ "RVF", 0, 7 },
4126	{ "PCIE_FID_VFID", 0x376c, 0 },
4127		{ "Select", 30, 2 },
4128		{ "IDO", 24, 1 },
4129		{ "VFID", 16, 8 },
4130		{ "TC", 11, 3 },
4131		{ "VFVld", 10, 1 },
4132		{ "PF", 7, 3 },
4133		{ "RVF", 0, 7 },
4134	{ "PCIE_FID_VFID", 0x3770, 0 },
4135		{ "Select", 30, 2 },
4136		{ "IDO", 24, 1 },
4137		{ "VFID", 16, 8 },
4138		{ "TC", 11, 3 },
4139		{ "VFVld", 10, 1 },
4140		{ "PF", 7, 3 },
4141		{ "RVF", 0, 7 },
4142	{ "PCIE_FID_VFID", 0x3774, 0 },
4143		{ "Select", 30, 2 },
4144		{ "IDO", 24, 1 },
4145		{ "VFID", 16, 8 },
4146		{ "TC", 11, 3 },
4147		{ "VFVld", 10, 1 },
4148		{ "PF", 7, 3 },
4149		{ "RVF", 0, 7 },
4150	{ "PCIE_FID_VFID", 0x3778, 0 },
4151		{ "Select", 30, 2 },
4152		{ "IDO", 24, 1 },
4153		{ "VFID", 16, 8 },
4154		{ "TC", 11, 3 },
4155		{ "VFVld", 10, 1 },
4156		{ "PF", 7, 3 },
4157		{ "RVF", 0, 7 },
4158	{ "PCIE_FID_VFID", 0x377c, 0 },
4159		{ "Select", 30, 2 },
4160		{ "IDO", 24, 1 },
4161		{ "VFID", 16, 8 },
4162		{ "TC", 11, 3 },
4163		{ "VFVld", 10, 1 },
4164		{ "PF", 7, 3 },
4165		{ "RVF", 0, 7 },
4166	{ "PCIE_FID_VFID", 0x3780, 0 },
4167		{ "Select", 30, 2 },
4168		{ "IDO", 24, 1 },
4169		{ "VFID", 16, 8 },
4170		{ "TC", 11, 3 },
4171		{ "VFVld", 10, 1 },
4172		{ "PF", 7, 3 },
4173		{ "RVF", 0, 7 },
4174	{ "PCIE_FID_VFID", 0x3784, 0 },
4175		{ "Select", 30, 2 },
4176		{ "IDO", 24, 1 },
4177		{ "VFID", 16, 8 },
4178		{ "TC", 11, 3 },
4179		{ "VFVld", 10, 1 },
4180		{ "PF", 7, 3 },
4181		{ "RVF", 0, 7 },
4182	{ "PCIE_FID_VFID", 0x3788, 0 },
4183		{ "Select", 30, 2 },
4184		{ "IDO", 24, 1 },
4185		{ "VFID", 16, 8 },
4186		{ "TC", 11, 3 },
4187		{ "VFVld", 10, 1 },
4188		{ "PF", 7, 3 },
4189		{ "RVF", 0, 7 },
4190	{ "PCIE_FID_VFID", 0x378c, 0 },
4191		{ "Select", 30, 2 },
4192		{ "IDO", 24, 1 },
4193		{ "VFID", 16, 8 },
4194		{ "TC", 11, 3 },
4195		{ "VFVld", 10, 1 },
4196		{ "PF", 7, 3 },
4197		{ "RVF", 0, 7 },
4198	{ "PCIE_FID_VFID", 0x3790, 0 },
4199		{ "Select", 30, 2 },
4200		{ "IDO", 24, 1 },
4201		{ "VFID", 16, 8 },
4202		{ "TC", 11, 3 },
4203		{ "VFVld", 10, 1 },
4204		{ "PF", 7, 3 },
4205		{ "RVF", 0, 7 },
4206	{ "PCIE_FID_VFID", 0x3794, 0 },
4207		{ "Select", 30, 2 },
4208		{ "IDO", 24, 1 },
4209		{ "VFID", 16, 8 },
4210		{ "TC", 11, 3 },
4211		{ "VFVld", 10, 1 },
4212		{ "PF", 7, 3 },
4213		{ "RVF", 0, 7 },
4214	{ "PCIE_FID_VFID", 0x3798, 0 },
4215		{ "Select", 30, 2 },
4216		{ "IDO", 24, 1 },
4217		{ "VFID", 16, 8 },
4218		{ "TC", 11, 3 },
4219		{ "VFVld", 10, 1 },
4220		{ "PF", 7, 3 },
4221		{ "RVF", 0, 7 },
4222	{ "PCIE_FID_VFID", 0x379c, 0 },
4223		{ "Select", 30, 2 },
4224		{ "IDO", 24, 1 },
4225		{ "VFID", 16, 8 },
4226		{ "TC", 11, 3 },
4227		{ "VFVld", 10, 1 },
4228		{ "PF", 7, 3 },
4229		{ "RVF", 0, 7 },
4230	{ "PCIE_FID_VFID", 0x37a0, 0 },
4231		{ "Select", 30, 2 },
4232		{ "IDO", 24, 1 },
4233		{ "VFID", 16, 8 },
4234		{ "TC", 11, 3 },
4235		{ "VFVld", 10, 1 },
4236		{ "PF", 7, 3 },
4237		{ "RVF", 0, 7 },
4238	{ "PCIE_FID_VFID", 0x37a4, 0 },
4239		{ "Select", 30, 2 },
4240		{ "IDO", 24, 1 },
4241		{ "VFID", 16, 8 },
4242		{ "TC", 11, 3 },
4243		{ "VFVld", 10, 1 },
4244		{ "PF", 7, 3 },
4245		{ "RVF", 0, 7 },
4246	{ "PCIE_FID_VFID", 0x37a8, 0 },
4247		{ "Select", 30, 2 },
4248		{ "IDO", 24, 1 },
4249		{ "VFID", 16, 8 },
4250		{ "TC", 11, 3 },
4251		{ "VFVld", 10, 1 },
4252		{ "PF", 7, 3 },
4253		{ "RVF", 0, 7 },
4254	{ "PCIE_FID_VFID", 0x37ac, 0 },
4255		{ "Select", 30, 2 },
4256		{ "IDO", 24, 1 },
4257		{ "VFID", 16, 8 },
4258		{ "TC", 11, 3 },
4259		{ "VFVld", 10, 1 },
4260		{ "PF", 7, 3 },
4261		{ "RVF", 0, 7 },
4262	{ "PCIE_FID_VFID", 0x37b0, 0 },
4263		{ "Select", 30, 2 },
4264		{ "IDO", 24, 1 },
4265		{ "VFID", 16, 8 },
4266		{ "TC", 11, 3 },
4267		{ "VFVld", 10, 1 },
4268		{ "PF", 7, 3 },
4269		{ "RVF", 0, 7 },
4270	{ "PCIE_FID_VFID", 0x37b4, 0 },
4271		{ "Select", 30, 2 },
4272		{ "IDO", 24, 1 },
4273		{ "VFID", 16, 8 },
4274		{ "TC", 11, 3 },
4275		{ "VFVld", 10, 1 },
4276		{ "PF", 7, 3 },
4277		{ "RVF", 0, 7 },
4278	{ "PCIE_FID_VFID", 0x37b8, 0 },
4279		{ "Select", 30, 2 },
4280		{ "IDO", 24, 1 },
4281		{ "VFID", 16, 8 },
4282		{ "TC", 11, 3 },
4283		{ "VFVld", 10, 1 },
4284		{ "PF", 7, 3 },
4285		{ "RVF", 0, 7 },
4286	{ "PCIE_FID_VFID", 0x37bc, 0 },
4287		{ "Select", 30, 2 },
4288		{ "IDO", 24, 1 },
4289		{ "VFID", 16, 8 },
4290		{ "TC", 11, 3 },
4291		{ "VFVld", 10, 1 },
4292		{ "PF", 7, 3 },
4293		{ "RVF", 0, 7 },
4294	{ "PCIE_FID_VFID", 0x37c0, 0 },
4295		{ "Select", 30, 2 },
4296		{ "IDO", 24, 1 },
4297		{ "VFID", 16, 8 },
4298		{ "TC", 11, 3 },
4299		{ "VFVld", 10, 1 },
4300		{ "PF", 7, 3 },
4301		{ "RVF", 0, 7 },
4302	{ "PCIE_FID_VFID", 0x37c4, 0 },
4303		{ "Select", 30, 2 },
4304		{ "IDO", 24, 1 },
4305		{ "VFID", 16, 8 },
4306		{ "TC", 11, 3 },
4307		{ "VFVld", 10, 1 },
4308		{ "PF", 7, 3 },
4309		{ "RVF", 0, 7 },
4310	{ "PCIE_FID_VFID", 0x37c8, 0 },
4311		{ "Select", 30, 2 },
4312		{ "IDO", 24, 1 },
4313		{ "VFID", 16, 8 },
4314		{ "TC", 11, 3 },
4315		{ "VFVld", 10, 1 },
4316		{ "PF", 7, 3 },
4317		{ "RVF", 0, 7 },
4318	{ "PCIE_FID_VFID", 0x37cc, 0 },
4319		{ "Select", 30, 2 },
4320		{ "IDO", 24, 1 },
4321		{ "VFID", 16, 8 },
4322		{ "TC", 11, 3 },
4323		{ "VFVld", 10, 1 },
4324		{ "PF", 7, 3 },
4325		{ "RVF", 0, 7 },
4326	{ "PCIE_FID_VFID", 0x37d0, 0 },
4327		{ "Select", 30, 2 },
4328		{ "IDO", 24, 1 },
4329		{ "VFID", 16, 8 },
4330		{ "TC", 11, 3 },
4331		{ "VFVld", 10, 1 },
4332		{ "PF", 7, 3 },
4333		{ "RVF", 0, 7 },
4334	{ "PCIE_FID_VFID", 0x37d4, 0 },
4335		{ "Select", 30, 2 },
4336		{ "IDO", 24, 1 },
4337		{ "VFID", 16, 8 },
4338		{ "TC", 11, 3 },
4339		{ "VFVld", 10, 1 },
4340		{ "PF", 7, 3 },
4341		{ "RVF", 0, 7 },
4342	{ "PCIE_FID_VFID", 0x37d8, 0 },
4343		{ "Select", 30, 2 },
4344		{ "IDO", 24, 1 },
4345		{ "VFID", 16, 8 },
4346		{ "TC", 11, 3 },
4347		{ "VFVld", 10, 1 },
4348		{ "PF", 7, 3 },
4349		{ "RVF", 0, 7 },
4350	{ "PCIE_FID_VFID", 0x37dc, 0 },
4351		{ "Select", 30, 2 },
4352		{ "IDO", 24, 1 },
4353		{ "VFID", 16, 8 },
4354		{ "TC", 11, 3 },
4355		{ "VFVld", 10, 1 },
4356		{ "PF", 7, 3 },
4357		{ "RVF", 0, 7 },
4358	{ "PCIE_FID_VFID", 0x37e0, 0 },
4359		{ "Select", 30, 2 },
4360		{ "IDO", 24, 1 },
4361		{ "VFID", 16, 8 },
4362		{ "TC", 11, 3 },
4363		{ "VFVld", 10, 1 },
4364		{ "PF", 7, 3 },
4365		{ "RVF", 0, 7 },
4366	{ "PCIE_FID_VFID", 0x37e4, 0 },
4367		{ "Select", 30, 2 },
4368		{ "IDO", 24, 1 },
4369		{ "VFID", 16, 8 },
4370		{ "TC", 11, 3 },
4371		{ "VFVld", 10, 1 },
4372		{ "PF", 7, 3 },
4373		{ "RVF", 0, 7 },
4374	{ "PCIE_FID_VFID", 0x37e8, 0 },
4375		{ "Select", 30, 2 },
4376		{ "IDO", 24, 1 },
4377		{ "VFID", 16, 8 },
4378		{ "TC", 11, 3 },
4379		{ "VFVld", 10, 1 },
4380		{ "PF", 7, 3 },
4381		{ "RVF", 0, 7 },
4382	{ "PCIE_FID_VFID", 0x37ec, 0 },
4383		{ "Select", 30, 2 },
4384		{ "IDO", 24, 1 },
4385		{ "VFID", 16, 8 },
4386		{ "TC", 11, 3 },
4387		{ "VFVld", 10, 1 },
4388		{ "PF", 7, 3 },
4389		{ "RVF", 0, 7 },
4390	{ "PCIE_FID_VFID", 0x37f0, 0 },
4391		{ "Select", 30, 2 },
4392		{ "IDO", 24, 1 },
4393		{ "VFID", 16, 8 },
4394		{ "TC", 11, 3 },
4395		{ "VFVld", 10, 1 },
4396		{ "PF", 7, 3 },
4397		{ "RVF", 0, 7 },
4398	{ "PCIE_FID_VFID", 0x37f4, 0 },
4399		{ "Select", 30, 2 },
4400		{ "IDO", 24, 1 },
4401		{ "VFID", 16, 8 },
4402		{ "TC", 11, 3 },
4403		{ "VFVld", 10, 1 },
4404		{ "PF", 7, 3 },
4405		{ "RVF", 0, 7 },
4406	{ "PCIE_FID_VFID", 0x37f8, 0 },
4407		{ "Select", 30, 2 },
4408		{ "IDO", 24, 1 },
4409		{ "VFID", 16, 8 },
4410		{ "TC", 11, 3 },
4411		{ "VFVld", 10, 1 },
4412		{ "PF", 7, 3 },
4413		{ "RVF", 0, 7 },
4414	{ "PCIE_FID_VFID", 0x37fc, 0 },
4415		{ "Select", 30, 2 },
4416		{ "IDO", 24, 1 },
4417		{ "VFID", 16, 8 },
4418		{ "TC", 11, 3 },
4419		{ "VFVld", 10, 1 },
4420		{ "PF", 7, 3 },
4421		{ "RVF", 0, 7 },
4422	{ "PCIE_FID_VFID", 0x3800, 0 },
4423		{ "Select", 30, 2 },
4424		{ "IDO", 24, 1 },
4425		{ "VFID", 16, 8 },
4426		{ "TC", 11, 3 },
4427		{ "VFVld", 10, 1 },
4428		{ "PF", 7, 3 },
4429		{ "RVF", 0, 7 },
4430	{ "PCIE_FID_VFID", 0x3804, 0 },
4431		{ "Select", 30, 2 },
4432		{ "IDO", 24, 1 },
4433		{ "VFID", 16, 8 },
4434		{ "TC", 11, 3 },
4435		{ "VFVld", 10, 1 },
4436		{ "PF", 7, 3 },
4437		{ "RVF", 0, 7 },
4438	{ "PCIE_FID_VFID", 0x3808, 0 },
4439		{ "Select", 30, 2 },
4440		{ "IDO", 24, 1 },
4441		{ "VFID", 16, 8 },
4442		{ "TC", 11, 3 },
4443		{ "VFVld", 10, 1 },
4444		{ "PF", 7, 3 },
4445		{ "RVF", 0, 7 },
4446	{ "PCIE_FID_VFID", 0x380c, 0 },
4447		{ "Select", 30, 2 },
4448		{ "IDO", 24, 1 },
4449		{ "VFID", 16, 8 },
4450		{ "TC", 11, 3 },
4451		{ "VFVld", 10, 1 },
4452		{ "PF", 7, 3 },
4453		{ "RVF", 0, 7 },
4454	{ "PCIE_FID_VFID", 0x3810, 0 },
4455		{ "Select", 30, 2 },
4456		{ "IDO", 24, 1 },
4457		{ "VFID", 16, 8 },
4458		{ "TC", 11, 3 },
4459		{ "VFVld", 10, 1 },
4460		{ "PF", 7, 3 },
4461		{ "RVF", 0, 7 },
4462	{ "PCIE_FID_VFID", 0x3814, 0 },
4463		{ "Select", 30, 2 },
4464		{ "IDO", 24, 1 },
4465		{ "VFID", 16, 8 },
4466		{ "TC", 11, 3 },
4467		{ "VFVld", 10, 1 },
4468		{ "PF", 7, 3 },
4469		{ "RVF", 0, 7 },
4470	{ "PCIE_FID_VFID", 0x3818, 0 },
4471		{ "Select", 30, 2 },
4472		{ "IDO", 24, 1 },
4473		{ "VFID", 16, 8 },
4474		{ "TC", 11, 3 },
4475		{ "VFVld", 10, 1 },
4476		{ "PF", 7, 3 },
4477		{ "RVF", 0, 7 },
4478	{ "PCIE_FID_VFID", 0x381c, 0 },
4479		{ "Select", 30, 2 },
4480		{ "IDO", 24, 1 },
4481		{ "VFID", 16, 8 },
4482		{ "TC", 11, 3 },
4483		{ "VFVld", 10, 1 },
4484		{ "PF", 7, 3 },
4485		{ "RVF", 0, 7 },
4486	{ "PCIE_FID_VFID", 0x3820, 0 },
4487		{ "Select", 30, 2 },
4488		{ "IDO", 24, 1 },
4489		{ "VFID", 16, 8 },
4490		{ "TC", 11, 3 },
4491		{ "VFVld", 10, 1 },
4492		{ "PF", 7, 3 },
4493		{ "RVF", 0, 7 },
4494	{ "PCIE_FID_VFID", 0x3824, 0 },
4495		{ "Select", 30, 2 },
4496		{ "IDO", 24, 1 },
4497		{ "VFID", 16, 8 },
4498		{ "TC", 11, 3 },
4499		{ "VFVld", 10, 1 },
4500		{ "PF", 7, 3 },
4501		{ "RVF", 0, 7 },
4502	{ "PCIE_FID_VFID", 0x3828, 0 },
4503		{ "Select", 30, 2 },
4504		{ "IDO", 24, 1 },
4505		{ "VFID", 16, 8 },
4506		{ "TC", 11, 3 },
4507		{ "VFVld", 10, 1 },
4508		{ "PF", 7, 3 },
4509		{ "RVF", 0, 7 },
4510	{ "PCIE_FID_VFID", 0x382c, 0 },
4511		{ "Select", 30, 2 },
4512		{ "IDO", 24, 1 },
4513		{ "VFID", 16, 8 },
4514		{ "TC", 11, 3 },
4515		{ "VFVld", 10, 1 },
4516		{ "PF", 7, 3 },
4517		{ "RVF", 0, 7 },
4518	{ "PCIE_FID_VFID", 0x3830, 0 },
4519		{ "Select", 30, 2 },
4520		{ "IDO", 24, 1 },
4521		{ "VFID", 16, 8 },
4522		{ "TC", 11, 3 },
4523		{ "VFVld", 10, 1 },
4524		{ "PF", 7, 3 },
4525		{ "RVF", 0, 7 },
4526	{ "PCIE_FID_VFID", 0x3834, 0 },
4527		{ "Select", 30, 2 },
4528		{ "IDO", 24, 1 },
4529		{ "VFID", 16, 8 },
4530		{ "TC", 11, 3 },
4531		{ "VFVld", 10, 1 },
4532		{ "PF", 7, 3 },
4533		{ "RVF", 0, 7 },
4534	{ "PCIE_FID_VFID", 0x3838, 0 },
4535		{ "Select", 30, 2 },
4536		{ "IDO", 24, 1 },
4537		{ "VFID", 16, 8 },
4538		{ "TC", 11, 3 },
4539		{ "VFVld", 10, 1 },
4540		{ "PF", 7, 3 },
4541		{ "RVF", 0, 7 },
4542	{ "PCIE_FID_VFID", 0x383c, 0 },
4543		{ "Select", 30, 2 },
4544		{ "IDO", 24, 1 },
4545		{ "VFID", 16, 8 },
4546		{ "TC", 11, 3 },
4547		{ "VFVld", 10, 1 },
4548		{ "PF", 7, 3 },
4549		{ "RVF", 0, 7 },
4550	{ "PCIE_FID_VFID", 0x3840, 0 },
4551		{ "Select", 30, 2 },
4552		{ "IDO", 24, 1 },
4553		{ "VFID", 16, 8 },
4554		{ "TC", 11, 3 },
4555		{ "VFVld", 10, 1 },
4556		{ "PF", 7, 3 },
4557		{ "RVF", 0, 7 },
4558	{ "PCIE_FID_VFID", 0x3844, 0 },
4559		{ "Select", 30, 2 },
4560		{ "IDO", 24, 1 },
4561		{ "VFID", 16, 8 },
4562		{ "TC", 11, 3 },
4563		{ "VFVld", 10, 1 },
4564		{ "PF", 7, 3 },
4565		{ "RVF", 0, 7 },
4566	{ "PCIE_FID_VFID", 0x3848, 0 },
4567		{ "Select", 30, 2 },
4568		{ "IDO", 24, 1 },
4569		{ "VFID", 16, 8 },
4570		{ "TC", 11, 3 },
4571		{ "VFVld", 10, 1 },
4572		{ "PF", 7, 3 },
4573		{ "RVF", 0, 7 },
4574	{ "PCIE_FID_VFID", 0x384c, 0 },
4575		{ "Select", 30, 2 },
4576		{ "IDO", 24, 1 },
4577		{ "VFID", 16, 8 },
4578		{ "TC", 11, 3 },
4579		{ "VFVld", 10, 1 },
4580		{ "PF", 7, 3 },
4581		{ "RVF", 0, 7 },
4582	{ "PCIE_FID_VFID", 0x3850, 0 },
4583		{ "Select", 30, 2 },
4584		{ "IDO", 24, 1 },
4585		{ "VFID", 16, 8 },
4586		{ "TC", 11, 3 },
4587		{ "VFVld", 10, 1 },
4588		{ "PF", 7, 3 },
4589		{ "RVF", 0, 7 },
4590	{ "PCIE_FID_VFID", 0x3854, 0 },
4591		{ "Select", 30, 2 },
4592		{ "IDO", 24, 1 },
4593		{ "VFID", 16, 8 },
4594		{ "TC", 11, 3 },
4595		{ "VFVld", 10, 1 },
4596		{ "PF", 7, 3 },
4597		{ "RVF", 0, 7 },
4598	{ "PCIE_FID_VFID", 0x3858, 0 },
4599		{ "Select", 30, 2 },
4600		{ "IDO", 24, 1 },
4601		{ "VFID", 16, 8 },
4602		{ "TC", 11, 3 },
4603		{ "VFVld", 10, 1 },
4604		{ "PF", 7, 3 },
4605		{ "RVF", 0, 7 },
4606	{ "PCIE_FID_VFID", 0x385c, 0 },
4607		{ "Select", 30, 2 },
4608		{ "IDO", 24, 1 },
4609		{ "VFID", 16, 8 },
4610		{ "TC", 11, 3 },
4611		{ "VFVld", 10, 1 },
4612		{ "PF", 7, 3 },
4613		{ "RVF", 0, 7 },
4614	{ "PCIE_FID_VFID", 0x3860, 0 },
4615		{ "Select", 30, 2 },
4616		{ "IDO", 24, 1 },
4617		{ "VFID", 16, 8 },
4618		{ "TC", 11, 3 },
4619		{ "VFVld", 10, 1 },
4620		{ "PF", 7, 3 },
4621		{ "RVF", 0, 7 },
4622	{ "PCIE_FID_VFID", 0x3864, 0 },
4623		{ "Select", 30, 2 },
4624		{ "IDO", 24, 1 },
4625		{ "VFID", 16, 8 },
4626		{ "TC", 11, 3 },
4627		{ "VFVld", 10, 1 },
4628		{ "PF", 7, 3 },
4629		{ "RVF", 0, 7 },
4630	{ "PCIE_FID_VFID", 0x3868, 0 },
4631		{ "Select", 30, 2 },
4632		{ "IDO", 24, 1 },
4633		{ "VFID", 16, 8 },
4634		{ "TC", 11, 3 },
4635		{ "VFVld", 10, 1 },
4636		{ "PF", 7, 3 },
4637		{ "RVF", 0, 7 },
4638	{ "PCIE_FID_VFID", 0x386c, 0 },
4639		{ "Select", 30, 2 },
4640		{ "IDO", 24, 1 },
4641		{ "VFID", 16, 8 },
4642		{ "TC", 11, 3 },
4643		{ "VFVld", 10, 1 },
4644		{ "PF", 7, 3 },
4645		{ "RVF", 0, 7 },
4646	{ "PCIE_FID_VFID", 0x3870, 0 },
4647		{ "Select", 30, 2 },
4648		{ "IDO", 24, 1 },
4649		{ "VFID", 16, 8 },
4650		{ "TC", 11, 3 },
4651		{ "VFVld", 10, 1 },
4652		{ "PF", 7, 3 },
4653		{ "RVF", 0, 7 },
4654	{ "PCIE_FID_VFID", 0x3874, 0 },
4655		{ "Select", 30, 2 },
4656		{ "IDO", 24, 1 },
4657		{ "VFID", 16, 8 },
4658		{ "TC", 11, 3 },
4659		{ "VFVld", 10, 1 },
4660		{ "PF", 7, 3 },
4661		{ "RVF", 0, 7 },
4662	{ "PCIE_FID_VFID", 0x3878, 0 },
4663		{ "Select", 30, 2 },
4664		{ "IDO", 24, 1 },
4665		{ "VFID", 16, 8 },
4666		{ "TC", 11, 3 },
4667		{ "VFVld", 10, 1 },
4668		{ "PF", 7, 3 },
4669		{ "RVF", 0, 7 },
4670	{ "PCIE_FID_VFID", 0x387c, 0 },
4671		{ "Select", 30, 2 },
4672		{ "IDO", 24, 1 },
4673		{ "VFID", 16, 8 },
4674		{ "TC", 11, 3 },
4675		{ "VFVld", 10, 1 },
4676		{ "PF", 7, 3 },
4677		{ "RVF", 0, 7 },
4678	{ "PCIE_FID_VFID", 0x3880, 0 },
4679		{ "Select", 30, 2 },
4680		{ "IDO", 24, 1 },
4681		{ "VFID", 16, 8 },
4682		{ "TC", 11, 3 },
4683		{ "VFVld", 10, 1 },
4684		{ "PF", 7, 3 },
4685		{ "RVF", 0, 7 },
4686	{ "PCIE_FID_VFID", 0x3884, 0 },
4687		{ "Select", 30, 2 },
4688		{ "IDO", 24, 1 },
4689		{ "VFID", 16, 8 },
4690		{ "TC", 11, 3 },
4691		{ "VFVld", 10, 1 },
4692		{ "PF", 7, 3 },
4693		{ "RVF", 0, 7 },
4694	{ "PCIE_FID_VFID", 0x3888, 0 },
4695		{ "Select", 30, 2 },
4696		{ "IDO", 24, 1 },
4697		{ "VFID", 16, 8 },
4698		{ "TC", 11, 3 },
4699		{ "VFVld", 10, 1 },
4700		{ "PF", 7, 3 },
4701		{ "RVF", 0, 7 },
4702	{ "PCIE_FID_VFID", 0x388c, 0 },
4703		{ "Select", 30, 2 },
4704		{ "IDO", 24, 1 },
4705		{ "VFID", 16, 8 },
4706		{ "TC", 11, 3 },
4707		{ "VFVld", 10, 1 },
4708		{ "PF", 7, 3 },
4709		{ "RVF", 0, 7 },
4710	{ "PCIE_FID_VFID", 0x3890, 0 },
4711		{ "Select", 30, 2 },
4712		{ "IDO", 24, 1 },
4713		{ "VFID", 16, 8 },
4714		{ "TC", 11, 3 },
4715		{ "VFVld", 10, 1 },
4716		{ "PF", 7, 3 },
4717		{ "RVF", 0, 7 },
4718	{ "PCIE_FID_VFID", 0x3894, 0 },
4719		{ "Select", 30, 2 },
4720		{ "IDO", 24, 1 },
4721		{ "VFID", 16, 8 },
4722		{ "TC", 11, 3 },
4723		{ "VFVld", 10, 1 },
4724		{ "PF", 7, 3 },
4725		{ "RVF", 0, 7 },
4726	{ "PCIE_FID_VFID", 0x3898, 0 },
4727		{ "Select", 30, 2 },
4728		{ "IDO", 24, 1 },
4729		{ "VFID", 16, 8 },
4730		{ "TC", 11, 3 },
4731		{ "VFVld", 10, 1 },
4732		{ "PF", 7, 3 },
4733		{ "RVF", 0, 7 },
4734	{ "PCIE_FID_VFID", 0x389c, 0 },
4735		{ "Select", 30, 2 },
4736		{ "IDO", 24, 1 },
4737		{ "VFID", 16, 8 },
4738		{ "TC", 11, 3 },
4739		{ "VFVld", 10, 1 },
4740		{ "PF", 7, 3 },
4741		{ "RVF", 0, 7 },
4742	{ "PCIE_FID_VFID", 0x38a0, 0 },
4743		{ "Select", 30, 2 },
4744		{ "IDO", 24, 1 },
4745		{ "VFID", 16, 8 },
4746		{ "TC", 11, 3 },
4747		{ "VFVld", 10, 1 },
4748		{ "PF", 7, 3 },
4749		{ "RVF", 0, 7 },
4750	{ "PCIE_FID_VFID", 0x38a4, 0 },
4751		{ "Select", 30, 2 },
4752		{ "IDO", 24, 1 },
4753		{ "VFID", 16, 8 },
4754		{ "TC", 11, 3 },
4755		{ "VFVld", 10, 1 },
4756		{ "PF", 7, 3 },
4757		{ "RVF", 0, 7 },
4758	{ "PCIE_FID_VFID", 0x38a8, 0 },
4759		{ "Select", 30, 2 },
4760		{ "IDO", 24, 1 },
4761		{ "VFID", 16, 8 },
4762		{ "TC", 11, 3 },
4763		{ "VFVld", 10, 1 },
4764		{ "PF", 7, 3 },
4765		{ "RVF", 0, 7 },
4766	{ "PCIE_FID_VFID", 0x38ac, 0 },
4767		{ "Select", 30, 2 },
4768		{ "IDO", 24, 1 },
4769		{ "VFID", 16, 8 },
4770		{ "TC", 11, 3 },
4771		{ "VFVld", 10, 1 },
4772		{ "PF", 7, 3 },
4773		{ "RVF", 0, 7 },
4774	{ "PCIE_FID_VFID", 0x38b0, 0 },
4775		{ "Select", 30, 2 },
4776		{ "IDO", 24, 1 },
4777		{ "VFID", 16, 8 },
4778		{ "TC", 11, 3 },
4779		{ "VFVld", 10, 1 },
4780		{ "PF", 7, 3 },
4781		{ "RVF", 0, 7 },
4782	{ "PCIE_FID_VFID", 0x38b4, 0 },
4783		{ "Select", 30, 2 },
4784		{ "IDO", 24, 1 },
4785		{ "VFID", 16, 8 },
4786		{ "TC", 11, 3 },
4787		{ "VFVld", 10, 1 },
4788		{ "PF", 7, 3 },
4789		{ "RVF", 0, 7 },
4790	{ "PCIE_FID_VFID", 0x38b8, 0 },
4791		{ "Select", 30, 2 },
4792		{ "IDO", 24, 1 },
4793		{ "VFID", 16, 8 },
4794		{ "TC", 11, 3 },
4795		{ "VFVld", 10, 1 },
4796		{ "PF", 7, 3 },
4797		{ "RVF", 0, 7 },
4798	{ "PCIE_FID_VFID", 0x38bc, 0 },
4799		{ "Select", 30, 2 },
4800		{ "IDO", 24, 1 },
4801		{ "VFID", 16, 8 },
4802		{ "TC", 11, 3 },
4803		{ "VFVld", 10, 1 },
4804		{ "PF", 7, 3 },
4805		{ "RVF", 0, 7 },
4806	{ "PCIE_FID_VFID", 0x38c0, 0 },
4807		{ "Select", 30, 2 },
4808		{ "IDO", 24, 1 },
4809		{ "VFID", 16, 8 },
4810		{ "TC", 11, 3 },
4811		{ "VFVld", 10, 1 },
4812		{ "PF", 7, 3 },
4813		{ "RVF", 0, 7 },
4814	{ "PCIE_FID_VFID", 0x38c4, 0 },
4815		{ "Select", 30, 2 },
4816		{ "IDO", 24, 1 },
4817		{ "VFID", 16, 8 },
4818		{ "TC", 11, 3 },
4819		{ "VFVld", 10, 1 },
4820		{ "PF", 7, 3 },
4821		{ "RVF", 0, 7 },
4822	{ "PCIE_FID_VFID", 0x38c8, 0 },
4823		{ "Select", 30, 2 },
4824		{ "IDO", 24, 1 },
4825		{ "VFID", 16, 8 },
4826		{ "TC", 11, 3 },
4827		{ "VFVld", 10, 1 },
4828		{ "PF", 7, 3 },
4829		{ "RVF", 0, 7 },
4830	{ "PCIE_FID_VFID", 0x38cc, 0 },
4831		{ "Select", 30, 2 },
4832		{ "IDO", 24, 1 },
4833		{ "VFID", 16, 8 },
4834		{ "TC", 11, 3 },
4835		{ "VFVld", 10, 1 },
4836		{ "PF", 7, 3 },
4837		{ "RVF", 0, 7 },
4838	{ "PCIE_FID_VFID", 0x38d0, 0 },
4839		{ "Select", 30, 2 },
4840		{ "IDO", 24, 1 },
4841		{ "VFID", 16, 8 },
4842		{ "TC", 11, 3 },
4843		{ "VFVld", 10, 1 },
4844		{ "PF", 7, 3 },
4845		{ "RVF", 0, 7 },
4846	{ "PCIE_FID_VFID", 0x38d4, 0 },
4847		{ "Select", 30, 2 },
4848		{ "IDO", 24, 1 },
4849		{ "VFID", 16, 8 },
4850		{ "TC", 11, 3 },
4851		{ "VFVld", 10, 1 },
4852		{ "PF", 7, 3 },
4853		{ "RVF", 0, 7 },
4854	{ "PCIE_FID_VFID", 0x38d8, 0 },
4855		{ "Select", 30, 2 },
4856		{ "IDO", 24, 1 },
4857		{ "VFID", 16, 8 },
4858		{ "TC", 11, 3 },
4859		{ "VFVld", 10, 1 },
4860		{ "PF", 7, 3 },
4861		{ "RVF", 0, 7 },
4862	{ "PCIE_FID_VFID", 0x38dc, 0 },
4863		{ "Select", 30, 2 },
4864		{ "IDO", 24, 1 },
4865		{ "VFID", 16, 8 },
4866		{ "TC", 11, 3 },
4867		{ "VFVld", 10, 1 },
4868		{ "PF", 7, 3 },
4869		{ "RVF", 0, 7 },
4870	{ "PCIE_FID_VFID", 0x38e0, 0 },
4871		{ "Select", 30, 2 },
4872		{ "IDO", 24, 1 },
4873		{ "VFID", 16, 8 },
4874		{ "TC", 11, 3 },
4875		{ "VFVld", 10, 1 },
4876		{ "PF", 7, 3 },
4877		{ "RVF", 0, 7 },
4878	{ "PCIE_FID_VFID", 0x38e4, 0 },
4879		{ "Select", 30, 2 },
4880		{ "IDO", 24, 1 },
4881		{ "VFID", 16, 8 },
4882		{ "TC", 11, 3 },
4883		{ "VFVld", 10, 1 },
4884		{ "PF", 7, 3 },
4885		{ "RVF", 0, 7 },
4886	{ "PCIE_FID_VFID", 0x38e8, 0 },
4887		{ "Select", 30, 2 },
4888		{ "IDO", 24, 1 },
4889		{ "VFID", 16, 8 },
4890		{ "TC", 11, 3 },
4891		{ "VFVld", 10, 1 },
4892		{ "PF", 7, 3 },
4893		{ "RVF", 0, 7 },
4894	{ "PCIE_FID_VFID", 0x38ec, 0 },
4895		{ "Select", 30, 2 },
4896		{ "IDO", 24, 1 },
4897		{ "VFID", 16, 8 },
4898		{ "TC", 11, 3 },
4899		{ "VFVld", 10, 1 },
4900		{ "PF", 7, 3 },
4901		{ "RVF", 0, 7 },
4902	{ "PCIE_FID_VFID", 0x38f0, 0 },
4903		{ "Select", 30, 2 },
4904		{ "IDO", 24, 1 },
4905		{ "VFID", 16, 8 },
4906		{ "TC", 11, 3 },
4907		{ "VFVld", 10, 1 },
4908		{ "PF", 7, 3 },
4909		{ "RVF", 0, 7 },
4910	{ "PCIE_FID_VFID", 0x38f4, 0 },
4911		{ "Select", 30, 2 },
4912		{ "IDO", 24, 1 },
4913		{ "VFID", 16, 8 },
4914		{ "TC", 11, 3 },
4915		{ "VFVld", 10, 1 },
4916		{ "PF", 7, 3 },
4917		{ "RVF", 0, 7 },
4918	{ "PCIE_FID_VFID", 0x38f8, 0 },
4919		{ "Select", 30, 2 },
4920		{ "IDO", 24, 1 },
4921		{ "VFID", 16, 8 },
4922		{ "TC", 11, 3 },
4923		{ "VFVld", 10, 1 },
4924		{ "PF", 7, 3 },
4925		{ "RVF", 0, 7 },
4926	{ "PCIE_FID_VFID", 0x38fc, 0 },
4927		{ "Select", 30, 2 },
4928		{ "IDO", 24, 1 },
4929		{ "VFID", 16, 8 },
4930		{ "TC", 11, 3 },
4931		{ "VFVld", 10, 1 },
4932		{ "PF", 7, 3 },
4933		{ "RVF", 0, 7 },
4934	{ "PCIE_FID_VFID", 0x3900, 0 },
4935		{ "Select", 30, 2 },
4936		{ "IDO", 24, 1 },
4937		{ "VFID", 16, 8 },
4938		{ "TC", 11, 3 },
4939		{ "VFVld", 10, 1 },
4940		{ "PF", 7, 3 },
4941		{ "RVF", 0, 7 },
4942	{ "PCIE_FID_VFID", 0x3904, 0 },
4943		{ "Select", 30, 2 },
4944		{ "IDO", 24, 1 },
4945		{ "VFID", 16, 8 },
4946		{ "TC", 11, 3 },
4947		{ "VFVld", 10, 1 },
4948		{ "PF", 7, 3 },
4949		{ "RVF", 0, 7 },
4950	{ "PCIE_FID_VFID", 0x3908, 0 },
4951		{ "Select", 30, 2 },
4952		{ "IDO", 24, 1 },
4953		{ "VFID", 16, 8 },
4954		{ "TC", 11, 3 },
4955		{ "VFVld", 10, 1 },
4956		{ "PF", 7, 3 },
4957		{ "RVF", 0, 7 },
4958	{ "PCIE_FID_VFID", 0x390c, 0 },
4959		{ "Select", 30, 2 },
4960		{ "IDO", 24, 1 },
4961		{ "VFID", 16, 8 },
4962		{ "TC", 11, 3 },
4963		{ "VFVld", 10, 1 },
4964		{ "PF", 7, 3 },
4965		{ "RVF", 0, 7 },
4966	{ "PCIE_FID_VFID", 0x3910, 0 },
4967		{ "Select", 30, 2 },
4968		{ "IDO", 24, 1 },
4969		{ "VFID", 16, 8 },
4970		{ "TC", 11, 3 },
4971		{ "VFVld", 10, 1 },
4972		{ "PF", 7, 3 },
4973		{ "RVF", 0, 7 },
4974	{ "PCIE_FID_VFID", 0x3914, 0 },
4975		{ "Select", 30, 2 },
4976		{ "IDO", 24, 1 },
4977		{ "VFID", 16, 8 },
4978		{ "TC", 11, 3 },
4979		{ "VFVld", 10, 1 },
4980		{ "PF", 7, 3 },
4981		{ "RVF", 0, 7 },
4982	{ "PCIE_FID_VFID", 0x3918, 0 },
4983		{ "Select", 30, 2 },
4984		{ "IDO", 24, 1 },
4985		{ "VFID", 16, 8 },
4986		{ "TC", 11, 3 },
4987		{ "VFVld", 10, 1 },
4988		{ "PF", 7, 3 },
4989		{ "RVF", 0, 7 },
4990	{ "PCIE_FID_VFID", 0x391c, 0 },
4991		{ "Select", 30, 2 },
4992		{ "IDO", 24, 1 },
4993		{ "VFID", 16, 8 },
4994		{ "TC", 11, 3 },
4995		{ "VFVld", 10, 1 },
4996		{ "PF", 7, 3 },
4997		{ "RVF", 0, 7 },
4998	{ "PCIE_FID_VFID", 0x3920, 0 },
4999		{ "Select", 30, 2 },
5000		{ "IDO", 24, 1 },
5001		{ "VFID", 16, 8 },
5002		{ "TC", 11, 3 },
5003		{ "VFVld", 10, 1 },
5004		{ "PF", 7, 3 },
5005		{ "RVF", 0, 7 },
5006	{ "PCIE_FID_VFID", 0x3924, 0 },
5007		{ "Select", 30, 2 },
5008		{ "IDO", 24, 1 },
5009		{ "VFID", 16, 8 },
5010		{ "TC", 11, 3 },
5011		{ "VFVld", 10, 1 },
5012		{ "PF", 7, 3 },
5013		{ "RVF", 0, 7 },
5014	{ "PCIE_FID_VFID", 0x3928, 0 },
5015		{ "Select", 30, 2 },
5016		{ "IDO", 24, 1 },
5017		{ "VFID", 16, 8 },
5018		{ "TC", 11, 3 },
5019		{ "VFVld", 10, 1 },
5020		{ "PF", 7, 3 },
5021		{ "RVF", 0, 7 },
5022	{ "PCIE_FID_VFID", 0x392c, 0 },
5023		{ "Select", 30, 2 },
5024		{ "IDO", 24, 1 },
5025		{ "VFID", 16, 8 },
5026		{ "TC", 11, 3 },
5027		{ "VFVld", 10, 1 },
5028		{ "PF", 7, 3 },
5029		{ "RVF", 0, 7 },
5030	{ "PCIE_FID_VFID", 0x3930, 0 },
5031		{ "Select", 30, 2 },
5032		{ "IDO", 24, 1 },
5033		{ "VFID", 16, 8 },
5034		{ "TC", 11, 3 },
5035		{ "VFVld", 10, 1 },
5036		{ "PF", 7, 3 },
5037		{ "RVF", 0, 7 },
5038	{ "PCIE_FID_VFID", 0x3934, 0 },
5039		{ "Select", 30, 2 },
5040		{ "IDO", 24, 1 },
5041		{ "VFID", 16, 8 },
5042		{ "TC", 11, 3 },
5043		{ "VFVld", 10, 1 },
5044		{ "PF", 7, 3 },
5045		{ "RVF", 0, 7 },
5046	{ "PCIE_FID_VFID", 0x3938, 0 },
5047		{ "Select", 30, 2 },
5048		{ "IDO", 24, 1 },
5049		{ "VFID", 16, 8 },
5050		{ "TC", 11, 3 },
5051		{ "VFVld", 10, 1 },
5052		{ "PF", 7, 3 },
5053		{ "RVF", 0, 7 },
5054	{ "PCIE_FID_VFID", 0x393c, 0 },
5055		{ "Select", 30, 2 },
5056		{ "IDO", 24, 1 },
5057		{ "VFID", 16, 8 },
5058		{ "TC", 11, 3 },
5059		{ "VFVld", 10, 1 },
5060		{ "PF", 7, 3 },
5061		{ "RVF", 0, 7 },
5062	{ "PCIE_FID_VFID", 0x3940, 0 },
5063		{ "Select", 30, 2 },
5064		{ "IDO", 24, 1 },
5065		{ "VFID", 16, 8 },
5066		{ "TC", 11, 3 },
5067		{ "VFVld", 10, 1 },
5068		{ "PF", 7, 3 },
5069		{ "RVF", 0, 7 },
5070	{ "PCIE_FID_VFID", 0x3944, 0 },
5071		{ "Select", 30, 2 },
5072		{ "IDO", 24, 1 },
5073		{ "VFID", 16, 8 },
5074		{ "TC", 11, 3 },
5075		{ "VFVld", 10, 1 },
5076		{ "PF", 7, 3 },
5077		{ "RVF", 0, 7 },
5078	{ "PCIE_FID_VFID", 0x3948, 0 },
5079		{ "Select", 30, 2 },
5080		{ "IDO", 24, 1 },
5081		{ "VFID", 16, 8 },
5082		{ "TC", 11, 3 },
5083		{ "VFVld", 10, 1 },
5084		{ "PF", 7, 3 },
5085		{ "RVF", 0, 7 },
5086	{ "PCIE_FID_VFID", 0x394c, 0 },
5087		{ "Select", 30, 2 },
5088		{ "IDO", 24, 1 },
5089		{ "VFID", 16, 8 },
5090		{ "TC", 11, 3 },
5091		{ "VFVld", 10, 1 },
5092		{ "PF", 7, 3 },
5093		{ "RVF", 0, 7 },
5094	{ "PCIE_FID_VFID", 0x3950, 0 },
5095		{ "Select", 30, 2 },
5096		{ "IDO", 24, 1 },
5097		{ "VFID", 16, 8 },
5098		{ "TC", 11, 3 },
5099		{ "VFVld", 10, 1 },
5100		{ "PF", 7, 3 },
5101		{ "RVF", 0, 7 },
5102	{ "PCIE_FID_VFID", 0x3954, 0 },
5103		{ "Select", 30, 2 },
5104		{ "IDO", 24, 1 },
5105		{ "VFID", 16, 8 },
5106		{ "TC", 11, 3 },
5107		{ "VFVld", 10, 1 },
5108		{ "PF", 7, 3 },
5109		{ "RVF", 0, 7 },
5110	{ "PCIE_FID_VFID", 0x3958, 0 },
5111		{ "Select", 30, 2 },
5112		{ "IDO", 24, 1 },
5113		{ "VFID", 16, 8 },
5114		{ "TC", 11, 3 },
5115		{ "VFVld", 10, 1 },
5116		{ "PF", 7, 3 },
5117		{ "RVF", 0, 7 },
5118	{ "PCIE_FID_VFID", 0x395c, 0 },
5119		{ "Select", 30, 2 },
5120		{ "IDO", 24, 1 },
5121		{ "VFID", 16, 8 },
5122		{ "TC", 11, 3 },
5123		{ "VFVld", 10, 1 },
5124		{ "PF", 7, 3 },
5125		{ "RVF", 0, 7 },
5126	{ "PCIE_FID_VFID", 0x3960, 0 },
5127		{ "Select", 30, 2 },
5128		{ "IDO", 24, 1 },
5129		{ "VFID", 16, 8 },
5130		{ "TC", 11, 3 },
5131		{ "VFVld", 10, 1 },
5132		{ "PF", 7, 3 },
5133		{ "RVF", 0, 7 },
5134	{ "PCIE_FID_VFID", 0x3964, 0 },
5135		{ "Select", 30, 2 },
5136		{ "IDO", 24, 1 },
5137		{ "VFID", 16, 8 },
5138		{ "TC", 11, 3 },
5139		{ "VFVld", 10, 1 },
5140		{ "PF", 7, 3 },
5141		{ "RVF", 0, 7 },
5142	{ "PCIE_FID_VFID", 0x3968, 0 },
5143		{ "Select", 30, 2 },
5144		{ "IDO", 24, 1 },
5145		{ "VFID", 16, 8 },
5146		{ "TC", 11, 3 },
5147		{ "VFVld", 10, 1 },
5148		{ "PF", 7, 3 },
5149		{ "RVF", 0, 7 },
5150	{ "PCIE_FID_VFID", 0x396c, 0 },
5151		{ "Select", 30, 2 },
5152		{ "IDO", 24, 1 },
5153		{ "VFID", 16, 8 },
5154		{ "TC", 11, 3 },
5155		{ "VFVld", 10, 1 },
5156		{ "PF", 7, 3 },
5157		{ "RVF", 0, 7 },
5158	{ "PCIE_FID_VFID", 0x3970, 0 },
5159		{ "Select", 30, 2 },
5160		{ "IDO", 24, 1 },
5161		{ "VFID", 16, 8 },
5162		{ "TC", 11, 3 },
5163		{ "VFVld", 10, 1 },
5164		{ "PF", 7, 3 },
5165		{ "RVF", 0, 7 },
5166	{ "PCIE_FID_VFID", 0x3974, 0 },
5167		{ "Select", 30, 2 },
5168		{ "IDO", 24, 1 },
5169		{ "VFID", 16, 8 },
5170		{ "TC", 11, 3 },
5171		{ "VFVld", 10, 1 },
5172		{ "PF", 7, 3 },
5173		{ "RVF", 0, 7 },
5174	{ "PCIE_FID_VFID", 0x3978, 0 },
5175		{ "Select", 30, 2 },
5176		{ "IDO", 24, 1 },
5177		{ "VFID", 16, 8 },
5178		{ "TC", 11, 3 },
5179		{ "VFVld", 10, 1 },
5180		{ "PF", 7, 3 },
5181		{ "RVF", 0, 7 },
5182	{ "PCIE_FID_VFID", 0x397c, 0 },
5183		{ "Select", 30, 2 },
5184		{ "IDO", 24, 1 },
5185		{ "VFID", 16, 8 },
5186		{ "TC", 11, 3 },
5187		{ "VFVld", 10, 1 },
5188		{ "PF", 7, 3 },
5189		{ "RVF", 0, 7 },
5190	{ "PCIE_FID_VFID", 0x3980, 0 },
5191		{ "Select", 30, 2 },
5192		{ "IDO", 24, 1 },
5193		{ "VFID", 16, 8 },
5194		{ "TC", 11, 3 },
5195		{ "VFVld", 10, 1 },
5196		{ "PF", 7, 3 },
5197		{ "RVF", 0, 7 },
5198	{ "PCIE_FID_VFID", 0x3984, 0 },
5199		{ "Select", 30, 2 },
5200		{ "IDO", 24, 1 },
5201		{ "VFID", 16, 8 },
5202		{ "TC", 11, 3 },
5203		{ "VFVld", 10, 1 },
5204		{ "PF", 7, 3 },
5205		{ "RVF", 0, 7 },
5206	{ "PCIE_FID_VFID", 0x3988, 0 },
5207		{ "Select", 30, 2 },
5208		{ "IDO", 24, 1 },
5209		{ "VFID", 16, 8 },
5210		{ "TC", 11, 3 },
5211		{ "VFVld", 10, 1 },
5212		{ "PF", 7, 3 },
5213		{ "RVF", 0, 7 },
5214	{ "PCIE_FID_VFID", 0x398c, 0 },
5215		{ "Select", 30, 2 },
5216		{ "IDO", 24, 1 },
5217		{ "VFID", 16, 8 },
5218		{ "TC", 11, 3 },
5219		{ "VFVld", 10, 1 },
5220		{ "PF", 7, 3 },
5221		{ "RVF", 0, 7 },
5222	{ "PCIE_FID_VFID", 0x3990, 0 },
5223		{ "Select", 30, 2 },
5224		{ "IDO", 24, 1 },
5225		{ "VFID", 16, 8 },
5226		{ "TC", 11, 3 },
5227		{ "VFVld", 10, 1 },
5228		{ "PF", 7, 3 },
5229		{ "RVF", 0, 7 },
5230	{ "PCIE_FID_VFID", 0x3994, 0 },
5231		{ "Select", 30, 2 },
5232		{ "IDO", 24, 1 },
5233		{ "VFID", 16, 8 },
5234		{ "TC", 11, 3 },
5235		{ "VFVld", 10, 1 },
5236		{ "PF", 7, 3 },
5237		{ "RVF", 0, 7 },
5238	{ "PCIE_FID_VFID", 0x3998, 0 },
5239		{ "Select", 30, 2 },
5240		{ "IDO", 24, 1 },
5241		{ "VFID", 16, 8 },
5242		{ "TC", 11, 3 },
5243		{ "VFVld", 10, 1 },
5244		{ "PF", 7, 3 },
5245		{ "RVF", 0, 7 },
5246	{ "PCIE_FID_VFID", 0x399c, 0 },
5247		{ "Select", 30, 2 },
5248		{ "IDO", 24, 1 },
5249		{ "VFID", 16, 8 },
5250		{ "TC", 11, 3 },
5251		{ "VFVld", 10, 1 },
5252		{ "PF", 7, 3 },
5253		{ "RVF", 0, 7 },
5254	{ "PCIE_FID_VFID", 0x39a0, 0 },
5255		{ "Select", 30, 2 },
5256		{ "IDO", 24, 1 },
5257		{ "VFID", 16, 8 },
5258		{ "TC", 11, 3 },
5259		{ "VFVld", 10, 1 },
5260		{ "PF", 7, 3 },
5261		{ "RVF", 0, 7 },
5262	{ "PCIE_FID_VFID", 0x39a4, 0 },
5263		{ "Select", 30, 2 },
5264		{ "IDO", 24, 1 },
5265		{ "VFID", 16, 8 },
5266		{ "TC", 11, 3 },
5267		{ "VFVld", 10, 1 },
5268		{ "PF", 7, 3 },
5269		{ "RVF", 0, 7 },
5270	{ "PCIE_FID_VFID", 0x39a8, 0 },
5271		{ "Select", 30, 2 },
5272		{ "IDO", 24, 1 },
5273		{ "VFID", 16, 8 },
5274		{ "TC", 11, 3 },
5275		{ "VFVld", 10, 1 },
5276		{ "PF", 7, 3 },
5277		{ "RVF", 0, 7 },
5278	{ "PCIE_FID_VFID", 0x39ac, 0 },
5279		{ "Select", 30, 2 },
5280		{ "IDO", 24, 1 },
5281		{ "VFID", 16, 8 },
5282		{ "TC", 11, 3 },
5283		{ "VFVld", 10, 1 },
5284		{ "PF", 7, 3 },
5285		{ "RVF", 0, 7 },
5286	{ "PCIE_FID_VFID", 0x39b0, 0 },
5287		{ "Select", 30, 2 },
5288		{ "IDO", 24, 1 },
5289		{ "VFID", 16, 8 },
5290		{ "TC", 11, 3 },
5291		{ "VFVld", 10, 1 },
5292		{ "PF", 7, 3 },
5293		{ "RVF", 0, 7 },
5294	{ "PCIE_FID_VFID", 0x39b4, 0 },
5295		{ "Select", 30, 2 },
5296		{ "IDO", 24, 1 },
5297		{ "VFID", 16, 8 },
5298		{ "TC", 11, 3 },
5299		{ "VFVld", 10, 1 },
5300		{ "PF", 7, 3 },
5301		{ "RVF", 0, 7 },
5302	{ "PCIE_FID_VFID", 0x39b8, 0 },
5303		{ "Select", 30, 2 },
5304		{ "IDO", 24, 1 },
5305		{ "VFID", 16, 8 },
5306		{ "TC", 11, 3 },
5307		{ "VFVld", 10, 1 },
5308		{ "PF", 7, 3 },
5309		{ "RVF", 0, 7 },
5310	{ "PCIE_FID_VFID", 0x39bc, 0 },
5311		{ "Select", 30, 2 },
5312		{ "IDO", 24, 1 },
5313		{ "VFID", 16, 8 },
5314		{ "TC", 11, 3 },
5315		{ "VFVld", 10, 1 },
5316		{ "PF", 7, 3 },
5317		{ "RVF", 0, 7 },
5318	{ "PCIE_FID_VFID", 0x39c0, 0 },
5319		{ "Select", 30, 2 },
5320		{ "IDO", 24, 1 },
5321		{ "VFID", 16, 8 },
5322		{ "TC", 11, 3 },
5323		{ "VFVld", 10, 1 },
5324		{ "PF", 7, 3 },
5325		{ "RVF", 0, 7 },
5326	{ "PCIE_FID_VFID", 0x39c4, 0 },
5327		{ "Select", 30, 2 },
5328		{ "IDO", 24, 1 },
5329		{ "VFID", 16, 8 },
5330		{ "TC", 11, 3 },
5331		{ "VFVld", 10, 1 },
5332		{ "PF", 7, 3 },
5333		{ "RVF", 0, 7 },
5334	{ "PCIE_FID_VFID", 0x39c8, 0 },
5335		{ "Select", 30, 2 },
5336		{ "IDO", 24, 1 },
5337		{ "VFID", 16, 8 },
5338		{ "TC", 11, 3 },
5339		{ "VFVld", 10, 1 },
5340		{ "PF", 7, 3 },
5341		{ "RVF", 0, 7 },
5342	{ "PCIE_FID_VFID", 0x39cc, 0 },
5343		{ "Select", 30, 2 },
5344		{ "IDO", 24, 1 },
5345		{ "VFID", 16, 8 },
5346		{ "TC", 11, 3 },
5347		{ "VFVld", 10, 1 },
5348		{ "PF", 7, 3 },
5349		{ "RVF", 0, 7 },
5350	{ "PCIE_FID_VFID", 0x39d0, 0 },
5351		{ "Select", 30, 2 },
5352		{ "IDO", 24, 1 },
5353		{ "VFID", 16, 8 },
5354		{ "TC", 11, 3 },
5355		{ "VFVld", 10, 1 },
5356		{ "PF", 7, 3 },
5357		{ "RVF", 0, 7 },
5358	{ "PCIE_FID_VFID", 0x39d4, 0 },
5359		{ "Select", 30, 2 },
5360		{ "IDO", 24, 1 },
5361		{ "VFID", 16, 8 },
5362		{ "TC", 11, 3 },
5363		{ "VFVld", 10, 1 },
5364		{ "PF", 7, 3 },
5365		{ "RVF", 0, 7 },
5366	{ "PCIE_FID_VFID", 0x39d8, 0 },
5367		{ "Select", 30, 2 },
5368		{ "IDO", 24, 1 },
5369		{ "VFID", 16, 8 },
5370		{ "TC", 11, 3 },
5371		{ "VFVld", 10, 1 },
5372		{ "PF", 7, 3 },
5373		{ "RVF", 0, 7 },
5374	{ "PCIE_FID_VFID", 0x39dc, 0 },
5375		{ "Select", 30, 2 },
5376		{ "IDO", 24, 1 },
5377		{ "VFID", 16, 8 },
5378		{ "TC", 11, 3 },
5379		{ "VFVld", 10, 1 },
5380		{ "PF", 7, 3 },
5381		{ "RVF", 0, 7 },
5382	{ "PCIE_FID_VFID", 0x39e0, 0 },
5383		{ "Select", 30, 2 },
5384		{ "IDO", 24, 1 },
5385		{ "VFID", 16, 8 },
5386		{ "TC", 11, 3 },
5387		{ "VFVld", 10, 1 },
5388		{ "PF", 7, 3 },
5389		{ "RVF", 0, 7 },
5390	{ "PCIE_FID_VFID", 0x39e4, 0 },
5391		{ "Select", 30, 2 },
5392		{ "IDO", 24, 1 },
5393		{ "VFID", 16, 8 },
5394		{ "TC", 11, 3 },
5395		{ "VFVld", 10, 1 },
5396		{ "PF", 7, 3 },
5397		{ "RVF", 0, 7 },
5398	{ "PCIE_FID_VFID", 0x39e8, 0 },
5399		{ "Select", 30, 2 },
5400		{ "IDO", 24, 1 },
5401		{ "VFID", 16, 8 },
5402		{ "TC", 11, 3 },
5403		{ "VFVld", 10, 1 },
5404		{ "PF", 7, 3 },
5405		{ "RVF", 0, 7 },
5406	{ "PCIE_FID_VFID", 0x39ec, 0 },
5407		{ "Select", 30, 2 },
5408		{ "IDO", 24, 1 },
5409		{ "VFID", 16, 8 },
5410		{ "TC", 11, 3 },
5411		{ "VFVld", 10, 1 },
5412		{ "PF", 7, 3 },
5413		{ "RVF", 0, 7 },
5414	{ "PCIE_FID_VFID", 0x39f0, 0 },
5415		{ "Select", 30, 2 },
5416		{ "IDO", 24, 1 },
5417		{ "VFID", 16, 8 },
5418		{ "TC", 11, 3 },
5419		{ "VFVld", 10, 1 },
5420		{ "PF", 7, 3 },
5421		{ "RVF", 0, 7 },
5422	{ "PCIE_FID_VFID", 0x39f4, 0 },
5423		{ "Select", 30, 2 },
5424		{ "IDO", 24, 1 },
5425		{ "VFID", 16, 8 },
5426		{ "TC", 11, 3 },
5427		{ "VFVld", 10, 1 },
5428		{ "PF", 7, 3 },
5429		{ "RVF", 0, 7 },
5430	{ "PCIE_FID_VFID", 0x39f8, 0 },
5431		{ "Select", 30, 2 },
5432		{ "IDO", 24, 1 },
5433		{ "VFID", 16, 8 },
5434		{ "TC", 11, 3 },
5435		{ "VFVld", 10, 1 },
5436		{ "PF", 7, 3 },
5437		{ "RVF", 0, 7 },
5438	{ "PCIE_FID_VFID", 0x39fc, 0 },
5439		{ "Select", 30, 2 },
5440		{ "IDO", 24, 1 },
5441		{ "VFID", 16, 8 },
5442		{ "TC", 11, 3 },
5443		{ "VFVld", 10, 1 },
5444		{ "PF", 7, 3 },
5445		{ "RVF", 0, 7 },
5446	{ "PCIE_FID_VFID", 0x3a00, 0 },
5447		{ "Select", 30, 2 },
5448		{ "IDO", 24, 1 },
5449		{ "VFID", 16, 8 },
5450		{ "TC", 11, 3 },
5451		{ "VFVld", 10, 1 },
5452		{ "PF", 7, 3 },
5453		{ "RVF", 0, 7 },
5454	{ "PCIE_FID_VFID", 0x3a04, 0 },
5455		{ "Select", 30, 2 },
5456		{ "IDO", 24, 1 },
5457		{ "VFID", 16, 8 },
5458		{ "TC", 11, 3 },
5459		{ "VFVld", 10, 1 },
5460		{ "PF", 7, 3 },
5461		{ "RVF", 0, 7 },
5462	{ "PCIE_FID_VFID", 0x3a08, 0 },
5463		{ "Select", 30, 2 },
5464		{ "IDO", 24, 1 },
5465		{ "VFID", 16, 8 },
5466		{ "TC", 11, 3 },
5467		{ "VFVld", 10, 1 },
5468		{ "PF", 7, 3 },
5469		{ "RVF", 0, 7 },
5470	{ "PCIE_FID_VFID", 0x3a0c, 0 },
5471		{ "Select", 30, 2 },
5472		{ "IDO", 24, 1 },
5473		{ "VFID", 16, 8 },
5474		{ "TC", 11, 3 },
5475		{ "VFVld", 10, 1 },
5476		{ "PF", 7, 3 },
5477		{ "RVF", 0, 7 },
5478	{ "PCIE_FID_VFID", 0x3a10, 0 },
5479		{ "Select", 30, 2 },
5480		{ "IDO", 24, 1 },
5481		{ "VFID", 16, 8 },
5482		{ "TC", 11, 3 },
5483		{ "VFVld", 10, 1 },
5484		{ "PF", 7, 3 },
5485		{ "RVF", 0, 7 },
5486	{ "PCIE_FID_VFID", 0x3a14, 0 },
5487		{ "Select", 30, 2 },
5488		{ "IDO", 24, 1 },
5489		{ "VFID", 16, 8 },
5490		{ "TC", 11, 3 },
5491		{ "VFVld", 10, 1 },
5492		{ "PF", 7, 3 },
5493		{ "RVF", 0, 7 },
5494	{ "PCIE_FID_VFID", 0x3a18, 0 },
5495		{ "Select", 30, 2 },
5496		{ "IDO", 24, 1 },
5497		{ "VFID", 16, 8 },
5498		{ "TC", 11, 3 },
5499		{ "VFVld", 10, 1 },
5500		{ "PF", 7, 3 },
5501		{ "RVF", 0, 7 },
5502	{ "PCIE_FID_VFID", 0x3a1c, 0 },
5503		{ "Select", 30, 2 },
5504		{ "IDO", 24, 1 },
5505		{ "VFID", 16, 8 },
5506		{ "TC", 11, 3 },
5507		{ "VFVld", 10, 1 },
5508		{ "PF", 7, 3 },
5509		{ "RVF", 0, 7 },
5510	{ "PCIE_FID_VFID", 0x3a20, 0 },
5511		{ "Select", 30, 2 },
5512		{ "IDO", 24, 1 },
5513		{ "VFID", 16, 8 },
5514		{ "TC", 11, 3 },
5515		{ "VFVld", 10, 1 },
5516		{ "PF", 7, 3 },
5517		{ "RVF", 0, 7 },
5518	{ "PCIE_FID_VFID", 0x3a24, 0 },
5519		{ "Select", 30, 2 },
5520		{ "IDO", 24, 1 },
5521		{ "VFID", 16, 8 },
5522		{ "TC", 11, 3 },
5523		{ "VFVld", 10, 1 },
5524		{ "PF", 7, 3 },
5525		{ "RVF", 0, 7 },
5526	{ "PCIE_FID_VFID", 0x3a28, 0 },
5527		{ "Select", 30, 2 },
5528		{ "IDO", 24, 1 },
5529		{ "VFID", 16, 8 },
5530		{ "TC", 11, 3 },
5531		{ "VFVld", 10, 1 },
5532		{ "PF", 7, 3 },
5533		{ "RVF", 0, 7 },
5534	{ "PCIE_FID_VFID", 0x3a2c, 0 },
5535		{ "Select", 30, 2 },
5536		{ "IDO", 24, 1 },
5537		{ "VFID", 16, 8 },
5538		{ "TC", 11, 3 },
5539		{ "VFVld", 10, 1 },
5540		{ "PF", 7, 3 },
5541		{ "RVF", 0, 7 },
5542	{ "PCIE_FID_VFID", 0x3a30, 0 },
5543		{ "Select", 30, 2 },
5544		{ "IDO", 24, 1 },
5545		{ "VFID", 16, 8 },
5546		{ "TC", 11, 3 },
5547		{ "VFVld", 10, 1 },
5548		{ "PF", 7, 3 },
5549		{ "RVF", 0, 7 },
5550	{ "PCIE_FID_VFID", 0x3a34, 0 },
5551		{ "Select", 30, 2 },
5552		{ "IDO", 24, 1 },
5553		{ "VFID", 16, 8 },
5554		{ "TC", 11, 3 },
5555		{ "VFVld", 10, 1 },
5556		{ "PF", 7, 3 },
5557		{ "RVF", 0, 7 },
5558	{ "PCIE_FID_VFID", 0x3a38, 0 },
5559		{ "Select", 30, 2 },
5560		{ "IDO", 24, 1 },
5561		{ "VFID", 16, 8 },
5562		{ "TC", 11, 3 },
5563		{ "VFVld", 10, 1 },
5564		{ "PF", 7, 3 },
5565		{ "RVF", 0, 7 },
5566	{ "PCIE_FID_VFID", 0x3a3c, 0 },
5567		{ "Select", 30, 2 },
5568		{ "IDO", 24, 1 },
5569		{ "VFID", 16, 8 },
5570		{ "TC", 11, 3 },
5571		{ "VFVld", 10, 1 },
5572		{ "PF", 7, 3 },
5573		{ "RVF", 0, 7 },
5574	{ "PCIE_FID_VFID", 0x3a40, 0 },
5575		{ "Select", 30, 2 },
5576		{ "IDO", 24, 1 },
5577		{ "VFID", 16, 8 },
5578		{ "TC", 11, 3 },
5579		{ "VFVld", 10, 1 },
5580		{ "PF", 7, 3 },
5581		{ "RVF", 0, 7 },
5582	{ "PCIE_FID_VFID", 0x3a44, 0 },
5583		{ "Select", 30, 2 },
5584		{ "IDO", 24, 1 },
5585		{ "VFID", 16, 8 },
5586		{ "TC", 11, 3 },
5587		{ "VFVld", 10, 1 },
5588		{ "PF", 7, 3 },
5589		{ "RVF", 0, 7 },
5590	{ "PCIE_FID_VFID", 0x3a48, 0 },
5591		{ "Select", 30, 2 },
5592		{ "IDO", 24, 1 },
5593		{ "VFID", 16, 8 },
5594		{ "TC", 11, 3 },
5595		{ "VFVld", 10, 1 },
5596		{ "PF", 7, 3 },
5597		{ "RVF", 0, 7 },
5598	{ "PCIE_FID_VFID", 0x3a4c, 0 },
5599		{ "Select", 30, 2 },
5600		{ "IDO", 24, 1 },
5601		{ "VFID", 16, 8 },
5602		{ "TC", 11, 3 },
5603		{ "VFVld", 10, 1 },
5604		{ "PF", 7, 3 },
5605		{ "RVF", 0, 7 },
5606	{ "PCIE_FID_VFID", 0x3a50, 0 },
5607		{ "Select", 30, 2 },
5608		{ "IDO", 24, 1 },
5609		{ "VFID", 16, 8 },
5610		{ "TC", 11, 3 },
5611		{ "VFVld", 10, 1 },
5612		{ "PF", 7, 3 },
5613		{ "RVF", 0, 7 },
5614	{ "PCIE_FID_VFID", 0x3a54, 0 },
5615		{ "Select", 30, 2 },
5616		{ "IDO", 24, 1 },
5617		{ "VFID", 16, 8 },
5618		{ "TC", 11, 3 },
5619		{ "VFVld", 10, 1 },
5620		{ "PF", 7, 3 },
5621		{ "RVF", 0, 7 },
5622	{ "PCIE_FID_VFID", 0x3a58, 0 },
5623		{ "Select", 30, 2 },
5624		{ "IDO", 24, 1 },
5625		{ "VFID", 16, 8 },
5626		{ "TC", 11, 3 },
5627		{ "VFVld", 10, 1 },
5628		{ "PF", 7, 3 },
5629		{ "RVF", 0, 7 },
5630	{ "PCIE_FID_VFID", 0x3a5c, 0 },
5631		{ "Select", 30, 2 },
5632		{ "IDO", 24, 1 },
5633		{ "VFID", 16, 8 },
5634		{ "TC", 11, 3 },
5635		{ "VFVld", 10, 1 },
5636		{ "PF", 7, 3 },
5637		{ "RVF", 0, 7 },
5638	{ "PCIE_FID_VFID", 0x3a60, 0 },
5639		{ "Select", 30, 2 },
5640		{ "IDO", 24, 1 },
5641		{ "VFID", 16, 8 },
5642		{ "TC", 11, 3 },
5643		{ "VFVld", 10, 1 },
5644		{ "PF", 7, 3 },
5645		{ "RVF", 0, 7 },
5646	{ "PCIE_FID_VFID", 0x3a64, 0 },
5647		{ "Select", 30, 2 },
5648		{ "IDO", 24, 1 },
5649		{ "VFID", 16, 8 },
5650		{ "TC", 11, 3 },
5651		{ "VFVld", 10, 1 },
5652		{ "PF", 7, 3 },
5653		{ "RVF", 0, 7 },
5654	{ "PCIE_FID_VFID", 0x3a68, 0 },
5655		{ "Select", 30, 2 },
5656		{ "IDO", 24, 1 },
5657		{ "VFID", 16, 8 },
5658		{ "TC", 11, 3 },
5659		{ "VFVld", 10, 1 },
5660		{ "PF", 7, 3 },
5661		{ "RVF", 0, 7 },
5662	{ "PCIE_FID_VFID", 0x3a6c, 0 },
5663		{ "Select", 30, 2 },
5664		{ "IDO", 24, 1 },
5665		{ "VFID", 16, 8 },
5666		{ "TC", 11, 3 },
5667		{ "VFVld", 10, 1 },
5668		{ "PF", 7, 3 },
5669		{ "RVF", 0, 7 },
5670	{ "PCIE_FID_VFID", 0x3a70, 0 },
5671		{ "Select", 30, 2 },
5672		{ "IDO", 24, 1 },
5673		{ "VFID", 16, 8 },
5674		{ "TC", 11, 3 },
5675		{ "VFVld", 10, 1 },
5676		{ "PF", 7, 3 },
5677		{ "RVF", 0, 7 },
5678	{ "PCIE_FID_VFID", 0x3a74, 0 },
5679		{ "Select", 30, 2 },
5680		{ "IDO", 24, 1 },
5681		{ "VFID", 16, 8 },
5682		{ "TC", 11, 3 },
5683		{ "VFVld", 10, 1 },
5684		{ "PF", 7, 3 },
5685		{ "RVF", 0, 7 },
5686	{ "PCIE_FID_VFID", 0x3a78, 0 },
5687		{ "Select", 30, 2 },
5688		{ "IDO", 24, 1 },
5689		{ "VFID", 16, 8 },
5690		{ "TC", 11, 3 },
5691		{ "VFVld", 10, 1 },
5692		{ "PF", 7, 3 },
5693		{ "RVF", 0, 7 },
5694	{ "PCIE_FID_VFID", 0x3a7c, 0 },
5695		{ "Select", 30, 2 },
5696		{ "IDO", 24, 1 },
5697		{ "VFID", 16, 8 },
5698		{ "TC", 11, 3 },
5699		{ "VFVld", 10, 1 },
5700		{ "PF", 7, 3 },
5701		{ "RVF", 0, 7 },
5702	{ "PCIE_FID_VFID", 0x3a80, 0 },
5703		{ "Select", 30, 2 },
5704		{ "IDO", 24, 1 },
5705		{ "VFID", 16, 8 },
5706		{ "TC", 11, 3 },
5707		{ "VFVld", 10, 1 },
5708		{ "PF", 7, 3 },
5709		{ "RVF", 0, 7 },
5710	{ "PCIE_FID_VFID", 0x3a84, 0 },
5711		{ "Select", 30, 2 },
5712		{ "IDO", 24, 1 },
5713		{ "VFID", 16, 8 },
5714		{ "TC", 11, 3 },
5715		{ "VFVld", 10, 1 },
5716		{ "PF", 7, 3 },
5717		{ "RVF", 0, 7 },
5718	{ "PCIE_FID_VFID", 0x3a88, 0 },
5719		{ "Select", 30, 2 },
5720		{ "IDO", 24, 1 },
5721		{ "VFID", 16, 8 },
5722		{ "TC", 11, 3 },
5723		{ "VFVld", 10, 1 },
5724		{ "PF", 7, 3 },
5725		{ "RVF", 0, 7 },
5726	{ "PCIE_FID_VFID", 0x3a8c, 0 },
5727		{ "Select", 30, 2 },
5728		{ "IDO", 24, 1 },
5729		{ "VFID", 16, 8 },
5730		{ "TC", 11, 3 },
5731		{ "VFVld", 10, 1 },
5732		{ "PF", 7, 3 },
5733		{ "RVF", 0, 7 },
5734	{ "PCIE_FID_VFID", 0x3a90, 0 },
5735		{ "Select", 30, 2 },
5736		{ "IDO", 24, 1 },
5737		{ "VFID", 16, 8 },
5738		{ "TC", 11, 3 },
5739		{ "VFVld", 10, 1 },
5740		{ "PF", 7, 3 },
5741		{ "RVF", 0, 7 },
5742	{ "PCIE_FID_VFID", 0x3a94, 0 },
5743		{ "Select", 30, 2 },
5744		{ "IDO", 24, 1 },
5745		{ "VFID", 16, 8 },
5746		{ "TC", 11, 3 },
5747		{ "VFVld", 10, 1 },
5748		{ "PF", 7, 3 },
5749		{ "RVF", 0, 7 },
5750	{ "PCIE_FID_VFID", 0x3a98, 0 },
5751		{ "Select", 30, 2 },
5752		{ "IDO", 24, 1 },
5753		{ "VFID", 16, 8 },
5754		{ "TC", 11, 3 },
5755		{ "VFVld", 10, 1 },
5756		{ "PF", 7, 3 },
5757		{ "RVF", 0, 7 },
5758	{ "PCIE_FID_VFID", 0x3a9c, 0 },
5759		{ "Select", 30, 2 },
5760		{ "IDO", 24, 1 },
5761		{ "VFID", 16, 8 },
5762		{ "TC", 11, 3 },
5763		{ "VFVld", 10, 1 },
5764		{ "PF", 7, 3 },
5765		{ "RVF", 0, 7 },
5766	{ "PCIE_FID_VFID", 0x3aa0, 0 },
5767		{ "Select", 30, 2 },
5768		{ "IDO", 24, 1 },
5769		{ "VFID", 16, 8 },
5770		{ "TC", 11, 3 },
5771		{ "VFVld", 10, 1 },
5772		{ "PF", 7, 3 },
5773		{ "RVF", 0, 7 },
5774	{ "PCIE_FID_VFID", 0x3aa4, 0 },
5775		{ "Select", 30, 2 },
5776		{ "IDO", 24, 1 },
5777		{ "VFID", 16, 8 },
5778		{ "TC", 11, 3 },
5779		{ "VFVld", 10, 1 },
5780		{ "PF", 7, 3 },
5781		{ "RVF", 0, 7 },
5782	{ "PCIE_FID_VFID", 0x3aa8, 0 },
5783		{ "Select", 30, 2 },
5784		{ "IDO", 24, 1 },
5785		{ "VFID", 16, 8 },
5786		{ "TC", 11, 3 },
5787		{ "VFVld", 10, 1 },
5788		{ "PF", 7, 3 },
5789		{ "RVF", 0, 7 },
5790	{ "PCIE_FID_VFID", 0x3aac, 0 },
5791		{ "Select", 30, 2 },
5792		{ "IDO", 24, 1 },
5793		{ "VFID", 16, 8 },
5794		{ "TC", 11, 3 },
5795		{ "VFVld", 10, 1 },
5796		{ "PF", 7, 3 },
5797		{ "RVF", 0, 7 },
5798	{ "PCIE_FID_VFID", 0x3ab0, 0 },
5799		{ "Select", 30, 2 },
5800		{ "IDO", 24, 1 },
5801		{ "VFID", 16, 8 },
5802		{ "TC", 11, 3 },
5803		{ "VFVld", 10, 1 },
5804		{ "PF", 7, 3 },
5805		{ "RVF", 0, 7 },
5806	{ "PCIE_FID_VFID", 0x3ab4, 0 },
5807		{ "Select", 30, 2 },
5808		{ "IDO", 24, 1 },
5809		{ "VFID", 16, 8 },
5810		{ "TC", 11, 3 },
5811		{ "VFVld", 10, 1 },
5812		{ "PF", 7, 3 },
5813		{ "RVF", 0, 7 },
5814	{ "PCIE_FID_VFID", 0x3ab8, 0 },
5815		{ "Select", 30, 2 },
5816		{ "IDO", 24, 1 },
5817		{ "VFID", 16, 8 },
5818		{ "TC", 11, 3 },
5819		{ "VFVld", 10, 1 },
5820		{ "PF", 7, 3 },
5821		{ "RVF", 0, 7 },
5822	{ "PCIE_FID_VFID", 0x3abc, 0 },
5823		{ "Select", 30, 2 },
5824		{ "IDO", 24, 1 },
5825		{ "VFID", 16, 8 },
5826		{ "TC", 11, 3 },
5827		{ "VFVld", 10, 1 },
5828		{ "PF", 7, 3 },
5829		{ "RVF", 0, 7 },
5830	{ "PCIE_FID_VFID", 0x3ac0, 0 },
5831		{ "Select", 30, 2 },
5832		{ "IDO", 24, 1 },
5833		{ "VFID", 16, 8 },
5834		{ "TC", 11, 3 },
5835		{ "VFVld", 10, 1 },
5836		{ "PF", 7, 3 },
5837		{ "RVF", 0, 7 },
5838	{ "PCIE_FID_VFID", 0x3ac4, 0 },
5839		{ "Select", 30, 2 },
5840		{ "IDO", 24, 1 },
5841		{ "VFID", 16, 8 },
5842		{ "TC", 11, 3 },
5843		{ "VFVld", 10, 1 },
5844		{ "PF", 7, 3 },
5845		{ "RVF", 0, 7 },
5846	{ "PCIE_FID_VFID", 0x3ac8, 0 },
5847		{ "Select", 30, 2 },
5848		{ "IDO", 24, 1 },
5849		{ "VFID", 16, 8 },
5850		{ "TC", 11, 3 },
5851		{ "VFVld", 10, 1 },
5852		{ "PF", 7, 3 },
5853		{ "RVF", 0, 7 },
5854	{ "PCIE_FID_VFID", 0x3acc, 0 },
5855		{ "Select", 30, 2 },
5856		{ "IDO", 24, 1 },
5857		{ "VFID", 16, 8 },
5858		{ "TC", 11, 3 },
5859		{ "VFVld", 10, 1 },
5860		{ "PF", 7, 3 },
5861		{ "RVF", 0, 7 },
5862	{ "PCIE_FID_VFID", 0x3ad0, 0 },
5863		{ "Select", 30, 2 },
5864		{ "IDO", 24, 1 },
5865		{ "VFID", 16, 8 },
5866		{ "TC", 11, 3 },
5867		{ "VFVld", 10, 1 },
5868		{ "PF", 7, 3 },
5869		{ "RVF", 0, 7 },
5870	{ "PCIE_FID_VFID", 0x3ad4, 0 },
5871		{ "Select", 30, 2 },
5872		{ "IDO", 24, 1 },
5873		{ "VFID", 16, 8 },
5874		{ "TC", 11, 3 },
5875		{ "VFVld", 10, 1 },
5876		{ "PF", 7, 3 },
5877		{ "RVF", 0, 7 },
5878	{ "PCIE_FID_VFID", 0x3ad8, 0 },
5879		{ "Select", 30, 2 },
5880		{ "IDO", 24, 1 },
5881		{ "VFID", 16, 8 },
5882		{ "TC", 11, 3 },
5883		{ "VFVld", 10, 1 },
5884		{ "PF", 7, 3 },
5885		{ "RVF", 0, 7 },
5886	{ "PCIE_FID_VFID", 0x3adc, 0 },
5887		{ "Select", 30, 2 },
5888		{ "IDO", 24, 1 },
5889		{ "VFID", 16, 8 },
5890		{ "TC", 11, 3 },
5891		{ "VFVld", 10, 1 },
5892		{ "PF", 7, 3 },
5893		{ "RVF", 0, 7 },
5894	{ "PCIE_FID_VFID", 0x3ae0, 0 },
5895		{ "Select", 30, 2 },
5896		{ "IDO", 24, 1 },
5897		{ "VFID", 16, 8 },
5898		{ "TC", 11, 3 },
5899		{ "VFVld", 10, 1 },
5900		{ "PF", 7, 3 },
5901		{ "RVF", 0, 7 },
5902	{ "PCIE_FID_VFID", 0x3ae4, 0 },
5903		{ "Select", 30, 2 },
5904		{ "IDO", 24, 1 },
5905		{ "VFID", 16, 8 },
5906		{ "TC", 11, 3 },
5907		{ "VFVld", 10, 1 },
5908		{ "PF", 7, 3 },
5909		{ "RVF", 0, 7 },
5910	{ "PCIE_FID_VFID", 0x3ae8, 0 },
5911		{ "Select", 30, 2 },
5912		{ "IDO", 24, 1 },
5913		{ "VFID", 16, 8 },
5914		{ "TC", 11, 3 },
5915		{ "VFVld", 10, 1 },
5916		{ "PF", 7, 3 },
5917		{ "RVF", 0, 7 },
5918	{ "PCIE_FID_VFID", 0x3aec, 0 },
5919		{ "Select", 30, 2 },
5920		{ "IDO", 24, 1 },
5921		{ "VFID", 16, 8 },
5922		{ "TC", 11, 3 },
5923		{ "VFVld", 10, 1 },
5924		{ "PF", 7, 3 },
5925		{ "RVF", 0, 7 },
5926	{ "PCIE_FID_VFID", 0x3af0, 0 },
5927		{ "Select", 30, 2 },
5928		{ "IDO", 24, 1 },
5929		{ "VFID", 16, 8 },
5930		{ "TC", 11, 3 },
5931		{ "VFVld", 10, 1 },
5932		{ "PF", 7, 3 },
5933		{ "RVF", 0, 7 },
5934	{ "PCIE_FID_VFID", 0x3af4, 0 },
5935		{ "Select", 30, 2 },
5936		{ "IDO", 24, 1 },
5937		{ "VFID", 16, 8 },
5938		{ "TC", 11, 3 },
5939		{ "VFVld", 10, 1 },
5940		{ "PF", 7, 3 },
5941		{ "RVF", 0, 7 },
5942	{ "PCIE_FID_VFID", 0x3af8, 0 },
5943		{ "Select", 30, 2 },
5944		{ "IDO", 24, 1 },
5945		{ "VFID", 16, 8 },
5946		{ "TC", 11, 3 },
5947		{ "VFVld", 10, 1 },
5948		{ "PF", 7, 3 },
5949		{ "RVF", 0, 7 },
5950	{ "PCIE_FID_VFID", 0x3afc, 0 },
5951		{ "Select", 30, 2 },
5952		{ "IDO", 24, 1 },
5953		{ "VFID", 16, 8 },
5954		{ "TC", 11, 3 },
5955		{ "VFVld", 10, 1 },
5956		{ "PF", 7, 3 },
5957		{ "RVF", 0, 7 },
5958	{ "PCIE_FID_VFID", 0x3b00, 0 },
5959		{ "Select", 30, 2 },
5960		{ "IDO", 24, 1 },
5961		{ "VFID", 16, 8 },
5962		{ "TC", 11, 3 },
5963		{ "VFVld", 10, 1 },
5964		{ "PF", 7, 3 },
5965		{ "RVF", 0, 7 },
5966	{ "PCIE_FID_VFID", 0x3b04, 0 },
5967		{ "Select", 30, 2 },
5968		{ "IDO", 24, 1 },
5969		{ "VFID", 16, 8 },
5970		{ "TC", 11, 3 },
5971		{ "VFVld", 10, 1 },
5972		{ "PF", 7, 3 },
5973		{ "RVF", 0, 7 },
5974	{ "PCIE_FID_VFID", 0x3b08, 0 },
5975		{ "Select", 30, 2 },
5976		{ "IDO", 24, 1 },
5977		{ "VFID", 16, 8 },
5978		{ "TC", 11, 3 },
5979		{ "VFVld", 10, 1 },
5980		{ "PF", 7, 3 },
5981		{ "RVF", 0, 7 },
5982	{ "PCIE_FID_VFID", 0x3b0c, 0 },
5983		{ "Select", 30, 2 },
5984		{ "IDO", 24, 1 },
5985		{ "VFID", 16, 8 },
5986		{ "TC", 11, 3 },
5987		{ "VFVld", 10, 1 },
5988		{ "PF", 7, 3 },
5989		{ "RVF", 0, 7 },
5990	{ "PCIE_FID_VFID", 0x3b10, 0 },
5991		{ "Select", 30, 2 },
5992		{ "IDO", 24, 1 },
5993		{ "VFID", 16, 8 },
5994		{ "TC", 11, 3 },
5995		{ "VFVld", 10, 1 },
5996		{ "PF", 7, 3 },
5997		{ "RVF", 0, 7 },
5998	{ "PCIE_FID_VFID", 0x3b14, 0 },
5999		{ "Select", 30, 2 },
6000		{ "IDO", 24, 1 },
6001		{ "VFID", 16, 8 },
6002		{ "TC", 11, 3 },
6003		{ "VFVld", 10, 1 },
6004		{ "PF", 7, 3 },
6005		{ "RVF", 0, 7 },
6006	{ "PCIE_FID_VFID", 0x3b18, 0 },
6007		{ "Select", 30, 2 },
6008		{ "IDO", 24, 1 },
6009		{ "VFID", 16, 8 },
6010		{ "TC", 11, 3 },
6011		{ "VFVld", 10, 1 },
6012		{ "PF", 7, 3 },
6013		{ "RVF", 0, 7 },
6014	{ "PCIE_FID_VFID", 0x3b1c, 0 },
6015		{ "Select", 30, 2 },
6016		{ "IDO", 24, 1 },
6017		{ "VFID", 16, 8 },
6018		{ "TC", 11, 3 },
6019		{ "VFVld", 10, 1 },
6020		{ "PF", 7, 3 },
6021		{ "RVF", 0, 7 },
6022	{ "PCIE_FID_VFID", 0x3b20, 0 },
6023		{ "Select", 30, 2 },
6024		{ "IDO", 24, 1 },
6025		{ "VFID", 16, 8 },
6026		{ "TC", 11, 3 },
6027		{ "VFVld", 10, 1 },
6028		{ "PF", 7, 3 },
6029		{ "RVF", 0, 7 },
6030	{ "PCIE_FID_VFID", 0x3b24, 0 },
6031		{ "Select", 30, 2 },
6032		{ "IDO", 24, 1 },
6033		{ "VFID", 16, 8 },
6034		{ "TC", 11, 3 },
6035		{ "VFVld", 10, 1 },
6036		{ "PF", 7, 3 },
6037		{ "RVF", 0, 7 },
6038	{ "PCIE_FID_VFID", 0x3b28, 0 },
6039		{ "Select", 30, 2 },
6040		{ "IDO", 24, 1 },
6041		{ "VFID", 16, 8 },
6042		{ "TC", 11, 3 },
6043		{ "VFVld", 10, 1 },
6044		{ "PF", 7, 3 },
6045		{ "RVF", 0, 7 },
6046	{ "PCIE_FID_VFID", 0x3b2c, 0 },
6047		{ "Select", 30, 2 },
6048		{ "IDO", 24, 1 },
6049		{ "VFID", 16, 8 },
6050		{ "TC", 11, 3 },
6051		{ "VFVld", 10, 1 },
6052		{ "PF", 7, 3 },
6053		{ "RVF", 0, 7 },
6054	{ "PCIE_FID_VFID", 0x3b30, 0 },
6055		{ "Select", 30, 2 },
6056		{ "IDO", 24, 1 },
6057		{ "VFID", 16, 8 },
6058		{ "TC", 11, 3 },
6059		{ "VFVld", 10, 1 },
6060		{ "PF", 7, 3 },
6061		{ "RVF", 0, 7 },
6062	{ "PCIE_FID_VFID", 0x3b34, 0 },
6063		{ "Select", 30, 2 },
6064		{ "IDO", 24, 1 },
6065		{ "VFID", 16, 8 },
6066		{ "TC", 11, 3 },
6067		{ "VFVld", 10, 1 },
6068		{ "PF", 7, 3 },
6069		{ "RVF", 0, 7 },
6070	{ "PCIE_FID_VFID", 0x3b38, 0 },
6071		{ "Select", 30, 2 },
6072		{ "IDO", 24, 1 },
6073		{ "VFID", 16, 8 },
6074		{ "TC", 11, 3 },
6075		{ "VFVld", 10, 1 },
6076		{ "PF", 7, 3 },
6077		{ "RVF", 0, 7 },
6078	{ "PCIE_FID_VFID", 0x3b3c, 0 },
6079		{ "Select", 30, 2 },
6080		{ "IDO", 24, 1 },
6081		{ "VFID", 16, 8 },
6082		{ "TC", 11, 3 },
6083		{ "VFVld", 10, 1 },
6084		{ "PF", 7, 3 },
6085		{ "RVF", 0, 7 },
6086	{ "PCIE_FID_VFID", 0x3b40, 0 },
6087		{ "Select", 30, 2 },
6088		{ "IDO", 24, 1 },
6089		{ "VFID", 16, 8 },
6090		{ "TC", 11, 3 },
6091		{ "VFVld", 10, 1 },
6092		{ "PF", 7, 3 },
6093		{ "RVF", 0, 7 },
6094	{ "PCIE_FID_VFID", 0x3b44, 0 },
6095		{ "Select", 30, 2 },
6096		{ "IDO", 24, 1 },
6097		{ "VFID", 16, 8 },
6098		{ "TC", 11, 3 },
6099		{ "VFVld", 10, 1 },
6100		{ "PF", 7, 3 },
6101		{ "RVF", 0, 7 },
6102	{ "PCIE_FID_VFID", 0x3b48, 0 },
6103		{ "Select", 30, 2 },
6104		{ "IDO", 24, 1 },
6105		{ "VFID", 16, 8 },
6106		{ "TC", 11, 3 },
6107		{ "VFVld", 10, 1 },
6108		{ "PF", 7, 3 },
6109		{ "RVF", 0, 7 },
6110	{ "PCIE_FID_VFID", 0x3b4c, 0 },
6111		{ "Select", 30, 2 },
6112		{ "IDO", 24, 1 },
6113		{ "VFID", 16, 8 },
6114		{ "TC", 11, 3 },
6115		{ "VFVld", 10, 1 },
6116		{ "PF", 7, 3 },
6117		{ "RVF", 0, 7 },
6118	{ "PCIE_FID_VFID", 0x3b50, 0 },
6119		{ "Select", 30, 2 },
6120		{ "IDO", 24, 1 },
6121		{ "VFID", 16, 8 },
6122		{ "TC", 11, 3 },
6123		{ "VFVld", 10, 1 },
6124		{ "PF", 7, 3 },
6125		{ "RVF", 0, 7 },
6126	{ "PCIE_FID_VFID", 0x3b54, 0 },
6127		{ "Select", 30, 2 },
6128		{ "IDO", 24, 1 },
6129		{ "VFID", 16, 8 },
6130		{ "TC", 11, 3 },
6131		{ "VFVld", 10, 1 },
6132		{ "PF", 7, 3 },
6133		{ "RVF", 0, 7 },
6134	{ "PCIE_FID_VFID", 0x3b58, 0 },
6135		{ "Select", 30, 2 },
6136		{ "IDO", 24, 1 },
6137		{ "VFID", 16, 8 },
6138		{ "TC", 11, 3 },
6139		{ "VFVld", 10, 1 },
6140		{ "PF", 7, 3 },
6141		{ "RVF", 0, 7 },
6142	{ "PCIE_FID_VFID", 0x3b5c, 0 },
6143		{ "Select", 30, 2 },
6144		{ "IDO", 24, 1 },
6145		{ "VFID", 16, 8 },
6146		{ "TC", 11, 3 },
6147		{ "VFVld", 10, 1 },
6148		{ "PF", 7, 3 },
6149		{ "RVF", 0, 7 },
6150	{ "PCIE_FID_VFID", 0x3b60, 0 },
6151		{ "Select", 30, 2 },
6152		{ "IDO", 24, 1 },
6153		{ "VFID", 16, 8 },
6154		{ "TC", 11, 3 },
6155		{ "VFVld", 10, 1 },
6156		{ "PF", 7, 3 },
6157		{ "RVF", 0, 7 },
6158	{ "PCIE_FID_VFID", 0x3b64, 0 },
6159		{ "Select", 30, 2 },
6160		{ "IDO", 24, 1 },
6161		{ "VFID", 16, 8 },
6162		{ "TC", 11, 3 },
6163		{ "VFVld", 10, 1 },
6164		{ "PF", 7, 3 },
6165		{ "RVF", 0, 7 },
6166	{ "PCIE_FID_VFID", 0x3b68, 0 },
6167		{ "Select", 30, 2 },
6168		{ "IDO", 24, 1 },
6169		{ "VFID", 16, 8 },
6170		{ "TC", 11, 3 },
6171		{ "VFVld", 10, 1 },
6172		{ "PF", 7, 3 },
6173		{ "RVF", 0, 7 },
6174	{ "PCIE_FID_VFID", 0x3b6c, 0 },
6175		{ "Select", 30, 2 },
6176		{ "IDO", 24, 1 },
6177		{ "VFID", 16, 8 },
6178		{ "TC", 11, 3 },
6179		{ "VFVld", 10, 1 },
6180		{ "PF", 7, 3 },
6181		{ "RVF", 0, 7 },
6182	{ "PCIE_FID_VFID", 0x3b70, 0 },
6183		{ "Select", 30, 2 },
6184		{ "IDO", 24, 1 },
6185		{ "VFID", 16, 8 },
6186		{ "TC", 11, 3 },
6187		{ "VFVld", 10, 1 },
6188		{ "PF", 7, 3 },
6189		{ "RVF", 0, 7 },
6190	{ "PCIE_FID_VFID", 0x3b74, 0 },
6191		{ "Select", 30, 2 },
6192		{ "IDO", 24, 1 },
6193		{ "VFID", 16, 8 },
6194		{ "TC", 11, 3 },
6195		{ "VFVld", 10, 1 },
6196		{ "PF", 7, 3 },
6197		{ "RVF", 0, 7 },
6198	{ "PCIE_FID_VFID", 0x3b78, 0 },
6199		{ "Select", 30, 2 },
6200		{ "IDO", 24, 1 },
6201		{ "VFID", 16, 8 },
6202		{ "TC", 11, 3 },
6203		{ "VFVld", 10, 1 },
6204		{ "PF", 7, 3 },
6205		{ "RVF", 0, 7 },
6206	{ "PCIE_FID_VFID", 0x3b7c, 0 },
6207		{ "Select", 30, 2 },
6208		{ "IDO", 24, 1 },
6209		{ "VFID", 16, 8 },
6210		{ "TC", 11, 3 },
6211		{ "VFVld", 10, 1 },
6212		{ "PF", 7, 3 },
6213		{ "RVF", 0, 7 },
6214	{ "PCIE_FID_VFID", 0x3b80, 0 },
6215		{ "Select", 30, 2 },
6216		{ "IDO", 24, 1 },
6217		{ "VFID", 16, 8 },
6218		{ "TC", 11, 3 },
6219		{ "VFVld", 10, 1 },
6220		{ "PF", 7, 3 },
6221		{ "RVF", 0, 7 },
6222	{ "PCIE_FID_VFID", 0x3b84, 0 },
6223		{ "Select", 30, 2 },
6224		{ "IDO", 24, 1 },
6225		{ "VFID", 16, 8 },
6226		{ "TC", 11, 3 },
6227		{ "VFVld", 10, 1 },
6228		{ "PF", 7, 3 },
6229		{ "RVF", 0, 7 },
6230	{ "PCIE_FID_VFID", 0x3b88, 0 },
6231		{ "Select", 30, 2 },
6232		{ "IDO", 24, 1 },
6233		{ "VFID", 16, 8 },
6234		{ "TC", 11, 3 },
6235		{ "VFVld", 10, 1 },
6236		{ "PF", 7, 3 },
6237		{ "RVF", 0, 7 },
6238	{ "PCIE_FID_VFID", 0x3b8c, 0 },
6239		{ "Select", 30, 2 },
6240		{ "IDO", 24, 1 },
6241		{ "VFID", 16, 8 },
6242		{ "TC", 11, 3 },
6243		{ "VFVld", 10, 1 },
6244		{ "PF", 7, 3 },
6245		{ "RVF", 0, 7 },
6246	{ "PCIE_FID_VFID", 0x3b90, 0 },
6247		{ "Select", 30, 2 },
6248		{ "IDO", 24, 1 },
6249		{ "VFID", 16, 8 },
6250		{ "TC", 11, 3 },
6251		{ "VFVld", 10, 1 },
6252		{ "PF", 7, 3 },
6253		{ "RVF", 0, 7 },
6254	{ "PCIE_FID_VFID", 0x3b94, 0 },
6255		{ "Select", 30, 2 },
6256		{ "IDO", 24, 1 },
6257		{ "VFID", 16, 8 },
6258		{ "TC", 11, 3 },
6259		{ "VFVld", 10, 1 },
6260		{ "PF", 7, 3 },
6261		{ "RVF", 0, 7 },
6262	{ "PCIE_FID_VFID", 0x3b98, 0 },
6263		{ "Select", 30, 2 },
6264		{ "IDO", 24, 1 },
6265		{ "VFID", 16, 8 },
6266		{ "TC", 11, 3 },
6267		{ "VFVld", 10, 1 },
6268		{ "PF", 7, 3 },
6269		{ "RVF", 0, 7 },
6270	{ "PCIE_FID_VFID", 0x3b9c, 0 },
6271		{ "Select", 30, 2 },
6272		{ "IDO", 24, 1 },
6273		{ "VFID", 16, 8 },
6274		{ "TC", 11, 3 },
6275		{ "VFVld", 10, 1 },
6276		{ "PF", 7, 3 },
6277		{ "RVF", 0, 7 },
6278	{ "PCIE_FID_VFID", 0x3ba0, 0 },
6279		{ "Select", 30, 2 },
6280		{ "IDO", 24, 1 },
6281		{ "VFID", 16, 8 },
6282		{ "TC", 11, 3 },
6283		{ "VFVld", 10, 1 },
6284		{ "PF", 7, 3 },
6285		{ "RVF", 0, 7 },
6286	{ "PCIE_FID_VFID", 0x3ba4, 0 },
6287		{ "Select", 30, 2 },
6288		{ "IDO", 24, 1 },
6289		{ "VFID", 16, 8 },
6290		{ "TC", 11, 3 },
6291		{ "VFVld", 10, 1 },
6292		{ "PF", 7, 3 },
6293		{ "RVF", 0, 7 },
6294	{ "PCIE_FID_VFID", 0x3ba8, 0 },
6295		{ "Select", 30, 2 },
6296		{ "IDO", 24, 1 },
6297		{ "VFID", 16, 8 },
6298		{ "TC", 11, 3 },
6299		{ "VFVld", 10, 1 },
6300		{ "PF", 7, 3 },
6301		{ "RVF", 0, 7 },
6302	{ "PCIE_FID_VFID", 0x3bac, 0 },
6303		{ "Select", 30, 2 },
6304		{ "IDO", 24, 1 },
6305		{ "VFID", 16, 8 },
6306		{ "TC", 11, 3 },
6307		{ "VFVld", 10, 1 },
6308		{ "PF", 7, 3 },
6309		{ "RVF", 0, 7 },
6310	{ "PCIE_FID_VFID", 0x3bb0, 0 },
6311		{ "Select", 30, 2 },
6312		{ "IDO", 24, 1 },
6313		{ "VFID", 16, 8 },
6314		{ "TC", 11, 3 },
6315		{ "VFVld", 10, 1 },
6316		{ "PF", 7, 3 },
6317		{ "RVF", 0, 7 },
6318	{ "PCIE_FID_VFID", 0x3bb4, 0 },
6319		{ "Select", 30, 2 },
6320		{ "IDO", 24, 1 },
6321		{ "VFID", 16, 8 },
6322		{ "TC", 11, 3 },
6323		{ "VFVld", 10, 1 },
6324		{ "PF", 7, 3 },
6325		{ "RVF", 0, 7 },
6326	{ "PCIE_FID_VFID", 0x3bb8, 0 },
6327		{ "Select", 30, 2 },
6328		{ "IDO", 24, 1 },
6329		{ "VFID", 16, 8 },
6330		{ "TC", 11, 3 },
6331		{ "VFVld", 10, 1 },
6332		{ "PF", 7, 3 },
6333		{ "RVF", 0, 7 },
6334	{ "PCIE_FID_VFID", 0x3bbc, 0 },
6335		{ "Select", 30, 2 },
6336		{ "IDO", 24, 1 },
6337		{ "VFID", 16, 8 },
6338		{ "TC", 11, 3 },
6339		{ "VFVld", 10, 1 },
6340		{ "PF", 7, 3 },
6341		{ "RVF", 0, 7 },
6342	{ "PCIE_FID_VFID", 0x3bc0, 0 },
6343		{ "Select", 30, 2 },
6344		{ "IDO", 24, 1 },
6345		{ "VFID", 16, 8 },
6346		{ "TC", 11, 3 },
6347		{ "VFVld", 10, 1 },
6348		{ "PF", 7, 3 },
6349		{ "RVF", 0, 7 },
6350	{ "PCIE_FID_VFID", 0x3bc4, 0 },
6351		{ "Select", 30, 2 },
6352		{ "IDO", 24, 1 },
6353		{ "VFID", 16, 8 },
6354		{ "TC", 11, 3 },
6355		{ "VFVld", 10, 1 },
6356		{ "PF", 7, 3 },
6357		{ "RVF", 0, 7 },
6358	{ "PCIE_FID_VFID", 0x3bc8, 0 },
6359		{ "Select", 30, 2 },
6360		{ "IDO", 24, 1 },
6361		{ "VFID", 16, 8 },
6362		{ "TC", 11, 3 },
6363		{ "VFVld", 10, 1 },
6364		{ "PF", 7, 3 },
6365		{ "RVF", 0, 7 },
6366	{ "PCIE_FID_VFID", 0x3bcc, 0 },
6367		{ "Select", 30, 2 },
6368		{ "IDO", 24, 1 },
6369		{ "VFID", 16, 8 },
6370		{ "TC", 11, 3 },
6371		{ "VFVld", 10, 1 },
6372		{ "PF", 7, 3 },
6373		{ "RVF", 0, 7 },
6374	{ "PCIE_FID_VFID", 0x3bd0, 0 },
6375		{ "Select", 30, 2 },
6376		{ "IDO", 24, 1 },
6377		{ "VFID", 16, 8 },
6378		{ "TC", 11, 3 },
6379		{ "VFVld", 10, 1 },
6380		{ "PF", 7, 3 },
6381		{ "RVF", 0, 7 },
6382	{ "PCIE_FID_VFID", 0x3bd4, 0 },
6383		{ "Select", 30, 2 },
6384		{ "IDO", 24, 1 },
6385		{ "VFID", 16, 8 },
6386		{ "TC", 11, 3 },
6387		{ "VFVld", 10, 1 },
6388		{ "PF", 7, 3 },
6389		{ "RVF", 0, 7 },
6390	{ "PCIE_FID_VFID", 0x3bd8, 0 },
6391		{ "Select", 30, 2 },
6392		{ "IDO", 24, 1 },
6393		{ "VFID", 16, 8 },
6394		{ "TC", 11, 3 },
6395		{ "VFVld", 10, 1 },
6396		{ "PF", 7, 3 },
6397		{ "RVF", 0, 7 },
6398	{ "PCIE_FID_VFID", 0x3bdc, 0 },
6399		{ "Select", 30, 2 },
6400		{ "IDO", 24, 1 },
6401		{ "VFID", 16, 8 },
6402		{ "TC", 11, 3 },
6403		{ "VFVld", 10, 1 },
6404		{ "PF", 7, 3 },
6405		{ "RVF", 0, 7 },
6406	{ "PCIE_FID_VFID", 0x3be0, 0 },
6407		{ "Select", 30, 2 },
6408		{ "IDO", 24, 1 },
6409		{ "VFID", 16, 8 },
6410		{ "TC", 11, 3 },
6411		{ "VFVld", 10, 1 },
6412		{ "PF", 7, 3 },
6413		{ "RVF", 0, 7 },
6414	{ "PCIE_FID_VFID", 0x3be4, 0 },
6415		{ "Select", 30, 2 },
6416		{ "IDO", 24, 1 },
6417		{ "VFID", 16, 8 },
6418		{ "TC", 11, 3 },
6419		{ "VFVld", 10, 1 },
6420		{ "PF", 7, 3 },
6421		{ "RVF", 0, 7 },
6422	{ "PCIE_FID_VFID", 0x3be8, 0 },
6423		{ "Select", 30, 2 },
6424		{ "IDO", 24, 1 },
6425		{ "VFID", 16, 8 },
6426		{ "TC", 11, 3 },
6427		{ "VFVld", 10, 1 },
6428		{ "PF", 7, 3 },
6429		{ "RVF", 0, 7 },
6430	{ "PCIE_FID_VFID", 0x3bec, 0 },
6431		{ "Select", 30, 2 },
6432		{ "IDO", 24, 1 },
6433		{ "VFID", 16, 8 },
6434		{ "TC", 11, 3 },
6435		{ "VFVld", 10, 1 },
6436		{ "PF", 7, 3 },
6437		{ "RVF", 0, 7 },
6438	{ "PCIE_FID_VFID", 0x3bf0, 0 },
6439		{ "Select", 30, 2 },
6440		{ "IDO", 24, 1 },
6441		{ "VFID", 16, 8 },
6442		{ "TC", 11, 3 },
6443		{ "VFVld", 10, 1 },
6444		{ "PF", 7, 3 },
6445		{ "RVF", 0, 7 },
6446	{ "PCIE_FID_VFID", 0x3bf4, 0 },
6447		{ "Select", 30, 2 },
6448		{ "IDO", 24, 1 },
6449		{ "VFID", 16, 8 },
6450		{ "TC", 11, 3 },
6451		{ "VFVld", 10, 1 },
6452		{ "PF", 7, 3 },
6453		{ "RVF", 0, 7 },
6454	{ "PCIE_FID_VFID", 0x3bf8, 0 },
6455		{ "Select", 30, 2 },
6456		{ "IDO", 24, 1 },
6457		{ "VFID", 16, 8 },
6458		{ "TC", 11, 3 },
6459		{ "VFVld", 10, 1 },
6460		{ "PF", 7, 3 },
6461		{ "RVF", 0, 7 },
6462	{ "PCIE_FID_VFID", 0x3bfc, 0 },
6463		{ "Select", 30, 2 },
6464		{ "IDO", 24, 1 },
6465		{ "VFID", 16, 8 },
6466		{ "TC", 11, 3 },
6467		{ "VFVld", 10, 1 },
6468		{ "PF", 7, 3 },
6469		{ "RVF", 0, 7 },
6470	{ "PCIE_FID_VFID", 0x3c00, 0 },
6471		{ "Select", 30, 2 },
6472		{ "IDO", 24, 1 },
6473		{ "VFID", 16, 8 },
6474		{ "TC", 11, 3 },
6475		{ "VFVld", 10, 1 },
6476		{ "PF", 7, 3 },
6477		{ "RVF", 0, 7 },
6478	{ "PCIE_FID_VFID", 0x3c04, 0 },
6479		{ "Select", 30, 2 },
6480		{ "IDO", 24, 1 },
6481		{ "VFID", 16, 8 },
6482		{ "TC", 11, 3 },
6483		{ "VFVld", 10, 1 },
6484		{ "PF", 7, 3 },
6485		{ "RVF", 0, 7 },
6486	{ "PCIE_FID_VFID", 0x3c08, 0 },
6487		{ "Select", 30, 2 },
6488		{ "IDO", 24, 1 },
6489		{ "VFID", 16, 8 },
6490		{ "TC", 11, 3 },
6491		{ "VFVld", 10, 1 },
6492		{ "PF", 7, 3 },
6493		{ "RVF", 0, 7 },
6494	{ "PCIE_FID_VFID", 0x3c0c, 0 },
6495		{ "Select", 30, 2 },
6496		{ "IDO", 24, 1 },
6497		{ "VFID", 16, 8 },
6498		{ "TC", 11, 3 },
6499		{ "VFVld", 10, 1 },
6500		{ "PF", 7, 3 },
6501		{ "RVF", 0, 7 },
6502	{ "PCIE_FID_VFID", 0x3c10, 0 },
6503		{ "Select", 30, 2 },
6504		{ "IDO", 24, 1 },
6505		{ "VFID", 16, 8 },
6506		{ "TC", 11, 3 },
6507		{ "VFVld", 10, 1 },
6508		{ "PF", 7, 3 },
6509		{ "RVF", 0, 7 },
6510	{ "PCIE_FID_VFID", 0x3c14, 0 },
6511		{ "Select", 30, 2 },
6512		{ "IDO", 24, 1 },
6513		{ "VFID", 16, 8 },
6514		{ "TC", 11, 3 },
6515		{ "VFVld", 10, 1 },
6516		{ "PF", 7, 3 },
6517		{ "RVF", 0, 7 },
6518	{ "PCIE_FID_VFID", 0x3c18, 0 },
6519		{ "Select", 30, 2 },
6520		{ "IDO", 24, 1 },
6521		{ "VFID", 16, 8 },
6522		{ "TC", 11, 3 },
6523		{ "VFVld", 10, 1 },
6524		{ "PF", 7, 3 },
6525		{ "RVF", 0, 7 },
6526	{ "PCIE_FID_VFID", 0x3c1c, 0 },
6527		{ "Select", 30, 2 },
6528		{ "IDO", 24, 1 },
6529		{ "VFID", 16, 8 },
6530		{ "TC", 11, 3 },
6531		{ "VFVld", 10, 1 },
6532		{ "PF", 7, 3 },
6533		{ "RVF", 0, 7 },
6534	{ "PCIE_FID_VFID", 0x3c20, 0 },
6535		{ "Select", 30, 2 },
6536		{ "IDO", 24, 1 },
6537		{ "VFID", 16, 8 },
6538		{ "TC", 11, 3 },
6539		{ "VFVld", 10, 1 },
6540		{ "PF", 7, 3 },
6541		{ "RVF", 0, 7 },
6542	{ "PCIE_FID_VFID", 0x3c24, 0 },
6543		{ "Select", 30, 2 },
6544		{ "IDO", 24, 1 },
6545		{ "VFID", 16, 8 },
6546		{ "TC", 11, 3 },
6547		{ "VFVld", 10, 1 },
6548		{ "PF", 7, 3 },
6549		{ "RVF", 0, 7 },
6550	{ "PCIE_FID_VFID", 0x3c28, 0 },
6551		{ "Select", 30, 2 },
6552		{ "IDO", 24, 1 },
6553		{ "VFID", 16, 8 },
6554		{ "TC", 11, 3 },
6555		{ "VFVld", 10, 1 },
6556		{ "PF", 7, 3 },
6557		{ "RVF", 0, 7 },
6558	{ "PCIE_FID_VFID", 0x3c2c, 0 },
6559		{ "Select", 30, 2 },
6560		{ "IDO", 24, 1 },
6561		{ "VFID", 16, 8 },
6562		{ "TC", 11, 3 },
6563		{ "VFVld", 10, 1 },
6564		{ "PF", 7, 3 },
6565		{ "RVF", 0, 7 },
6566	{ "PCIE_FID_VFID", 0x3c30, 0 },
6567		{ "Select", 30, 2 },
6568		{ "IDO", 24, 1 },
6569		{ "VFID", 16, 8 },
6570		{ "TC", 11, 3 },
6571		{ "VFVld", 10, 1 },
6572		{ "PF", 7, 3 },
6573		{ "RVF", 0, 7 },
6574	{ "PCIE_FID_VFID", 0x3c34, 0 },
6575		{ "Select", 30, 2 },
6576		{ "IDO", 24, 1 },
6577		{ "VFID", 16, 8 },
6578		{ "TC", 11, 3 },
6579		{ "VFVld", 10, 1 },
6580		{ "PF", 7, 3 },
6581		{ "RVF", 0, 7 },
6582	{ "PCIE_FID_VFID", 0x3c38, 0 },
6583		{ "Select", 30, 2 },
6584		{ "IDO", 24, 1 },
6585		{ "VFID", 16, 8 },
6586		{ "TC", 11, 3 },
6587		{ "VFVld", 10, 1 },
6588		{ "PF", 7, 3 },
6589		{ "RVF", 0, 7 },
6590	{ "PCIE_FID_VFID", 0x3c3c, 0 },
6591		{ "Select", 30, 2 },
6592		{ "IDO", 24, 1 },
6593		{ "VFID", 16, 8 },
6594		{ "TC", 11, 3 },
6595		{ "VFVld", 10, 1 },
6596		{ "PF", 7, 3 },
6597		{ "RVF", 0, 7 },
6598	{ "PCIE_FID_VFID", 0x3c40, 0 },
6599		{ "Select", 30, 2 },
6600		{ "IDO", 24, 1 },
6601		{ "VFID", 16, 8 },
6602		{ "TC", 11, 3 },
6603		{ "VFVld", 10, 1 },
6604		{ "PF", 7, 3 },
6605		{ "RVF", 0, 7 },
6606	{ "PCIE_FID_VFID", 0x3c44, 0 },
6607		{ "Select", 30, 2 },
6608		{ "IDO", 24, 1 },
6609		{ "VFID", 16, 8 },
6610		{ "TC", 11, 3 },
6611		{ "VFVld", 10, 1 },
6612		{ "PF", 7, 3 },
6613		{ "RVF", 0, 7 },
6614	{ "PCIE_FID_VFID", 0x3c48, 0 },
6615		{ "Select", 30, 2 },
6616		{ "IDO", 24, 1 },
6617		{ "VFID", 16, 8 },
6618		{ "TC", 11, 3 },
6619		{ "VFVld", 10, 1 },
6620		{ "PF", 7, 3 },
6621		{ "RVF", 0, 7 },
6622	{ "PCIE_FID_VFID", 0x3c4c, 0 },
6623		{ "Select", 30, 2 },
6624		{ "IDO", 24, 1 },
6625		{ "VFID", 16, 8 },
6626		{ "TC", 11, 3 },
6627		{ "VFVld", 10, 1 },
6628		{ "PF", 7, 3 },
6629		{ "RVF", 0, 7 },
6630	{ "PCIE_FID_VFID", 0x3c50, 0 },
6631		{ "Select", 30, 2 },
6632		{ "IDO", 24, 1 },
6633		{ "VFID", 16, 8 },
6634		{ "TC", 11, 3 },
6635		{ "VFVld", 10, 1 },
6636		{ "PF", 7, 3 },
6637		{ "RVF", 0, 7 },
6638	{ "PCIE_FID_VFID", 0x3c54, 0 },
6639		{ "Select", 30, 2 },
6640		{ "IDO", 24, 1 },
6641		{ "VFID", 16, 8 },
6642		{ "TC", 11, 3 },
6643		{ "VFVld", 10, 1 },
6644		{ "PF", 7, 3 },
6645		{ "RVF", 0, 7 },
6646	{ "PCIE_FID_VFID", 0x3c58, 0 },
6647		{ "Select", 30, 2 },
6648		{ "IDO", 24, 1 },
6649		{ "VFID", 16, 8 },
6650		{ "TC", 11, 3 },
6651		{ "VFVld", 10, 1 },
6652		{ "PF", 7, 3 },
6653		{ "RVF", 0, 7 },
6654	{ "PCIE_FID_VFID", 0x3c5c, 0 },
6655		{ "Select", 30, 2 },
6656		{ "IDO", 24, 1 },
6657		{ "VFID", 16, 8 },
6658		{ "TC", 11, 3 },
6659		{ "VFVld", 10, 1 },
6660		{ "PF", 7, 3 },
6661		{ "RVF", 0, 7 },
6662	{ "PCIE_FID_VFID", 0x3c60, 0 },
6663		{ "Select", 30, 2 },
6664		{ "IDO", 24, 1 },
6665		{ "VFID", 16, 8 },
6666		{ "TC", 11, 3 },
6667		{ "VFVld", 10, 1 },
6668		{ "PF", 7, 3 },
6669		{ "RVF", 0, 7 },
6670	{ "PCIE_FID_VFID", 0x3c64, 0 },
6671		{ "Select", 30, 2 },
6672		{ "IDO", 24, 1 },
6673		{ "VFID", 16, 8 },
6674		{ "TC", 11, 3 },
6675		{ "VFVld", 10, 1 },
6676		{ "PF", 7, 3 },
6677		{ "RVF", 0, 7 },
6678	{ "PCIE_FID_VFID", 0x3c68, 0 },
6679		{ "Select", 30, 2 },
6680		{ "IDO", 24, 1 },
6681		{ "VFID", 16, 8 },
6682		{ "TC", 11, 3 },
6683		{ "VFVld", 10, 1 },
6684		{ "PF", 7, 3 },
6685		{ "RVF", 0, 7 },
6686	{ "PCIE_FID_VFID", 0x3c6c, 0 },
6687		{ "Select", 30, 2 },
6688		{ "IDO", 24, 1 },
6689		{ "VFID", 16, 8 },
6690		{ "TC", 11, 3 },
6691		{ "VFVld", 10, 1 },
6692		{ "PF", 7, 3 },
6693		{ "RVF", 0, 7 },
6694	{ "PCIE_FID_VFID", 0x3c70, 0 },
6695		{ "Select", 30, 2 },
6696		{ "IDO", 24, 1 },
6697		{ "VFID", 16, 8 },
6698		{ "TC", 11, 3 },
6699		{ "VFVld", 10, 1 },
6700		{ "PF", 7, 3 },
6701		{ "RVF", 0, 7 },
6702	{ "PCIE_FID_VFID", 0x3c74, 0 },
6703		{ "Select", 30, 2 },
6704		{ "IDO", 24, 1 },
6705		{ "VFID", 16, 8 },
6706		{ "TC", 11, 3 },
6707		{ "VFVld", 10, 1 },
6708		{ "PF", 7, 3 },
6709		{ "RVF", 0, 7 },
6710	{ "PCIE_FID_VFID", 0x3c78, 0 },
6711		{ "Select", 30, 2 },
6712		{ "IDO", 24, 1 },
6713		{ "VFID", 16, 8 },
6714		{ "TC", 11, 3 },
6715		{ "VFVld", 10, 1 },
6716		{ "PF", 7, 3 },
6717		{ "RVF", 0, 7 },
6718	{ "PCIE_FID_VFID", 0x3c7c, 0 },
6719		{ "Select", 30, 2 },
6720		{ "IDO", 24, 1 },
6721		{ "VFID", 16, 8 },
6722		{ "TC", 11, 3 },
6723		{ "VFVld", 10, 1 },
6724		{ "PF", 7, 3 },
6725		{ "RVF", 0, 7 },
6726	{ "PCIE_FID_VFID", 0x3c80, 0 },
6727		{ "Select", 30, 2 },
6728		{ "IDO", 24, 1 },
6729		{ "VFID", 16, 8 },
6730		{ "TC", 11, 3 },
6731		{ "VFVld", 10, 1 },
6732		{ "PF", 7, 3 },
6733		{ "RVF", 0, 7 },
6734	{ "PCIE_FID_VFID", 0x3c84, 0 },
6735		{ "Select", 30, 2 },
6736		{ "IDO", 24, 1 },
6737		{ "VFID", 16, 8 },
6738		{ "TC", 11, 3 },
6739		{ "VFVld", 10, 1 },
6740		{ "PF", 7, 3 },
6741		{ "RVF", 0, 7 },
6742	{ "PCIE_FID_VFID", 0x3c88, 0 },
6743		{ "Select", 30, 2 },
6744		{ "IDO", 24, 1 },
6745		{ "VFID", 16, 8 },
6746		{ "TC", 11, 3 },
6747		{ "VFVld", 10, 1 },
6748		{ "PF", 7, 3 },
6749		{ "RVF", 0, 7 },
6750	{ "PCIE_FID_VFID", 0x3c8c, 0 },
6751		{ "Select", 30, 2 },
6752		{ "IDO", 24, 1 },
6753		{ "VFID", 16, 8 },
6754		{ "TC", 11, 3 },
6755		{ "VFVld", 10, 1 },
6756		{ "PF", 7, 3 },
6757		{ "RVF", 0, 7 },
6758	{ "PCIE_FID_VFID", 0x3c90, 0 },
6759		{ "Select", 30, 2 },
6760		{ "IDO", 24, 1 },
6761		{ "VFID", 16, 8 },
6762		{ "TC", 11, 3 },
6763		{ "VFVld", 10, 1 },
6764		{ "PF", 7, 3 },
6765		{ "RVF", 0, 7 },
6766	{ "PCIE_FID_VFID", 0x3c94, 0 },
6767		{ "Select", 30, 2 },
6768		{ "IDO", 24, 1 },
6769		{ "VFID", 16, 8 },
6770		{ "TC", 11, 3 },
6771		{ "VFVld", 10, 1 },
6772		{ "PF", 7, 3 },
6773		{ "RVF", 0, 7 },
6774	{ "PCIE_FID_VFID", 0x3c98, 0 },
6775		{ "Select", 30, 2 },
6776		{ "IDO", 24, 1 },
6777		{ "VFID", 16, 8 },
6778		{ "TC", 11, 3 },
6779		{ "VFVld", 10, 1 },
6780		{ "PF", 7, 3 },
6781		{ "RVF", 0, 7 },
6782	{ "PCIE_FID_VFID", 0x3c9c, 0 },
6783		{ "Select", 30, 2 },
6784		{ "IDO", 24, 1 },
6785		{ "VFID", 16, 8 },
6786		{ "TC", 11, 3 },
6787		{ "VFVld", 10, 1 },
6788		{ "PF", 7, 3 },
6789		{ "RVF", 0, 7 },
6790	{ "PCIE_FID_VFID", 0x3ca0, 0 },
6791		{ "Select", 30, 2 },
6792		{ "IDO", 24, 1 },
6793		{ "VFID", 16, 8 },
6794		{ "TC", 11, 3 },
6795		{ "VFVld", 10, 1 },
6796		{ "PF", 7, 3 },
6797		{ "RVF", 0, 7 },
6798	{ "PCIE_FID_VFID", 0x3ca4, 0 },
6799		{ "Select", 30, 2 },
6800		{ "IDO", 24, 1 },
6801		{ "VFID", 16, 8 },
6802		{ "TC", 11, 3 },
6803		{ "VFVld", 10, 1 },
6804		{ "PF", 7, 3 },
6805		{ "RVF", 0, 7 },
6806	{ "PCIE_FID_VFID", 0x3ca8, 0 },
6807		{ "Select", 30, 2 },
6808		{ "IDO", 24, 1 },
6809		{ "VFID", 16, 8 },
6810		{ "TC", 11, 3 },
6811		{ "VFVld", 10, 1 },
6812		{ "PF", 7, 3 },
6813		{ "RVF", 0, 7 },
6814	{ "PCIE_FID_VFID", 0x3cac, 0 },
6815		{ "Select", 30, 2 },
6816		{ "IDO", 24, 1 },
6817		{ "VFID", 16, 8 },
6818		{ "TC", 11, 3 },
6819		{ "VFVld", 10, 1 },
6820		{ "PF", 7, 3 },
6821		{ "RVF", 0, 7 },
6822	{ "PCIE_FID_VFID", 0x3cb0, 0 },
6823		{ "Select", 30, 2 },
6824		{ "IDO", 24, 1 },
6825		{ "VFID", 16, 8 },
6826		{ "TC", 11, 3 },
6827		{ "VFVld", 10, 1 },
6828		{ "PF", 7, 3 },
6829		{ "RVF", 0, 7 },
6830	{ "PCIE_FID_VFID", 0x3cb4, 0 },
6831		{ "Select", 30, 2 },
6832		{ "IDO", 24, 1 },
6833		{ "VFID", 16, 8 },
6834		{ "TC", 11, 3 },
6835		{ "VFVld", 10, 1 },
6836		{ "PF", 7, 3 },
6837		{ "RVF", 0, 7 },
6838	{ "PCIE_FID_VFID", 0x3cb8, 0 },
6839		{ "Select", 30, 2 },
6840		{ "IDO", 24, 1 },
6841		{ "VFID", 16, 8 },
6842		{ "TC", 11, 3 },
6843		{ "VFVld", 10, 1 },
6844		{ "PF", 7, 3 },
6845		{ "RVF", 0, 7 },
6846	{ "PCIE_FID_VFID", 0x3cbc, 0 },
6847		{ "Select", 30, 2 },
6848		{ "IDO", 24, 1 },
6849		{ "VFID", 16, 8 },
6850		{ "TC", 11, 3 },
6851		{ "VFVld", 10, 1 },
6852		{ "PF", 7, 3 },
6853		{ "RVF", 0, 7 },
6854	{ "PCIE_FID_VFID", 0x3cc0, 0 },
6855		{ "Select", 30, 2 },
6856		{ "IDO", 24, 1 },
6857		{ "VFID", 16, 8 },
6858		{ "TC", 11, 3 },
6859		{ "VFVld", 10, 1 },
6860		{ "PF", 7, 3 },
6861		{ "RVF", 0, 7 },
6862	{ "PCIE_FID_VFID", 0x3cc4, 0 },
6863		{ "Select", 30, 2 },
6864		{ "IDO", 24, 1 },
6865		{ "VFID", 16, 8 },
6866		{ "TC", 11, 3 },
6867		{ "VFVld", 10, 1 },
6868		{ "PF", 7, 3 },
6869		{ "RVF", 0, 7 },
6870	{ "PCIE_FID_VFID", 0x3cc8, 0 },
6871		{ "Select", 30, 2 },
6872		{ "IDO", 24, 1 },
6873		{ "VFID", 16, 8 },
6874		{ "TC", 11, 3 },
6875		{ "VFVld", 10, 1 },
6876		{ "PF", 7, 3 },
6877		{ "RVF", 0, 7 },
6878	{ "PCIE_FID_VFID", 0x3ccc, 0 },
6879		{ "Select", 30, 2 },
6880		{ "IDO", 24, 1 },
6881		{ "VFID", 16, 8 },
6882		{ "TC", 11, 3 },
6883		{ "VFVld", 10, 1 },
6884		{ "PF", 7, 3 },
6885		{ "RVF", 0, 7 },
6886	{ "PCIE_FID_VFID", 0x3cd0, 0 },
6887		{ "Select", 30, 2 },
6888		{ "IDO", 24, 1 },
6889		{ "VFID", 16, 8 },
6890		{ "TC", 11, 3 },
6891		{ "VFVld", 10, 1 },
6892		{ "PF", 7, 3 },
6893		{ "RVF", 0, 7 },
6894	{ "PCIE_FID_VFID", 0x3cd4, 0 },
6895		{ "Select", 30, 2 },
6896		{ "IDO", 24, 1 },
6897		{ "VFID", 16, 8 },
6898		{ "TC", 11, 3 },
6899		{ "VFVld", 10, 1 },
6900		{ "PF", 7, 3 },
6901		{ "RVF", 0, 7 },
6902	{ "PCIE_FID_VFID", 0x3cd8, 0 },
6903		{ "Select", 30, 2 },
6904		{ "IDO", 24, 1 },
6905		{ "VFID", 16, 8 },
6906		{ "TC", 11, 3 },
6907		{ "VFVld", 10, 1 },
6908		{ "PF", 7, 3 },
6909		{ "RVF", 0, 7 },
6910	{ "PCIE_FID_VFID", 0x3cdc, 0 },
6911		{ "Select", 30, 2 },
6912		{ "IDO", 24, 1 },
6913		{ "VFID", 16, 8 },
6914		{ "TC", 11, 3 },
6915		{ "VFVld", 10, 1 },
6916		{ "PF", 7, 3 },
6917		{ "RVF", 0, 7 },
6918	{ "PCIE_FID_VFID", 0x3ce0, 0 },
6919		{ "Select", 30, 2 },
6920		{ "IDO", 24, 1 },
6921		{ "VFID", 16, 8 },
6922		{ "TC", 11, 3 },
6923		{ "VFVld", 10, 1 },
6924		{ "PF", 7, 3 },
6925		{ "RVF", 0, 7 },
6926	{ "PCIE_FID_VFID", 0x3ce4, 0 },
6927		{ "Select", 30, 2 },
6928		{ "IDO", 24, 1 },
6929		{ "VFID", 16, 8 },
6930		{ "TC", 11, 3 },
6931		{ "VFVld", 10, 1 },
6932		{ "PF", 7, 3 },
6933		{ "RVF", 0, 7 },
6934	{ "PCIE_FID_VFID", 0x3ce8, 0 },
6935		{ "Select", 30, 2 },
6936		{ "IDO", 24, 1 },
6937		{ "VFID", 16, 8 },
6938		{ "TC", 11, 3 },
6939		{ "VFVld", 10, 1 },
6940		{ "PF", 7, 3 },
6941		{ "RVF", 0, 7 },
6942	{ "PCIE_FID_VFID", 0x3cec, 0 },
6943		{ "Select", 30, 2 },
6944		{ "IDO", 24, 1 },
6945		{ "VFID", 16, 8 },
6946		{ "TC", 11, 3 },
6947		{ "VFVld", 10, 1 },
6948		{ "PF", 7, 3 },
6949		{ "RVF", 0, 7 },
6950	{ "PCIE_FID_VFID", 0x3cf0, 0 },
6951		{ "Select", 30, 2 },
6952		{ "IDO", 24, 1 },
6953		{ "VFID", 16, 8 },
6954		{ "TC", 11, 3 },
6955		{ "VFVld", 10, 1 },
6956		{ "PF", 7, 3 },
6957		{ "RVF", 0, 7 },
6958	{ "PCIE_FID_VFID", 0x3cf4, 0 },
6959		{ "Select", 30, 2 },
6960		{ "IDO", 24, 1 },
6961		{ "VFID", 16, 8 },
6962		{ "TC", 11, 3 },
6963		{ "VFVld", 10, 1 },
6964		{ "PF", 7, 3 },
6965		{ "RVF", 0, 7 },
6966	{ "PCIE_FID_VFID", 0x3cf8, 0 },
6967		{ "Select", 30, 2 },
6968		{ "IDO", 24, 1 },
6969		{ "VFID", 16, 8 },
6970		{ "TC", 11, 3 },
6971		{ "VFVld", 10, 1 },
6972		{ "PF", 7, 3 },
6973		{ "RVF", 0, 7 },
6974	{ "PCIE_FID_VFID", 0x3cfc, 0 },
6975		{ "Select", 30, 2 },
6976		{ "IDO", 24, 1 },
6977		{ "VFID", 16, 8 },
6978		{ "TC", 11, 3 },
6979		{ "VFVld", 10, 1 },
6980		{ "PF", 7, 3 },
6981		{ "RVF", 0, 7 },
6982	{ "PCIE_FID_VFID", 0x3d00, 0 },
6983		{ "Select", 30, 2 },
6984		{ "IDO", 24, 1 },
6985		{ "VFID", 16, 8 },
6986		{ "TC", 11, 3 },
6987		{ "VFVld", 10, 1 },
6988		{ "PF", 7, 3 },
6989		{ "RVF", 0, 7 },
6990	{ "PCIE_FID_VFID", 0x3d04, 0 },
6991		{ "Select", 30, 2 },
6992		{ "IDO", 24, 1 },
6993		{ "VFID", 16, 8 },
6994		{ "TC", 11, 3 },
6995		{ "VFVld", 10, 1 },
6996		{ "PF", 7, 3 },
6997		{ "RVF", 0, 7 },
6998	{ "PCIE_FID_VFID", 0x3d08, 0 },
6999		{ "Select", 30, 2 },
7000		{ "IDO", 24, 1 },
7001		{ "VFID", 16, 8 },
7002		{ "TC", 11, 3 },
7003		{ "VFVld", 10, 1 },
7004		{ "PF", 7, 3 },
7005		{ "RVF", 0, 7 },
7006	{ "PCIE_FID_VFID", 0x3d0c, 0 },
7007		{ "Select", 30, 2 },
7008		{ "IDO", 24, 1 },
7009		{ "VFID", 16, 8 },
7010		{ "TC", 11, 3 },
7011		{ "VFVld", 10, 1 },
7012		{ "PF", 7, 3 },
7013		{ "RVF", 0, 7 },
7014	{ "PCIE_FID_VFID", 0x3d10, 0 },
7015		{ "Select", 30, 2 },
7016		{ "IDO", 24, 1 },
7017		{ "VFID", 16, 8 },
7018		{ "TC", 11, 3 },
7019		{ "VFVld", 10, 1 },
7020		{ "PF", 7, 3 },
7021		{ "RVF", 0, 7 },
7022	{ "PCIE_FID_VFID", 0x3d14, 0 },
7023		{ "Select", 30, 2 },
7024		{ "IDO", 24, 1 },
7025		{ "VFID", 16, 8 },
7026		{ "TC", 11, 3 },
7027		{ "VFVld", 10, 1 },
7028		{ "PF", 7, 3 },
7029		{ "RVF", 0, 7 },
7030	{ "PCIE_FID_VFID", 0x3d18, 0 },
7031		{ "Select", 30, 2 },
7032		{ "IDO", 24, 1 },
7033		{ "VFID", 16, 8 },
7034		{ "TC", 11, 3 },
7035		{ "VFVld", 10, 1 },
7036		{ "PF", 7, 3 },
7037		{ "RVF", 0, 7 },
7038	{ "PCIE_FID_VFID", 0x3d1c, 0 },
7039		{ "Select", 30, 2 },
7040		{ "IDO", 24, 1 },
7041		{ "VFID", 16, 8 },
7042		{ "TC", 11, 3 },
7043		{ "VFVld", 10, 1 },
7044		{ "PF", 7, 3 },
7045		{ "RVF", 0, 7 },
7046	{ "PCIE_FID_VFID", 0x3d20, 0 },
7047		{ "Select", 30, 2 },
7048		{ "IDO", 24, 1 },
7049		{ "VFID", 16, 8 },
7050		{ "TC", 11, 3 },
7051		{ "VFVld", 10, 1 },
7052		{ "PF", 7, 3 },
7053		{ "RVF", 0, 7 },
7054	{ "PCIE_FID_VFID", 0x3d24, 0 },
7055		{ "Select", 30, 2 },
7056		{ "IDO", 24, 1 },
7057		{ "VFID", 16, 8 },
7058		{ "TC", 11, 3 },
7059		{ "VFVld", 10, 1 },
7060		{ "PF", 7, 3 },
7061		{ "RVF", 0, 7 },
7062	{ "PCIE_FID_VFID", 0x3d28, 0 },
7063		{ "Select", 30, 2 },
7064		{ "IDO", 24, 1 },
7065		{ "VFID", 16, 8 },
7066		{ "TC", 11, 3 },
7067		{ "VFVld", 10, 1 },
7068		{ "PF", 7, 3 },
7069		{ "RVF", 0, 7 },
7070	{ "PCIE_FID_VFID", 0x3d2c, 0 },
7071		{ "Select", 30, 2 },
7072		{ "IDO", 24, 1 },
7073		{ "VFID", 16, 8 },
7074		{ "TC", 11, 3 },
7075		{ "VFVld", 10, 1 },
7076		{ "PF", 7, 3 },
7077		{ "RVF", 0, 7 },
7078	{ "PCIE_FID_VFID", 0x3d30, 0 },
7079		{ "Select", 30, 2 },
7080		{ "IDO", 24, 1 },
7081		{ "VFID", 16, 8 },
7082		{ "TC", 11, 3 },
7083		{ "VFVld", 10, 1 },
7084		{ "PF", 7, 3 },
7085		{ "RVF", 0, 7 },
7086	{ "PCIE_FID_VFID", 0x3d34, 0 },
7087		{ "Select", 30, 2 },
7088		{ "IDO", 24, 1 },
7089		{ "VFID", 16, 8 },
7090		{ "TC", 11, 3 },
7091		{ "VFVld", 10, 1 },
7092		{ "PF", 7, 3 },
7093		{ "RVF", 0, 7 },
7094	{ "PCIE_FID_VFID", 0x3d38, 0 },
7095		{ "Select", 30, 2 },
7096		{ "IDO", 24, 1 },
7097		{ "VFID", 16, 8 },
7098		{ "TC", 11, 3 },
7099		{ "VFVld", 10, 1 },
7100		{ "PF", 7, 3 },
7101		{ "RVF", 0, 7 },
7102	{ "PCIE_FID_VFID", 0x3d3c, 0 },
7103		{ "Select", 30, 2 },
7104		{ "IDO", 24, 1 },
7105		{ "VFID", 16, 8 },
7106		{ "TC", 11, 3 },
7107		{ "VFVld", 10, 1 },
7108		{ "PF", 7, 3 },
7109		{ "RVF", 0, 7 },
7110	{ "PCIE_FID_VFID", 0x3d40, 0 },
7111		{ "Select", 30, 2 },
7112		{ "IDO", 24, 1 },
7113		{ "VFID", 16, 8 },
7114		{ "TC", 11, 3 },
7115		{ "VFVld", 10, 1 },
7116		{ "PF", 7, 3 },
7117		{ "RVF", 0, 7 },
7118	{ "PCIE_FID_VFID", 0x3d44, 0 },
7119		{ "Select", 30, 2 },
7120		{ "IDO", 24, 1 },
7121		{ "VFID", 16, 8 },
7122		{ "TC", 11, 3 },
7123		{ "VFVld", 10, 1 },
7124		{ "PF", 7, 3 },
7125		{ "RVF", 0, 7 },
7126	{ "PCIE_FID_VFID", 0x3d48, 0 },
7127		{ "Select", 30, 2 },
7128		{ "IDO", 24, 1 },
7129		{ "VFID", 16, 8 },
7130		{ "TC", 11, 3 },
7131		{ "VFVld", 10, 1 },
7132		{ "PF", 7, 3 },
7133		{ "RVF", 0, 7 },
7134	{ "PCIE_FID_VFID", 0x3d4c, 0 },
7135		{ "Select", 30, 2 },
7136		{ "IDO", 24, 1 },
7137		{ "VFID", 16, 8 },
7138		{ "TC", 11, 3 },
7139		{ "VFVld", 10, 1 },
7140		{ "PF", 7, 3 },
7141		{ "RVF", 0, 7 },
7142	{ "PCIE_FID_VFID", 0x3d50, 0 },
7143		{ "Select", 30, 2 },
7144		{ "IDO", 24, 1 },
7145		{ "VFID", 16, 8 },
7146		{ "TC", 11, 3 },
7147		{ "VFVld", 10, 1 },
7148		{ "PF", 7, 3 },
7149		{ "RVF", 0, 7 },
7150	{ "PCIE_FID_VFID", 0x3d54, 0 },
7151		{ "Select", 30, 2 },
7152		{ "IDO", 24, 1 },
7153		{ "VFID", 16, 8 },
7154		{ "TC", 11, 3 },
7155		{ "VFVld", 10, 1 },
7156		{ "PF", 7, 3 },
7157		{ "RVF", 0, 7 },
7158	{ "PCIE_FID_VFID", 0x3d58, 0 },
7159		{ "Select", 30, 2 },
7160		{ "IDO", 24, 1 },
7161		{ "VFID", 16, 8 },
7162		{ "TC", 11, 3 },
7163		{ "VFVld", 10, 1 },
7164		{ "PF", 7, 3 },
7165		{ "RVF", 0, 7 },
7166	{ "PCIE_FID_VFID", 0x3d5c, 0 },
7167		{ "Select", 30, 2 },
7168		{ "IDO", 24, 1 },
7169		{ "VFID", 16, 8 },
7170		{ "TC", 11, 3 },
7171		{ "VFVld", 10, 1 },
7172		{ "PF", 7, 3 },
7173		{ "RVF", 0, 7 },
7174	{ "PCIE_FID_VFID", 0x3d60, 0 },
7175		{ "Select", 30, 2 },
7176		{ "IDO", 24, 1 },
7177		{ "VFID", 16, 8 },
7178		{ "TC", 11, 3 },
7179		{ "VFVld", 10, 1 },
7180		{ "PF", 7, 3 },
7181		{ "RVF", 0, 7 },
7182	{ "PCIE_FID_VFID", 0x3d64, 0 },
7183		{ "Select", 30, 2 },
7184		{ "IDO", 24, 1 },
7185		{ "VFID", 16, 8 },
7186		{ "TC", 11, 3 },
7187		{ "VFVld", 10, 1 },
7188		{ "PF", 7, 3 },
7189		{ "RVF", 0, 7 },
7190	{ "PCIE_FID_VFID", 0x3d68, 0 },
7191		{ "Select", 30, 2 },
7192		{ "IDO", 24, 1 },
7193		{ "VFID", 16, 8 },
7194		{ "TC", 11, 3 },
7195		{ "VFVld", 10, 1 },
7196		{ "PF", 7, 3 },
7197		{ "RVF", 0, 7 },
7198	{ "PCIE_FID_VFID", 0x3d6c, 0 },
7199		{ "Select", 30, 2 },
7200		{ "IDO", 24, 1 },
7201		{ "VFID", 16, 8 },
7202		{ "TC", 11, 3 },
7203		{ "VFVld", 10, 1 },
7204		{ "PF", 7, 3 },
7205		{ "RVF", 0, 7 },
7206	{ "PCIE_FID_VFID", 0x3d70, 0 },
7207		{ "Select", 30, 2 },
7208		{ "IDO", 24, 1 },
7209		{ "VFID", 16, 8 },
7210		{ "TC", 11, 3 },
7211		{ "VFVld", 10, 1 },
7212		{ "PF", 7, 3 },
7213		{ "RVF", 0, 7 },
7214	{ "PCIE_FID_VFID", 0x3d74, 0 },
7215		{ "Select", 30, 2 },
7216		{ "IDO", 24, 1 },
7217		{ "VFID", 16, 8 },
7218		{ "TC", 11, 3 },
7219		{ "VFVld", 10, 1 },
7220		{ "PF", 7, 3 },
7221		{ "RVF", 0, 7 },
7222	{ "PCIE_FID_VFID", 0x3d78, 0 },
7223		{ "Select", 30, 2 },
7224		{ "IDO", 24, 1 },
7225		{ "VFID", 16, 8 },
7226		{ "TC", 11, 3 },
7227		{ "VFVld", 10, 1 },
7228		{ "PF", 7, 3 },
7229		{ "RVF", 0, 7 },
7230	{ "PCIE_FID_VFID", 0x3d7c, 0 },
7231		{ "Select", 30, 2 },
7232		{ "IDO", 24, 1 },
7233		{ "VFID", 16, 8 },
7234		{ "TC", 11, 3 },
7235		{ "VFVld", 10, 1 },
7236		{ "PF", 7, 3 },
7237		{ "RVF", 0, 7 },
7238	{ "PCIE_FID_VFID", 0x3d80, 0 },
7239		{ "Select", 30, 2 },
7240		{ "IDO", 24, 1 },
7241		{ "VFID", 16, 8 },
7242		{ "TC", 11, 3 },
7243		{ "VFVld", 10, 1 },
7244		{ "PF", 7, 3 },
7245		{ "RVF", 0, 7 },
7246	{ "PCIE_FID_VFID", 0x3d84, 0 },
7247		{ "Select", 30, 2 },
7248		{ "IDO", 24, 1 },
7249		{ "VFID", 16, 8 },
7250		{ "TC", 11, 3 },
7251		{ "VFVld", 10, 1 },
7252		{ "PF", 7, 3 },
7253		{ "RVF", 0, 7 },
7254	{ "PCIE_FID_VFID", 0x3d88, 0 },
7255		{ "Select", 30, 2 },
7256		{ "IDO", 24, 1 },
7257		{ "VFID", 16, 8 },
7258		{ "TC", 11, 3 },
7259		{ "VFVld", 10, 1 },
7260		{ "PF", 7, 3 },
7261		{ "RVF", 0, 7 },
7262	{ "PCIE_FID_VFID", 0x3d8c, 0 },
7263		{ "Select", 30, 2 },
7264		{ "IDO", 24, 1 },
7265		{ "VFID", 16, 8 },
7266		{ "TC", 11, 3 },
7267		{ "VFVld", 10, 1 },
7268		{ "PF", 7, 3 },
7269		{ "RVF", 0, 7 },
7270	{ "PCIE_FID_VFID", 0x3d90, 0 },
7271		{ "Select", 30, 2 },
7272		{ "IDO", 24, 1 },
7273		{ "VFID", 16, 8 },
7274		{ "TC", 11, 3 },
7275		{ "VFVld", 10, 1 },
7276		{ "PF", 7, 3 },
7277		{ "RVF", 0, 7 },
7278	{ "PCIE_FID_VFID", 0x3d94, 0 },
7279		{ "Select", 30, 2 },
7280		{ "IDO", 24, 1 },
7281		{ "VFID", 16, 8 },
7282		{ "TC", 11, 3 },
7283		{ "VFVld", 10, 1 },
7284		{ "PF", 7, 3 },
7285		{ "RVF", 0, 7 },
7286	{ "PCIE_FID_VFID", 0x3d98, 0 },
7287		{ "Select", 30, 2 },
7288		{ "IDO", 24, 1 },
7289		{ "VFID", 16, 8 },
7290		{ "TC", 11, 3 },
7291		{ "VFVld", 10, 1 },
7292		{ "PF", 7, 3 },
7293		{ "RVF", 0, 7 },
7294	{ "PCIE_FID_VFID", 0x3d9c, 0 },
7295		{ "Select", 30, 2 },
7296		{ "IDO", 24, 1 },
7297		{ "VFID", 16, 8 },
7298		{ "TC", 11, 3 },
7299		{ "VFVld", 10, 1 },
7300		{ "PF", 7, 3 },
7301		{ "RVF", 0, 7 },
7302	{ "PCIE_FID_VFID", 0x3da0, 0 },
7303		{ "Select", 30, 2 },
7304		{ "IDO", 24, 1 },
7305		{ "VFID", 16, 8 },
7306		{ "TC", 11, 3 },
7307		{ "VFVld", 10, 1 },
7308		{ "PF", 7, 3 },
7309		{ "RVF", 0, 7 },
7310	{ "PCIE_FID_VFID", 0x3da4, 0 },
7311		{ "Select", 30, 2 },
7312		{ "IDO", 24, 1 },
7313		{ "VFID", 16, 8 },
7314		{ "TC", 11, 3 },
7315		{ "VFVld", 10, 1 },
7316		{ "PF", 7, 3 },
7317		{ "RVF", 0, 7 },
7318	{ "PCIE_FID_VFID", 0x3da8, 0 },
7319		{ "Select", 30, 2 },
7320		{ "IDO", 24, 1 },
7321		{ "VFID", 16, 8 },
7322		{ "TC", 11, 3 },
7323		{ "VFVld", 10, 1 },
7324		{ "PF", 7, 3 },
7325		{ "RVF", 0, 7 },
7326	{ "PCIE_FID_VFID", 0x3dac, 0 },
7327		{ "Select", 30, 2 },
7328		{ "IDO", 24, 1 },
7329		{ "VFID", 16, 8 },
7330		{ "TC", 11, 3 },
7331		{ "VFVld", 10, 1 },
7332		{ "PF", 7, 3 },
7333		{ "RVF", 0, 7 },
7334	{ "PCIE_FID_VFID", 0x3db0, 0 },
7335		{ "Select", 30, 2 },
7336		{ "IDO", 24, 1 },
7337		{ "VFID", 16, 8 },
7338		{ "TC", 11, 3 },
7339		{ "VFVld", 10, 1 },
7340		{ "PF", 7, 3 },
7341		{ "RVF", 0, 7 },
7342	{ "PCIE_FID_VFID", 0x3db4, 0 },
7343		{ "Select", 30, 2 },
7344		{ "IDO", 24, 1 },
7345		{ "VFID", 16, 8 },
7346		{ "TC", 11, 3 },
7347		{ "VFVld", 10, 1 },
7348		{ "PF", 7, 3 },
7349		{ "RVF", 0, 7 },
7350	{ "PCIE_FID_VFID", 0x3db8, 0 },
7351		{ "Select", 30, 2 },
7352		{ "IDO", 24, 1 },
7353		{ "VFID", 16, 8 },
7354		{ "TC", 11, 3 },
7355		{ "VFVld", 10, 1 },
7356		{ "PF", 7, 3 },
7357		{ "RVF", 0, 7 },
7358	{ "PCIE_FID_VFID", 0x3dbc, 0 },
7359		{ "Select", 30, 2 },
7360		{ "IDO", 24, 1 },
7361		{ "VFID", 16, 8 },
7362		{ "TC", 11, 3 },
7363		{ "VFVld", 10, 1 },
7364		{ "PF", 7, 3 },
7365		{ "RVF", 0, 7 },
7366	{ "PCIE_FID_VFID", 0x3dc0, 0 },
7367		{ "Select", 30, 2 },
7368		{ "IDO", 24, 1 },
7369		{ "VFID", 16, 8 },
7370		{ "TC", 11, 3 },
7371		{ "VFVld", 10, 1 },
7372		{ "PF", 7, 3 },
7373		{ "RVF", 0, 7 },
7374	{ "PCIE_FID_VFID", 0x3dc4, 0 },
7375		{ "Select", 30, 2 },
7376		{ "IDO", 24, 1 },
7377		{ "VFID", 16, 8 },
7378		{ "TC", 11, 3 },
7379		{ "VFVld", 10, 1 },
7380		{ "PF", 7, 3 },
7381		{ "RVF", 0, 7 },
7382	{ "PCIE_FID_VFID", 0x3dc8, 0 },
7383		{ "Select", 30, 2 },
7384		{ "IDO", 24, 1 },
7385		{ "VFID", 16, 8 },
7386		{ "TC", 11, 3 },
7387		{ "VFVld", 10, 1 },
7388		{ "PF", 7, 3 },
7389		{ "RVF", 0, 7 },
7390	{ "PCIE_FID_VFID", 0x3dcc, 0 },
7391		{ "Select", 30, 2 },
7392		{ "IDO", 24, 1 },
7393		{ "VFID", 16, 8 },
7394		{ "TC", 11, 3 },
7395		{ "VFVld", 10, 1 },
7396		{ "PF", 7, 3 },
7397		{ "RVF", 0, 7 },
7398	{ "PCIE_FID_VFID", 0x3dd0, 0 },
7399		{ "Select", 30, 2 },
7400		{ "IDO", 24, 1 },
7401		{ "VFID", 16, 8 },
7402		{ "TC", 11, 3 },
7403		{ "VFVld", 10, 1 },
7404		{ "PF", 7, 3 },
7405		{ "RVF", 0, 7 },
7406	{ "PCIE_FID_VFID", 0x3dd4, 0 },
7407		{ "Select", 30, 2 },
7408		{ "IDO", 24, 1 },
7409		{ "VFID", 16, 8 },
7410		{ "TC", 11, 3 },
7411		{ "VFVld", 10, 1 },
7412		{ "PF", 7, 3 },
7413		{ "RVF", 0, 7 },
7414	{ "PCIE_FID_VFID", 0x3dd8, 0 },
7415		{ "Select", 30, 2 },
7416		{ "IDO", 24, 1 },
7417		{ "VFID", 16, 8 },
7418		{ "TC", 11, 3 },
7419		{ "VFVld", 10, 1 },
7420		{ "PF", 7, 3 },
7421		{ "RVF", 0, 7 },
7422	{ "PCIE_FID_VFID", 0x3ddc, 0 },
7423		{ "Select", 30, 2 },
7424		{ "IDO", 24, 1 },
7425		{ "VFID", 16, 8 },
7426		{ "TC", 11, 3 },
7427		{ "VFVld", 10, 1 },
7428		{ "PF", 7, 3 },
7429		{ "RVF", 0, 7 },
7430	{ "PCIE_FID_VFID", 0x3de0, 0 },
7431		{ "Select", 30, 2 },
7432		{ "IDO", 24, 1 },
7433		{ "VFID", 16, 8 },
7434		{ "TC", 11, 3 },
7435		{ "VFVld", 10, 1 },
7436		{ "PF", 7, 3 },
7437		{ "RVF", 0, 7 },
7438	{ "PCIE_FID_VFID", 0x3de4, 0 },
7439		{ "Select", 30, 2 },
7440		{ "IDO", 24, 1 },
7441		{ "VFID", 16, 8 },
7442		{ "TC", 11, 3 },
7443		{ "VFVld", 10, 1 },
7444		{ "PF", 7, 3 },
7445		{ "RVF", 0, 7 },
7446	{ "PCIE_FID_VFID", 0x3de8, 0 },
7447		{ "Select", 30, 2 },
7448		{ "IDO", 24, 1 },
7449		{ "VFID", 16, 8 },
7450		{ "TC", 11, 3 },
7451		{ "VFVld", 10, 1 },
7452		{ "PF", 7, 3 },
7453		{ "RVF", 0, 7 },
7454	{ "PCIE_FID_VFID", 0x3dec, 0 },
7455		{ "Select", 30, 2 },
7456		{ "IDO", 24, 1 },
7457		{ "VFID", 16, 8 },
7458		{ "TC", 11, 3 },
7459		{ "VFVld", 10, 1 },
7460		{ "PF", 7, 3 },
7461		{ "RVF", 0, 7 },
7462	{ "PCIE_FID_VFID", 0x3df0, 0 },
7463		{ "Select", 30, 2 },
7464		{ "IDO", 24, 1 },
7465		{ "VFID", 16, 8 },
7466		{ "TC", 11, 3 },
7467		{ "VFVld", 10, 1 },
7468		{ "PF", 7, 3 },
7469		{ "RVF", 0, 7 },
7470	{ "PCIE_FID_VFID", 0x3df4, 0 },
7471		{ "Select", 30, 2 },
7472		{ "IDO", 24, 1 },
7473		{ "VFID", 16, 8 },
7474		{ "TC", 11, 3 },
7475		{ "VFVld", 10, 1 },
7476		{ "PF", 7, 3 },
7477		{ "RVF", 0, 7 },
7478	{ "PCIE_FID_VFID", 0x3df8, 0 },
7479		{ "Select", 30, 2 },
7480		{ "IDO", 24, 1 },
7481		{ "VFID", 16, 8 },
7482		{ "TC", 11, 3 },
7483		{ "VFVld", 10, 1 },
7484		{ "PF", 7, 3 },
7485		{ "RVF", 0, 7 },
7486	{ "PCIE_FID_VFID", 0x3dfc, 0 },
7487		{ "Select", 30, 2 },
7488		{ "IDO", 24, 1 },
7489		{ "VFID", 16, 8 },
7490		{ "TC", 11, 3 },
7491		{ "VFVld", 10, 1 },
7492		{ "PF", 7, 3 },
7493		{ "RVF", 0, 7 },
7494	{ "PCIE_FID_VFID", 0x3e00, 0 },
7495		{ "Select", 30, 2 },
7496		{ "IDO", 24, 1 },
7497		{ "VFID", 16, 8 },
7498		{ "TC", 11, 3 },
7499		{ "VFVld", 10, 1 },
7500		{ "PF", 7, 3 },
7501		{ "RVF", 0, 7 },
7502	{ "PCIE_FID_VFID", 0x3e04, 0 },
7503		{ "Select", 30, 2 },
7504		{ "IDO", 24, 1 },
7505		{ "VFID", 16, 8 },
7506		{ "TC", 11, 3 },
7507		{ "VFVld", 10, 1 },
7508		{ "PF", 7, 3 },
7509		{ "RVF", 0, 7 },
7510	{ "PCIE_FID_VFID", 0x3e08, 0 },
7511		{ "Select", 30, 2 },
7512		{ "IDO", 24, 1 },
7513		{ "VFID", 16, 8 },
7514		{ "TC", 11, 3 },
7515		{ "VFVld", 10, 1 },
7516		{ "PF", 7, 3 },
7517		{ "RVF", 0, 7 },
7518	{ "PCIE_FID_VFID", 0x3e0c, 0 },
7519		{ "Select", 30, 2 },
7520		{ "IDO", 24, 1 },
7521		{ "VFID", 16, 8 },
7522		{ "TC", 11, 3 },
7523		{ "VFVld", 10, 1 },
7524		{ "PF", 7, 3 },
7525		{ "RVF", 0, 7 },
7526	{ "PCIE_FID_VFID", 0x3e10, 0 },
7527		{ "Select", 30, 2 },
7528		{ "IDO", 24, 1 },
7529		{ "VFID", 16, 8 },
7530		{ "TC", 11, 3 },
7531		{ "VFVld", 10, 1 },
7532		{ "PF", 7, 3 },
7533		{ "RVF", 0, 7 },
7534	{ "PCIE_FID_VFID", 0x3e14, 0 },
7535		{ "Select", 30, 2 },
7536		{ "IDO", 24, 1 },
7537		{ "VFID", 16, 8 },
7538		{ "TC", 11, 3 },
7539		{ "VFVld", 10, 1 },
7540		{ "PF", 7, 3 },
7541		{ "RVF", 0, 7 },
7542	{ "PCIE_FID_VFID", 0x3e18, 0 },
7543		{ "Select", 30, 2 },
7544		{ "IDO", 24, 1 },
7545		{ "VFID", 16, 8 },
7546		{ "TC", 11, 3 },
7547		{ "VFVld", 10, 1 },
7548		{ "PF", 7, 3 },
7549		{ "RVF", 0, 7 },
7550	{ "PCIE_FID_VFID", 0x3e1c, 0 },
7551		{ "Select", 30, 2 },
7552		{ "IDO", 24, 1 },
7553		{ "VFID", 16, 8 },
7554		{ "TC", 11, 3 },
7555		{ "VFVld", 10, 1 },
7556		{ "PF", 7, 3 },
7557		{ "RVF", 0, 7 },
7558	{ "PCIE_FID_VFID", 0x3e20, 0 },
7559		{ "Select", 30, 2 },
7560		{ "IDO", 24, 1 },
7561		{ "VFID", 16, 8 },
7562		{ "TC", 11, 3 },
7563		{ "VFVld", 10, 1 },
7564		{ "PF", 7, 3 },
7565		{ "RVF", 0, 7 },
7566	{ "PCIE_FID_VFID", 0x3e24, 0 },
7567		{ "Select", 30, 2 },
7568		{ "IDO", 24, 1 },
7569		{ "VFID", 16, 8 },
7570		{ "TC", 11, 3 },
7571		{ "VFVld", 10, 1 },
7572		{ "PF", 7, 3 },
7573		{ "RVF", 0, 7 },
7574	{ "PCIE_FID_VFID", 0x3e28, 0 },
7575		{ "Select", 30, 2 },
7576		{ "IDO", 24, 1 },
7577		{ "VFID", 16, 8 },
7578		{ "TC", 11, 3 },
7579		{ "VFVld", 10, 1 },
7580		{ "PF", 7, 3 },
7581		{ "RVF", 0, 7 },
7582	{ "PCIE_FID_VFID", 0x3e2c, 0 },
7583		{ "Select", 30, 2 },
7584		{ "IDO", 24, 1 },
7585		{ "VFID", 16, 8 },
7586		{ "TC", 11, 3 },
7587		{ "VFVld", 10, 1 },
7588		{ "PF", 7, 3 },
7589		{ "RVF", 0, 7 },
7590	{ "PCIE_FID_VFID", 0x3e30, 0 },
7591		{ "Select", 30, 2 },
7592		{ "IDO", 24, 1 },
7593		{ "VFID", 16, 8 },
7594		{ "TC", 11, 3 },
7595		{ "VFVld", 10, 1 },
7596		{ "PF", 7, 3 },
7597		{ "RVF", 0, 7 },
7598	{ "PCIE_FID_VFID", 0x3e34, 0 },
7599		{ "Select", 30, 2 },
7600		{ "IDO", 24, 1 },
7601		{ "VFID", 16, 8 },
7602		{ "TC", 11, 3 },
7603		{ "VFVld", 10, 1 },
7604		{ "PF", 7, 3 },
7605		{ "RVF", 0, 7 },
7606	{ "PCIE_FID_VFID", 0x3e38, 0 },
7607		{ "Select", 30, 2 },
7608		{ "IDO", 24, 1 },
7609		{ "VFID", 16, 8 },
7610		{ "TC", 11, 3 },
7611		{ "VFVld", 10, 1 },
7612		{ "PF", 7, 3 },
7613		{ "RVF", 0, 7 },
7614	{ "PCIE_FID_VFID", 0x3e3c, 0 },
7615		{ "Select", 30, 2 },
7616		{ "IDO", 24, 1 },
7617		{ "VFID", 16, 8 },
7618		{ "TC", 11, 3 },
7619		{ "VFVld", 10, 1 },
7620		{ "PF", 7, 3 },
7621		{ "RVF", 0, 7 },
7622	{ "PCIE_FID_VFID", 0x3e40, 0 },
7623		{ "Select", 30, 2 },
7624		{ "IDO", 24, 1 },
7625		{ "VFID", 16, 8 },
7626		{ "TC", 11, 3 },
7627		{ "VFVld", 10, 1 },
7628		{ "PF", 7, 3 },
7629		{ "RVF", 0, 7 },
7630	{ "PCIE_FID_VFID", 0x3e44, 0 },
7631		{ "Select", 30, 2 },
7632		{ "IDO", 24, 1 },
7633		{ "VFID", 16, 8 },
7634		{ "TC", 11, 3 },
7635		{ "VFVld", 10, 1 },
7636		{ "PF", 7, 3 },
7637		{ "RVF", 0, 7 },
7638	{ "PCIE_FID_VFID", 0x3e48, 0 },
7639		{ "Select", 30, 2 },
7640		{ "IDO", 24, 1 },
7641		{ "VFID", 16, 8 },
7642		{ "TC", 11, 3 },
7643		{ "VFVld", 10, 1 },
7644		{ "PF", 7, 3 },
7645		{ "RVF", 0, 7 },
7646	{ "PCIE_FID_VFID", 0x3e4c, 0 },
7647		{ "Select", 30, 2 },
7648		{ "IDO", 24, 1 },
7649		{ "VFID", 16, 8 },
7650		{ "TC", 11, 3 },
7651		{ "VFVld", 10, 1 },
7652		{ "PF", 7, 3 },
7653		{ "RVF", 0, 7 },
7654	{ "PCIE_FID_VFID", 0x3e50, 0 },
7655		{ "Select", 30, 2 },
7656		{ "IDO", 24, 1 },
7657		{ "VFID", 16, 8 },
7658		{ "TC", 11, 3 },
7659		{ "VFVld", 10, 1 },
7660		{ "PF", 7, 3 },
7661		{ "RVF", 0, 7 },
7662	{ "PCIE_FID_VFID", 0x3e54, 0 },
7663		{ "Select", 30, 2 },
7664		{ "IDO", 24, 1 },
7665		{ "VFID", 16, 8 },
7666		{ "TC", 11, 3 },
7667		{ "VFVld", 10, 1 },
7668		{ "PF", 7, 3 },
7669		{ "RVF", 0, 7 },
7670	{ "PCIE_FID_VFID", 0x3e58, 0 },
7671		{ "Select", 30, 2 },
7672		{ "IDO", 24, 1 },
7673		{ "VFID", 16, 8 },
7674		{ "TC", 11, 3 },
7675		{ "VFVld", 10, 1 },
7676		{ "PF", 7, 3 },
7677		{ "RVF", 0, 7 },
7678	{ "PCIE_FID_VFID", 0x3e5c, 0 },
7679		{ "Select", 30, 2 },
7680		{ "IDO", 24, 1 },
7681		{ "VFID", 16, 8 },
7682		{ "TC", 11, 3 },
7683		{ "VFVld", 10, 1 },
7684		{ "PF", 7, 3 },
7685		{ "RVF", 0, 7 },
7686	{ "PCIE_FID_VFID", 0x3e60, 0 },
7687		{ "Select", 30, 2 },
7688		{ "IDO", 24, 1 },
7689		{ "VFID", 16, 8 },
7690		{ "TC", 11, 3 },
7691		{ "VFVld", 10, 1 },
7692		{ "PF", 7, 3 },
7693		{ "RVF", 0, 7 },
7694	{ "PCIE_FID_VFID", 0x3e64, 0 },
7695		{ "Select", 30, 2 },
7696		{ "IDO", 24, 1 },
7697		{ "VFID", 16, 8 },
7698		{ "TC", 11, 3 },
7699		{ "VFVld", 10, 1 },
7700		{ "PF", 7, 3 },
7701		{ "RVF", 0, 7 },
7702	{ "PCIE_FID_VFID", 0x3e68, 0 },
7703		{ "Select", 30, 2 },
7704		{ "IDO", 24, 1 },
7705		{ "VFID", 16, 8 },
7706		{ "TC", 11, 3 },
7707		{ "VFVld", 10, 1 },
7708		{ "PF", 7, 3 },
7709		{ "RVF", 0, 7 },
7710	{ "PCIE_FID_VFID", 0x3e6c, 0 },
7711		{ "Select", 30, 2 },
7712		{ "IDO", 24, 1 },
7713		{ "VFID", 16, 8 },
7714		{ "TC", 11, 3 },
7715		{ "VFVld", 10, 1 },
7716		{ "PF", 7, 3 },
7717		{ "RVF", 0, 7 },
7718	{ "PCIE_FID_VFID", 0x3e70, 0 },
7719		{ "Select", 30, 2 },
7720		{ "IDO", 24, 1 },
7721		{ "VFID", 16, 8 },
7722		{ "TC", 11, 3 },
7723		{ "VFVld", 10, 1 },
7724		{ "PF", 7, 3 },
7725		{ "RVF", 0, 7 },
7726	{ "PCIE_FID_VFID", 0x3e74, 0 },
7727		{ "Select", 30, 2 },
7728		{ "IDO", 24, 1 },
7729		{ "VFID", 16, 8 },
7730		{ "TC", 11, 3 },
7731		{ "VFVld", 10, 1 },
7732		{ "PF", 7, 3 },
7733		{ "RVF", 0, 7 },
7734	{ "PCIE_FID_VFID", 0x3e78, 0 },
7735		{ "Select", 30, 2 },
7736		{ "IDO", 24, 1 },
7737		{ "VFID", 16, 8 },
7738		{ "TC", 11, 3 },
7739		{ "VFVld", 10, 1 },
7740		{ "PF", 7, 3 },
7741		{ "RVF", 0, 7 },
7742	{ "PCIE_FID_VFID", 0x3e7c, 0 },
7743		{ "Select", 30, 2 },
7744		{ "IDO", 24, 1 },
7745		{ "VFID", 16, 8 },
7746		{ "TC", 11, 3 },
7747		{ "VFVld", 10, 1 },
7748		{ "PF", 7, 3 },
7749		{ "RVF", 0, 7 },
7750	{ "PCIE_FID_VFID", 0x3e80, 0 },
7751		{ "Select", 30, 2 },
7752		{ "IDO", 24, 1 },
7753		{ "VFID", 16, 8 },
7754		{ "TC", 11, 3 },
7755		{ "VFVld", 10, 1 },
7756		{ "PF", 7, 3 },
7757		{ "RVF", 0, 7 },
7758	{ "PCIE_FID_VFID", 0x3e84, 0 },
7759		{ "Select", 30, 2 },
7760		{ "IDO", 24, 1 },
7761		{ "VFID", 16, 8 },
7762		{ "TC", 11, 3 },
7763		{ "VFVld", 10, 1 },
7764		{ "PF", 7, 3 },
7765		{ "RVF", 0, 7 },
7766	{ "PCIE_FID_VFID", 0x3e88, 0 },
7767		{ "Select", 30, 2 },
7768		{ "IDO", 24, 1 },
7769		{ "VFID", 16, 8 },
7770		{ "TC", 11, 3 },
7771		{ "VFVld", 10, 1 },
7772		{ "PF", 7, 3 },
7773		{ "RVF", 0, 7 },
7774	{ "PCIE_FID_VFID", 0x3e8c, 0 },
7775		{ "Select", 30, 2 },
7776		{ "IDO", 24, 1 },
7777		{ "VFID", 16, 8 },
7778		{ "TC", 11, 3 },
7779		{ "VFVld", 10, 1 },
7780		{ "PF", 7, 3 },
7781		{ "RVF", 0, 7 },
7782	{ "PCIE_FID_VFID", 0x3e90, 0 },
7783		{ "Select", 30, 2 },
7784		{ "IDO", 24, 1 },
7785		{ "VFID", 16, 8 },
7786		{ "TC", 11, 3 },
7787		{ "VFVld", 10, 1 },
7788		{ "PF", 7, 3 },
7789		{ "RVF", 0, 7 },
7790	{ "PCIE_FID_VFID", 0x3e94, 0 },
7791		{ "Select", 30, 2 },
7792		{ "IDO", 24, 1 },
7793		{ "VFID", 16, 8 },
7794		{ "TC", 11, 3 },
7795		{ "VFVld", 10, 1 },
7796		{ "PF", 7, 3 },
7797		{ "RVF", 0, 7 },
7798	{ "PCIE_FID_VFID", 0x3e98, 0 },
7799		{ "Select", 30, 2 },
7800		{ "IDO", 24, 1 },
7801		{ "VFID", 16, 8 },
7802		{ "TC", 11, 3 },
7803		{ "VFVld", 10, 1 },
7804		{ "PF", 7, 3 },
7805		{ "RVF", 0, 7 },
7806	{ "PCIE_FID_VFID", 0x3e9c, 0 },
7807		{ "Select", 30, 2 },
7808		{ "IDO", 24, 1 },
7809		{ "VFID", 16, 8 },
7810		{ "TC", 11, 3 },
7811		{ "VFVld", 10, 1 },
7812		{ "PF", 7, 3 },
7813		{ "RVF", 0, 7 },
7814	{ "PCIE_FID_VFID", 0x3ea0, 0 },
7815		{ "Select", 30, 2 },
7816		{ "IDO", 24, 1 },
7817		{ "VFID", 16, 8 },
7818		{ "TC", 11, 3 },
7819		{ "VFVld", 10, 1 },
7820		{ "PF", 7, 3 },
7821		{ "RVF", 0, 7 },
7822	{ "PCIE_FID_VFID", 0x3ea4, 0 },
7823		{ "Select", 30, 2 },
7824		{ "IDO", 24, 1 },
7825		{ "VFID", 16, 8 },
7826		{ "TC", 11, 3 },
7827		{ "VFVld", 10, 1 },
7828		{ "PF", 7, 3 },
7829		{ "RVF", 0, 7 },
7830	{ "PCIE_FID_VFID", 0x3ea8, 0 },
7831		{ "Select", 30, 2 },
7832		{ "IDO", 24, 1 },
7833		{ "VFID", 16, 8 },
7834		{ "TC", 11, 3 },
7835		{ "VFVld", 10, 1 },
7836		{ "PF", 7, 3 },
7837		{ "RVF", 0, 7 },
7838	{ "PCIE_FID_VFID", 0x3eac, 0 },
7839		{ "Select", 30, 2 },
7840		{ "IDO", 24, 1 },
7841		{ "VFID", 16, 8 },
7842		{ "TC", 11, 3 },
7843		{ "VFVld", 10, 1 },
7844		{ "PF", 7, 3 },
7845		{ "RVF", 0, 7 },
7846	{ "PCIE_FID_VFID", 0x3eb0, 0 },
7847		{ "Select", 30, 2 },
7848		{ "IDO", 24, 1 },
7849		{ "VFID", 16, 8 },
7850		{ "TC", 11, 3 },
7851		{ "VFVld", 10, 1 },
7852		{ "PF", 7, 3 },
7853		{ "RVF", 0, 7 },
7854	{ "PCIE_FID_VFID", 0x3eb4, 0 },
7855		{ "Select", 30, 2 },
7856		{ "IDO", 24, 1 },
7857		{ "VFID", 16, 8 },
7858		{ "TC", 11, 3 },
7859		{ "VFVld", 10, 1 },
7860		{ "PF", 7, 3 },
7861		{ "RVF", 0, 7 },
7862	{ "PCIE_FID_VFID", 0x3eb8, 0 },
7863		{ "Select", 30, 2 },
7864		{ "IDO", 24, 1 },
7865		{ "VFID", 16, 8 },
7866		{ "TC", 11, 3 },
7867		{ "VFVld", 10, 1 },
7868		{ "PF", 7, 3 },
7869		{ "RVF", 0, 7 },
7870	{ "PCIE_FID_VFID", 0x3ebc, 0 },
7871		{ "Select", 30, 2 },
7872		{ "IDO", 24, 1 },
7873		{ "VFID", 16, 8 },
7874		{ "TC", 11, 3 },
7875		{ "VFVld", 10, 1 },
7876		{ "PF", 7, 3 },
7877		{ "RVF", 0, 7 },
7878	{ "PCIE_FID_VFID", 0x3ec0, 0 },
7879		{ "Select", 30, 2 },
7880		{ "IDO", 24, 1 },
7881		{ "VFID", 16, 8 },
7882		{ "TC", 11, 3 },
7883		{ "VFVld", 10, 1 },
7884		{ "PF", 7, 3 },
7885		{ "RVF", 0, 7 },
7886	{ "PCIE_FID_VFID", 0x3ec4, 0 },
7887		{ "Select", 30, 2 },
7888		{ "IDO", 24, 1 },
7889		{ "VFID", 16, 8 },
7890		{ "TC", 11, 3 },
7891		{ "VFVld", 10, 1 },
7892		{ "PF", 7, 3 },
7893		{ "RVF", 0, 7 },
7894	{ "PCIE_FID_VFID", 0x3ec8, 0 },
7895		{ "Select", 30, 2 },
7896		{ "IDO", 24, 1 },
7897		{ "VFID", 16, 8 },
7898		{ "TC", 11, 3 },
7899		{ "VFVld", 10, 1 },
7900		{ "PF", 7, 3 },
7901		{ "RVF", 0, 7 },
7902	{ "PCIE_FID_VFID", 0x3ecc, 0 },
7903		{ "Select", 30, 2 },
7904		{ "IDO", 24, 1 },
7905		{ "VFID", 16, 8 },
7906		{ "TC", 11, 3 },
7907		{ "VFVld", 10, 1 },
7908		{ "PF", 7, 3 },
7909		{ "RVF", 0, 7 },
7910	{ "PCIE_FID_VFID", 0x3ed0, 0 },
7911		{ "Select", 30, 2 },
7912		{ "IDO", 24, 1 },
7913		{ "VFID", 16, 8 },
7914		{ "TC", 11, 3 },
7915		{ "VFVld", 10, 1 },
7916		{ "PF", 7, 3 },
7917		{ "RVF", 0, 7 },
7918	{ "PCIE_FID_VFID", 0x3ed4, 0 },
7919		{ "Select", 30, 2 },
7920		{ "IDO", 24, 1 },
7921		{ "VFID", 16, 8 },
7922		{ "TC", 11, 3 },
7923		{ "VFVld", 10, 1 },
7924		{ "PF", 7, 3 },
7925		{ "RVF", 0, 7 },
7926	{ "PCIE_FID_VFID", 0x3ed8, 0 },
7927		{ "Select", 30, 2 },
7928		{ "IDO", 24, 1 },
7929		{ "VFID", 16, 8 },
7930		{ "TC", 11, 3 },
7931		{ "VFVld", 10, 1 },
7932		{ "PF", 7, 3 },
7933		{ "RVF", 0, 7 },
7934	{ "PCIE_FID_VFID", 0x3edc, 0 },
7935		{ "Select", 30, 2 },
7936		{ "IDO", 24, 1 },
7937		{ "VFID", 16, 8 },
7938		{ "TC", 11, 3 },
7939		{ "VFVld", 10, 1 },
7940		{ "PF", 7, 3 },
7941		{ "RVF", 0, 7 },
7942	{ "PCIE_FID_VFID", 0x3ee0, 0 },
7943		{ "Select", 30, 2 },
7944		{ "IDO", 24, 1 },
7945		{ "VFID", 16, 8 },
7946		{ "TC", 11, 3 },
7947		{ "VFVld", 10, 1 },
7948		{ "PF", 7, 3 },
7949		{ "RVF", 0, 7 },
7950	{ "PCIE_FID_VFID", 0x3ee4, 0 },
7951		{ "Select", 30, 2 },
7952		{ "IDO", 24, 1 },
7953		{ "VFID", 16, 8 },
7954		{ "TC", 11, 3 },
7955		{ "VFVld", 10, 1 },
7956		{ "PF", 7, 3 },
7957		{ "RVF", 0, 7 },
7958	{ "PCIE_FID_VFID", 0x3ee8, 0 },
7959		{ "Select", 30, 2 },
7960		{ "IDO", 24, 1 },
7961		{ "VFID", 16, 8 },
7962		{ "TC", 11, 3 },
7963		{ "VFVld", 10, 1 },
7964		{ "PF", 7, 3 },
7965		{ "RVF", 0, 7 },
7966	{ "PCIE_FID_VFID", 0x3eec, 0 },
7967		{ "Select", 30, 2 },
7968		{ "IDO", 24, 1 },
7969		{ "VFID", 16, 8 },
7970		{ "TC", 11, 3 },
7971		{ "VFVld", 10, 1 },
7972		{ "PF", 7, 3 },
7973		{ "RVF", 0, 7 },
7974	{ "PCIE_FID_VFID", 0x3ef0, 0 },
7975		{ "Select", 30, 2 },
7976		{ "IDO", 24, 1 },
7977		{ "VFID", 16, 8 },
7978		{ "TC", 11, 3 },
7979		{ "VFVld", 10, 1 },
7980		{ "PF", 7, 3 },
7981		{ "RVF", 0, 7 },
7982	{ "PCIE_FID_VFID", 0x3ef4, 0 },
7983		{ "Select", 30, 2 },
7984		{ "IDO", 24, 1 },
7985		{ "VFID", 16, 8 },
7986		{ "TC", 11, 3 },
7987		{ "VFVld", 10, 1 },
7988		{ "PF", 7, 3 },
7989		{ "RVF", 0, 7 },
7990	{ "PCIE_FID_VFID", 0x3ef8, 0 },
7991		{ "Select", 30, 2 },
7992		{ "IDO", 24, 1 },
7993		{ "VFID", 16, 8 },
7994		{ "TC", 11, 3 },
7995		{ "VFVld", 10, 1 },
7996		{ "PF", 7, 3 },
7997		{ "RVF", 0, 7 },
7998	{ "PCIE_FID_VFID", 0x3efc, 0 },
7999		{ "Select", 30, 2 },
8000		{ "IDO", 24, 1 },
8001		{ "VFID", 16, 8 },
8002		{ "TC", 11, 3 },
8003		{ "VFVld", 10, 1 },
8004		{ "PF", 7, 3 },
8005		{ "RVF", 0, 7 },
8006	{ "PCIE_FID_VFID", 0x3f00, 0 },
8007		{ "Select", 30, 2 },
8008		{ "IDO", 24, 1 },
8009		{ "VFID", 16, 8 },
8010		{ "TC", 11, 3 },
8011		{ "VFVld", 10, 1 },
8012		{ "PF", 7, 3 },
8013		{ "RVF", 0, 7 },
8014	{ "PCIE_FID_VFID", 0x3f04, 0 },
8015		{ "Select", 30, 2 },
8016		{ "IDO", 24, 1 },
8017		{ "VFID", 16, 8 },
8018		{ "TC", 11, 3 },
8019		{ "VFVld", 10, 1 },
8020		{ "PF", 7, 3 },
8021		{ "RVF", 0, 7 },
8022	{ "PCIE_FID_VFID", 0x3f08, 0 },
8023		{ "Select", 30, 2 },
8024		{ "IDO", 24, 1 },
8025		{ "VFID", 16, 8 },
8026		{ "TC", 11, 3 },
8027		{ "VFVld", 10, 1 },
8028		{ "PF", 7, 3 },
8029		{ "RVF", 0, 7 },
8030	{ "PCIE_FID_VFID", 0x3f0c, 0 },
8031		{ "Select", 30, 2 },
8032		{ "IDO", 24, 1 },
8033		{ "VFID", 16, 8 },
8034		{ "TC", 11, 3 },
8035		{ "VFVld", 10, 1 },
8036		{ "PF", 7, 3 },
8037		{ "RVF", 0, 7 },
8038	{ "PCIE_FID_VFID", 0x3f10, 0 },
8039		{ "Select", 30, 2 },
8040		{ "IDO", 24, 1 },
8041		{ "VFID", 16, 8 },
8042		{ "TC", 11, 3 },
8043		{ "VFVld", 10, 1 },
8044		{ "PF", 7, 3 },
8045		{ "RVF", 0, 7 },
8046	{ "PCIE_FID_VFID", 0x3f14, 0 },
8047		{ "Select", 30, 2 },
8048		{ "IDO", 24, 1 },
8049		{ "VFID", 16, 8 },
8050		{ "TC", 11, 3 },
8051		{ "VFVld", 10, 1 },
8052		{ "PF", 7, 3 },
8053		{ "RVF", 0, 7 },
8054	{ "PCIE_FID_VFID", 0x3f18, 0 },
8055		{ "Select", 30, 2 },
8056		{ "IDO", 24, 1 },
8057		{ "VFID", 16, 8 },
8058		{ "TC", 11, 3 },
8059		{ "VFVld", 10, 1 },
8060		{ "PF", 7, 3 },
8061		{ "RVF", 0, 7 },
8062	{ "PCIE_FID_VFID", 0x3f1c, 0 },
8063		{ "Select", 30, 2 },
8064		{ "IDO", 24, 1 },
8065		{ "VFID", 16, 8 },
8066		{ "TC", 11, 3 },
8067		{ "VFVld", 10, 1 },
8068		{ "PF", 7, 3 },
8069		{ "RVF", 0, 7 },
8070	{ "PCIE_FID_VFID", 0x3f20, 0 },
8071		{ "Select", 30, 2 },
8072		{ "IDO", 24, 1 },
8073		{ "VFID", 16, 8 },
8074		{ "TC", 11, 3 },
8075		{ "VFVld", 10, 1 },
8076		{ "PF", 7, 3 },
8077		{ "RVF", 0, 7 },
8078	{ "PCIE_FID_VFID", 0x3f24, 0 },
8079		{ "Select", 30, 2 },
8080		{ "IDO", 24, 1 },
8081		{ "VFID", 16, 8 },
8082		{ "TC", 11, 3 },
8083		{ "VFVld", 10, 1 },
8084		{ "PF", 7, 3 },
8085		{ "RVF", 0, 7 },
8086	{ "PCIE_FID_VFID", 0x3f28, 0 },
8087		{ "Select", 30, 2 },
8088		{ "IDO", 24, 1 },
8089		{ "VFID", 16, 8 },
8090		{ "TC", 11, 3 },
8091		{ "VFVld", 10, 1 },
8092		{ "PF", 7, 3 },
8093		{ "RVF", 0, 7 },
8094	{ "PCIE_FID_VFID", 0x3f2c, 0 },
8095		{ "Select", 30, 2 },
8096		{ "IDO", 24, 1 },
8097		{ "VFID", 16, 8 },
8098		{ "TC", 11, 3 },
8099		{ "VFVld", 10, 1 },
8100		{ "PF", 7, 3 },
8101		{ "RVF", 0, 7 },
8102	{ "PCIE_FID_VFID", 0x3f30, 0 },
8103		{ "Select", 30, 2 },
8104		{ "IDO", 24, 1 },
8105		{ "VFID", 16, 8 },
8106		{ "TC", 11, 3 },
8107		{ "VFVld", 10, 1 },
8108		{ "PF", 7, 3 },
8109		{ "RVF", 0, 7 },
8110	{ "PCIE_FID_VFID", 0x3f34, 0 },
8111		{ "Select", 30, 2 },
8112		{ "IDO", 24, 1 },
8113		{ "VFID", 16, 8 },
8114		{ "TC", 11, 3 },
8115		{ "VFVld", 10, 1 },
8116		{ "PF", 7, 3 },
8117		{ "RVF", 0, 7 },
8118	{ "PCIE_FID_VFID", 0x3f38, 0 },
8119		{ "Select", 30, 2 },
8120		{ "IDO", 24, 1 },
8121		{ "VFID", 16, 8 },
8122		{ "TC", 11, 3 },
8123		{ "VFVld", 10, 1 },
8124		{ "PF", 7, 3 },
8125		{ "RVF", 0, 7 },
8126	{ "PCIE_FID_VFID", 0x3f3c, 0 },
8127		{ "Select", 30, 2 },
8128		{ "IDO", 24, 1 },
8129		{ "VFID", 16, 8 },
8130		{ "TC", 11, 3 },
8131		{ "VFVld", 10, 1 },
8132		{ "PF", 7, 3 },
8133		{ "RVF", 0, 7 },
8134	{ "PCIE_FID_VFID", 0x3f40, 0 },
8135		{ "Select", 30, 2 },
8136		{ "IDO", 24, 1 },
8137		{ "VFID", 16, 8 },
8138		{ "TC", 11, 3 },
8139		{ "VFVld", 10, 1 },
8140		{ "PF", 7, 3 },
8141		{ "RVF", 0, 7 },
8142	{ "PCIE_FID_VFID", 0x3f44, 0 },
8143		{ "Select", 30, 2 },
8144		{ "IDO", 24, 1 },
8145		{ "VFID", 16, 8 },
8146		{ "TC", 11, 3 },
8147		{ "VFVld", 10, 1 },
8148		{ "PF", 7, 3 },
8149		{ "RVF", 0, 7 },
8150	{ "PCIE_FID_VFID", 0x3f48, 0 },
8151		{ "Select", 30, 2 },
8152		{ "IDO", 24, 1 },
8153		{ "VFID", 16, 8 },
8154		{ "TC", 11, 3 },
8155		{ "VFVld", 10, 1 },
8156		{ "PF", 7, 3 },
8157		{ "RVF", 0, 7 },
8158	{ "PCIE_FID_VFID", 0x3f4c, 0 },
8159		{ "Select", 30, 2 },
8160		{ "IDO", 24, 1 },
8161		{ "VFID", 16, 8 },
8162		{ "TC", 11, 3 },
8163		{ "VFVld", 10, 1 },
8164		{ "PF", 7, 3 },
8165		{ "RVF", 0, 7 },
8166	{ "PCIE_FID_VFID", 0x3f50, 0 },
8167		{ "Select", 30, 2 },
8168		{ "IDO", 24, 1 },
8169		{ "VFID", 16, 8 },
8170		{ "TC", 11, 3 },
8171		{ "VFVld", 10, 1 },
8172		{ "PF", 7, 3 },
8173		{ "RVF", 0, 7 },
8174	{ "PCIE_FID_VFID", 0x3f54, 0 },
8175		{ "Select", 30, 2 },
8176		{ "IDO", 24, 1 },
8177		{ "VFID", 16, 8 },
8178		{ "TC", 11, 3 },
8179		{ "VFVld", 10, 1 },
8180		{ "PF", 7, 3 },
8181		{ "RVF", 0, 7 },
8182	{ "PCIE_FID_VFID", 0x3f58, 0 },
8183		{ "Select", 30, 2 },
8184		{ "IDO", 24, 1 },
8185		{ "VFID", 16, 8 },
8186		{ "TC", 11, 3 },
8187		{ "VFVld", 10, 1 },
8188		{ "PF", 7, 3 },
8189		{ "RVF", 0, 7 },
8190	{ "PCIE_FID_VFID", 0x3f5c, 0 },
8191		{ "Select", 30, 2 },
8192		{ "IDO", 24, 1 },
8193		{ "VFID", 16, 8 },
8194		{ "TC", 11, 3 },
8195		{ "VFVld", 10, 1 },
8196		{ "PF", 7, 3 },
8197		{ "RVF", 0, 7 },
8198	{ "PCIE_FID_VFID", 0x3f60, 0 },
8199		{ "Select", 30, 2 },
8200		{ "IDO", 24, 1 },
8201		{ "VFID", 16, 8 },
8202		{ "TC", 11, 3 },
8203		{ "VFVld", 10, 1 },
8204		{ "PF", 7, 3 },
8205		{ "RVF", 0, 7 },
8206	{ "PCIE_FID_VFID", 0x3f64, 0 },
8207		{ "Select", 30, 2 },
8208		{ "IDO", 24, 1 },
8209		{ "VFID", 16, 8 },
8210		{ "TC", 11, 3 },
8211		{ "VFVld", 10, 1 },
8212		{ "PF", 7, 3 },
8213		{ "RVF", 0, 7 },
8214	{ "PCIE_FID_VFID", 0x3f68, 0 },
8215		{ "Select", 30, 2 },
8216		{ "IDO", 24, 1 },
8217		{ "VFID", 16, 8 },
8218		{ "TC", 11, 3 },
8219		{ "VFVld", 10, 1 },
8220		{ "PF", 7, 3 },
8221		{ "RVF", 0, 7 },
8222	{ "PCIE_FID_VFID", 0x3f6c, 0 },
8223		{ "Select", 30, 2 },
8224		{ "IDO", 24, 1 },
8225		{ "VFID", 16, 8 },
8226		{ "TC", 11, 3 },
8227		{ "VFVld", 10, 1 },
8228		{ "PF", 7, 3 },
8229		{ "RVF", 0, 7 },
8230	{ "PCIE_FID_VFID", 0x3f70, 0 },
8231		{ "Select", 30, 2 },
8232		{ "IDO", 24, 1 },
8233		{ "VFID", 16, 8 },
8234		{ "TC", 11, 3 },
8235		{ "VFVld", 10, 1 },
8236		{ "PF", 7, 3 },
8237		{ "RVF", 0, 7 },
8238	{ "PCIE_FID_VFID", 0x3f74, 0 },
8239		{ "Select", 30, 2 },
8240		{ "IDO", 24, 1 },
8241		{ "VFID", 16, 8 },
8242		{ "TC", 11, 3 },
8243		{ "VFVld", 10, 1 },
8244		{ "PF", 7, 3 },
8245		{ "RVF", 0, 7 },
8246	{ "PCIE_FID_VFID", 0x3f78, 0 },
8247		{ "Select", 30, 2 },
8248		{ "IDO", 24, 1 },
8249		{ "VFID", 16, 8 },
8250		{ "TC", 11, 3 },
8251		{ "VFVld", 10, 1 },
8252		{ "PF", 7, 3 },
8253		{ "RVF", 0, 7 },
8254	{ "PCIE_FID_VFID", 0x3f7c, 0 },
8255		{ "Select", 30, 2 },
8256		{ "IDO", 24, 1 },
8257		{ "VFID", 16, 8 },
8258		{ "TC", 11, 3 },
8259		{ "VFVld", 10, 1 },
8260		{ "PF", 7, 3 },
8261		{ "RVF", 0, 7 },
8262	{ "PCIE_FID_VFID", 0x3f80, 0 },
8263		{ "Select", 30, 2 },
8264		{ "IDO", 24, 1 },
8265		{ "VFID", 16, 8 },
8266		{ "TC", 11, 3 },
8267		{ "VFVld", 10, 1 },
8268		{ "PF", 7, 3 },
8269		{ "RVF", 0, 7 },
8270	{ "PCIE_FID_VFID", 0x3f84, 0 },
8271		{ "Select", 30, 2 },
8272		{ "IDO", 24, 1 },
8273		{ "VFID", 16, 8 },
8274		{ "TC", 11, 3 },
8275		{ "VFVld", 10, 1 },
8276		{ "PF", 7, 3 },
8277		{ "RVF", 0, 7 },
8278	{ "PCIE_FID_VFID", 0x3f88, 0 },
8279		{ "Select", 30, 2 },
8280		{ "IDO", 24, 1 },
8281		{ "VFID", 16, 8 },
8282		{ "TC", 11, 3 },
8283		{ "VFVld", 10, 1 },
8284		{ "PF", 7, 3 },
8285		{ "RVF", 0, 7 },
8286	{ "PCIE_FID_VFID", 0x3f8c, 0 },
8287		{ "Select", 30, 2 },
8288		{ "IDO", 24, 1 },
8289		{ "VFID", 16, 8 },
8290		{ "TC", 11, 3 },
8291		{ "VFVld", 10, 1 },
8292		{ "PF", 7, 3 },
8293		{ "RVF", 0, 7 },
8294	{ "PCIE_FID_VFID", 0x3f90, 0 },
8295		{ "Select", 30, 2 },
8296		{ "IDO", 24, 1 },
8297		{ "VFID", 16, 8 },
8298		{ "TC", 11, 3 },
8299		{ "VFVld", 10, 1 },
8300		{ "PF", 7, 3 },
8301		{ "RVF", 0, 7 },
8302	{ "PCIE_FID_VFID", 0x3f94, 0 },
8303		{ "Select", 30, 2 },
8304		{ "IDO", 24, 1 },
8305		{ "VFID", 16, 8 },
8306		{ "TC", 11, 3 },
8307		{ "VFVld", 10, 1 },
8308		{ "PF", 7, 3 },
8309		{ "RVF", 0, 7 },
8310	{ "PCIE_FID_VFID", 0x3f98, 0 },
8311		{ "Select", 30, 2 },
8312		{ "IDO", 24, 1 },
8313		{ "VFID", 16, 8 },
8314		{ "TC", 11, 3 },
8315		{ "VFVld", 10, 1 },
8316		{ "PF", 7, 3 },
8317		{ "RVF", 0, 7 },
8318	{ "PCIE_FID_VFID", 0x3f9c, 0 },
8319		{ "Select", 30, 2 },
8320		{ "IDO", 24, 1 },
8321		{ "VFID", 16, 8 },
8322		{ "TC", 11, 3 },
8323		{ "VFVld", 10, 1 },
8324		{ "PF", 7, 3 },
8325		{ "RVF", 0, 7 },
8326	{ "PCIE_FID_VFID", 0x3fa0, 0 },
8327		{ "Select", 30, 2 },
8328		{ "IDO", 24, 1 },
8329		{ "VFID", 16, 8 },
8330		{ "TC", 11, 3 },
8331		{ "VFVld", 10, 1 },
8332		{ "PF", 7, 3 },
8333		{ "RVF", 0, 7 },
8334	{ "PCIE_FID_VFID", 0x3fa4, 0 },
8335		{ "Select", 30, 2 },
8336		{ "IDO", 24, 1 },
8337		{ "VFID", 16, 8 },
8338		{ "TC", 11, 3 },
8339		{ "VFVld", 10, 1 },
8340		{ "PF", 7, 3 },
8341		{ "RVF", 0, 7 },
8342	{ "PCIE_FID_VFID", 0x3fa8, 0 },
8343		{ "Select", 30, 2 },
8344		{ "IDO", 24, 1 },
8345		{ "VFID", 16, 8 },
8346		{ "TC", 11, 3 },
8347		{ "VFVld", 10, 1 },
8348		{ "PF", 7, 3 },
8349		{ "RVF", 0, 7 },
8350	{ "PCIE_FID_VFID", 0x3fac, 0 },
8351		{ "Select", 30, 2 },
8352		{ "IDO", 24, 1 },
8353		{ "VFID", 16, 8 },
8354		{ "TC", 11, 3 },
8355		{ "VFVld", 10, 1 },
8356		{ "PF", 7, 3 },
8357		{ "RVF", 0, 7 },
8358	{ "PCIE_FID_VFID", 0x3fb0, 0 },
8359		{ "Select", 30, 2 },
8360		{ "IDO", 24, 1 },
8361		{ "VFID", 16, 8 },
8362		{ "TC", 11, 3 },
8363		{ "VFVld", 10, 1 },
8364		{ "PF", 7, 3 },
8365		{ "RVF", 0, 7 },
8366	{ "PCIE_FID_VFID", 0x3fb4, 0 },
8367		{ "Select", 30, 2 },
8368		{ "IDO", 24, 1 },
8369		{ "VFID", 16, 8 },
8370		{ "TC", 11, 3 },
8371		{ "VFVld", 10, 1 },
8372		{ "PF", 7, 3 },
8373		{ "RVF", 0, 7 },
8374	{ "PCIE_FID_VFID", 0x3fb8, 0 },
8375		{ "Select", 30, 2 },
8376		{ "IDO", 24, 1 },
8377		{ "VFID", 16, 8 },
8378		{ "TC", 11, 3 },
8379		{ "VFVld", 10, 1 },
8380		{ "PF", 7, 3 },
8381		{ "RVF", 0, 7 },
8382	{ "PCIE_FID_VFID", 0x3fbc, 0 },
8383		{ "Select", 30, 2 },
8384		{ "IDO", 24, 1 },
8385		{ "VFID", 16, 8 },
8386		{ "TC", 11, 3 },
8387		{ "VFVld", 10, 1 },
8388		{ "PF", 7, 3 },
8389		{ "RVF", 0, 7 },
8390	{ "PCIE_FID_VFID", 0x3fc0, 0 },
8391		{ "Select", 30, 2 },
8392		{ "IDO", 24, 1 },
8393		{ "VFID", 16, 8 },
8394		{ "TC", 11, 3 },
8395		{ "VFVld", 10, 1 },
8396		{ "PF", 7, 3 },
8397		{ "RVF", 0, 7 },
8398	{ "PCIE_FID_VFID", 0x3fc4, 0 },
8399		{ "Select", 30, 2 },
8400		{ "IDO", 24, 1 },
8401		{ "VFID", 16, 8 },
8402		{ "TC", 11, 3 },
8403		{ "VFVld", 10, 1 },
8404		{ "PF", 7, 3 },
8405		{ "RVF", 0, 7 },
8406	{ "PCIE_FID_VFID", 0x3fc8, 0 },
8407		{ "Select", 30, 2 },
8408		{ "IDO", 24, 1 },
8409		{ "VFID", 16, 8 },
8410		{ "TC", 11, 3 },
8411		{ "VFVld", 10, 1 },
8412		{ "PF", 7, 3 },
8413		{ "RVF", 0, 7 },
8414	{ "PCIE_FID_VFID", 0x3fcc, 0 },
8415		{ "Select", 30, 2 },
8416		{ "IDO", 24, 1 },
8417		{ "VFID", 16, 8 },
8418		{ "TC", 11, 3 },
8419		{ "VFVld", 10, 1 },
8420		{ "PF", 7, 3 },
8421		{ "RVF", 0, 7 },
8422	{ "PCIE_FID_VFID", 0x3fd0, 0 },
8423		{ "Select", 30, 2 },
8424		{ "IDO", 24, 1 },
8425		{ "VFID", 16, 8 },
8426		{ "TC", 11, 3 },
8427		{ "VFVld", 10, 1 },
8428		{ "PF", 7, 3 },
8429		{ "RVF", 0, 7 },
8430	{ "PCIE_FID_VFID", 0x3fd4, 0 },
8431		{ "Select", 30, 2 },
8432		{ "IDO", 24, 1 },
8433		{ "VFID", 16, 8 },
8434		{ "TC", 11, 3 },
8435		{ "VFVld", 10, 1 },
8436		{ "PF", 7, 3 },
8437		{ "RVF", 0, 7 },
8438	{ "PCIE_FID_VFID", 0x3fd8, 0 },
8439		{ "Select", 30, 2 },
8440		{ "IDO", 24, 1 },
8441		{ "VFID", 16, 8 },
8442		{ "TC", 11, 3 },
8443		{ "VFVld", 10, 1 },
8444		{ "PF", 7, 3 },
8445		{ "RVF", 0, 7 },
8446	{ "PCIE_FID_VFID", 0x3fdc, 0 },
8447		{ "Select", 30, 2 },
8448		{ "IDO", 24, 1 },
8449		{ "VFID", 16, 8 },
8450		{ "TC", 11, 3 },
8451		{ "VFVld", 10, 1 },
8452		{ "PF", 7, 3 },
8453		{ "RVF", 0, 7 },
8454	{ "PCIE_FID_VFID", 0x3fe0, 0 },
8455		{ "Select", 30, 2 },
8456		{ "IDO", 24, 1 },
8457		{ "VFID", 16, 8 },
8458		{ "TC", 11, 3 },
8459		{ "VFVld", 10, 1 },
8460		{ "PF", 7, 3 },
8461		{ "RVF", 0, 7 },
8462	{ "PCIE_FID_VFID", 0x3fe4, 0 },
8463		{ "Select", 30, 2 },
8464		{ "IDO", 24, 1 },
8465		{ "VFID", 16, 8 },
8466		{ "TC", 11, 3 },
8467		{ "VFVld", 10, 1 },
8468		{ "PF", 7, 3 },
8469		{ "RVF", 0, 7 },
8470	{ "PCIE_FID_VFID", 0x3fe8, 0 },
8471		{ "Select", 30, 2 },
8472		{ "IDO", 24, 1 },
8473		{ "VFID", 16, 8 },
8474		{ "TC", 11, 3 },
8475		{ "VFVld", 10, 1 },
8476		{ "PF", 7, 3 },
8477		{ "RVF", 0, 7 },
8478	{ "PCIE_FID_VFID", 0x3fec, 0 },
8479		{ "Select", 30, 2 },
8480		{ "IDO", 24, 1 },
8481		{ "VFID", 16, 8 },
8482		{ "TC", 11, 3 },
8483		{ "VFVld", 10, 1 },
8484		{ "PF", 7, 3 },
8485		{ "RVF", 0, 7 },
8486	{ "PCIE_FID_VFID", 0x3ff0, 0 },
8487		{ "Select", 30, 2 },
8488		{ "IDO", 24, 1 },
8489		{ "VFID", 16, 8 },
8490		{ "TC", 11, 3 },
8491		{ "VFVld", 10, 1 },
8492		{ "PF", 7, 3 },
8493		{ "RVF", 0, 7 },
8494	{ "PCIE_FID_VFID", 0x3ff4, 0 },
8495		{ "Select", 30, 2 },
8496		{ "IDO", 24, 1 },
8497		{ "VFID", 16, 8 },
8498		{ "TC", 11, 3 },
8499		{ "VFVld", 10, 1 },
8500		{ "PF", 7, 3 },
8501		{ "RVF", 0, 7 },
8502	{ "PCIE_FID_VFID", 0x3ff8, 0 },
8503		{ "Select", 30, 2 },
8504		{ "IDO", 24, 1 },
8505		{ "VFID", 16, 8 },
8506		{ "TC", 11, 3 },
8507		{ "VFVld", 10, 1 },
8508		{ "PF", 7, 3 },
8509		{ "RVF", 0, 7 },
8510	{ "PCIE_FID_VFID", 0x3ffc, 0 },
8511		{ "Select", 30, 2 },
8512		{ "IDO", 24, 1 },
8513		{ "VFID", 16, 8 },
8514		{ "TC", 11, 3 },
8515		{ "VFVld", 10, 1 },
8516		{ "PF", 7, 3 },
8517		{ "RVF", 0, 7 },
8518	{ "PCIE_FID_VFID", 0x4000, 0 },
8519		{ "Select", 30, 2 },
8520		{ "IDO", 24, 1 },
8521		{ "VFID", 16, 8 },
8522		{ "TC", 11, 3 },
8523		{ "VFVld", 10, 1 },
8524		{ "PF", 7, 3 },
8525		{ "RVF", 0, 7 },
8526	{ "PCIE_FID_VFID", 0x4004, 0 },
8527		{ "Select", 30, 2 },
8528		{ "IDO", 24, 1 },
8529		{ "VFID", 16, 8 },
8530		{ "TC", 11, 3 },
8531		{ "VFVld", 10, 1 },
8532		{ "PF", 7, 3 },
8533		{ "RVF", 0, 7 },
8534	{ "PCIE_FID_VFID", 0x4008, 0 },
8535		{ "Select", 30, 2 },
8536		{ "IDO", 24, 1 },
8537		{ "VFID", 16, 8 },
8538		{ "TC", 11, 3 },
8539		{ "VFVld", 10, 1 },
8540		{ "PF", 7, 3 },
8541		{ "RVF", 0, 7 },
8542	{ "PCIE_FID_VFID", 0x400c, 0 },
8543		{ "Select", 30, 2 },
8544		{ "IDO", 24, 1 },
8545		{ "VFID", 16, 8 },
8546		{ "TC", 11, 3 },
8547		{ "VFVld", 10, 1 },
8548		{ "PF", 7, 3 },
8549		{ "RVF", 0, 7 },
8550	{ "PCIE_FID_VFID", 0x4010, 0 },
8551		{ "Select", 30, 2 },
8552		{ "IDO", 24, 1 },
8553		{ "VFID", 16, 8 },
8554		{ "TC", 11, 3 },
8555		{ "VFVld", 10, 1 },
8556		{ "PF", 7, 3 },
8557		{ "RVF", 0, 7 },
8558	{ "PCIE_FID_VFID", 0x4014, 0 },
8559		{ "Select", 30, 2 },
8560		{ "IDO", 24, 1 },
8561		{ "VFID", 16, 8 },
8562		{ "TC", 11, 3 },
8563		{ "VFVld", 10, 1 },
8564		{ "PF", 7, 3 },
8565		{ "RVF", 0, 7 },
8566	{ "PCIE_FID_VFID", 0x4018, 0 },
8567		{ "Select", 30, 2 },
8568		{ "IDO", 24, 1 },
8569		{ "VFID", 16, 8 },
8570		{ "TC", 11, 3 },
8571		{ "VFVld", 10, 1 },
8572		{ "PF", 7, 3 },
8573		{ "RVF", 0, 7 },
8574	{ "PCIE_FID_VFID", 0x401c, 0 },
8575		{ "Select", 30, 2 },
8576		{ "IDO", 24, 1 },
8577		{ "VFID", 16, 8 },
8578		{ "TC", 11, 3 },
8579		{ "VFVld", 10, 1 },
8580		{ "PF", 7, 3 },
8581		{ "RVF", 0, 7 },
8582	{ "PCIE_FID_VFID", 0x4020, 0 },
8583		{ "Select", 30, 2 },
8584		{ "IDO", 24, 1 },
8585		{ "VFID", 16, 8 },
8586		{ "TC", 11, 3 },
8587		{ "VFVld", 10, 1 },
8588		{ "PF", 7, 3 },
8589		{ "RVF", 0, 7 },
8590	{ "PCIE_FID_VFID", 0x4024, 0 },
8591		{ "Select", 30, 2 },
8592		{ "IDO", 24, 1 },
8593		{ "VFID", 16, 8 },
8594		{ "TC", 11, 3 },
8595		{ "VFVld", 10, 1 },
8596		{ "PF", 7, 3 },
8597		{ "RVF", 0, 7 },
8598	{ "PCIE_FID_VFID", 0x4028, 0 },
8599		{ "Select", 30, 2 },
8600		{ "IDO", 24, 1 },
8601		{ "VFID", 16, 8 },
8602		{ "TC", 11, 3 },
8603		{ "VFVld", 10, 1 },
8604		{ "PF", 7, 3 },
8605		{ "RVF", 0, 7 },
8606	{ "PCIE_FID_VFID", 0x402c, 0 },
8607		{ "Select", 30, 2 },
8608		{ "IDO", 24, 1 },
8609		{ "VFID", 16, 8 },
8610		{ "TC", 11, 3 },
8611		{ "VFVld", 10, 1 },
8612		{ "PF", 7, 3 },
8613		{ "RVF", 0, 7 },
8614	{ "PCIE_FID_VFID", 0x4030, 0 },
8615		{ "Select", 30, 2 },
8616		{ "IDO", 24, 1 },
8617		{ "VFID", 16, 8 },
8618		{ "TC", 11, 3 },
8619		{ "VFVld", 10, 1 },
8620		{ "PF", 7, 3 },
8621		{ "RVF", 0, 7 },
8622	{ "PCIE_FID_VFID", 0x4034, 0 },
8623		{ "Select", 30, 2 },
8624		{ "IDO", 24, 1 },
8625		{ "VFID", 16, 8 },
8626		{ "TC", 11, 3 },
8627		{ "VFVld", 10, 1 },
8628		{ "PF", 7, 3 },
8629		{ "RVF", 0, 7 },
8630	{ "PCIE_FID_VFID", 0x4038, 0 },
8631		{ "Select", 30, 2 },
8632		{ "IDO", 24, 1 },
8633		{ "VFID", 16, 8 },
8634		{ "TC", 11, 3 },
8635		{ "VFVld", 10, 1 },
8636		{ "PF", 7, 3 },
8637		{ "RVF", 0, 7 },
8638	{ "PCIE_FID_VFID", 0x403c, 0 },
8639		{ "Select", 30, 2 },
8640		{ "IDO", 24, 1 },
8641		{ "VFID", 16, 8 },
8642		{ "TC", 11, 3 },
8643		{ "VFVld", 10, 1 },
8644		{ "PF", 7, 3 },
8645		{ "RVF", 0, 7 },
8646	{ "PCIE_FID_VFID", 0x4040, 0 },
8647		{ "Select", 30, 2 },
8648		{ "IDO", 24, 1 },
8649		{ "VFID", 16, 8 },
8650		{ "TC", 11, 3 },
8651		{ "VFVld", 10, 1 },
8652		{ "PF", 7, 3 },
8653		{ "RVF", 0, 7 },
8654	{ "PCIE_FID_VFID", 0x4044, 0 },
8655		{ "Select", 30, 2 },
8656		{ "IDO", 24, 1 },
8657		{ "VFID", 16, 8 },
8658		{ "TC", 11, 3 },
8659		{ "VFVld", 10, 1 },
8660		{ "PF", 7, 3 },
8661		{ "RVF", 0, 7 },
8662	{ "PCIE_FID_VFID", 0x4048, 0 },
8663		{ "Select", 30, 2 },
8664		{ "IDO", 24, 1 },
8665		{ "VFID", 16, 8 },
8666		{ "TC", 11, 3 },
8667		{ "VFVld", 10, 1 },
8668		{ "PF", 7, 3 },
8669		{ "RVF", 0, 7 },
8670	{ "PCIE_FID_VFID", 0x404c, 0 },
8671		{ "Select", 30, 2 },
8672		{ "IDO", 24, 1 },
8673		{ "VFID", 16, 8 },
8674		{ "TC", 11, 3 },
8675		{ "VFVld", 10, 1 },
8676		{ "PF", 7, 3 },
8677		{ "RVF", 0, 7 },
8678	{ "PCIE_FID_VFID", 0x4050, 0 },
8679		{ "Select", 30, 2 },
8680		{ "IDO", 24, 1 },
8681		{ "VFID", 16, 8 },
8682		{ "TC", 11, 3 },
8683		{ "VFVld", 10, 1 },
8684		{ "PF", 7, 3 },
8685		{ "RVF", 0, 7 },
8686	{ "PCIE_FID_VFID", 0x4054, 0 },
8687		{ "Select", 30, 2 },
8688		{ "IDO", 24, 1 },
8689		{ "VFID", 16, 8 },
8690		{ "TC", 11, 3 },
8691		{ "VFVld", 10, 1 },
8692		{ "PF", 7, 3 },
8693		{ "RVF", 0, 7 },
8694	{ "PCIE_FID_VFID", 0x4058, 0 },
8695		{ "Select", 30, 2 },
8696		{ "IDO", 24, 1 },
8697		{ "VFID", 16, 8 },
8698		{ "TC", 11, 3 },
8699		{ "VFVld", 10, 1 },
8700		{ "PF", 7, 3 },
8701		{ "RVF", 0, 7 },
8702	{ "PCIE_FID_VFID", 0x405c, 0 },
8703		{ "Select", 30, 2 },
8704		{ "IDO", 24, 1 },
8705		{ "VFID", 16, 8 },
8706		{ "TC", 11, 3 },
8707		{ "VFVld", 10, 1 },
8708		{ "PF", 7, 3 },
8709		{ "RVF", 0, 7 },
8710	{ "PCIE_FID_VFID", 0x4060, 0 },
8711		{ "Select", 30, 2 },
8712		{ "IDO", 24, 1 },
8713		{ "VFID", 16, 8 },
8714		{ "TC", 11, 3 },
8715		{ "VFVld", 10, 1 },
8716		{ "PF", 7, 3 },
8717		{ "RVF", 0, 7 },
8718	{ "PCIE_FID_VFID", 0x4064, 0 },
8719		{ "Select", 30, 2 },
8720		{ "IDO", 24, 1 },
8721		{ "VFID", 16, 8 },
8722		{ "TC", 11, 3 },
8723		{ "VFVld", 10, 1 },
8724		{ "PF", 7, 3 },
8725		{ "RVF", 0, 7 },
8726	{ "PCIE_FID_VFID", 0x4068, 0 },
8727		{ "Select", 30, 2 },
8728		{ "IDO", 24, 1 },
8729		{ "VFID", 16, 8 },
8730		{ "TC", 11, 3 },
8731		{ "VFVld", 10, 1 },
8732		{ "PF", 7, 3 },
8733		{ "RVF", 0, 7 },
8734	{ "PCIE_FID_VFID", 0x406c, 0 },
8735		{ "Select", 30, 2 },
8736		{ "IDO", 24, 1 },
8737		{ "VFID", 16, 8 },
8738		{ "TC", 11, 3 },
8739		{ "VFVld", 10, 1 },
8740		{ "PF", 7, 3 },
8741		{ "RVF", 0, 7 },
8742	{ "PCIE_FID_VFID", 0x4070, 0 },
8743		{ "Select", 30, 2 },
8744		{ "IDO", 24, 1 },
8745		{ "VFID", 16, 8 },
8746		{ "TC", 11, 3 },
8747		{ "VFVld", 10, 1 },
8748		{ "PF", 7, 3 },
8749		{ "RVF", 0, 7 },
8750	{ "PCIE_FID_VFID", 0x4074, 0 },
8751		{ "Select", 30, 2 },
8752		{ "IDO", 24, 1 },
8753		{ "VFID", 16, 8 },
8754		{ "TC", 11, 3 },
8755		{ "VFVld", 10, 1 },
8756		{ "PF", 7, 3 },
8757		{ "RVF", 0, 7 },
8758	{ "PCIE_FID_VFID", 0x4078, 0 },
8759		{ "Select", 30, 2 },
8760		{ "IDO", 24, 1 },
8761		{ "VFID", 16, 8 },
8762		{ "TC", 11, 3 },
8763		{ "VFVld", 10, 1 },
8764		{ "PF", 7, 3 },
8765		{ "RVF", 0, 7 },
8766	{ "PCIE_FID_VFID", 0x407c, 0 },
8767		{ "Select", 30, 2 },
8768		{ "IDO", 24, 1 },
8769		{ "VFID", 16, 8 },
8770		{ "TC", 11, 3 },
8771		{ "VFVld", 10, 1 },
8772		{ "PF", 7, 3 },
8773		{ "RVF", 0, 7 },
8774	{ "PCIE_FID_VFID", 0x4080, 0 },
8775		{ "Select", 30, 2 },
8776		{ "IDO", 24, 1 },
8777		{ "VFID", 16, 8 },
8778		{ "TC", 11, 3 },
8779		{ "VFVld", 10, 1 },
8780		{ "PF", 7, 3 },
8781		{ "RVF", 0, 7 },
8782	{ "PCIE_FID_VFID", 0x4084, 0 },
8783		{ "Select", 30, 2 },
8784		{ "IDO", 24, 1 },
8785		{ "VFID", 16, 8 },
8786		{ "TC", 11, 3 },
8787		{ "VFVld", 10, 1 },
8788		{ "PF", 7, 3 },
8789		{ "RVF", 0, 7 },
8790	{ "PCIE_FID_VFID", 0x4088, 0 },
8791		{ "Select", 30, 2 },
8792		{ "IDO", 24, 1 },
8793		{ "VFID", 16, 8 },
8794		{ "TC", 11, 3 },
8795		{ "VFVld", 10, 1 },
8796		{ "PF", 7, 3 },
8797		{ "RVF", 0, 7 },
8798	{ "PCIE_FID_VFID", 0x408c, 0 },
8799		{ "Select", 30, 2 },
8800		{ "IDO", 24, 1 },
8801		{ "VFID", 16, 8 },
8802		{ "TC", 11, 3 },
8803		{ "VFVld", 10, 1 },
8804		{ "PF", 7, 3 },
8805		{ "RVF", 0, 7 },
8806	{ "PCIE_FID_VFID", 0x4090, 0 },
8807		{ "Select", 30, 2 },
8808		{ "IDO", 24, 1 },
8809		{ "VFID", 16, 8 },
8810		{ "TC", 11, 3 },
8811		{ "VFVld", 10, 1 },
8812		{ "PF", 7, 3 },
8813		{ "RVF", 0, 7 },
8814	{ "PCIE_FID_VFID", 0x4094, 0 },
8815		{ "Select", 30, 2 },
8816		{ "IDO", 24, 1 },
8817		{ "VFID", 16, 8 },
8818		{ "TC", 11, 3 },
8819		{ "VFVld", 10, 1 },
8820		{ "PF", 7, 3 },
8821		{ "RVF", 0, 7 },
8822	{ "PCIE_FID_VFID", 0x4098, 0 },
8823		{ "Select", 30, 2 },
8824		{ "IDO", 24, 1 },
8825		{ "VFID", 16, 8 },
8826		{ "TC", 11, 3 },
8827		{ "VFVld", 10, 1 },
8828		{ "PF", 7, 3 },
8829		{ "RVF", 0, 7 },
8830	{ "PCIE_FID_VFID", 0x409c, 0 },
8831		{ "Select", 30, 2 },
8832		{ "IDO", 24, 1 },
8833		{ "VFID", 16, 8 },
8834		{ "TC", 11, 3 },
8835		{ "VFVld", 10, 1 },
8836		{ "PF", 7, 3 },
8837		{ "RVF", 0, 7 },
8838	{ "PCIE_FID_VFID", 0x40a0, 0 },
8839		{ "Select", 30, 2 },
8840		{ "IDO", 24, 1 },
8841		{ "VFID", 16, 8 },
8842		{ "TC", 11, 3 },
8843		{ "VFVld", 10, 1 },
8844		{ "PF", 7, 3 },
8845		{ "RVF", 0, 7 },
8846	{ "PCIE_FID_VFID", 0x40a4, 0 },
8847		{ "Select", 30, 2 },
8848		{ "IDO", 24, 1 },
8849		{ "VFID", 16, 8 },
8850		{ "TC", 11, 3 },
8851		{ "VFVld", 10, 1 },
8852		{ "PF", 7, 3 },
8853		{ "RVF", 0, 7 },
8854	{ "PCIE_FID_VFID", 0x40a8, 0 },
8855		{ "Select", 30, 2 },
8856		{ "IDO", 24, 1 },
8857		{ "VFID", 16, 8 },
8858		{ "TC", 11, 3 },
8859		{ "VFVld", 10, 1 },
8860		{ "PF", 7, 3 },
8861		{ "RVF", 0, 7 },
8862	{ "PCIE_FID_VFID", 0x40ac, 0 },
8863		{ "Select", 30, 2 },
8864		{ "IDO", 24, 1 },
8865		{ "VFID", 16, 8 },
8866		{ "TC", 11, 3 },
8867		{ "VFVld", 10, 1 },
8868		{ "PF", 7, 3 },
8869		{ "RVF", 0, 7 },
8870	{ "PCIE_FID_VFID", 0x40b0, 0 },
8871		{ "Select", 30, 2 },
8872		{ "IDO", 24, 1 },
8873		{ "VFID", 16, 8 },
8874		{ "TC", 11, 3 },
8875		{ "VFVld", 10, 1 },
8876		{ "PF", 7, 3 },
8877		{ "RVF", 0, 7 },
8878	{ "PCIE_FID_VFID", 0x40b4, 0 },
8879		{ "Select", 30, 2 },
8880		{ "IDO", 24, 1 },
8881		{ "VFID", 16, 8 },
8882		{ "TC", 11, 3 },
8883		{ "VFVld", 10, 1 },
8884		{ "PF", 7, 3 },
8885		{ "RVF", 0, 7 },
8886	{ "PCIE_FID_VFID", 0x40b8, 0 },
8887		{ "Select", 30, 2 },
8888		{ "IDO", 24, 1 },
8889		{ "VFID", 16, 8 },
8890		{ "TC", 11, 3 },
8891		{ "VFVld", 10, 1 },
8892		{ "PF", 7, 3 },
8893		{ "RVF", 0, 7 },
8894	{ "PCIE_FID_VFID", 0x40bc, 0 },
8895		{ "Select", 30, 2 },
8896		{ "IDO", 24, 1 },
8897		{ "VFID", 16, 8 },
8898		{ "TC", 11, 3 },
8899		{ "VFVld", 10, 1 },
8900		{ "PF", 7, 3 },
8901		{ "RVF", 0, 7 },
8902	{ "PCIE_FID_VFID", 0x40c0, 0 },
8903		{ "Select", 30, 2 },
8904		{ "IDO", 24, 1 },
8905		{ "VFID", 16, 8 },
8906		{ "TC", 11, 3 },
8907		{ "VFVld", 10, 1 },
8908		{ "PF", 7, 3 },
8909		{ "RVF", 0, 7 },
8910	{ "PCIE_FID_VFID", 0x40c4, 0 },
8911		{ "Select", 30, 2 },
8912		{ "IDO", 24, 1 },
8913		{ "VFID", 16, 8 },
8914		{ "TC", 11, 3 },
8915		{ "VFVld", 10, 1 },
8916		{ "PF", 7, 3 },
8917		{ "RVF", 0, 7 },
8918	{ "PCIE_FID_VFID", 0x40c8, 0 },
8919		{ "Select", 30, 2 },
8920		{ "IDO", 24, 1 },
8921		{ "VFID", 16, 8 },
8922		{ "TC", 11, 3 },
8923		{ "VFVld", 10, 1 },
8924		{ "PF", 7, 3 },
8925		{ "RVF", 0, 7 },
8926	{ "PCIE_FID_VFID", 0x40cc, 0 },
8927		{ "Select", 30, 2 },
8928		{ "IDO", 24, 1 },
8929		{ "VFID", 16, 8 },
8930		{ "TC", 11, 3 },
8931		{ "VFVld", 10, 1 },
8932		{ "PF", 7, 3 },
8933		{ "RVF", 0, 7 },
8934	{ "PCIE_FID_VFID", 0x40d0, 0 },
8935		{ "Select", 30, 2 },
8936		{ "IDO", 24, 1 },
8937		{ "VFID", 16, 8 },
8938		{ "TC", 11, 3 },
8939		{ "VFVld", 10, 1 },
8940		{ "PF", 7, 3 },
8941		{ "RVF", 0, 7 },
8942	{ "PCIE_FID_VFID", 0x40d4, 0 },
8943		{ "Select", 30, 2 },
8944		{ "IDO", 24, 1 },
8945		{ "VFID", 16, 8 },
8946		{ "TC", 11, 3 },
8947		{ "VFVld", 10, 1 },
8948		{ "PF", 7, 3 },
8949		{ "RVF", 0, 7 },
8950	{ "PCIE_FID_VFID", 0x40d8, 0 },
8951		{ "Select", 30, 2 },
8952		{ "IDO", 24, 1 },
8953		{ "VFID", 16, 8 },
8954		{ "TC", 11, 3 },
8955		{ "VFVld", 10, 1 },
8956		{ "PF", 7, 3 },
8957		{ "RVF", 0, 7 },
8958	{ "PCIE_FID_VFID", 0x40dc, 0 },
8959		{ "Select", 30, 2 },
8960		{ "IDO", 24, 1 },
8961		{ "VFID", 16, 8 },
8962		{ "TC", 11, 3 },
8963		{ "VFVld", 10, 1 },
8964		{ "PF", 7, 3 },
8965		{ "RVF", 0, 7 },
8966	{ "PCIE_FID_VFID", 0x40e0, 0 },
8967		{ "Select", 30, 2 },
8968		{ "IDO", 24, 1 },
8969		{ "VFID", 16, 8 },
8970		{ "TC", 11, 3 },
8971		{ "VFVld", 10, 1 },
8972		{ "PF", 7, 3 },
8973		{ "RVF", 0, 7 },
8974	{ "PCIE_FID_VFID", 0x40e4, 0 },
8975		{ "Select", 30, 2 },
8976		{ "IDO", 24, 1 },
8977		{ "VFID", 16, 8 },
8978		{ "TC", 11, 3 },
8979		{ "VFVld", 10, 1 },
8980		{ "PF", 7, 3 },
8981		{ "RVF", 0, 7 },
8982	{ "PCIE_FID_VFID", 0x40e8, 0 },
8983		{ "Select", 30, 2 },
8984		{ "IDO", 24, 1 },
8985		{ "VFID", 16, 8 },
8986		{ "TC", 11, 3 },
8987		{ "VFVld", 10, 1 },
8988		{ "PF", 7, 3 },
8989		{ "RVF", 0, 7 },
8990	{ "PCIE_FID_VFID", 0x40ec, 0 },
8991		{ "Select", 30, 2 },
8992		{ "IDO", 24, 1 },
8993		{ "VFID", 16, 8 },
8994		{ "TC", 11, 3 },
8995		{ "VFVld", 10, 1 },
8996		{ "PF", 7, 3 },
8997		{ "RVF", 0, 7 },
8998	{ "PCIE_FID_VFID", 0x40f0, 0 },
8999		{ "Select", 30, 2 },
9000		{ "IDO", 24, 1 },
9001		{ "VFID", 16, 8 },
9002		{ "TC", 11, 3 },
9003		{ "VFVld", 10, 1 },
9004		{ "PF", 7, 3 },
9005		{ "RVF", 0, 7 },
9006	{ "PCIE_FID_VFID", 0x40f4, 0 },
9007		{ "Select", 30, 2 },
9008		{ "IDO", 24, 1 },
9009		{ "VFID", 16, 8 },
9010		{ "TC", 11, 3 },
9011		{ "VFVld", 10, 1 },
9012		{ "PF", 7, 3 },
9013		{ "RVF", 0, 7 },
9014	{ "PCIE_FID_VFID", 0x40f8, 0 },
9015		{ "Select", 30, 2 },
9016		{ "IDO", 24, 1 },
9017		{ "VFID", 16, 8 },
9018		{ "TC", 11, 3 },
9019		{ "VFVld", 10, 1 },
9020		{ "PF", 7, 3 },
9021		{ "RVF", 0, 7 },
9022	{ "PCIE_FID_VFID", 0x40fc, 0 },
9023		{ "Select", 30, 2 },
9024		{ "IDO", 24, 1 },
9025		{ "VFID", 16, 8 },
9026		{ "TC", 11, 3 },
9027		{ "VFVld", 10, 1 },
9028		{ "PF", 7, 3 },
9029		{ "RVF", 0, 7 },
9030	{ "PCIE_FID_VFID", 0x4100, 0 },
9031		{ "Select", 30, 2 },
9032		{ "IDO", 24, 1 },
9033		{ "VFID", 16, 8 },
9034		{ "TC", 11, 3 },
9035		{ "VFVld", 10, 1 },
9036		{ "PF", 7, 3 },
9037		{ "RVF", 0, 7 },
9038	{ "PCIE_FID_VFID", 0x4104, 0 },
9039		{ "Select", 30, 2 },
9040		{ "IDO", 24, 1 },
9041		{ "VFID", 16, 8 },
9042		{ "TC", 11, 3 },
9043		{ "VFVld", 10, 1 },
9044		{ "PF", 7, 3 },
9045		{ "RVF", 0, 7 },
9046	{ "PCIE_FID_VFID", 0x4108, 0 },
9047		{ "Select", 30, 2 },
9048		{ "IDO", 24, 1 },
9049		{ "VFID", 16, 8 },
9050		{ "TC", 11, 3 },
9051		{ "VFVld", 10, 1 },
9052		{ "PF", 7, 3 },
9053		{ "RVF", 0, 7 },
9054	{ "PCIE_FID_VFID", 0x410c, 0 },
9055		{ "Select", 30, 2 },
9056		{ "IDO", 24, 1 },
9057		{ "VFID", 16, 8 },
9058		{ "TC", 11, 3 },
9059		{ "VFVld", 10, 1 },
9060		{ "PF", 7, 3 },
9061		{ "RVF", 0, 7 },
9062	{ "PCIE_FID_VFID", 0x4110, 0 },
9063		{ "Select", 30, 2 },
9064		{ "IDO", 24, 1 },
9065		{ "VFID", 16, 8 },
9066		{ "TC", 11, 3 },
9067		{ "VFVld", 10, 1 },
9068		{ "PF", 7, 3 },
9069		{ "RVF", 0, 7 },
9070	{ "PCIE_FID_VFID", 0x4114, 0 },
9071		{ "Select", 30, 2 },
9072		{ "IDO", 24, 1 },
9073		{ "VFID", 16, 8 },
9074		{ "TC", 11, 3 },
9075		{ "VFVld", 10, 1 },
9076		{ "PF", 7, 3 },
9077		{ "RVF", 0, 7 },
9078	{ "PCIE_FID_VFID", 0x4118, 0 },
9079		{ "Select", 30, 2 },
9080		{ "IDO", 24, 1 },
9081		{ "VFID", 16, 8 },
9082		{ "TC", 11, 3 },
9083		{ "VFVld", 10, 1 },
9084		{ "PF", 7, 3 },
9085		{ "RVF", 0, 7 },
9086	{ "PCIE_FID_VFID", 0x411c, 0 },
9087		{ "Select", 30, 2 },
9088		{ "IDO", 24, 1 },
9089		{ "VFID", 16, 8 },
9090		{ "TC", 11, 3 },
9091		{ "VFVld", 10, 1 },
9092		{ "PF", 7, 3 },
9093		{ "RVF", 0, 7 },
9094	{ "PCIE_FID_VFID", 0x4120, 0 },
9095		{ "Select", 30, 2 },
9096		{ "IDO", 24, 1 },
9097		{ "VFID", 16, 8 },
9098		{ "TC", 11, 3 },
9099		{ "VFVld", 10, 1 },
9100		{ "PF", 7, 3 },
9101		{ "RVF", 0, 7 },
9102	{ "PCIE_FID_VFID", 0x4124, 0 },
9103		{ "Select", 30, 2 },
9104		{ "IDO", 24, 1 },
9105		{ "VFID", 16, 8 },
9106		{ "TC", 11, 3 },
9107		{ "VFVld", 10, 1 },
9108		{ "PF", 7, 3 },
9109		{ "RVF", 0, 7 },
9110	{ "PCIE_FID_VFID", 0x4128, 0 },
9111		{ "Select", 30, 2 },
9112		{ "IDO", 24, 1 },
9113		{ "VFID", 16, 8 },
9114		{ "TC", 11, 3 },
9115		{ "VFVld", 10, 1 },
9116		{ "PF", 7, 3 },
9117		{ "RVF", 0, 7 },
9118	{ "PCIE_FID_VFID", 0x412c, 0 },
9119		{ "Select", 30, 2 },
9120		{ "IDO", 24, 1 },
9121		{ "VFID", 16, 8 },
9122		{ "TC", 11, 3 },
9123		{ "VFVld", 10, 1 },
9124		{ "PF", 7, 3 },
9125		{ "RVF", 0, 7 },
9126	{ "PCIE_FID_VFID", 0x4130, 0 },
9127		{ "Select", 30, 2 },
9128		{ "IDO", 24, 1 },
9129		{ "VFID", 16, 8 },
9130		{ "TC", 11, 3 },
9131		{ "VFVld", 10, 1 },
9132		{ "PF", 7, 3 },
9133		{ "RVF", 0, 7 },
9134	{ "PCIE_FID_VFID", 0x4134, 0 },
9135		{ "Select", 30, 2 },
9136		{ "IDO", 24, 1 },
9137		{ "VFID", 16, 8 },
9138		{ "TC", 11, 3 },
9139		{ "VFVld", 10, 1 },
9140		{ "PF", 7, 3 },
9141		{ "RVF", 0, 7 },
9142	{ "PCIE_FID_VFID", 0x4138, 0 },
9143		{ "Select", 30, 2 },
9144		{ "IDO", 24, 1 },
9145		{ "VFID", 16, 8 },
9146		{ "TC", 11, 3 },
9147		{ "VFVld", 10, 1 },
9148		{ "PF", 7, 3 },
9149		{ "RVF", 0, 7 },
9150	{ "PCIE_FID_VFID", 0x413c, 0 },
9151		{ "Select", 30, 2 },
9152		{ "IDO", 24, 1 },
9153		{ "VFID", 16, 8 },
9154		{ "TC", 11, 3 },
9155		{ "VFVld", 10, 1 },
9156		{ "PF", 7, 3 },
9157		{ "RVF", 0, 7 },
9158	{ "PCIE_FID_VFID", 0x4140, 0 },
9159		{ "Select", 30, 2 },
9160		{ "IDO", 24, 1 },
9161		{ "VFID", 16, 8 },
9162		{ "TC", 11, 3 },
9163		{ "VFVld", 10, 1 },
9164		{ "PF", 7, 3 },
9165		{ "RVF", 0, 7 },
9166	{ "PCIE_FID_VFID", 0x4144, 0 },
9167		{ "Select", 30, 2 },
9168		{ "IDO", 24, 1 },
9169		{ "VFID", 16, 8 },
9170		{ "TC", 11, 3 },
9171		{ "VFVld", 10, 1 },
9172		{ "PF", 7, 3 },
9173		{ "RVF", 0, 7 },
9174	{ "PCIE_FID_VFID", 0x4148, 0 },
9175		{ "Select", 30, 2 },
9176		{ "IDO", 24, 1 },
9177		{ "VFID", 16, 8 },
9178		{ "TC", 11, 3 },
9179		{ "VFVld", 10, 1 },
9180		{ "PF", 7, 3 },
9181		{ "RVF", 0, 7 },
9182	{ "PCIE_FID_VFID", 0x414c, 0 },
9183		{ "Select", 30, 2 },
9184		{ "IDO", 24, 1 },
9185		{ "VFID", 16, 8 },
9186		{ "TC", 11, 3 },
9187		{ "VFVld", 10, 1 },
9188		{ "PF", 7, 3 },
9189		{ "RVF", 0, 7 },
9190	{ "PCIE_FID_VFID", 0x4150, 0 },
9191		{ "Select", 30, 2 },
9192		{ "IDO", 24, 1 },
9193		{ "VFID", 16, 8 },
9194		{ "TC", 11, 3 },
9195		{ "VFVld", 10, 1 },
9196		{ "PF", 7, 3 },
9197		{ "RVF", 0, 7 },
9198	{ "PCIE_FID_VFID", 0x4154, 0 },
9199		{ "Select", 30, 2 },
9200		{ "IDO", 24, 1 },
9201		{ "VFID", 16, 8 },
9202		{ "TC", 11, 3 },
9203		{ "VFVld", 10, 1 },
9204		{ "PF", 7, 3 },
9205		{ "RVF", 0, 7 },
9206	{ "PCIE_FID_VFID", 0x4158, 0 },
9207		{ "Select", 30, 2 },
9208		{ "IDO", 24, 1 },
9209		{ "VFID", 16, 8 },
9210		{ "TC", 11, 3 },
9211		{ "VFVld", 10, 1 },
9212		{ "PF", 7, 3 },
9213		{ "RVF", 0, 7 },
9214	{ "PCIE_FID_VFID", 0x415c, 0 },
9215		{ "Select", 30, 2 },
9216		{ "IDO", 24, 1 },
9217		{ "VFID", 16, 8 },
9218		{ "TC", 11, 3 },
9219		{ "VFVld", 10, 1 },
9220		{ "PF", 7, 3 },
9221		{ "RVF", 0, 7 },
9222	{ "PCIE_FID_VFID", 0x4160, 0 },
9223		{ "Select", 30, 2 },
9224		{ "IDO", 24, 1 },
9225		{ "VFID", 16, 8 },
9226		{ "TC", 11, 3 },
9227		{ "VFVld", 10, 1 },
9228		{ "PF", 7, 3 },
9229		{ "RVF", 0, 7 },
9230	{ "PCIE_FID_VFID", 0x4164, 0 },
9231		{ "Select", 30, 2 },
9232		{ "IDO", 24, 1 },
9233		{ "VFID", 16, 8 },
9234		{ "TC", 11, 3 },
9235		{ "VFVld", 10, 1 },
9236		{ "PF", 7, 3 },
9237		{ "RVF", 0, 7 },
9238	{ "PCIE_FID_VFID", 0x4168, 0 },
9239		{ "Select", 30, 2 },
9240		{ "IDO", 24, 1 },
9241		{ "VFID", 16, 8 },
9242		{ "TC", 11, 3 },
9243		{ "VFVld", 10, 1 },
9244		{ "PF", 7, 3 },
9245		{ "RVF", 0, 7 },
9246	{ "PCIE_FID_VFID", 0x416c, 0 },
9247		{ "Select", 30, 2 },
9248		{ "IDO", 24, 1 },
9249		{ "VFID", 16, 8 },
9250		{ "TC", 11, 3 },
9251		{ "VFVld", 10, 1 },
9252		{ "PF", 7, 3 },
9253		{ "RVF", 0, 7 },
9254	{ "PCIE_FID_VFID", 0x4170, 0 },
9255		{ "Select", 30, 2 },
9256		{ "IDO", 24, 1 },
9257		{ "VFID", 16, 8 },
9258		{ "TC", 11, 3 },
9259		{ "VFVld", 10, 1 },
9260		{ "PF", 7, 3 },
9261		{ "RVF", 0, 7 },
9262	{ "PCIE_FID_VFID", 0x4174, 0 },
9263		{ "Select", 30, 2 },
9264		{ "IDO", 24, 1 },
9265		{ "VFID", 16, 8 },
9266		{ "TC", 11, 3 },
9267		{ "VFVld", 10, 1 },
9268		{ "PF", 7, 3 },
9269		{ "RVF", 0, 7 },
9270	{ "PCIE_FID_VFID", 0x4178, 0 },
9271		{ "Select", 30, 2 },
9272		{ "IDO", 24, 1 },
9273		{ "VFID", 16, 8 },
9274		{ "TC", 11, 3 },
9275		{ "VFVld", 10, 1 },
9276		{ "PF", 7, 3 },
9277		{ "RVF", 0, 7 },
9278	{ "PCIE_FID_VFID", 0x417c, 0 },
9279		{ "Select", 30, 2 },
9280		{ "IDO", 24, 1 },
9281		{ "VFID", 16, 8 },
9282		{ "TC", 11, 3 },
9283		{ "VFVld", 10, 1 },
9284		{ "PF", 7, 3 },
9285		{ "RVF", 0, 7 },
9286	{ "PCIE_FID_VFID", 0x4180, 0 },
9287		{ "Select", 30, 2 },
9288		{ "IDO", 24, 1 },
9289		{ "VFID", 16, 8 },
9290		{ "TC", 11, 3 },
9291		{ "VFVld", 10, 1 },
9292		{ "PF", 7, 3 },
9293		{ "RVF", 0, 7 },
9294	{ "PCIE_FID_VFID", 0x4184, 0 },
9295		{ "Select", 30, 2 },
9296		{ "IDO", 24, 1 },
9297		{ "VFID", 16, 8 },
9298		{ "TC", 11, 3 },
9299		{ "VFVld", 10, 1 },
9300		{ "PF", 7, 3 },
9301		{ "RVF", 0, 7 },
9302	{ "PCIE_FID_VFID", 0x4188, 0 },
9303		{ "Select", 30, 2 },
9304		{ "IDO", 24, 1 },
9305		{ "VFID", 16, 8 },
9306		{ "TC", 11, 3 },
9307		{ "VFVld", 10, 1 },
9308		{ "PF", 7, 3 },
9309		{ "RVF", 0, 7 },
9310	{ "PCIE_FID_VFID", 0x418c, 0 },
9311		{ "Select", 30, 2 },
9312		{ "IDO", 24, 1 },
9313		{ "VFID", 16, 8 },
9314		{ "TC", 11, 3 },
9315		{ "VFVld", 10, 1 },
9316		{ "PF", 7, 3 },
9317		{ "RVF", 0, 7 },
9318	{ "PCIE_FID_VFID", 0x4190, 0 },
9319		{ "Select", 30, 2 },
9320		{ "IDO", 24, 1 },
9321		{ "VFID", 16, 8 },
9322		{ "TC", 11, 3 },
9323		{ "VFVld", 10, 1 },
9324		{ "PF", 7, 3 },
9325		{ "RVF", 0, 7 },
9326	{ "PCIE_FID_VFID", 0x4194, 0 },
9327		{ "Select", 30, 2 },
9328		{ "IDO", 24, 1 },
9329		{ "VFID", 16, 8 },
9330		{ "TC", 11, 3 },
9331		{ "VFVld", 10, 1 },
9332		{ "PF", 7, 3 },
9333		{ "RVF", 0, 7 },
9334	{ "PCIE_FID_VFID", 0x4198, 0 },
9335		{ "Select", 30, 2 },
9336		{ "IDO", 24, 1 },
9337		{ "VFID", 16, 8 },
9338		{ "TC", 11, 3 },
9339		{ "VFVld", 10, 1 },
9340		{ "PF", 7, 3 },
9341		{ "RVF", 0, 7 },
9342	{ "PCIE_FID_VFID", 0x419c, 0 },
9343		{ "Select", 30, 2 },
9344		{ "IDO", 24, 1 },
9345		{ "VFID", 16, 8 },
9346		{ "TC", 11, 3 },
9347		{ "VFVld", 10, 1 },
9348		{ "PF", 7, 3 },
9349		{ "RVF", 0, 7 },
9350	{ "PCIE_FID_VFID", 0x41a0, 0 },
9351		{ "Select", 30, 2 },
9352		{ "IDO", 24, 1 },
9353		{ "VFID", 16, 8 },
9354		{ "TC", 11, 3 },
9355		{ "VFVld", 10, 1 },
9356		{ "PF", 7, 3 },
9357		{ "RVF", 0, 7 },
9358	{ "PCIE_FID_VFID", 0x41a4, 0 },
9359		{ "Select", 30, 2 },
9360		{ "IDO", 24, 1 },
9361		{ "VFID", 16, 8 },
9362		{ "TC", 11, 3 },
9363		{ "VFVld", 10, 1 },
9364		{ "PF", 7, 3 },
9365		{ "RVF", 0, 7 },
9366	{ "PCIE_FID_VFID", 0x41a8, 0 },
9367		{ "Select", 30, 2 },
9368		{ "IDO", 24, 1 },
9369		{ "VFID", 16, 8 },
9370		{ "TC", 11, 3 },
9371		{ "VFVld", 10, 1 },
9372		{ "PF", 7, 3 },
9373		{ "RVF", 0, 7 },
9374	{ "PCIE_FID_VFID", 0x41ac, 0 },
9375		{ "Select", 30, 2 },
9376		{ "IDO", 24, 1 },
9377		{ "VFID", 16, 8 },
9378		{ "TC", 11, 3 },
9379		{ "VFVld", 10, 1 },
9380		{ "PF", 7, 3 },
9381		{ "RVF", 0, 7 },
9382	{ "PCIE_FID_VFID", 0x41b0, 0 },
9383		{ "Select", 30, 2 },
9384		{ "IDO", 24, 1 },
9385		{ "VFID", 16, 8 },
9386		{ "TC", 11, 3 },
9387		{ "VFVld", 10, 1 },
9388		{ "PF", 7, 3 },
9389		{ "RVF", 0, 7 },
9390	{ "PCIE_FID_VFID", 0x41b4, 0 },
9391		{ "Select", 30, 2 },
9392		{ "IDO", 24, 1 },
9393		{ "VFID", 16, 8 },
9394		{ "TC", 11, 3 },
9395		{ "VFVld", 10, 1 },
9396		{ "PF", 7, 3 },
9397		{ "RVF", 0, 7 },
9398	{ "PCIE_FID_VFID", 0x41b8, 0 },
9399		{ "Select", 30, 2 },
9400		{ "IDO", 24, 1 },
9401		{ "VFID", 16, 8 },
9402		{ "TC", 11, 3 },
9403		{ "VFVld", 10, 1 },
9404		{ "PF", 7, 3 },
9405		{ "RVF", 0, 7 },
9406	{ "PCIE_FID_VFID", 0x41bc, 0 },
9407		{ "Select", 30, 2 },
9408		{ "IDO", 24, 1 },
9409		{ "VFID", 16, 8 },
9410		{ "TC", 11, 3 },
9411		{ "VFVld", 10, 1 },
9412		{ "PF", 7, 3 },
9413		{ "RVF", 0, 7 },
9414	{ "PCIE_FID_VFID", 0x41c0, 0 },
9415		{ "Select", 30, 2 },
9416		{ "IDO", 24, 1 },
9417		{ "VFID", 16, 8 },
9418		{ "TC", 11, 3 },
9419		{ "VFVld", 10, 1 },
9420		{ "PF", 7, 3 },
9421		{ "RVF", 0, 7 },
9422	{ "PCIE_FID_VFID", 0x41c4, 0 },
9423		{ "Select", 30, 2 },
9424		{ "IDO", 24, 1 },
9425		{ "VFID", 16, 8 },
9426		{ "TC", 11, 3 },
9427		{ "VFVld", 10, 1 },
9428		{ "PF", 7, 3 },
9429		{ "RVF", 0, 7 },
9430	{ "PCIE_FID_VFID", 0x41c8, 0 },
9431		{ "Select", 30, 2 },
9432		{ "IDO", 24, 1 },
9433		{ "VFID", 16, 8 },
9434		{ "TC", 11, 3 },
9435		{ "VFVld", 10, 1 },
9436		{ "PF", 7, 3 },
9437		{ "RVF", 0, 7 },
9438	{ "PCIE_FID_VFID", 0x41cc, 0 },
9439		{ "Select", 30, 2 },
9440		{ "IDO", 24, 1 },
9441		{ "VFID", 16, 8 },
9442		{ "TC", 11, 3 },
9443		{ "VFVld", 10, 1 },
9444		{ "PF", 7, 3 },
9445		{ "RVF", 0, 7 },
9446	{ "PCIE_FID_VFID", 0x41d0, 0 },
9447		{ "Select", 30, 2 },
9448		{ "IDO", 24, 1 },
9449		{ "VFID", 16, 8 },
9450		{ "TC", 11, 3 },
9451		{ "VFVld", 10, 1 },
9452		{ "PF", 7, 3 },
9453		{ "RVF", 0, 7 },
9454	{ "PCIE_FID_VFID", 0x41d4, 0 },
9455		{ "Select", 30, 2 },
9456		{ "IDO", 24, 1 },
9457		{ "VFID", 16, 8 },
9458		{ "TC", 11, 3 },
9459		{ "VFVld", 10, 1 },
9460		{ "PF", 7, 3 },
9461		{ "RVF", 0, 7 },
9462	{ "PCIE_FID_VFID", 0x41d8, 0 },
9463		{ "Select", 30, 2 },
9464		{ "IDO", 24, 1 },
9465		{ "VFID", 16, 8 },
9466		{ "TC", 11, 3 },
9467		{ "VFVld", 10, 1 },
9468		{ "PF", 7, 3 },
9469		{ "RVF", 0, 7 },
9470	{ "PCIE_FID_VFID", 0x41dc, 0 },
9471		{ "Select", 30, 2 },
9472		{ "IDO", 24, 1 },
9473		{ "VFID", 16, 8 },
9474		{ "TC", 11, 3 },
9475		{ "VFVld", 10, 1 },
9476		{ "PF", 7, 3 },
9477		{ "RVF", 0, 7 },
9478	{ "PCIE_FID_VFID", 0x41e0, 0 },
9479		{ "Select", 30, 2 },
9480		{ "IDO", 24, 1 },
9481		{ "VFID", 16, 8 },
9482		{ "TC", 11, 3 },
9483		{ "VFVld", 10, 1 },
9484		{ "PF", 7, 3 },
9485		{ "RVF", 0, 7 },
9486	{ "PCIE_FID_VFID", 0x41e4, 0 },
9487		{ "Select", 30, 2 },
9488		{ "IDO", 24, 1 },
9489		{ "VFID", 16, 8 },
9490		{ "TC", 11, 3 },
9491		{ "VFVld", 10, 1 },
9492		{ "PF", 7, 3 },
9493		{ "RVF", 0, 7 },
9494	{ "PCIE_FID_VFID", 0x41e8, 0 },
9495		{ "Select", 30, 2 },
9496		{ "IDO", 24, 1 },
9497		{ "VFID", 16, 8 },
9498		{ "TC", 11, 3 },
9499		{ "VFVld", 10, 1 },
9500		{ "PF", 7, 3 },
9501		{ "RVF", 0, 7 },
9502	{ "PCIE_FID_VFID", 0x41ec, 0 },
9503		{ "Select", 30, 2 },
9504		{ "IDO", 24, 1 },
9505		{ "VFID", 16, 8 },
9506		{ "TC", 11, 3 },
9507		{ "VFVld", 10, 1 },
9508		{ "PF", 7, 3 },
9509		{ "RVF", 0, 7 },
9510	{ "PCIE_FID_VFID", 0x41f0, 0 },
9511		{ "Select", 30, 2 },
9512		{ "IDO", 24, 1 },
9513		{ "VFID", 16, 8 },
9514		{ "TC", 11, 3 },
9515		{ "VFVld", 10, 1 },
9516		{ "PF", 7, 3 },
9517		{ "RVF", 0, 7 },
9518	{ "PCIE_FID_VFID", 0x41f4, 0 },
9519		{ "Select", 30, 2 },
9520		{ "IDO", 24, 1 },
9521		{ "VFID", 16, 8 },
9522		{ "TC", 11, 3 },
9523		{ "VFVld", 10, 1 },
9524		{ "PF", 7, 3 },
9525		{ "RVF", 0, 7 },
9526	{ "PCIE_FID_VFID", 0x41f8, 0 },
9527		{ "Select", 30, 2 },
9528		{ "IDO", 24, 1 },
9529		{ "VFID", 16, 8 },
9530		{ "TC", 11, 3 },
9531		{ "VFVld", 10, 1 },
9532		{ "PF", 7, 3 },
9533		{ "RVF", 0, 7 },
9534	{ "PCIE_FID_VFID", 0x41fc, 0 },
9535		{ "Select", 30, 2 },
9536		{ "IDO", 24, 1 },
9537		{ "VFID", 16, 8 },
9538		{ "TC", 11, 3 },
9539		{ "VFVld", 10, 1 },
9540		{ "PF", 7, 3 },
9541		{ "RVF", 0, 7 },
9542	{ "PCIE_FID_VFID", 0x4200, 0 },
9543		{ "Select", 30, 2 },
9544		{ "IDO", 24, 1 },
9545		{ "VFID", 16, 8 },
9546		{ "TC", 11, 3 },
9547		{ "VFVld", 10, 1 },
9548		{ "PF", 7, 3 },
9549		{ "RVF", 0, 7 },
9550	{ "PCIE_FID_VFID", 0x4204, 0 },
9551		{ "Select", 30, 2 },
9552		{ "IDO", 24, 1 },
9553		{ "VFID", 16, 8 },
9554		{ "TC", 11, 3 },
9555		{ "VFVld", 10, 1 },
9556		{ "PF", 7, 3 },
9557		{ "RVF", 0, 7 },
9558	{ "PCIE_FID_VFID", 0x4208, 0 },
9559		{ "Select", 30, 2 },
9560		{ "IDO", 24, 1 },
9561		{ "VFID", 16, 8 },
9562		{ "TC", 11, 3 },
9563		{ "VFVld", 10, 1 },
9564		{ "PF", 7, 3 },
9565		{ "RVF", 0, 7 },
9566	{ "PCIE_FID_VFID", 0x420c, 0 },
9567		{ "Select", 30, 2 },
9568		{ "IDO", 24, 1 },
9569		{ "VFID", 16, 8 },
9570		{ "TC", 11, 3 },
9571		{ "VFVld", 10, 1 },
9572		{ "PF", 7, 3 },
9573		{ "RVF", 0, 7 },
9574	{ "PCIE_FID_VFID", 0x4210, 0 },
9575		{ "Select", 30, 2 },
9576		{ "IDO", 24, 1 },
9577		{ "VFID", 16, 8 },
9578		{ "TC", 11, 3 },
9579		{ "VFVld", 10, 1 },
9580		{ "PF", 7, 3 },
9581		{ "RVF", 0, 7 },
9582	{ "PCIE_FID_VFID", 0x4214, 0 },
9583		{ "Select", 30, 2 },
9584		{ "IDO", 24, 1 },
9585		{ "VFID", 16, 8 },
9586		{ "TC", 11, 3 },
9587		{ "VFVld", 10, 1 },
9588		{ "PF", 7, 3 },
9589		{ "RVF", 0, 7 },
9590	{ "PCIE_FID_VFID", 0x4218, 0 },
9591		{ "Select", 30, 2 },
9592		{ "IDO", 24, 1 },
9593		{ "VFID", 16, 8 },
9594		{ "TC", 11, 3 },
9595		{ "VFVld", 10, 1 },
9596		{ "PF", 7, 3 },
9597		{ "RVF", 0, 7 },
9598	{ "PCIE_FID_VFID", 0x421c, 0 },
9599		{ "Select", 30, 2 },
9600		{ "IDO", 24, 1 },
9601		{ "VFID", 16, 8 },
9602		{ "TC", 11, 3 },
9603		{ "VFVld", 10, 1 },
9604		{ "PF", 7, 3 },
9605		{ "RVF", 0, 7 },
9606	{ "PCIE_FID_VFID", 0x4220, 0 },
9607		{ "Select", 30, 2 },
9608		{ "IDO", 24, 1 },
9609		{ "VFID", 16, 8 },
9610		{ "TC", 11, 3 },
9611		{ "VFVld", 10, 1 },
9612		{ "PF", 7, 3 },
9613		{ "RVF", 0, 7 },
9614	{ "PCIE_FID_VFID", 0x4224, 0 },
9615		{ "Select", 30, 2 },
9616		{ "IDO", 24, 1 },
9617		{ "VFID", 16, 8 },
9618		{ "TC", 11, 3 },
9619		{ "VFVld", 10, 1 },
9620		{ "PF", 7, 3 },
9621		{ "RVF", 0, 7 },
9622	{ "PCIE_FID_VFID", 0x4228, 0 },
9623		{ "Select", 30, 2 },
9624		{ "IDO", 24, 1 },
9625		{ "VFID", 16, 8 },
9626		{ "TC", 11, 3 },
9627		{ "VFVld", 10, 1 },
9628		{ "PF", 7, 3 },
9629		{ "RVF", 0, 7 },
9630	{ "PCIE_FID_VFID", 0x422c, 0 },
9631		{ "Select", 30, 2 },
9632		{ "IDO", 24, 1 },
9633		{ "VFID", 16, 8 },
9634		{ "TC", 11, 3 },
9635		{ "VFVld", 10, 1 },
9636		{ "PF", 7, 3 },
9637		{ "RVF", 0, 7 },
9638	{ "PCIE_FID_VFID", 0x4230, 0 },
9639		{ "Select", 30, 2 },
9640		{ "IDO", 24, 1 },
9641		{ "VFID", 16, 8 },
9642		{ "TC", 11, 3 },
9643		{ "VFVld", 10, 1 },
9644		{ "PF", 7, 3 },
9645		{ "RVF", 0, 7 },
9646	{ "PCIE_FID_VFID", 0x4234, 0 },
9647		{ "Select", 30, 2 },
9648		{ "IDO", 24, 1 },
9649		{ "VFID", 16, 8 },
9650		{ "TC", 11, 3 },
9651		{ "VFVld", 10, 1 },
9652		{ "PF", 7, 3 },
9653		{ "RVF", 0, 7 },
9654	{ "PCIE_FID_VFID", 0x4238, 0 },
9655		{ "Select", 30, 2 },
9656		{ "IDO", 24, 1 },
9657		{ "VFID", 16, 8 },
9658		{ "TC", 11, 3 },
9659		{ "VFVld", 10, 1 },
9660		{ "PF", 7, 3 },
9661		{ "RVF", 0, 7 },
9662	{ "PCIE_FID_VFID", 0x423c, 0 },
9663		{ "Select", 30, 2 },
9664		{ "IDO", 24, 1 },
9665		{ "VFID", 16, 8 },
9666		{ "TC", 11, 3 },
9667		{ "VFVld", 10, 1 },
9668		{ "PF", 7, 3 },
9669		{ "RVF", 0, 7 },
9670	{ "PCIE_FID_VFID", 0x4240, 0 },
9671		{ "Select", 30, 2 },
9672		{ "IDO", 24, 1 },
9673		{ "VFID", 16, 8 },
9674		{ "TC", 11, 3 },
9675		{ "VFVld", 10, 1 },
9676		{ "PF", 7, 3 },
9677		{ "RVF", 0, 7 },
9678	{ "PCIE_FID_VFID", 0x4244, 0 },
9679		{ "Select", 30, 2 },
9680		{ "IDO", 24, 1 },
9681		{ "VFID", 16, 8 },
9682		{ "TC", 11, 3 },
9683		{ "VFVld", 10, 1 },
9684		{ "PF", 7, 3 },
9685		{ "RVF", 0, 7 },
9686	{ "PCIE_FID_VFID", 0x4248, 0 },
9687		{ "Select", 30, 2 },
9688		{ "IDO", 24, 1 },
9689		{ "VFID", 16, 8 },
9690		{ "TC", 11, 3 },
9691		{ "VFVld", 10, 1 },
9692		{ "PF", 7, 3 },
9693		{ "RVF", 0, 7 },
9694	{ "PCIE_FID_VFID", 0x424c, 0 },
9695		{ "Select", 30, 2 },
9696		{ "IDO", 24, 1 },
9697		{ "VFID", 16, 8 },
9698		{ "TC", 11, 3 },
9699		{ "VFVld", 10, 1 },
9700		{ "PF", 7, 3 },
9701		{ "RVF", 0, 7 },
9702	{ "PCIE_FID_VFID", 0x4250, 0 },
9703		{ "Select", 30, 2 },
9704		{ "IDO", 24, 1 },
9705		{ "VFID", 16, 8 },
9706		{ "TC", 11, 3 },
9707		{ "VFVld", 10, 1 },
9708		{ "PF", 7, 3 },
9709		{ "RVF", 0, 7 },
9710	{ "PCIE_FID_VFID", 0x4254, 0 },
9711		{ "Select", 30, 2 },
9712		{ "IDO", 24, 1 },
9713		{ "VFID", 16, 8 },
9714		{ "TC", 11, 3 },
9715		{ "VFVld", 10, 1 },
9716		{ "PF", 7, 3 },
9717		{ "RVF", 0, 7 },
9718	{ "PCIE_FID_VFID", 0x4258, 0 },
9719		{ "Select", 30, 2 },
9720		{ "IDO", 24, 1 },
9721		{ "VFID", 16, 8 },
9722		{ "TC", 11, 3 },
9723		{ "VFVld", 10, 1 },
9724		{ "PF", 7, 3 },
9725		{ "RVF", 0, 7 },
9726	{ "PCIE_FID_VFID", 0x425c, 0 },
9727		{ "Select", 30, 2 },
9728		{ "IDO", 24, 1 },
9729		{ "VFID", 16, 8 },
9730		{ "TC", 11, 3 },
9731		{ "VFVld", 10, 1 },
9732		{ "PF", 7, 3 },
9733		{ "RVF", 0, 7 },
9734	{ "PCIE_FID_VFID", 0x4260, 0 },
9735		{ "Select", 30, 2 },
9736		{ "IDO", 24, 1 },
9737		{ "VFID", 16, 8 },
9738		{ "TC", 11, 3 },
9739		{ "VFVld", 10, 1 },
9740		{ "PF", 7, 3 },
9741		{ "RVF", 0, 7 },
9742	{ "PCIE_FID_VFID", 0x4264, 0 },
9743		{ "Select", 30, 2 },
9744		{ "IDO", 24, 1 },
9745		{ "VFID", 16, 8 },
9746		{ "TC", 11, 3 },
9747		{ "VFVld", 10, 1 },
9748		{ "PF", 7, 3 },
9749		{ "RVF", 0, 7 },
9750	{ "PCIE_FID_VFID", 0x4268, 0 },
9751		{ "Select", 30, 2 },
9752		{ "IDO", 24, 1 },
9753		{ "VFID", 16, 8 },
9754		{ "TC", 11, 3 },
9755		{ "VFVld", 10, 1 },
9756		{ "PF", 7, 3 },
9757		{ "RVF", 0, 7 },
9758	{ "PCIE_FID_VFID", 0x426c, 0 },
9759		{ "Select", 30, 2 },
9760		{ "IDO", 24, 1 },
9761		{ "VFID", 16, 8 },
9762		{ "TC", 11, 3 },
9763		{ "VFVld", 10, 1 },
9764		{ "PF", 7, 3 },
9765		{ "RVF", 0, 7 },
9766	{ "PCIE_FID_VFID", 0x4270, 0 },
9767		{ "Select", 30, 2 },
9768		{ "IDO", 24, 1 },
9769		{ "VFID", 16, 8 },
9770		{ "TC", 11, 3 },
9771		{ "VFVld", 10, 1 },
9772		{ "PF", 7, 3 },
9773		{ "RVF", 0, 7 },
9774	{ "PCIE_FID_VFID", 0x4274, 0 },
9775		{ "Select", 30, 2 },
9776		{ "IDO", 24, 1 },
9777		{ "VFID", 16, 8 },
9778		{ "TC", 11, 3 },
9779		{ "VFVld", 10, 1 },
9780		{ "PF", 7, 3 },
9781		{ "RVF", 0, 7 },
9782	{ "PCIE_FID_VFID", 0x4278, 0 },
9783		{ "Select", 30, 2 },
9784		{ "IDO", 24, 1 },
9785		{ "VFID", 16, 8 },
9786		{ "TC", 11, 3 },
9787		{ "VFVld", 10, 1 },
9788		{ "PF", 7, 3 },
9789		{ "RVF", 0, 7 },
9790	{ "PCIE_FID_VFID", 0x427c, 0 },
9791		{ "Select", 30, 2 },
9792		{ "IDO", 24, 1 },
9793		{ "VFID", 16, 8 },
9794		{ "TC", 11, 3 },
9795		{ "VFVld", 10, 1 },
9796		{ "PF", 7, 3 },
9797		{ "RVF", 0, 7 },
9798	{ "PCIE_FID_VFID", 0x4280, 0 },
9799		{ "Select", 30, 2 },
9800		{ "IDO", 24, 1 },
9801		{ "VFID", 16, 8 },
9802		{ "TC", 11, 3 },
9803		{ "VFVld", 10, 1 },
9804		{ "PF", 7, 3 },
9805		{ "RVF", 0, 7 },
9806	{ "PCIE_FID_VFID", 0x4284, 0 },
9807		{ "Select", 30, 2 },
9808		{ "IDO", 24, 1 },
9809		{ "VFID", 16, 8 },
9810		{ "TC", 11, 3 },
9811		{ "VFVld", 10, 1 },
9812		{ "PF", 7, 3 },
9813		{ "RVF", 0, 7 },
9814	{ "PCIE_FID_VFID", 0x4288, 0 },
9815		{ "Select", 30, 2 },
9816		{ "IDO", 24, 1 },
9817		{ "VFID", 16, 8 },
9818		{ "TC", 11, 3 },
9819		{ "VFVld", 10, 1 },
9820		{ "PF", 7, 3 },
9821		{ "RVF", 0, 7 },
9822	{ "PCIE_FID_VFID", 0x428c, 0 },
9823		{ "Select", 30, 2 },
9824		{ "IDO", 24, 1 },
9825		{ "VFID", 16, 8 },
9826		{ "TC", 11, 3 },
9827		{ "VFVld", 10, 1 },
9828		{ "PF", 7, 3 },
9829		{ "RVF", 0, 7 },
9830	{ "PCIE_FID_VFID", 0x4290, 0 },
9831		{ "Select", 30, 2 },
9832		{ "IDO", 24, 1 },
9833		{ "VFID", 16, 8 },
9834		{ "TC", 11, 3 },
9835		{ "VFVld", 10, 1 },
9836		{ "PF", 7, 3 },
9837		{ "RVF", 0, 7 },
9838	{ "PCIE_FID_VFID", 0x4294, 0 },
9839		{ "Select", 30, 2 },
9840		{ "IDO", 24, 1 },
9841		{ "VFID", 16, 8 },
9842		{ "TC", 11, 3 },
9843		{ "VFVld", 10, 1 },
9844		{ "PF", 7, 3 },
9845		{ "RVF", 0, 7 },
9846	{ "PCIE_FID_VFID", 0x4298, 0 },
9847		{ "Select", 30, 2 },
9848		{ "IDO", 24, 1 },
9849		{ "VFID", 16, 8 },
9850		{ "TC", 11, 3 },
9851		{ "VFVld", 10, 1 },
9852		{ "PF", 7, 3 },
9853		{ "RVF", 0, 7 },
9854	{ "PCIE_FID_VFID", 0x429c, 0 },
9855		{ "Select", 30, 2 },
9856		{ "IDO", 24, 1 },
9857		{ "VFID", 16, 8 },
9858		{ "TC", 11, 3 },
9859		{ "VFVld", 10, 1 },
9860		{ "PF", 7, 3 },
9861		{ "RVF", 0, 7 },
9862	{ "PCIE_FID_VFID", 0x42a0, 0 },
9863		{ "Select", 30, 2 },
9864		{ "IDO", 24, 1 },
9865		{ "VFID", 16, 8 },
9866		{ "TC", 11, 3 },
9867		{ "VFVld", 10, 1 },
9868		{ "PF", 7, 3 },
9869		{ "RVF", 0, 7 },
9870	{ "PCIE_FID_VFID", 0x42a4, 0 },
9871		{ "Select", 30, 2 },
9872		{ "IDO", 24, 1 },
9873		{ "VFID", 16, 8 },
9874		{ "TC", 11, 3 },
9875		{ "VFVld", 10, 1 },
9876		{ "PF", 7, 3 },
9877		{ "RVF", 0, 7 },
9878	{ "PCIE_FID_VFID", 0x42a8, 0 },
9879		{ "Select", 30, 2 },
9880		{ "IDO", 24, 1 },
9881		{ "VFID", 16, 8 },
9882		{ "TC", 11, 3 },
9883		{ "VFVld", 10, 1 },
9884		{ "PF", 7, 3 },
9885		{ "RVF", 0, 7 },
9886	{ "PCIE_FID_VFID", 0x42ac, 0 },
9887		{ "Select", 30, 2 },
9888		{ "IDO", 24, 1 },
9889		{ "VFID", 16, 8 },
9890		{ "TC", 11, 3 },
9891		{ "VFVld", 10, 1 },
9892		{ "PF", 7, 3 },
9893		{ "RVF", 0, 7 },
9894	{ "PCIE_FID_VFID", 0x42b0, 0 },
9895		{ "Select", 30, 2 },
9896		{ "IDO", 24, 1 },
9897		{ "VFID", 16, 8 },
9898		{ "TC", 11, 3 },
9899		{ "VFVld", 10, 1 },
9900		{ "PF", 7, 3 },
9901		{ "RVF", 0, 7 },
9902	{ "PCIE_FID_VFID", 0x42b4, 0 },
9903		{ "Select", 30, 2 },
9904		{ "IDO", 24, 1 },
9905		{ "VFID", 16, 8 },
9906		{ "TC", 11, 3 },
9907		{ "VFVld", 10, 1 },
9908		{ "PF", 7, 3 },
9909		{ "RVF", 0, 7 },
9910	{ "PCIE_FID_VFID", 0x42b8, 0 },
9911		{ "Select", 30, 2 },
9912		{ "IDO", 24, 1 },
9913		{ "VFID", 16, 8 },
9914		{ "TC", 11, 3 },
9915		{ "VFVld", 10, 1 },
9916		{ "PF", 7, 3 },
9917		{ "RVF", 0, 7 },
9918	{ "PCIE_FID_VFID", 0x42bc, 0 },
9919		{ "Select", 30, 2 },
9920		{ "IDO", 24, 1 },
9921		{ "VFID", 16, 8 },
9922		{ "TC", 11, 3 },
9923		{ "VFVld", 10, 1 },
9924		{ "PF", 7, 3 },
9925		{ "RVF", 0, 7 },
9926	{ "PCIE_FID_VFID", 0x42c0, 0 },
9927		{ "Select", 30, 2 },
9928		{ "IDO", 24, 1 },
9929		{ "VFID", 16, 8 },
9930		{ "TC", 11, 3 },
9931		{ "VFVld", 10, 1 },
9932		{ "PF", 7, 3 },
9933		{ "RVF", 0, 7 },
9934	{ "PCIE_FID_VFID", 0x42c4, 0 },
9935		{ "Select", 30, 2 },
9936		{ "IDO", 24, 1 },
9937		{ "VFID", 16, 8 },
9938		{ "TC", 11, 3 },
9939		{ "VFVld", 10, 1 },
9940		{ "PF", 7, 3 },
9941		{ "RVF", 0, 7 },
9942	{ "PCIE_FID_VFID", 0x42c8, 0 },
9943		{ "Select", 30, 2 },
9944		{ "IDO", 24, 1 },
9945		{ "VFID", 16, 8 },
9946		{ "TC", 11, 3 },
9947		{ "VFVld", 10, 1 },
9948		{ "PF", 7, 3 },
9949		{ "RVF", 0, 7 },
9950	{ "PCIE_FID_VFID", 0x42cc, 0 },
9951		{ "Select", 30, 2 },
9952		{ "IDO", 24, 1 },
9953		{ "VFID", 16, 8 },
9954		{ "TC", 11, 3 },
9955		{ "VFVld", 10, 1 },
9956		{ "PF", 7, 3 },
9957		{ "RVF", 0, 7 },
9958	{ "PCIE_FID_VFID", 0x42d0, 0 },
9959		{ "Select", 30, 2 },
9960		{ "IDO", 24, 1 },
9961		{ "VFID", 16, 8 },
9962		{ "TC", 11, 3 },
9963		{ "VFVld", 10, 1 },
9964		{ "PF", 7, 3 },
9965		{ "RVF", 0, 7 },
9966	{ "PCIE_FID_VFID", 0x42d4, 0 },
9967		{ "Select", 30, 2 },
9968		{ "IDO", 24, 1 },
9969		{ "VFID", 16, 8 },
9970		{ "TC", 11, 3 },
9971		{ "VFVld", 10, 1 },
9972		{ "PF", 7, 3 },
9973		{ "RVF", 0, 7 },
9974	{ "PCIE_FID_VFID", 0x42d8, 0 },
9975		{ "Select", 30, 2 },
9976		{ "IDO", 24, 1 },
9977		{ "VFID", 16, 8 },
9978		{ "TC", 11, 3 },
9979		{ "VFVld", 10, 1 },
9980		{ "PF", 7, 3 },
9981		{ "RVF", 0, 7 },
9982	{ "PCIE_FID_VFID", 0x42dc, 0 },
9983		{ "Select", 30, 2 },
9984		{ "IDO", 24, 1 },
9985		{ "VFID", 16, 8 },
9986		{ "TC", 11, 3 },
9987		{ "VFVld", 10, 1 },
9988		{ "PF", 7, 3 },
9989		{ "RVF", 0, 7 },
9990	{ "PCIE_FID_VFID", 0x42e0, 0 },
9991		{ "Select", 30, 2 },
9992		{ "IDO", 24, 1 },
9993		{ "VFID", 16, 8 },
9994		{ "TC", 11, 3 },
9995		{ "VFVld", 10, 1 },
9996		{ "PF", 7, 3 },
9997		{ "RVF", 0, 7 },
9998	{ "PCIE_FID_VFID", 0x42e4, 0 },
9999		{ "Select", 30, 2 },
10000		{ "IDO", 24, 1 },
10001		{ "VFID", 16, 8 },
10002		{ "TC", 11, 3 },
10003		{ "VFVld", 10, 1 },
10004		{ "PF", 7, 3 },
10005		{ "RVF", 0, 7 },
10006	{ "PCIE_FID_VFID", 0x42e8, 0 },
10007		{ "Select", 30, 2 },
10008		{ "IDO", 24, 1 },
10009		{ "VFID", 16, 8 },
10010		{ "TC", 11, 3 },
10011		{ "VFVld", 10, 1 },
10012		{ "PF", 7, 3 },
10013		{ "RVF", 0, 7 },
10014	{ "PCIE_FID_VFID", 0x42ec, 0 },
10015		{ "Select", 30, 2 },
10016		{ "IDO", 24, 1 },
10017		{ "VFID", 16, 8 },
10018		{ "TC", 11, 3 },
10019		{ "VFVld", 10, 1 },
10020		{ "PF", 7, 3 },
10021		{ "RVF", 0, 7 },
10022	{ "PCIE_FID_VFID", 0x42f0, 0 },
10023		{ "Select", 30, 2 },
10024		{ "IDO", 24, 1 },
10025		{ "VFID", 16, 8 },
10026		{ "TC", 11, 3 },
10027		{ "VFVld", 10, 1 },
10028		{ "PF", 7, 3 },
10029		{ "RVF", 0, 7 },
10030	{ "PCIE_FID_VFID", 0x42f4, 0 },
10031		{ "Select", 30, 2 },
10032		{ "IDO", 24, 1 },
10033		{ "VFID", 16, 8 },
10034		{ "TC", 11, 3 },
10035		{ "VFVld", 10, 1 },
10036		{ "PF", 7, 3 },
10037		{ "RVF", 0, 7 },
10038	{ "PCIE_FID_VFID", 0x42f8, 0 },
10039		{ "Select", 30, 2 },
10040		{ "IDO", 24, 1 },
10041		{ "VFID", 16, 8 },
10042		{ "TC", 11, 3 },
10043		{ "VFVld", 10, 1 },
10044		{ "PF", 7, 3 },
10045		{ "RVF", 0, 7 },
10046	{ "PCIE_FID_VFID", 0x42fc, 0 },
10047		{ "Select", 30, 2 },
10048		{ "IDO", 24, 1 },
10049		{ "VFID", 16, 8 },
10050		{ "TC", 11, 3 },
10051		{ "VFVld", 10, 1 },
10052		{ "PF", 7, 3 },
10053		{ "RVF", 0, 7 },
10054	{ "PCIE_FID_VFID", 0x4300, 0 },
10055		{ "Select", 30, 2 },
10056		{ "IDO", 24, 1 },
10057		{ "VFID", 16, 8 },
10058		{ "TC", 11, 3 },
10059		{ "VFVld", 10, 1 },
10060		{ "PF", 7, 3 },
10061		{ "RVF", 0, 7 },
10062	{ "PCIE_FID_VFID", 0x4304, 0 },
10063		{ "Select", 30, 2 },
10064		{ "IDO", 24, 1 },
10065		{ "VFID", 16, 8 },
10066		{ "TC", 11, 3 },
10067		{ "VFVld", 10, 1 },
10068		{ "PF", 7, 3 },
10069		{ "RVF", 0, 7 },
10070	{ "PCIE_FID_VFID", 0x4308, 0 },
10071		{ "Select", 30, 2 },
10072		{ "IDO", 24, 1 },
10073		{ "VFID", 16, 8 },
10074		{ "TC", 11, 3 },
10075		{ "VFVld", 10, 1 },
10076		{ "PF", 7, 3 },
10077		{ "RVF", 0, 7 },
10078	{ "PCIE_FID_VFID", 0x430c, 0 },
10079		{ "Select", 30, 2 },
10080		{ "IDO", 24, 1 },
10081		{ "VFID", 16, 8 },
10082		{ "TC", 11, 3 },
10083		{ "VFVld", 10, 1 },
10084		{ "PF", 7, 3 },
10085		{ "RVF", 0, 7 },
10086	{ "PCIE_FID_VFID", 0x4310, 0 },
10087		{ "Select", 30, 2 },
10088		{ "IDO", 24, 1 },
10089		{ "VFID", 16, 8 },
10090		{ "TC", 11, 3 },
10091		{ "VFVld", 10, 1 },
10092		{ "PF", 7, 3 },
10093		{ "RVF", 0, 7 },
10094	{ "PCIE_FID_VFID", 0x4314, 0 },
10095		{ "Select", 30, 2 },
10096		{ "IDO", 24, 1 },
10097		{ "VFID", 16, 8 },
10098		{ "TC", 11, 3 },
10099		{ "VFVld", 10, 1 },
10100		{ "PF", 7, 3 },
10101		{ "RVF", 0, 7 },
10102	{ "PCIE_FID_VFID", 0x4318, 0 },
10103		{ "Select", 30, 2 },
10104		{ "IDO", 24, 1 },
10105		{ "VFID", 16, 8 },
10106		{ "TC", 11, 3 },
10107		{ "VFVld", 10, 1 },
10108		{ "PF", 7, 3 },
10109		{ "RVF", 0, 7 },
10110	{ "PCIE_FID_VFID", 0x431c, 0 },
10111		{ "Select", 30, 2 },
10112		{ "IDO", 24, 1 },
10113		{ "VFID", 16, 8 },
10114		{ "TC", 11, 3 },
10115		{ "VFVld", 10, 1 },
10116		{ "PF", 7, 3 },
10117		{ "RVF", 0, 7 },
10118	{ "PCIE_FID_VFID", 0x4320, 0 },
10119		{ "Select", 30, 2 },
10120		{ "IDO", 24, 1 },
10121		{ "VFID", 16, 8 },
10122		{ "TC", 11, 3 },
10123		{ "VFVld", 10, 1 },
10124		{ "PF", 7, 3 },
10125		{ "RVF", 0, 7 },
10126	{ "PCIE_FID_VFID", 0x4324, 0 },
10127		{ "Select", 30, 2 },
10128		{ "IDO", 24, 1 },
10129		{ "VFID", 16, 8 },
10130		{ "TC", 11, 3 },
10131		{ "VFVld", 10, 1 },
10132		{ "PF", 7, 3 },
10133		{ "RVF", 0, 7 },
10134	{ "PCIE_FID_VFID", 0x4328, 0 },
10135		{ "Select", 30, 2 },
10136		{ "IDO", 24, 1 },
10137		{ "VFID", 16, 8 },
10138		{ "TC", 11, 3 },
10139		{ "VFVld", 10, 1 },
10140		{ "PF", 7, 3 },
10141		{ "RVF", 0, 7 },
10142	{ "PCIE_FID_VFID", 0x432c, 0 },
10143		{ "Select", 30, 2 },
10144		{ "IDO", 24, 1 },
10145		{ "VFID", 16, 8 },
10146		{ "TC", 11, 3 },
10147		{ "VFVld", 10, 1 },
10148		{ "PF", 7, 3 },
10149		{ "RVF", 0, 7 },
10150	{ "PCIE_FID_VFID", 0x4330, 0 },
10151		{ "Select", 30, 2 },
10152		{ "IDO", 24, 1 },
10153		{ "VFID", 16, 8 },
10154		{ "TC", 11, 3 },
10155		{ "VFVld", 10, 1 },
10156		{ "PF", 7, 3 },
10157		{ "RVF", 0, 7 },
10158	{ "PCIE_FID_VFID", 0x4334, 0 },
10159		{ "Select", 30, 2 },
10160		{ "IDO", 24, 1 },
10161		{ "VFID", 16, 8 },
10162		{ "TC", 11, 3 },
10163		{ "VFVld", 10, 1 },
10164		{ "PF", 7, 3 },
10165		{ "RVF", 0, 7 },
10166	{ "PCIE_FID_VFID", 0x4338, 0 },
10167		{ "Select", 30, 2 },
10168		{ "IDO", 24, 1 },
10169		{ "VFID", 16, 8 },
10170		{ "TC", 11, 3 },
10171		{ "VFVld", 10, 1 },
10172		{ "PF", 7, 3 },
10173		{ "RVF", 0, 7 },
10174	{ "PCIE_FID_VFID", 0x433c, 0 },
10175		{ "Select", 30, 2 },
10176		{ "IDO", 24, 1 },
10177		{ "VFID", 16, 8 },
10178		{ "TC", 11, 3 },
10179		{ "VFVld", 10, 1 },
10180		{ "PF", 7, 3 },
10181		{ "RVF", 0, 7 },
10182	{ "PCIE_FID_VFID", 0x4340, 0 },
10183		{ "Select", 30, 2 },
10184		{ "IDO", 24, 1 },
10185		{ "VFID", 16, 8 },
10186		{ "TC", 11, 3 },
10187		{ "VFVld", 10, 1 },
10188		{ "PF", 7, 3 },
10189		{ "RVF", 0, 7 },
10190	{ "PCIE_FID_VFID", 0x4344, 0 },
10191		{ "Select", 30, 2 },
10192		{ "IDO", 24, 1 },
10193		{ "VFID", 16, 8 },
10194		{ "TC", 11, 3 },
10195		{ "VFVld", 10, 1 },
10196		{ "PF", 7, 3 },
10197		{ "RVF", 0, 7 },
10198	{ "PCIE_FID_VFID", 0x4348, 0 },
10199		{ "Select", 30, 2 },
10200		{ "IDO", 24, 1 },
10201		{ "VFID", 16, 8 },
10202		{ "TC", 11, 3 },
10203		{ "VFVld", 10, 1 },
10204		{ "PF", 7, 3 },
10205		{ "RVF", 0, 7 },
10206	{ "PCIE_FID_VFID", 0x434c, 0 },
10207		{ "Select", 30, 2 },
10208		{ "IDO", 24, 1 },
10209		{ "VFID", 16, 8 },
10210		{ "TC", 11, 3 },
10211		{ "VFVld", 10, 1 },
10212		{ "PF", 7, 3 },
10213		{ "RVF", 0, 7 },
10214	{ "PCIE_FID_VFID", 0x4350, 0 },
10215		{ "Select", 30, 2 },
10216		{ "IDO", 24, 1 },
10217		{ "VFID", 16, 8 },
10218		{ "TC", 11, 3 },
10219		{ "VFVld", 10, 1 },
10220		{ "PF", 7, 3 },
10221		{ "RVF", 0, 7 },
10222	{ "PCIE_FID_VFID", 0x4354, 0 },
10223		{ "Select", 30, 2 },
10224		{ "IDO", 24, 1 },
10225		{ "VFID", 16, 8 },
10226		{ "TC", 11, 3 },
10227		{ "VFVld", 10, 1 },
10228		{ "PF", 7, 3 },
10229		{ "RVF", 0, 7 },
10230	{ "PCIE_FID_VFID", 0x4358, 0 },
10231		{ "Select", 30, 2 },
10232		{ "IDO", 24, 1 },
10233		{ "VFID", 16, 8 },
10234		{ "TC", 11, 3 },
10235		{ "VFVld", 10, 1 },
10236		{ "PF", 7, 3 },
10237		{ "RVF", 0, 7 },
10238	{ "PCIE_FID_VFID", 0x435c, 0 },
10239		{ "Select", 30, 2 },
10240		{ "IDO", 24, 1 },
10241		{ "VFID", 16, 8 },
10242		{ "TC", 11, 3 },
10243		{ "VFVld", 10, 1 },
10244		{ "PF", 7, 3 },
10245		{ "RVF", 0, 7 },
10246	{ "PCIE_FID_VFID", 0x4360, 0 },
10247		{ "Select", 30, 2 },
10248		{ "IDO", 24, 1 },
10249		{ "VFID", 16, 8 },
10250		{ "TC", 11, 3 },
10251		{ "VFVld", 10, 1 },
10252		{ "PF", 7, 3 },
10253		{ "RVF", 0, 7 },
10254	{ "PCIE_FID_VFID", 0x4364, 0 },
10255		{ "Select", 30, 2 },
10256		{ "IDO", 24, 1 },
10257		{ "VFID", 16, 8 },
10258		{ "TC", 11, 3 },
10259		{ "VFVld", 10, 1 },
10260		{ "PF", 7, 3 },
10261		{ "RVF", 0, 7 },
10262	{ "PCIE_FID_VFID", 0x4368, 0 },
10263		{ "Select", 30, 2 },
10264		{ "IDO", 24, 1 },
10265		{ "VFID", 16, 8 },
10266		{ "TC", 11, 3 },
10267		{ "VFVld", 10, 1 },
10268		{ "PF", 7, 3 },
10269		{ "RVF", 0, 7 },
10270	{ "PCIE_FID_VFID", 0x436c, 0 },
10271		{ "Select", 30, 2 },
10272		{ "IDO", 24, 1 },
10273		{ "VFID", 16, 8 },
10274		{ "TC", 11, 3 },
10275		{ "VFVld", 10, 1 },
10276		{ "PF", 7, 3 },
10277		{ "RVF", 0, 7 },
10278	{ "PCIE_FID_VFID", 0x4370, 0 },
10279		{ "Select", 30, 2 },
10280		{ "IDO", 24, 1 },
10281		{ "VFID", 16, 8 },
10282		{ "TC", 11, 3 },
10283		{ "VFVld", 10, 1 },
10284		{ "PF", 7, 3 },
10285		{ "RVF", 0, 7 },
10286	{ "PCIE_FID_VFID", 0x4374, 0 },
10287		{ "Select", 30, 2 },
10288		{ "IDO", 24, 1 },
10289		{ "VFID", 16, 8 },
10290		{ "TC", 11, 3 },
10291		{ "VFVld", 10, 1 },
10292		{ "PF", 7, 3 },
10293		{ "RVF", 0, 7 },
10294	{ "PCIE_FID_VFID", 0x4378, 0 },
10295		{ "Select", 30, 2 },
10296		{ "IDO", 24, 1 },
10297		{ "VFID", 16, 8 },
10298		{ "TC", 11, 3 },
10299		{ "VFVld", 10, 1 },
10300		{ "PF", 7, 3 },
10301		{ "RVF", 0, 7 },
10302	{ "PCIE_FID_VFID", 0x437c, 0 },
10303		{ "Select", 30, 2 },
10304		{ "IDO", 24, 1 },
10305		{ "VFID", 16, 8 },
10306		{ "TC", 11, 3 },
10307		{ "VFVld", 10, 1 },
10308		{ "PF", 7, 3 },
10309		{ "RVF", 0, 7 },
10310	{ "PCIE_FID_VFID", 0x4380, 0 },
10311		{ "Select", 30, 2 },
10312		{ "IDO", 24, 1 },
10313		{ "VFID", 16, 8 },
10314		{ "TC", 11, 3 },
10315		{ "VFVld", 10, 1 },
10316		{ "PF", 7, 3 },
10317		{ "RVF", 0, 7 },
10318	{ "PCIE_FID_VFID", 0x4384, 0 },
10319		{ "Select", 30, 2 },
10320		{ "IDO", 24, 1 },
10321		{ "VFID", 16, 8 },
10322		{ "TC", 11, 3 },
10323		{ "VFVld", 10, 1 },
10324		{ "PF", 7, 3 },
10325		{ "RVF", 0, 7 },
10326	{ "PCIE_FID_VFID", 0x4388, 0 },
10327		{ "Select", 30, 2 },
10328		{ "IDO", 24, 1 },
10329		{ "VFID", 16, 8 },
10330		{ "TC", 11, 3 },
10331		{ "VFVld", 10, 1 },
10332		{ "PF", 7, 3 },
10333		{ "RVF", 0, 7 },
10334	{ "PCIE_FID_VFID", 0x438c, 0 },
10335		{ "Select", 30, 2 },
10336		{ "IDO", 24, 1 },
10337		{ "VFID", 16, 8 },
10338		{ "TC", 11, 3 },
10339		{ "VFVld", 10, 1 },
10340		{ "PF", 7, 3 },
10341		{ "RVF", 0, 7 },
10342	{ "PCIE_FID_VFID", 0x4390, 0 },
10343		{ "Select", 30, 2 },
10344		{ "IDO", 24, 1 },
10345		{ "VFID", 16, 8 },
10346		{ "TC", 11, 3 },
10347		{ "VFVld", 10, 1 },
10348		{ "PF", 7, 3 },
10349		{ "RVF", 0, 7 },
10350	{ "PCIE_FID_VFID", 0x4394, 0 },
10351		{ "Select", 30, 2 },
10352		{ "IDO", 24, 1 },
10353		{ "VFID", 16, 8 },
10354		{ "TC", 11, 3 },
10355		{ "VFVld", 10, 1 },
10356		{ "PF", 7, 3 },
10357		{ "RVF", 0, 7 },
10358	{ "PCIE_FID_VFID", 0x4398, 0 },
10359		{ "Select", 30, 2 },
10360		{ "IDO", 24, 1 },
10361		{ "VFID", 16, 8 },
10362		{ "TC", 11, 3 },
10363		{ "VFVld", 10, 1 },
10364		{ "PF", 7, 3 },
10365		{ "RVF", 0, 7 },
10366	{ "PCIE_FID_VFID", 0x439c, 0 },
10367		{ "Select", 30, 2 },
10368		{ "IDO", 24, 1 },
10369		{ "VFID", 16, 8 },
10370		{ "TC", 11, 3 },
10371		{ "VFVld", 10, 1 },
10372		{ "PF", 7, 3 },
10373		{ "RVF", 0, 7 },
10374	{ "PCIE_FID_VFID", 0x43a0, 0 },
10375		{ "Select", 30, 2 },
10376		{ "IDO", 24, 1 },
10377		{ "VFID", 16, 8 },
10378		{ "TC", 11, 3 },
10379		{ "VFVld", 10, 1 },
10380		{ "PF", 7, 3 },
10381		{ "RVF", 0, 7 },
10382	{ "PCIE_FID_VFID", 0x43a4, 0 },
10383		{ "Select", 30, 2 },
10384		{ "IDO", 24, 1 },
10385		{ "VFID", 16, 8 },
10386		{ "TC", 11, 3 },
10387		{ "VFVld", 10, 1 },
10388		{ "PF", 7, 3 },
10389		{ "RVF", 0, 7 },
10390	{ "PCIE_FID_VFID", 0x43a8, 0 },
10391		{ "Select", 30, 2 },
10392		{ "IDO", 24, 1 },
10393		{ "VFID", 16, 8 },
10394		{ "TC", 11, 3 },
10395		{ "VFVld", 10, 1 },
10396		{ "PF", 7, 3 },
10397		{ "RVF", 0, 7 },
10398	{ "PCIE_FID_VFID", 0x43ac, 0 },
10399		{ "Select", 30, 2 },
10400		{ "IDO", 24, 1 },
10401		{ "VFID", 16, 8 },
10402		{ "TC", 11, 3 },
10403		{ "VFVld", 10, 1 },
10404		{ "PF", 7, 3 },
10405		{ "RVF", 0, 7 },
10406	{ "PCIE_FID_VFID", 0x43b0, 0 },
10407		{ "Select", 30, 2 },
10408		{ "IDO", 24, 1 },
10409		{ "VFID", 16, 8 },
10410		{ "TC", 11, 3 },
10411		{ "VFVld", 10, 1 },
10412		{ "PF", 7, 3 },
10413		{ "RVF", 0, 7 },
10414	{ "PCIE_FID_VFID", 0x43b4, 0 },
10415		{ "Select", 30, 2 },
10416		{ "IDO", 24, 1 },
10417		{ "VFID", 16, 8 },
10418		{ "TC", 11, 3 },
10419		{ "VFVld", 10, 1 },
10420		{ "PF", 7, 3 },
10421		{ "RVF", 0, 7 },
10422	{ "PCIE_FID_VFID", 0x43b8, 0 },
10423		{ "Select", 30, 2 },
10424		{ "IDO", 24, 1 },
10425		{ "VFID", 16, 8 },
10426		{ "TC", 11, 3 },
10427		{ "VFVld", 10, 1 },
10428		{ "PF", 7, 3 },
10429		{ "RVF", 0, 7 },
10430	{ "PCIE_FID_VFID", 0x43bc, 0 },
10431		{ "Select", 30, 2 },
10432		{ "IDO", 24, 1 },
10433		{ "VFID", 16, 8 },
10434		{ "TC", 11, 3 },
10435		{ "VFVld", 10, 1 },
10436		{ "PF", 7, 3 },
10437		{ "RVF", 0, 7 },
10438	{ "PCIE_FID_VFID", 0x43c0, 0 },
10439		{ "Select", 30, 2 },
10440		{ "IDO", 24, 1 },
10441		{ "VFID", 16, 8 },
10442		{ "TC", 11, 3 },
10443		{ "VFVld", 10, 1 },
10444		{ "PF", 7, 3 },
10445		{ "RVF", 0, 7 },
10446	{ "PCIE_FID_VFID", 0x43c4, 0 },
10447		{ "Select", 30, 2 },
10448		{ "IDO", 24, 1 },
10449		{ "VFID", 16, 8 },
10450		{ "TC", 11, 3 },
10451		{ "VFVld", 10, 1 },
10452		{ "PF", 7, 3 },
10453		{ "RVF", 0, 7 },
10454	{ "PCIE_FID_VFID", 0x43c8, 0 },
10455		{ "Select", 30, 2 },
10456		{ "IDO", 24, 1 },
10457		{ "VFID", 16, 8 },
10458		{ "TC", 11, 3 },
10459		{ "VFVld", 10, 1 },
10460		{ "PF", 7, 3 },
10461		{ "RVF", 0, 7 },
10462	{ "PCIE_FID_VFID", 0x43cc, 0 },
10463		{ "Select", 30, 2 },
10464		{ "IDO", 24, 1 },
10465		{ "VFID", 16, 8 },
10466		{ "TC", 11, 3 },
10467		{ "VFVld", 10, 1 },
10468		{ "PF", 7, 3 },
10469		{ "RVF", 0, 7 },
10470	{ "PCIE_FID_VFID", 0x43d0, 0 },
10471		{ "Select", 30, 2 },
10472		{ "IDO", 24, 1 },
10473		{ "VFID", 16, 8 },
10474		{ "TC", 11, 3 },
10475		{ "VFVld", 10, 1 },
10476		{ "PF", 7, 3 },
10477		{ "RVF", 0, 7 },
10478	{ "PCIE_FID_VFID", 0x43d4, 0 },
10479		{ "Select", 30, 2 },
10480		{ "IDO", 24, 1 },
10481		{ "VFID", 16, 8 },
10482		{ "TC", 11, 3 },
10483		{ "VFVld", 10, 1 },
10484		{ "PF", 7, 3 },
10485		{ "RVF", 0, 7 },
10486	{ "PCIE_FID_VFID", 0x43d8, 0 },
10487		{ "Select", 30, 2 },
10488		{ "IDO", 24, 1 },
10489		{ "VFID", 16, 8 },
10490		{ "TC", 11, 3 },
10491		{ "VFVld", 10, 1 },
10492		{ "PF", 7, 3 },
10493		{ "RVF", 0, 7 },
10494	{ "PCIE_FID_VFID", 0x43dc, 0 },
10495		{ "Select", 30, 2 },
10496		{ "IDO", 24, 1 },
10497		{ "VFID", 16, 8 },
10498		{ "TC", 11, 3 },
10499		{ "VFVld", 10, 1 },
10500		{ "PF", 7, 3 },
10501		{ "RVF", 0, 7 },
10502	{ "PCIE_FID_VFID", 0x43e0, 0 },
10503		{ "Select", 30, 2 },
10504		{ "IDO", 24, 1 },
10505		{ "VFID", 16, 8 },
10506		{ "TC", 11, 3 },
10507		{ "VFVld", 10, 1 },
10508		{ "PF", 7, 3 },
10509		{ "RVF", 0, 7 },
10510	{ "PCIE_FID_VFID", 0x43e4, 0 },
10511		{ "Select", 30, 2 },
10512		{ "IDO", 24, 1 },
10513		{ "VFID", 16, 8 },
10514		{ "TC", 11, 3 },
10515		{ "VFVld", 10, 1 },
10516		{ "PF", 7, 3 },
10517		{ "RVF", 0, 7 },
10518	{ "PCIE_FID_VFID", 0x43e8, 0 },
10519		{ "Select", 30, 2 },
10520		{ "IDO", 24, 1 },
10521		{ "VFID", 16, 8 },
10522		{ "TC", 11, 3 },
10523		{ "VFVld", 10, 1 },
10524		{ "PF", 7, 3 },
10525		{ "RVF", 0, 7 },
10526	{ "PCIE_FID_VFID", 0x43ec, 0 },
10527		{ "Select", 30, 2 },
10528		{ "IDO", 24, 1 },
10529		{ "VFID", 16, 8 },
10530		{ "TC", 11, 3 },
10531		{ "VFVld", 10, 1 },
10532		{ "PF", 7, 3 },
10533		{ "RVF", 0, 7 },
10534	{ "PCIE_FID_VFID", 0x43f0, 0 },
10535		{ "Select", 30, 2 },
10536		{ "IDO", 24, 1 },
10537		{ "VFID", 16, 8 },
10538		{ "TC", 11, 3 },
10539		{ "VFVld", 10, 1 },
10540		{ "PF", 7, 3 },
10541		{ "RVF", 0, 7 },
10542	{ "PCIE_FID_VFID", 0x43f4, 0 },
10543		{ "Select", 30, 2 },
10544		{ "IDO", 24, 1 },
10545		{ "VFID", 16, 8 },
10546		{ "TC", 11, 3 },
10547		{ "VFVld", 10, 1 },
10548		{ "PF", 7, 3 },
10549		{ "RVF", 0, 7 },
10550	{ "PCIE_FID_VFID", 0x43f8, 0 },
10551		{ "Select", 30, 2 },
10552		{ "IDO", 24, 1 },
10553		{ "VFID", 16, 8 },
10554		{ "TC", 11, 3 },
10555		{ "VFVld", 10, 1 },
10556		{ "PF", 7, 3 },
10557		{ "RVF", 0, 7 },
10558	{ "PCIE_FID_VFID", 0x43fc, 0 },
10559		{ "Select", 30, 2 },
10560		{ "IDO", 24, 1 },
10561		{ "VFID", 16, 8 },
10562		{ "TC", 11, 3 },
10563		{ "VFVld", 10, 1 },
10564		{ "PF", 7, 3 },
10565		{ "RVF", 0, 7 },
10566	{ "PCIE_FID_VFID", 0x4400, 0 },
10567		{ "Select", 30, 2 },
10568		{ "IDO", 24, 1 },
10569		{ "VFID", 16, 8 },
10570		{ "TC", 11, 3 },
10571		{ "VFVld", 10, 1 },
10572		{ "PF", 7, 3 },
10573		{ "RVF", 0, 7 },
10574	{ "PCIE_FID_VFID", 0x4404, 0 },
10575		{ "Select", 30, 2 },
10576		{ "IDO", 24, 1 },
10577		{ "VFID", 16, 8 },
10578		{ "TC", 11, 3 },
10579		{ "VFVld", 10, 1 },
10580		{ "PF", 7, 3 },
10581		{ "RVF", 0, 7 },
10582	{ "PCIE_FID_VFID", 0x4408, 0 },
10583		{ "Select", 30, 2 },
10584		{ "IDO", 24, 1 },
10585		{ "VFID", 16, 8 },
10586		{ "TC", 11, 3 },
10587		{ "VFVld", 10, 1 },
10588		{ "PF", 7, 3 },
10589		{ "RVF", 0, 7 },
10590	{ "PCIE_FID_VFID", 0x440c, 0 },
10591		{ "Select", 30, 2 },
10592		{ "IDO", 24, 1 },
10593		{ "VFID", 16, 8 },
10594		{ "TC", 11, 3 },
10595		{ "VFVld", 10, 1 },
10596		{ "PF", 7, 3 },
10597		{ "RVF", 0, 7 },
10598	{ "PCIE_FID_VFID", 0x4410, 0 },
10599		{ "Select", 30, 2 },
10600		{ "IDO", 24, 1 },
10601		{ "VFID", 16, 8 },
10602		{ "TC", 11, 3 },
10603		{ "VFVld", 10, 1 },
10604		{ "PF", 7, 3 },
10605		{ "RVF", 0, 7 },
10606	{ "PCIE_FID_VFID", 0x4414, 0 },
10607		{ "Select", 30, 2 },
10608		{ "IDO", 24, 1 },
10609		{ "VFID", 16, 8 },
10610		{ "TC", 11, 3 },
10611		{ "VFVld", 10, 1 },
10612		{ "PF", 7, 3 },
10613		{ "RVF", 0, 7 },
10614	{ "PCIE_FID_VFID", 0x4418, 0 },
10615		{ "Select", 30, 2 },
10616		{ "IDO", 24, 1 },
10617		{ "VFID", 16, 8 },
10618		{ "TC", 11, 3 },
10619		{ "VFVld", 10, 1 },
10620		{ "PF", 7, 3 },
10621		{ "RVF", 0, 7 },
10622	{ "PCIE_FID_VFID", 0x441c, 0 },
10623		{ "Select", 30, 2 },
10624		{ "IDO", 24, 1 },
10625		{ "VFID", 16, 8 },
10626		{ "TC", 11, 3 },
10627		{ "VFVld", 10, 1 },
10628		{ "PF", 7, 3 },
10629		{ "RVF", 0, 7 },
10630	{ "PCIE_FID_VFID", 0x4420, 0 },
10631		{ "Select", 30, 2 },
10632		{ "IDO", 24, 1 },
10633		{ "VFID", 16, 8 },
10634		{ "TC", 11, 3 },
10635		{ "VFVld", 10, 1 },
10636		{ "PF", 7, 3 },
10637		{ "RVF", 0, 7 },
10638	{ "PCIE_FID_VFID", 0x4424, 0 },
10639		{ "Select", 30, 2 },
10640		{ "IDO", 24, 1 },
10641		{ "VFID", 16, 8 },
10642		{ "TC", 11, 3 },
10643		{ "VFVld", 10, 1 },
10644		{ "PF", 7, 3 },
10645		{ "RVF", 0, 7 },
10646	{ "PCIE_FID_VFID", 0x4428, 0 },
10647		{ "Select", 30, 2 },
10648		{ "IDO", 24, 1 },
10649		{ "VFID", 16, 8 },
10650		{ "TC", 11, 3 },
10651		{ "VFVld", 10, 1 },
10652		{ "PF", 7, 3 },
10653		{ "RVF", 0, 7 },
10654	{ "PCIE_FID_VFID", 0x442c, 0 },
10655		{ "Select", 30, 2 },
10656		{ "IDO", 24, 1 },
10657		{ "VFID", 16, 8 },
10658		{ "TC", 11, 3 },
10659		{ "VFVld", 10, 1 },
10660		{ "PF", 7, 3 },
10661		{ "RVF", 0, 7 },
10662	{ "PCIE_FID_VFID", 0x4430, 0 },
10663		{ "Select", 30, 2 },
10664		{ "IDO", 24, 1 },
10665		{ "VFID", 16, 8 },
10666		{ "TC", 11, 3 },
10667		{ "VFVld", 10, 1 },
10668		{ "PF", 7, 3 },
10669		{ "RVF", 0, 7 },
10670	{ "PCIE_FID_VFID", 0x4434, 0 },
10671		{ "Select", 30, 2 },
10672		{ "IDO", 24, 1 },
10673		{ "VFID", 16, 8 },
10674		{ "TC", 11, 3 },
10675		{ "VFVld", 10, 1 },
10676		{ "PF", 7, 3 },
10677		{ "RVF", 0, 7 },
10678	{ "PCIE_FID_VFID", 0x4438, 0 },
10679		{ "Select", 30, 2 },
10680		{ "IDO", 24, 1 },
10681		{ "VFID", 16, 8 },
10682		{ "TC", 11, 3 },
10683		{ "VFVld", 10, 1 },
10684		{ "PF", 7, 3 },
10685		{ "RVF", 0, 7 },
10686	{ "PCIE_FID_VFID", 0x443c, 0 },
10687		{ "Select", 30, 2 },
10688		{ "IDO", 24, 1 },
10689		{ "VFID", 16, 8 },
10690		{ "TC", 11, 3 },
10691		{ "VFVld", 10, 1 },
10692		{ "PF", 7, 3 },
10693		{ "RVF", 0, 7 },
10694	{ "PCIE_FID_VFID", 0x4440, 0 },
10695		{ "Select", 30, 2 },
10696		{ "IDO", 24, 1 },
10697		{ "VFID", 16, 8 },
10698		{ "TC", 11, 3 },
10699		{ "VFVld", 10, 1 },
10700		{ "PF", 7, 3 },
10701		{ "RVF", 0, 7 },
10702	{ "PCIE_FID_VFID", 0x4444, 0 },
10703		{ "Select", 30, 2 },
10704		{ "IDO", 24, 1 },
10705		{ "VFID", 16, 8 },
10706		{ "TC", 11, 3 },
10707		{ "VFVld", 10, 1 },
10708		{ "PF", 7, 3 },
10709		{ "RVF", 0, 7 },
10710	{ "PCIE_FID_VFID", 0x4448, 0 },
10711		{ "Select", 30, 2 },
10712		{ "IDO", 24, 1 },
10713		{ "VFID", 16, 8 },
10714		{ "TC", 11, 3 },
10715		{ "VFVld", 10, 1 },
10716		{ "PF", 7, 3 },
10717		{ "RVF", 0, 7 },
10718	{ "PCIE_FID_VFID", 0x444c, 0 },
10719		{ "Select", 30, 2 },
10720		{ "IDO", 24, 1 },
10721		{ "VFID", 16, 8 },
10722		{ "TC", 11, 3 },
10723		{ "VFVld", 10, 1 },
10724		{ "PF", 7, 3 },
10725		{ "RVF", 0, 7 },
10726	{ "PCIE_FID_VFID", 0x4450, 0 },
10727		{ "Select", 30, 2 },
10728		{ "IDO", 24, 1 },
10729		{ "VFID", 16, 8 },
10730		{ "TC", 11, 3 },
10731		{ "VFVld", 10, 1 },
10732		{ "PF", 7, 3 },
10733		{ "RVF", 0, 7 },
10734	{ "PCIE_FID_VFID", 0x4454, 0 },
10735		{ "Select", 30, 2 },
10736		{ "IDO", 24, 1 },
10737		{ "VFID", 16, 8 },
10738		{ "TC", 11, 3 },
10739		{ "VFVld", 10, 1 },
10740		{ "PF", 7, 3 },
10741		{ "RVF", 0, 7 },
10742	{ "PCIE_FID_VFID", 0x4458, 0 },
10743		{ "Select", 30, 2 },
10744		{ "IDO", 24, 1 },
10745		{ "VFID", 16, 8 },
10746		{ "TC", 11, 3 },
10747		{ "VFVld", 10, 1 },
10748		{ "PF", 7, 3 },
10749		{ "RVF", 0, 7 },
10750	{ "PCIE_FID_VFID", 0x445c, 0 },
10751		{ "Select", 30, 2 },
10752		{ "IDO", 24, 1 },
10753		{ "VFID", 16, 8 },
10754		{ "TC", 11, 3 },
10755		{ "VFVld", 10, 1 },
10756		{ "PF", 7, 3 },
10757		{ "RVF", 0, 7 },
10758	{ "PCIE_FID_VFID", 0x4460, 0 },
10759		{ "Select", 30, 2 },
10760		{ "IDO", 24, 1 },
10761		{ "VFID", 16, 8 },
10762		{ "TC", 11, 3 },
10763		{ "VFVld", 10, 1 },
10764		{ "PF", 7, 3 },
10765		{ "RVF", 0, 7 },
10766	{ "PCIE_FID_VFID", 0x4464, 0 },
10767		{ "Select", 30, 2 },
10768		{ "IDO", 24, 1 },
10769		{ "VFID", 16, 8 },
10770		{ "TC", 11, 3 },
10771		{ "VFVld", 10, 1 },
10772		{ "PF", 7, 3 },
10773		{ "RVF", 0, 7 },
10774	{ "PCIE_FID_VFID", 0x4468, 0 },
10775		{ "Select", 30, 2 },
10776		{ "IDO", 24, 1 },
10777		{ "VFID", 16, 8 },
10778		{ "TC", 11, 3 },
10779		{ "VFVld", 10, 1 },
10780		{ "PF", 7, 3 },
10781		{ "RVF", 0, 7 },
10782	{ "PCIE_FID_VFID", 0x446c, 0 },
10783		{ "Select", 30, 2 },
10784		{ "IDO", 24, 1 },
10785		{ "VFID", 16, 8 },
10786		{ "TC", 11, 3 },
10787		{ "VFVld", 10, 1 },
10788		{ "PF", 7, 3 },
10789		{ "RVF", 0, 7 },
10790	{ "PCIE_FID_VFID", 0x4470, 0 },
10791		{ "Select", 30, 2 },
10792		{ "IDO", 24, 1 },
10793		{ "VFID", 16, 8 },
10794		{ "TC", 11, 3 },
10795		{ "VFVld", 10, 1 },
10796		{ "PF", 7, 3 },
10797		{ "RVF", 0, 7 },
10798	{ "PCIE_FID_VFID", 0x4474, 0 },
10799		{ "Select", 30, 2 },
10800		{ "IDO", 24, 1 },
10801		{ "VFID", 16, 8 },
10802		{ "TC", 11, 3 },
10803		{ "VFVld", 10, 1 },
10804		{ "PF", 7, 3 },
10805		{ "RVF", 0, 7 },
10806	{ "PCIE_FID_VFID", 0x4478, 0 },
10807		{ "Select", 30, 2 },
10808		{ "IDO", 24, 1 },
10809		{ "VFID", 16, 8 },
10810		{ "TC", 11, 3 },
10811		{ "VFVld", 10, 1 },
10812		{ "PF", 7, 3 },
10813		{ "RVF", 0, 7 },
10814	{ "PCIE_FID_VFID", 0x447c, 0 },
10815		{ "Select", 30, 2 },
10816		{ "IDO", 24, 1 },
10817		{ "VFID", 16, 8 },
10818		{ "TC", 11, 3 },
10819		{ "VFVld", 10, 1 },
10820		{ "PF", 7, 3 },
10821		{ "RVF", 0, 7 },
10822	{ "PCIE_FID_VFID", 0x4480, 0 },
10823		{ "Select", 30, 2 },
10824		{ "IDO", 24, 1 },
10825		{ "VFID", 16, 8 },
10826		{ "TC", 11, 3 },
10827		{ "VFVld", 10, 1 },
10828		{ "PF", 7, 3 },
10829		{ "RVF", 0, 7 },
10830	{ "PCIE_FID_VFID", 0x4484, 0 },
10831		{ "Select", 30, 2 },
10832		{ "IDO", 24, 1 },
10833		{ "VFID", 16, 8 },
10834		{ "TC", 11, 3 },
10835		{ "VFVld", 10, 1 },
10836		{ "PF", 7, 3 },
10837		{ "RVF", 0, 7 },
10838	{ "PCIE_FID_VFID", 0x4488, 0 },
10839		{ "Select", 30, 2 },
10840		{ "IDO", 24, 1 },
10841		{ "VFID", 16, 8 },
10842		{ "TC", 11, 3 },
10843		{ "VFVld", 10, 1 },
10844		{ "PF", 7, 3 },
10845		{ "RVF", 0, 7 },
10846	{ "PCIE_FID_VFID", 0x448c, 0 },
10847		{ "Select", 30, 2 },
10848		{ "IDO", 24, 1 },
10849		{ "VFID", 16, 8 },
10850		{ "TC", 11, 3 },
10851		{ "VFVld", 10, 1 },
10852		{ "PF", 7, 3 },
10853		{ "RVF", 0, 7 },
10854	{ "PCIE_FID_VFID", 0x4490, 0 },
10855		{ "Select", 30, 2 },
10856		{ "IDO", 24, 1 },
10857		{ "VFID", 16, 8 },
10858		{ "TC", 11, 3 },
10859		{ "VFVld", 10, 1 },
10860		{ "PF", 7, 3 },
10861		{ "RVF", 0, 7 },
10862	{ "PCIE_FID_VFID", 0x4494, 0 },
10863		{ "Select", 30, 2 },
10864		{ "IDO", 24, 1 },
10865		{ "VFID", 16, 8 },
10866		{ "TC", 11, 3 },
10867		{ "VFVld", 10, 1 },
10868		{ "PF", 7, 3 },
10869		{ "RVF", 0, 7 },
10870	{ "PCIE_FID_VFID", 0x4498, 0 },
10871		{ "Select", 30, 2 },
10872		{ "IDO", 24, 1 },
10873		{ "VFID", 16, 8 },
10874		{ "TC", 11, 3 },
10875		{ "VFVld", 10, 1 },
10876		{ "PF", 7, 3 },
10877		{ "RVF", 0, 7 },
10878	{ "PCIE_FID_VFID", 0x449c, 0 },
10879		{ "Select", 30, 2 },
10880		{ "IDO", 24, 1 },
10881		{ "VFID", 16, 8 },
10882		{ "TC", 11, 3 },
10883		{ "VFVld", 10, 1 },
10884		{ "PF", 7, 3 },
10885		{ "RVF", 0, 7 },
10886	{ "PCIE_FID_VFID", 0x44a0, 0 },
10887		{ "Select", 30, 2 },
10888		{ "IDO", 24, 1 },
10889		{ "VFID", 16, 8 },
10890		{ "TC", 11, 3 },
10891		{ "VFVld", 10, 1 },
10892		{ "PF", 7, 3 },
10893		{ "RVF", 0, 7 },
10894	{ "PCIE_FID_VFID", 0x44a4, 0 },
10895		{ "Select", 30, 2 },
10896		{ "IDO", 24, 1 },
10897		{ "VFID", 16, 8 },
10898		{ "TC", 11, 3 },
10899		{ "VFVld", 10, 1 },
10900		{ "PF", 7, 3 },
10901		{ "RVF", 0, 7 },
10902	{ "PCIE_FID_VFID", 0x44a8, 0 },
10903		{ "Select", 30, 2 },
10904		{ "IDO", 24, 1 },
10905		{ "VFID", 16, 8 },
10906		{ "TC", 11, 3 },
10907		{ "VFVld", 10, 1 },
10908		{ "PF", 7, 3 },
10909		{ "RVF", 0, 7 },
10910	{ "PCIE_FID_VFID", 0x44ac, 0 },
10911		{ "Select", 30, 2 },
10912		{ "IDO", 24, 1 },
10913		{ "VFID", 16, 8 },
10914		{ "TC", 11, 3 },
10915		{ "VFVld", 10, 1 },
10916		{ "PF", 7, 3 },
10917		{ "RVF", 0, 7 },
10918	{ "PCIE_FID_VFID", 0x44b0, 0 },
10919		{ "Select", 30, 2 },
10920		{ "IDO", 24, 1 },
10921		{ "VFID", 16, 8 },
10922		{ "TC", 11, 3 },
10923		{ "VFVld", 10, 1 },
10924		{ "PF", 7, 3 },
10925		{ "RVF", 0, 7 },
10926	{ "PCIE_FID_VFID", 0x44b4, 0 },
10927		{ "Select", 30, 2 },
10928		{ "IDO", 24, 1 },
10929		{ "VFID", 16, 8 },
10930		{ "TC", 11, 3 },
10931		{ "VFVld", 10, 1 },
10932		{ "PF", 7, 3 },
10933		{ "RVF", 0, 7 },
10934	{ "PCIE_FID_VFID", 0x44b8, 0 },
10935		{ "Select", 30, 2 },
10936		{ "IDO", 24, 1 },
10937		{ "VFID", 16, 8 },
10938		{ "TC", 11, 3 },
10939		{ "VFVld", 10, 1 },
10940		{ "PF", 7, 3 },
10941		{ "RVF", 0, 7 },
10942	{ "PCIE_FID_VFID", 0x44bc, 0 },
10943		{ "Select", 30, 2 },
10944		{ "IDO", 24, 1 },
10945		{ "VFID", 16, 8 },
10946		{ "TC", 11, 3 },
10947		{ "VFVld", 10, 1 },
10948		{ "PF", 7, 3 },
10949		{ "RVF", 0, 7 },
10950	{ "PCIE_FID_VFID", 0x44c0, 0 },
10951		{ "Select", 30, 2 },
10952		{ "IDO", 24, 1 },
10953		{ "VFID", 16, 8 },
10954		{ "TC", 11, 3 },
10955		{ "VFVld", 10, 1 },
10956		{ "PF", 7, 3 },
10957		{ "RVF", 0, 7 },
10958	{ "PCIE_FID_VFID", 0x44c4, 0 },
10959		{ "Select", 30, 2 },
10960		{ "IDO", 24, 1 },
10961		{ "VFID", 16, 8 },
10962		{ "TC", 11, 3 },
10963		{ "VFVld", 10, 1 },
10964		{ "PF", 7, 3 },
10965		{ "RVF", 0, 7 },
10966	{ "PCIE_FID_VFID", 0x44c8, 0 },
10967		{ "Select", 30, 2 },
10968		{ "IDO", 24, 1 },
10969		{ "VFID", 16, 8 },
10970		{ "TC", 11, 3 },
10971		{ "VFVld", 10, 1 },
10972		{ "PF", 7, 3 },
10973		{ "RVF", 0, 7 },
10974	{ "PCIE_FID_VFID", 0x44cc, 0 },
10975		{ "Select", 30, 2 },
10976		{ "IDO", 24, 1 },
10977		{ "VFID", 16, 8 },
10978		{ "TC", 11, 3 },
10979		{ "VFVld", 10, 1 },
10980		{ "PF", 7, 3 },
10981		{ "RVF", 0, 7 },
10982	{ "PCIE_FID_VFID", 0x44d0, 0 },
10983		{ "Select", 30, 2 },
10984		{ "IDO", 24, 1 },
10985		{ "VFID", 16, 8 },
10986		{ "TC", 11, 3 },
10987		{ "VFVld", 10, 1 },
10988		{ "PF", 7, 3 },
10989		{ "RVF", 0, 7 },
10990	{ "PCIE_FID_VFID", 0x44d4, 0 },
10991		{ "Select", 30, 2 },
10992		{ "IDO", 24, 1 },
10993		{ "VFID", 16, 8 },
10994		{ "TC", 11, 3 },
10995		{ "VFVld", 10, 1 },
10996		{ "PF", 7, 3 },
10997		{ "RVF", 0, 7 },
10998	{ "PCIE_FID_VFID", 0x44d8, 0 },
10999		{ "Select", 30, 2 },
11000		{ "IDO", 24, 1 },
11001		{ "VFID", 16, 8 },
11002		{ "TC", 11, 3 },
11003		{ "VFVld", 10, 1 },
11004		{ "PF", 7, 3 },
11005		{ "RVF", 0, 7 },
11006	{ "PCIE_FID_VFID", 0x44dc, 0 },
11007		{ "Select", 30, 2 },
11008		{ "IDO", 24, 1 },
11009		{ "VFID", 16, 8 },
11010		{ "TC", 11, 3 },
11011		{ "VFVld", 10, 1 },
11012		{ "PF", 7, 3 },
11013		{ "RVF", 0, 7 },
11014	{ "PCIE_FID_VFID", 0x44e0, 0 },
11015		{ "Select", 30, 2 },
11016		{ "IDO", 24, 1 },
11017		{ "VFID", 16, 8 },
11018		{ "TC", 11, 3 },
11019		{ "VFVld", 10, 1 },
11020		{ "PF", 7, 3 },
11021		{ "RVF", 0, 7 },
11022	{ "PCIE_FID_VFID", 0x44e4, 0 },
11023		{ "Select", 30, 2 },
11024		{ "IDO", 24, 1 },
11025		{ "VFID", 16, 8 },
11026		{ "TC", 11, 3 },
11027		{ "VFVld", 10, 1 },
11028		{ "PF", 7, 3 },
11029		{ "RVF", 0, 7 },
11030	{ "PCIE_FID_VFID", 0x44e8, 0 },
11031		{ "Select", 30, 2 },
11032		{ "IDO", 24, 1 },
11033		{ "VFID", 16, 8 },
11034		{ "TC", 11, 3 },
11035		{ "VFVld", 10, 1 },
11036		{ "PF", 7, 3 },
11037		{ "RVF", 0, 7 },
11038	{ "PCIE_FID_VFID", 0x44ec, 0 },
11039		{ "Select", 30, 2 },
11040		{ "IDO", 24, 1 },
11041		{ "VFID", 16, 8 },
11042		{ "TC", 11, 3 },
11043		{ "VFVld", 10, 1 },
11044		{ "PF", 7, 3 },
11045		{ "RVF", 0, 7 },
11046	{ "PCIE_FID_VFID", 0x44f0, 0 },
11047		{ "Select", 30, 2 },
11048		{ "IDO", 24, 1 },
11049		{ "VFID", 16, 8 },
11050		{ "TC", 11, 3 },
11051		{ "VFVld", 10, 1 },
11052		{ "PF", 7, 3 },
11053		{ "RVF", 0, 7 },
11054	{ "PCIE_FID_VFID", 0x44f4, 0 },
11055		{ "Select", 30, 2 },
11056		{ "IDO", 24, 1 },
11057		{ "VFID", 16, 8 },
11058		{ "TC", 11, 3 },
11059		{ "VFVld", 10, 1 },
11060		{ "PF", 7, 3 },
11061		{ "RVF", 0, 7 },
11062	{ "PCIE_FID_VFID", 0x44f8, 0 },
11063		{ "Select", 30, 2 },
11064		{ "IDO", 24, 1 },
11065		{ "VFID", 16, 8 },
11066		{ "TC", 11, 3 },
11067		{ "VFVld", 10, 1 },
11068		{ "PF", 7, 3 },
11069		{ "RVF", 0, 7 },
11070	{ "PCIE_FID_VFID", 0x44fc, 0 },
11071		{ "Select", 30, 2 },
11072		{ "IDO", 24, 1 },
11073		{ "VFID", 16, 8 },
11074		{ "TC", 11, 3 },
11075		{ "VFVld", 10, 1 },
11076		{ "PF", 7, 3 },
11077		{ "RVF", 0, 7 },
11078	{ "PCIE_FID_VFID", 0x4500, 0 },
11079		{ "Select", 30, 2 },
11080		{ "IDO", 24, 1 },
11081		{ "VFID", 16, 8 },
11082		{ "TC", 11, 3 },
11083		{ "VFVld", 10, 1 },
11084		{ "PF", 7, 3 },
11085		{ "RVF", 0, 7 },
11086	{ "PCIE_FID_VFID", 0x4504, 0 },
11087		{ "Select", 30, 2 },
11088		{ "IDO", 24, 1 },
11089		{ "VFID", 16, 8 },
11090		{ "TC", 11, 3 },
11091		{ "VFVld", 10, 1 },
11092		{ "PF", 7, 3 },
11093		{ "RVF", 0, 7 },
11094	{ "PCIE_FID_VFID", 0x4508, 0 },
11095		{ "Select", 30, 2 },
11096		{ "IDO", 24, 1 },
11097		{ "VFID", 16, 8 },
11098		{ "TC", 11, 3 },
11099		{ "VFVld", 10, 1 },
11100		{ "PF", 7, 3 },
11101		{ "RVF", 0, 7 },
11102	{ "PCIE_FID_VFID", 0x450c, 0 },
11103		{ "Select", 30, 2 },
11104		{ "IDO", 24, 1 },
11105		{ "VFID", 16, 8 },
11106		{ "TC", 11, 3 },
11107		{ "VFVld", 10, 1 },
11108		{ "PF", 7, 3 },
11109		{ "RVF", 0, 7 },
11110	{ "PCIE_FID_VFID", 0x4510, 0 },
11111		{ "Select", 30, 2 },
11112		{ "IDO", 24, 1 },
11113		{ "VFID", 16, 8 },
11114		{ "TC", 11, 3 },
11115		{ "VFVld", 10, 1 },
11116		{ "PF", 7, 3 },
11117		{ "RVF", 0, 7 },
11118	{ "PCIE_FID_VFID", 0x4514, 0 },
11119		{ "Select", 30, 2 },
11120		{ "IDO", 24, 1 },
11121		{ "VFID", 16, 8 },
11122		{ "TC", 11, 3 },
11123		{ "VFVld", 10, 1 },
11124		{ "PF", 7, 3 },
11125		{ "RVF", 0, 7 },
11126	{ "PCIE_FID_VFID", 0x4518, 0 },
11127		{ "Select", 30, 2 },
11128		{ "IDO", 24, 1 },
11129		{ "VFID", 16, 8 },
11130		{ "TC", 11, 3 },
11131		{ "VFVld", 10, 1 },
11132		{ "PF", 7, 3 },
11133		{ "RVF", 0, 7 },
11134	{ "PCIE_FID_VFID", 0x451c, 0 },
11135		{ "Select", 30, 2 },
11136		{ "IDO", 24, 1 },
11137		{ "VFID", 16, 8 },
11138		{ "TC", 11, 3 },
11139		{ "VFVld", 10, 1 },
11140		{ "PF", 7, 3 },
11141		{ "RVF", 0, 7 },
11142	{ "PCIE_FID_VFID", 0x4520, 0 },
11143		{ "Select", 30, 2 },
11144		{ "IDO", 24, 1 },
11145		{ "VFID", 16, 8 },
11146		{ "TC", 11, 3 },
11147		{ "VFVld", 10, 1 },
11148		{ "PF", 7, 3 },
11149		{ "RVF", 0, 7 },
11150	{ "PCIE_FID_VFID", 0x4524, 0 },
11151		{ "Select", 30, 2 },
11152		{ "IDO", 24, 1 },
11153		{ "VFID", 16, 8 },
11154		{ "TC", 11, 3 },
11155		{ "VFVld", 10, 1 },
11156		{ "PF", 7, 3 },
11157		{ "RVF", 0, 7 },
11158	{ "PCIE_FID_VFID", 0x4528, 0 },
11159		{ "Select", 30, 2 },
11160		{ "IDO", 24, 1 },
11161		{ "VFID", 16, 8 },
11162		{ "TC", 11, 3 },
11163		{ "VFVld", 10, 1 },
11164		{ "PF", 7, 3 },
11165		{ "RVF", 0, 7 },
11166	{ "PCIE_FID_VFID", 0x452c, 0 },
11167		{ "Select", 30, 2 },
11168		{ "IDO", 24, 1 },
11169		{ "VFID", 16, 8 },
11170		{ "TC", 11, 3 },
11171		{ "VFVld", 10, 1 },
11172		{ "PF", 7, 3 },
11173		{ "RVF", 0, 7 },
11174	{ "PCIE_FID_VFID", 0x4530, 0 },
11175		{ "Select", 30, 2 },
11176		{ "IDO", 24, 1 },
11177		{ "VFID", 16, 8 },
11178		{ "TC", 11, 3 },
11179		{ "VFVld", 10, 1 },
11180		{ "PF", 7, 3 },
11181		{ "RVF", 0, 7 },
11182	{ "PCIE_FID_VFID", 0x4534, 0 },
11183		{ "Select", 30, 2 },
11184		{ "IDO", 24, 1 },
11185		{ "VFID", 16, 8 },
11186		{ "TC", 11, 3 },
11187		{ "VFVld", 10, 1 },
11188		{ "PF", 7, 3 },
11189		{ "RVF", 0, 7 },
11190	{ "PCIE_FID_VFID", 0x4538, 0 },
11191		{ "Select", 30, 2 },
11192		{ "IDO", 24, 1 },
11193		{ "VFID", 16, 8 },
11194		{ "TC", 11, 3 },
11195		{ "VFVld", 10, 1 },
11196		{ "PF", 7, 3 },
11197		{ "RVF", 0, 7 },
11198	{ "PCIE_FID_VFID", 0x453c, 0 },
11199		{ "Select", 30, 2 },
11200		{ "IDO", 24, 1 },
11201		{ "VFID", 16, 8 },
11202		{ "TC", 11, 3 },
11203		{ "VFVld", 10, 1 },
11204		{ "PF", 7, 3 },
11205		{ "RVF", 0, 7 },
11206	{ "PCIE_FID_VFID", 0x4540, 0 },
11207		{ "Select", 30, 2 },
11208		{ "IDO", 24, 1 },
11209		{ "VFID", 16, 8 },
11210		{ "TC", 11, 3 },
11211		{ "VFVld", 10, 1 },
11212		{ "PF", 7, 3 },
11213		{ "RVF", 0, 7 },
11214	{ "PCIE_FID_VFID", 0x4544, 0 },
11215		{ "Select", 30, 2 },
11216		{ "IDO", 24, 1 },
11217		{ "VFID", 16, 8 },
11218		{ "TC", 11, 3 },
11219		{ "VFVld", 10, 1 },
11220		{ "PF", 7, 3 },
11221		{ "RVF", 0, 7 },
11222	{ "PCIE_FID_VFID", 0x4548, 0 },
11223		{ "Select", 30, 2 },
11224		{ "IDO", 24, 1 },
11225		{ "VFID", 16, 8 },
11226		{ "TC", 11, 3 },
11227		{ "VFVld", 10, 1 },
11228		{ "PF", 7, 3 },
11229		{ "RVF", 0, 7 },
11230	{ "PCIE_FID_VFID", 0x454c, 0 },
11231		{ "Select", 30, 2 },
11232		{ "IDO", 24, 1 },
11233		{ "VFID", 16, 8 },
11234		{ "TC", 11, 3 },
11235		{ "VFVld", 10, 1 },
11236		{ "PF", 7, 3 },
11237		{ "RVF", 0, 7 },
11238	{ "PCIE_FID_VFID", 0x4550, 0 },
11239		{ "Select", 30, 2 },
11240		{ "IDO", 24, 1 },
11241		{ "VFID", 16, 8 },
11242		{ "TC", 11, 3 },
11243		{ "VFVld", 10, 1 },
11244		{ "PF", 7, 3 },
11245		{ "RVF", 0, 7 },
11246	{ "PCIE_FID_VFID", 0x4554, 0 },
11247		{ "Select", 30, 2 },
11248		{ "IDO", 24, 1 },
11249		{ "VFID", 16, 8 },
11250		{ "TC", 11, 3 },
11251		{ "VFVld", 10, 1 },
11252		{ "PF", 7, 3 },
11253		{ "RVF", 0, 7 },
11254	{ "PCIE_FID_VFID", 0x4558, 0 },
11255		{ "Select", 30, 2 },
11256		{ "IDO", 24, 1 },
11257		{ "VFID", 16, 8 },
11258		{ "TC", 11, 3 },
11259		{ "VFVld", 10, 1 },
11260		{ "PF", 7, 3 },
11261		{ "RVF", 0, 7 },
11262	{ "PCIE_FID_VFID", 0x455c, 0 },
11263		{ "Select", 30, 2 },
11264		{ "IDO", 24, 1 },
11265		{ "VFID", 16, 8 },
11266		{ "TC", 11, 3 },
11267		{ "VFVld", 10, 1 },
11268		{ "PF", 7, 3 },
11269		{ "RVF", 0, 7 },
11270	{ "PCIE_FID_VFID", 0x4560, 0 },
11271		{ "Select", 30, 2 },
11272		{ "IDO", 24, 1 },
11273		{ "VFID", 16, 8 },
11274		{ "TC", 11, 3 },
11275		{ "VFVld", 10, 1 },
11276		{ "PF", 7, 3 },
11277		{ "RVF", 0, 7 },
11278	{ "PCIE_FID_VFID", 0x4564, 0 },
11279		{ "Select", 30, 2 },
11280		{ "IDO", 24, 1 },
11281		{ "VFID", 16, 8 },
11282		{ "TC", 11, 3 },
11283		{ "VFVld", 10, 1 },
11284		{ "PF", 7, 3 },
11285		{ "RVF", 0, 7 },
11286	{ "PCIE_FID_VFID", 0x4568, 0 },
11287		{ "Select", 30, 2 },
11288		{ "IDO", 24, 1 },
11289		{ "VFID", 16, 8 },
11290		{ "TC", 11, 3 },
11291		{ "VFVld", 10, 1 },
11292		{ "PF", 7, 3 },
11293		{ "RVF", 0, 7 },
11294	{ "PCIE_FID_VFID", 0x456c, 0 },
11295		{ "Select", 30, 2 },
11296		{ "IDO", 24, 1 },
11297		{ "VFID", 16, 8 },
11298		{ "TC", 11, 3 },
11299		{ "VFVld", 10, 1 },
11300		{ "PF", 7, 3 },
11301		{ "RVF", 0, 7 },
11302	{ "PCIE_FID_VFID", 0x4570, 0 },
11303		{ "Select", 30, 2 },
11304		{ "IDO", 24, 1 },
11305		{ "VFID", 16, 8 },
11306		{ "TC", 11, 3 },
11307		{ "VFVld", 10, 1 },
11308		{ "PF", 7, 3 },
11309		{ "RVF", 0, 7 },
11310	{ "PCIE_FID_VFID", 0x4574, 0 },
11311		{ "Select", 30, 2 },
11312		{ "IDO", 24, 1 },
11313		{ "VFID", 16, 8 },
11314		{ "TC", 11, 3 },
11315		{ "VFVld", 10, 1 },
11316		{ "PF", 7, 3 },
11317		{ "RVF", 0, 7 },
11318	{ "PCIE_FID_VFID", 0x4578, 0 },
11319		{ "Select", 30, 2 },
11320		{ "IDO", 24, 1 },
11321		{ "VFID", 16, 8 },
11322		{ "TC", 11, 3 },
11323		{ "VFVld", 10, 1 },
11324		{ "PF", 7, 3 },
11325		{ "RVF", 0, 7 },
11326	{ "PCIE_FID_VFID", 0x457c, 0 },
11327		{ "Select", 30, 2 },
11328		{ "IDO", 24, 1 },
11329		{ "VFID", 16, 8 },
11330		{ "TC", 11, 3 },
11331		{ "VFVld", 10, 1 },
11332		{ "PF", 7, 3 },
11333		{ "RVF", 0, 7 },
11334	{ "PCIE_FID_VFID", 0x4580, 0 },
11335		{ "Select", 30, 2 },
11336		{ "IDO", 24, 1 },
11337		{ "VFID", 16, 8 },
11338		{ "TC", 11, 3 },
11339		{ "VFVld", 10, 1 },
11340		{ "PF", 7, 3 },
11341		{ "RVF", 0, 7 },
11342	{ "PCIE_FID_VFID", 0x4584, 0 },
11343		{ "Select", 30, 2 },
11344		{ "IDO", 24, 1 },
11345		{ "VFID", 16, 8 },
11346		{ "TC", 11, 3 },
11347		{ "VFVld", 10, 1 },
11348		{ "PF", 7, 3 },
11349		{ "RVF", 0, 7 },
11350	{ "PCIE_FID_VFID", 0x4588, 0 },
11351		{ "Select", 30, 2 },
11352		{ "IDO", 24, 1 },
11353		{ "VFID", 16, 8 },
11354		{ "TC", 11, 3 },
11355		{ "VFVld", 10, 1 },
11356		{ "PF", 7, 3 },
11357		{ "RVF", 0, 7 },
11358	{ "PCIE_FID_VFID", 0x458c, 0 },
11359		{ "Select", 30, 2 },
11360		{ "IDO", 24, 1 },
11361		{ "VFID", 16, 8 },
11362		{ "TC", 11, 3 },
11363		{ "VFVld", 10, 1 },
11364		{ "PF", 7, 3 },
11365		{ "RVF", 0, 7 },
11366	{ "PCIE_FID_VFID", 0x4590, 0 },
11367		{ "Select", 30, 2 },
11368		{ "IDO", 24, 1 },
11369		{ "VFID", 16, 8 },
11370		{ "TC", 11, 3 },
11371		{ "VFVld", 10, 1 },
11372		{ "PF", 7, 3 },
11373		{ "RVF", 0, 7 },
11374	{ "PCIE_FID_VFID", 0x4594, 0 },
11375		{ "Select", 30, 2 },
11376		{ "IDO", 24, 1 },
11377		{ "VFID", 16, 8 },
11378		{ "TC", 11, 3 },
11379		{ "VFVld", 10, 1 },
11380		{ "PF", 7, 3 },
11381		{ "RVF", 0, 7 },
11382	{ "PCIE_FID_VFID", 0x4598, 0 },
11383		{ "Select", 30, 2 },
11384		{ "IDO", 24, 1 },
11385		{ "VFID", 16, 8 },
11386		{ "TC", 11, 3 },
11387		{ "VFVld", 10, 1 },
11388		{ "PF", 7, 3 },
11389		{ "RVF", 0, 7 },
11390	{ "PCIE_FID_VFID", 0x459c, 0 },
11391		{ "Select", 30, 2 },
11392		{ "IDO", 24, 1 },
11393		{ "VFID", 16, 8 },
11394		{ "TC", 11, 3 },
11395		{ "VFVld", 10, 1 },
11396		{ "PF", 7, 3 },
11397		{ "RVF", 0, 7 },
11398	{ "PCIE_FID_VFID", 0x45a0, 0 },
11399		{ "Select", 30, 2 },
11400		{ "IDO", 24, 1 },
11401		{ "VFID", 16, 8 },
11402		{ "TC", 11, 3 },
11403		{ "VFVld", 10, 1 },
11404		{ "PF", 7, 3 },
11405		{ "RVF", 0, 7 },
11406	{ "PCIE_FID_VFID", 0x45a4, 0 },
11407		{ "Select", 30, 2 },
11408		{ "IDO", 24, 1 },
11409		{ "VFID", 16, 8 },
11410		{ "TC", 11, 3 },
11411		{ "VFVld", 10, 1 },
11412		{ "PF", 7, 3 },
11413		{ "RVF", 0, 7 },
11414	{ "PCIE_FID_VFID", 0x45a8, 0 },
11415		{ "Select", 30, 2 },
11416		{ "IDO", 24, 1 },
11417		{ "VFID", 16, 8 },
11418		{ "TC", 11, 3 },
11419		{ "VFVld", 10, 1 },
11420		{ "PF", 7, 3 },
11421		{ "RVF", 0, 7 },
11422	{ "PCIE_FID_VFID", 0x45ac, 0 },
11423		{ "Select", 30, 2 },
11424		{ "IDO", 24, 1 },
11425		{ "VFID", 16, 8 },
11426		{ "TC", 11, 3 },
11427		{ "VFVld", 10, 1 },
11428		{ "PF", 7, 3 },
11429		{ "RVF", 0, 7 },
11430	{ "PCIE_FID_VFID", 0x45b0, 0 },
11431		{ "Select", 30, 2 },
11432		{ "IDO", 24, 1 },
11433		{ "VFID", 16, 8 },
11434		{ "TC", 11, 3 },
11435		{ "VFVld", 10, 1 },
11436		{ "PF", 7, 3 },
11437		{ "RVF", 0, 7 },
11438	{ "PCIE_FID_VFID", 0x45b4, 0 },
11439		{ "Select", 30, 2 },
11440		{ "IDO", 24, 1 },
11441		{ "VFID", 16, 8 },
11442		{ "TC", 11, 3 },
11443		{ "VFVld", 10, 1 },
11444		{ "PF", 7, 3 },
11445		{ "RVF", 0, 7 },
11446	{ "PCIE_FID_VFID", 0x45b8, 0 },
11447		{ "Select", 30, 2 },
11448		{ "IDO", 24, 1 },
11449		{ "VFID", 16, 8 },
11450		{ "TC", 11, 3 },
11451		{ "VFVld", 10, 1 },
11452		{ "PF", 7, 3 },
11453		{ "RVF", 0, 7 },
11454	{ "PCIE_FID_VFID", 0x45bc, 0 },
11455		{ "Select", 30, 2 },
11456		{ "IDO", 24, 1 },
11457		{ "VFID", 16, 8 },
11458		{ "TC", 11, 3 },
11459		{ "VFVld", 10, 1 },
11460		{ "PF", 7, 3 },
11461		{ "RVF", 0, 7 },
11462	{ "PCIE_FID_VFID", 0x45c0, 0 },
11463		{ "Select", 30, 2 },
11464		{ "IDO", 24, 1 },
11465		{ "VFID", 16, 8 },
11466		{ "TC", 11, 3 },
11467		{ "VFVld", 10, 1 },
11468		{ "PF", 7, 3 },
11469		{ "RVF", 0, 7 },
11470	{ "PCIE_FID_VFID", 0x45c4, 0 },
11471		{ "Select", 30, 2 },
11472		{ "IDO", 24, 1 },
11473		{ "VFID", 16, 8 },
11474		{ "TC", 11, 3 },
11475		{ "VFVld", 10, 1 },
11476		{ "PF", 7, 3 },
11477		{ "RVF", 0, 7 },
11478	{ "PCIE_FID_VFID", 0x45c8, 0 },
11479		{ "Select", 30, 2 },
11480		{ "IDO", 24, 1 },
11481		{ "VFID", 16, 8 },
11482		{ "TC", 11, 3 },
11483		{ "VFVld", 10, 1 },
11484		{ "PF", 7, 3 },
11485		{ "RVF", 0, 7 },
11486	{ "PCIE_FID_VFID", 0x45cc, 0 },
11487		{ "Select", 30, 2 },
11488		{ "IDO", 24, 1 },
11489		{ "VFID", 16, 8 },
11490		{ "TC", 11, 3 },
11491		{ "VFVld", 10, 1 },
11492		{ "PF", 7, 3 },
11493		{ "RVF", 0, 7 },
11494	{ "PCIE_FID_VFID", 0x45d0, 0 },
11495		{ "Select", 30, 2 },
11496		{ "IDO", 24, 1 },
11497		{ "VFID", 16, 8 },
11498		{ "TC", 11, 3 },
11499		{ "VFVld", 10, 1 },
11500		{ "PF", 7, 3 },
11501		{ "RVF", 0, 7 },
11502	{ "PCIE_FID_VFID", 0x45d4, 0 },
11503		{ "Select", 30, 2 },
11504		{ "IDO", 24, 1 },
11505		{ "VFID", 16, 8 },
11506		{ "TC", 11, 3 },
11507		{ "VFVld", 10, 1 },
11508		{ "PF", 7, 3 },
11509		{ "RVF", 0, 7 },
11510	{ "PCIE_FID_VFID", 0x45d8, 0 },
11511		{ "Select", 30, 2 },
11512		{ "IDO", 24, 1 },
11513		{ "VFID", 16, 8 },
11514		{ "TC", 11, 3 },
11515		{ "VFVld", 10, 1 },
11516		{ "PF", 7, 3 },
11517		{ "RVF", 0, 7 },
11518	{ "PCIE_FID_VFID", 0x45dc, 0 },
11519		{ "Select", 30, 2 },
11520		{ "IDO", 24, 1 },
11521		{ "VFID", 16, 8 },
11522		{ "TC", 11, 3 },
11523		{ "VFVld", 10, 1 },
11524		{ "PF", 7, 3 },
11525		{ "RVF", 0, 7 },
11526	{ "PCIE_FID_VFID", 0x45e0, 0 },
11527		{ "Select", 30, 2 },
11528		{ "IDO", 24, 1 },
11529		{ "VFID", 16, 8 },
11530		{ "TC", 11, 3 },
11531		{ "VFVld", 10, 1 },
11532		{ "PF", 7, 3 },
11533		{ "RVF", 0, 7 },
11534	{ "PCIE_FID_VFID", 0x45e4, 0 },
11535		{ "Select", 30, 2 },
11536		{ "IDO", 24, 1 },
11537		{ "VFID", 16, 8 },
11538		{ "TC", 11, 3 },
11539		{ "VFVld", 10, 1 },
11540		{ "PF", 7, 3 },
11541		{ "RVF", 0, 7 },
11542	{ "PCIE_FID_VFID", 0x45e8, 0 },
11543		{ "Select", 30, 2 },
11544		{ "IDO", 24, 1 },
11545		{ "VFID", 16, 8 },
11546		{ "TC", 11, 3 },
11547		{ "VFVld", 10, 1 },
11548		{ "PF", 7, 3 },
11549		{ "RVF", 0, 7 },
11550	{ "PCIE_FID_VFID", 0x45ec, 0 },
11551		{ "Select", 30, 2 },
11552		{ "IDO", 24, 1 },
11553		{ "VFID", 16, 8 },
11554		{ "TC", 11, 3 },
11555		{ "VFVld", 10, 1 },
11556		{ "PF", 7, 3 },
11557		{ "RVF", 0, 7 },
11558	{ "PCIE_FID_VFID", 0x45f0, 0 },
11559		{ "Select", 30, 2 },
11560		{ "IDO", 24, 1 },
11561		{ "VFID", 16, 8 },
11562		{ "TC", 11, 3 },
11563		{ "VFVld", 10, 1 },
11564		{ "PF", 7, 3 },
11565		{ "RVF", 0, 7 },
11566	{ "PCIE_FID_VFID", 0x45f4, 0 },
11567		{ "Select", 30, 2 },
11568		{ "IDO", 24, 1 },
11569		{ "VFID", 16, 8 },
11570		{ "TC", 11, 3 },
11571		{ "VFVld", 10, 1 },
11572		{ "PF", 7, 3 },
11573		{ "RVF", 0, 7 },
11574	{ "PCIE_FID_VFID", 0x45f8, 0 },
11575		{ "Select", 30, 2 },
11576		{ "IDO", 24, 1 },
11577		{ "VFID", 16, 8 },
11578		{ "TC", 11, 3 },
11579		{ "VFVld", 10, 1 },
11580		{ "PF", 7, 3 },
11581		{ "RVF", 0, 7 },
11582	{ "PCIE_FID_VFID", 0x45fc, 0 },
11583		{ "Select", 30, 2 },
11584		{ "IDO", 24, 1 },
11585		{ "VFID", 16, 8 },
11586		{ "TC", 11, 3 },
11587		{ "VFVld", 10, 1 },
11588		{ "PF", 7, 3 },
11589		{ "RVF", 0, 7 },
11590	{ "PCIE_FID_VFID", 0x4600, 0 },
11591		{ "Select", 30, 2 },
11592		{ "IDO", 24, 1 },
11593		{ "VFID", 16, 8 },
11594		{ "TC", 11, 3 },
11595		{ "VFVld", 10, 1 },
11596		{ "PF", 7, 3 },
11597		{ "RVF", 0, 7 },
11598	{ "PCIE_FID_VFID", 0x4604, 0 },
11599		{ "Select", 30, 2 },
11600		{ "IDO", 24, 1 },
11601		{ "VFID", 16, 8 },
11602		{ "TC", 11, 3 },
11603		{ "VFVld", 10, 1 },
11604		{ "PF", 7, 3 },
11605		{ "RVF", 0, 7 },
11606	{ "PCIE_FID_VFID", 0x4608, 0 },
11607		{ "Select", 30, 2 },
11608		{ "IDO", 24, 1 },
11609		{ "VFID", 16, 8 },
11610		{ "TC", 11, 3 },
11611		{ "VFVld", 10, 1 },
11612		{ "PF", 7, 3 },
11613		{ "RVF", 0, 7 },
11614	{ "PCIE_FID_VFID", 0x460c, 0 },
11615		{ "Select", 30, 2 },
11616		{ "IDO", 24, 1 },
11617		{ "VFID", 16, 8 },
11618		{ "TC", 11, 3 },
11619		{ "VFVld", 10, 1 },
11620		{ "PF", 7, 3 },
11621		{ "RVF", 0, 7 },
11622	{ "PCIE_FID_VFID", 0x4610, 0 },
11623		{ "Select", 30, 2 },
11624		{ "IDO", 24, 1 },
11625		{ "VFID", 16, 8 },
11626		{ "TC", 11, 3 },
11627		{ "VFVld", 10, 1 },
11628		{ "PF", 7, 3 },
11629		{ "RVF", 0, 7 },
11630	{ "PCIE_FID_VFID", 0x4614, 0 },
11631		{ "Select", 30, 2 },
11632		{ "IDO", 24, 1 },
11633		{ "VFID", 16, 8 },
11634		{ "TC", 11, 3 },
11635		{ "VFVld", 10, 1 },
11636		{ "PF", 7, 3 },
11637		{ "RVF", 0, 7 },
11638	{ "PCIE_FID_VFID", 0x4618, 0 },
11639		{ "Select", 30, 2 },
11640		{ "IDO", 24, 1 },
11641		{ "VFID", 16, 8 },
11642		{ "TC", 11, 3 },
11643		{ "VFVld", 10, 1 },
11644		{ "PF", 7, 3 },
11645		{ "RVF", 0, 7 },
11646	{ "PCIE_FID_VFID", 0x461c, 0 },
11647		{ "Select", 30, 2 },
11648		{ "IDO", 24, 1 },
11649		{ "VFID", 16, 8 },
11650		{ "TC", 11, 3 },
11651		{ "VFVld", 10, 1 },
11652		{ "PF", 7, 3 },
11653		{ "RVF", 0, 7 },
11654	{ "PCIE_FID_VFID", 0x4620, 0 },
11655		{ "Select", 30, 2 },
11656		{ "IDO", 24, 1 },
11657		{ "VFID", 16, 8 },
11658		{ "TC", 11, 3 },
11659		{ "VFVld", 10, 1 },
11660		{ "PF", 7, 3 },
11661		{ "RVF", 0, 7 },
11662	{ "PCIE_FID_VFID", 0x4624, 0 },
11663		{ "Select", 30, 2 },
11664		{ "IDO", 24, 1 },
11665		{ "VFID", 16, 8 },
11666		{ "TC", 11, 3 },
11667		{ "VFVld", 10, 1 },
11668		{ "PF", 7, 3 },
11669		{ "RVF", 0, 7 },
11670	{ "PCIE_FID_VFID", 0x4628, 0 },
11671		{ "Select", 30, 2 },
11672		{ "IDO", 24, 1 },
11673		{ "VFID", 16, 8 },
11674		{ "TC", 11, 3 },
11675		{ "VFVld", 10, 1 },
11676		{ "PF", 7, 3 },
11677		{ "RVF", 0, 7 },
11678	{ "PCIE_FID_VFID", 0x462c, 0 },
11679		{ "Select", 30, 2 },
11680		{ "IDO", 24, 1 },
11681		{ "VFID", 16, 8 },
11682		{ "TC", 11, 3 },
11683		{ "VFVld", 10, 1 },
11684		{ "PF", 7, 3 },
11685		{ "RVF", 0, 7 },
11686	{ "PCIE_FID_VFID", 0x4630, 0 },
11687		{ "Select", 30, 2 },
11688		{ "IDO", 24, 1 },
11689		{ "VFID", 16, 8 },
11690		{ "TC", 11, 3 },
11691		{ "VFVld", 10, 1 },
11692		{ "PF", 7, 3 },
11693		{ "RVF", 0, 7 },
11694	{ "PCIE_FID_VFID", 0x4634, 0 },
11695		{ "Select", 30, 2 },
11696		{ "IDO", 24, 1 },
11697		{ "VFID", 16, 8 },
11698		{ "TC", 11, 3 },
11699		{ "VFVld", 10, 1 },
11700		{ "PF", 7, 3 },
11701		{ "RVF", 0, 7 },
11702	{ "PCIE_FID_VFID", 0x4638, 0 },
11703		{ "Select", 30, 2 },
11704		{ "IDO", 24, 1 },
11705		{ "VFID", 16, 8 },
11706		{ "TC", 11, 3 },
11707		{ "VFVld", 10, 1 },
11708		{ "PF", 7, 3 },
11709		{ "RVF", 0, 7 },
11710	{ "PCIE_FID_VFID", 0x463c, 0 },
11711		{ "Select", 30, 2 },
11712		{ "IDO", 24, 1 },
11713		{ "VFID", 16, 8 },
11714		{ "TC", 11, 3 },
11715		{ "VFVld", 10, 1 },
11716		{ "PF", 7, 3 },
11717		{ "RVF", 0, 7 },
11718	{ "PCIE_FID_VFID", 0x4640, 0 },
11719		{ "Select", 30, 2 },
11720		{ "IDO", 24, 1 },
11721		{ "VFID", 16, 8 },
11722		{ "TC", 11, 3 },
11723		{ "VFVld", 10, 1 },
11724		{ "PF", 7, 3 },
11725		{ "RVF", 0, 7 },
11726	{ "PCIE_FID_VFID", 0x4644, 0 },
11727		{ "Select", 30, 2 },
11728		{ "IDO", 24, 1 },
11729		{ "VFID", 16, 8 },
11730		{ "TC", 11, 3 },
11731		{ "VFVld", 10, 1 },
11732		{ "PF", 7, 3 },
11733		{ "RVF", 0, 7 },
11734	{ "PCIE_FID_VFID", 0x4648, 0 },
11735		{ "Select", 30, 2 },
11736		{ "IDO", 24, 1 },
11737		{ "VFID", 16, 8 },
11738		{ "TC", 11, 3 },
11739		{ "VFVld", 10, 1 },
11740		{ "PF", 7, 3 },
11741		{ "RVF", 0, 7 },
11742	{ "PCIE_FID_VFID", 0x464c, 0 },
11743		{ "Select", 30, 2 },
11744		{ "IDO", 24, 1 },
11745		{ "VFID", 16, 8 },
11746		{ "TC", 11, 3 },
11747		{ "VFVld", 10, 1 },
11748		{ "PF", 7, 3 },
11749		{ "RVF", 0, 7 },
11750	{ "PCIE_FID_VFID", 0x4650, 0 },
11751		{ "Select", 30, 2 },
11752		{ "IDO", 24, 1 },
11753		{ "VFID", 16, 8 },
11754		{ "TC", 11, 3 },
11755		{ "VFVld", 10, 1 },
11756		{ "PF", 7, 3 },
11757		{ "RVF", 0, 7 },
11758	{ "PCIE_FID_VFID", 0x4654, 0 },
11759		{ "Select", 30, 2 },
11760		{ "IDO", 24, 1 },
11761		{ "VFID", 16, 8 },
11762		{ "TC", 11, 3 },
11763		{ "VFVld", 10, 1 },
11764		{ "PF", 7, 3 },
11765		{ "RVF", 0, 7 },
11766	{ "PCIE_FID_VFID", 0x4658, 0 },
11767		{ "Select", 30, 2 },
11768		{ "IDO", 24, 1 },
11769		{ "VFID", 16, 8 },
11770		{ "TC", 11, 3 },
11771		{ "VFVld", 10, 1 },
11772		{ "PF", 7, 3 },
11773		{ "RVF", 0, 7 },
11774	{ "PCIE_FID_VFID", 0x465c, 0 },
11775		{ "Select", 30, 2 },
11776		{ "IDO", 24, 1 },
11777		{ "VFID", 16, 8 },
11778		{ "TC", 11, 3 },
11779		{ "VFVld", 10, 1 },
11780		{ "PF", 7, 3 },
11781		{ "RVF", 0, 7 },
11782	{ "PCIE_FID_VFID", 0x4660, 0 },
11783		{ "Select", 30, 2 },
11784		{ "IDO", 24, 1 },
11785		{ "VFID", 16, 8 },
11786		{ "TC", 11, 3 },
11787		{ "VFVld", 10, 1 },
11788		{ "PF", 7, 3 },
11789		{ "RVF", 0, 7 },
11790	{ "PCIE_FID_VFID", 0x4664, 0 },
11791		{ "Select", 30, 2 },
11792		{ "IDO", 24, 1 },
11793		{ "VFID", 16, 8 },
11794		{ "TC", 11, 3 },
11795		{ "VFVld", 10, 1 },
11796		{ "PF", 7, 3 },
11797		{ "RVF", 0, 7 },
11798	{ "PCIE_FID_VFID", 0x4668, 0 },
11799		{ "Select", 30, 2 },
11800		{ "IDO", 24, 1 },
11801		{ "VFID", 16, 8 },
11802		{ "TC", 11, 3 },
11803		{ "VFVld", 10, 1 },
11804		{ "PF", 7, 3 },
11805		{ "RVF", 0, 7 },
11806	{ "PCIE_FID_VFID", 0x466c, 0 },
11807		{ "Select", 30, 2 },
11808		{ "IDO", 24, 1 },
11809		{ "VFID", 16, 8 },
11810		{ "TC", 11, 3 },
11811		{ "VFVld", 10, 1 },
11812		{ "PF", 7, 3 },
11813		{ "RVF", 0, 7 },
11814	{ "PCIE_FID_VFID", 0x4670, 0 },
11815		{ "Select", 30, 2 },
11816		{ "IDO", 24, 1 },
11817		{ "VFID", 16, 8 },
11818		{ "TC", 11, 3 },
11819		{ "VFVld", 10, 1 },
11820		{ "PF", 7, 3 },
11821		{ "RVF", 0, 7 },
11822	{ "PCIE_FID_VFID", 0x4674, 0 },
11823		{ "Select", 30, 2 },
11824		{ "IDO", 24, 1 },
11825		{ "VFID", 16, 8 },
11826		{ "TC", 11, 3 },
11827		{ "VFVld", 10, 1 },
11828		{ "PF", 7, 3 },
11829		{ "RVF", 0, 7 },
11830	{ "PCIE_FID_VFID", 0x4678, 0 },
11831		{ "Select", 30, 2 },
11832		{ "IDO", 24, 1 },
11833		{ "VFID", 16, 8 },
11834		{ "TC", 11, 3 },
11835		{ "VFVld", 10, 1 },
11836		{ "PF", 7, 3 },
11837		{ "RVF", 0, 7 },
11838	{ "PCIE_FID_VFID", 0x467c, 0 },
11839		{ "Select", 30, 2 },
11840		{ "IDO", 24, 1 },
11841		{ "VFID", 16, 8 },
11842		{ "TC", 11, 3 },
11843		{ "VFVld", 10, 1 },
11844		{ "PF", 7, 3 },
11845		{ "RVF", 0, 7 },
11846	{ "PCIE_FID_VFID", 0x4680, 0 },
11847		{ "Select", 30, 2 },
11848		{ "IDO", 24, 1 },
11849		{ "VFID", 16, 8 },
11850		{ "TC", 11, 3 },
11851		{ "VFVld", 10, 1 },
11852		{ "PF", 7, 3 },
11853		{ "RVF", 0, 7 },
11854	{ "PCIE_FID_VFID", 0x4684, 0 },
11855		{ "Select", 30, 2 },
11856		{ "IDO", 24, 1 },
11857		{ "VFID", 16, 8 },
11858		{ "TC", 11, 3 },
11859		{ "VFVld", 10, 1 },
11860		{ "PF", 7, 3 },
11861		{ "RVF", 0, 7 },
11862	{ "PCIE_FID_VFID", 0x4688, 0 },
11863		{ "Select", 30, 2 },
11864		{ "IDO", 24, 1 },
11865		{ "VFID", 16, 8 },
11866		{ "TC", 11, 3 },
11867		{ "VFVld", 10, 1 },
11868		{ "PF", 7, 3 },
11869		{ "RVF", 0, 7 },
11870	{ "PCIE_FID_VFID", 0x468c, 0 },
11871		{ "Select", 30, 2 },
11872		{ "IDO", 24, 1 },
11873		{ "VFID", 16, 8 },
11874		{ "TC", 11, 3 },
11875		{ "VFVld", 10, 1 },
11876		{ "PF", 7, 3 },
11877		{ "RVF", 0, 7 },
11878	{ "PCIE_FID_VFID", 0x4690, 0 },
11879		{ "Select", 30, 2 },
11880		{ "IDO", 24, 1 },
11881		{ "VFID", 16, 8 },
11882		{ "TC", 11, 3 },
11883		{ "VFVld", 10, 1 },
11884		{ "PF", 7, 3 },
11885		{ "RVF", 0, 7 },
11886	{ "PCIE_FID_VFID", 0x4694, 0 },
11887		{ "Select", 30, 2 },
11888		{ "IDO", 24, 1 },
11889		{ "VFID", 16, 8 },
11890		{ "TC", 11, 3 },
11891		{ "VFVld", 10, 1 },
11892		{ "PF", 7, 3 },
11893		{ "RVF", 0, 7 },
11894	{ "PCIE_FID_VFID", 0x4698, 0 },
11895		{ "Select", 30, 2 },
11896		{ "IDO", 24, 1 },
11897		{ "VFID", 16, 8 },
11898		{ "TC", 11, 3 },
11899		{ "VFVld", 10, 1 },
11900		{ "PF", 7, 3 },
11901		{ "RVF", 0, 7 },
11902	{ "PCIE_FID_VFID", 0x469c, 0 },
11903		{ "Select", 30, 2 },
11904		{ "IDO", 24, 1 },
11905		{ "VFID", 16, 8 },
11906		{ "TC", 11, 3 },
11907		{ "VFVld", 10, 1 },
11908		{ "PF", 7, 3 },
11909		{ "RVF", 0, 7 },
11910	{ "PCIE_FID_VFID", 0x46a0, 0 },
11911		{ "Select", 30, 2 },
11912		{ "IDO", 24, 1 },
11913		{ "VFID", 16, 8 },
11914		{ "TC", 11, 3 },
11915		{ "VFVld", 10, 1 },
11916		{ "PF", 7, 3 },
11917		{ "RVF", 0, 7 },
11918	{ "PCIE_FID_VFID", 0x46a4, 0 },
11919		{ "Select", 30, 2 },
11920		{ "IDO", 24, 1 },
11921		{ "VFID", 16, 8 },
11922		{ "TC", 11, 3 },
11923		{ "VFVld", 10, 1 },
11924		{ "PF", 7, 3 },
11925		{ "RVF", 0, 7 },
11926	{ "PCIE_FID_VFID", 0x46a8, 0 },
11927		{ "Select", 30, 2 },
11928		{ "IDO", 24, 1 },
11929		{ "VFID", 16, 8 },
11930		{ "TC", 11, 3 },
11931		{ "VFVld", 10, 1 },
11932		{ "PF", 7, 3 },
11933		{ "RVF", 0, 7 },
11934	{ "PCIE_FID_VFID", 0x46ac, 0 },
11935		{ "Select", 30, 2 },
11936		{ "IDO", 24, 1 },
11937		{ "VFID", 16, 8 },
11938		{ "TC", 11, 3 },
11939		{ "VFVld", 10, 1 },
11940		{ "PF", 7, 3 },
11941		{ "RVF", 0, 7 },
11942	{ "PCIE_FID_VFID", 0x46b0, 0 },
11943		{ "Select", 30, 2 },
11944		{ "IDO", 24, 1 },
11945		{ "VFID", 16, 8 },
11946		{ "TC", 11, 3 },
11947		{ "VFVld", 10, 1 },
11948		{ "PF", 7, 3 },
11949		{ "RVF", 0, 7 },
11950	{ "PCIE_FID_VFID", 0x46b4, 0 },
11951		{ "Select", 30, 2 },
11952		{ "IDO", 24, 1 },
11953		{ "VFID", 16, 8 },
11954		{ "TC", 11, 3 },
11955		{ "VFVld", 10, 1 },
11956		{ "PF", 7, 3 },
11957		{ "RVF", 0, 7 },
11958	{ "PCIE_FID_VFID", 0x46b8, 0 },
11959		{ "Select", 30, 2 },
11960		{ "IDO", 24, 1 },
11961		{ "VFID", 16, 8 },
11962		{ "TC", 11, 3 },
11963		{ "VFVld", 10, 1 },
11964		{ "PF", 7, 3 },
11965		{ "RVF", 0, 7 },
11966	{ "PCIE_FID_VFID", 0x46bc, 0 },
11967		{ "Select", 30, 2 },
11968		{ "IDO", 24, 1 },
11969		{ "VFID", 16, 8 },
11970		{ "TC", 11, 3 },
11971		{ "VFVld", 10, 1 },
11972		{ "PF", 7, 3 },
11973		{ "RVF", 0, 7 },
11974	{ "PCIE_FID_VFID", 0x46c0, 0 },
11975		{ "Select", 30, 2 },
11976		{ "IDO", 24, 1 },
11977		{ "VFID", 16, 8 },
11978		{ "TC", 11, 3 },
11979		{ "VFVld", 10, 1 },
11980		{ "PF", 7, 3 },
11981		{ "RVF", 0, 7 },
11982	{ "PCIE_FID_VFID", 0x46c4, 0 },
11983		{ "Select", 30, 2 },
11984		{ "IDO", 24, 1 },
11985		{ "VFID", 16, 8 },
11986		{ "TC", 11, 3 },
11987		{ "VFVld", 10, 1 },
11988		{ "PF", 7, 3 },
11989		{ "RVF", 0, 7 },
11990	{ "PCIE_FID_VFID", 0x46c8, 0 },
11991		{ "Select", 30, 2 },
11992		{ "IDO", 24, 1 },
11993		{ "VFID", 16, 8 },
11994		{ "TC", 11, 3 },
11995		{ "VFVld", 10, 1 },
11996		{ "PF", 7, 3 },
11997		{ "RVF", 0, 7 },
11998	{ "PCIE_FID_VFID", 0x46cc, 0 },
11999		{ "Select", 30, 2 },
12000		{ "IDO", 24, 1 },
12001		{ "VFID", 16, 8 },
12002		{ "TC", 11, 3 },
12003		{ "VFVld", 10, 1 },
12004		{ "PF", 7, 3 },
12005		{ "RVF", 0, 7 },
12006	{ "PCIE_FID_VFID", 0x46d0, 0 },
12007		{ "Select", 30, 2 },
12008		{ "IDO", 24, 1 },
12009		{ "VFID", 16, 8 },
12010		{ "TC", 11, 3 },
12011		{ "VFVld", 10, 1 },
12012		{ "PF", 7, 3 },
12013		{ "RVF", 0, 7 },
12014	{ "PCIE_FID_VFID", 0x46d4, 0 },
12015		{ "Select", 30, 2 },
12016		{ "IDO", 24, 1 },
12017		{ "VFID", 16, 8 },
12018		{ "TC", 11, 3 },
12019		{ "VFVld", 10, 1 },
12020		{ "PF", 7, 3 },
12021		{ "RVF", 0, 7 },
12022	{ "PCIE_FID_VFID", 0x46d8, 0 },
12023		{ "Select", 30, 2 },
12024		{ "IDO", 24, 1 },
12025		{ "VFID", 16, 8 },
12026		{ "TC", 11, 3 },
12027		{ "VFVld", 10, 1 },
12028		{ "PF", 7, 3 },
12029		{ "RVF", 0, 7 },
12030	{ "PCIE_FID_VFID", 0x46dc, 0 },
12031		{ "Select", 30, 2 },
12032		{ "IDO", 24, 1 },
12033		{ "VFID", 16, 8 },
12034		{ "TC", 11, 3 },
12035		{ "VFVld", 10, 1 },
12036		{ "PF", 7, 3 },
12037		{ "RVF", 0, 7 },
12038	{ "PCIE_FID_VFID", 0x46e0, 0 },
12039		{ "Select", 30, 2 },
12040		{ "IDO", 24, 1 },
12041		{ "VFID", 16, 8 },
12042		{ "TC", 11, 3 },
12043		{ "VFVld", 10, 1 },
12044		{ "PF", 7, 3 },
12045		{ "RVF", 0, 7 },
12046	{ "PCIE_FID_VFID", 0x46e4, 0 },
12047		{ "Select", 30, 2 },
12048		{ "IDO", 24, 1 },
12049		{ "VFID", 16, 8 },
12050		{ "TC", 11, 3 },
12051		{ "VFVld", 10, 1 },
12052		{ "PF", 7, 3 },
12053		{ "RVF", 0, 7 },
12054	{ "PCIE_FID_VFID", 0x46e8, 0 },
12055		{ "Select", 30, 2 },
12056		{ "IDO", 24, 1 },
12057		{ "VFID", 16, 8 },
12058		{ "TC", 11, 3 },
12059		{ "VFVld", 10, 1 },
12060		{ "PF", 7, 3 },
12061		{ "RVF", 0, 7 },
12062	{ "PCIE_FID_VFID", 0x46ec, 0 },
12063		{ "Select", 30, 2 },
12064		{ "IDO", 24, 1 },
12065		{ "VFID", 16, 8 },
12066		{ "TC", 11, 3 },
12067		{ "VFVld", 10, 1 },
12068		{ "PF", 7, 3 },
12069		{ "RVF", 0, 7 },
12070	{ "PCIE_FID_VFID", 0x46f0, 0 },
12071		{ "Select", 30, 2 },
12072		{ "IDO", 24, 1 },
12073		{ "VFID", 16, 8 },
12074		{ "TC", 11, 3 },
12075		{ "VFVld", 10, 1 },
12076		{ "PF", 7, 3 },
12077		{ "RVF", 0, 7 },
12078	{ "PCIE_FID_VFID", 0x46f4, 0 },
12079		{ "Select", 30, 2 },
12080		{ "IDO", 24, 1 },
12081		{ "VFID", 16, 8 },
12082		{ "TC", 11, 3 },
12083		{ "VFVld", 10, 1 },
12084		{ "PF", 7, 3 },
12085		{ "RVF", 0, 7 },
12086	{ "PCIE_FID_VFID", 0x46f8, 0 },
12087		{ "Select", 30, 2 },
12088		{ "IDO", 24, 1 },
12089		{ "VFID", 16, 8 },
12090		{ "TC", 11, 3 },
12091		{ "VFVld", 10, 1 },
12092		{ "PF", 7, 3 },
12093		{ "RVF", 0, 7 },
12094	{ "PCIE_FID_VFID", 0x46fc, 0 },
12095		{ "Select", 30, 2 },
12096		{ "IDO", 24, 1 },
12097		{ "VFID", 16, 8 },
12098		{ "TC", 11, 3 },
12099		{ "VFVld", 10, 1 },
12100		{ "PF", 7, 3 },
12101		{ "RVF", 0, 7 },
12102	{ "PCIE_FID_VFID", 0x4700, 0 },
12103		{ "Select", 30, 2 },
12104		{ "IDO", 24, 1 },
12105		{ "VFID", 16, 8 },
12106		{ "TC", 11, 3 },
12107		{ "VFVld", 10, 1 },
12108		{ "PF", 7, 3 },
12109		{ "RVF", 0, 7 },
12110	{ "PCIE_FID_VFID", 0x4704, 0 },
12111		{ "Select", 30, 2 },
12112		{ "IDO", 24, 1 },
12113		{ "VFID", 16, 8 },
12114		{ "TC", 11, 3 },
12115		{ "VFVld", 10, 1 },
12116		{ "PF", 7, 3 },
12117		{ "RVF", 0, 7 },
12118	{ "PCIE_FID_VFID", 0x4708, 0 },
12119		{ "Select", 30, 2 },
12120		{ "IDO", 24, 1 },
12121		{ "VFID", 16, 8 },
12122		{ "TC", 11, 3 },
12123		{ "VFVld", 10, 1 },
12124		{ "PF", 7, 3 },
12125		{ "RVF", 0, 7 },
12126	{ "PCIE_FID_VFID", 0x470c, 0 },
12127		{ "Select", 30, 2 },
12128		{ "IDO", 24, 1 },
12129		{ "VFID", 16, 8 },
12130		{ "TC", 11, 3 },
12131		{ "VFVld", 10, 1 },
12132		{ "PF", 7, 3 },
12133		{ "RVF", 0, 7 },
12134	{ "PCIE_FID_VFID", 0x4710, 0 },
12135		{ "Select", 30, 2 },
12136		{ "IDO", 24, 1 },
12137		{ "VFID", 16, 8 },
12138		{ "TC", 11, 3 },
12139		{ "VFVld", 10, 1 },
12140		{ "PF", 7, 3 },
12141		{ "RVF", 0, 7 },
12142	{ "PCIE_FID_VFID", 0x4714, 0 },
12143		{ "Select", 30, 2 },
12144		{ "IDO", 24, 1 },
12145		{ "VFID", 16, 8 },
12146		{ "TC", 11, 3 },
12147		{ "VFVld", 10, 1 },
12148		{ "PF", 7, 3 },
12149		{ "RVF", 0, 7 },
12150	{ "PCIE_FID_VFID", 0x4718, 0 },
12151		{ "Select", 30, 2 },
12152		{ "IDO", 24, 1 },
12153		{ "VFID", 16, 8 },
12154		{ "TC", 11, 3 },
12155		{ "VFVld", 10, 1 },
12156		{ "PF", 7, 3 },
12157		{ "RVF", 0, 7 },
12158	{ "PCIE_FID_VFID", 0x471c, 0 },
12159		{ "Select", 30, 2 },
12160		{ "IDO", 24, 1 },
12161		{ "VFID", 16, 8 },
12162		{ "TC", 11, 3 },
12163		{ "VFVld", 10, 1 },
12164		{ "PF", 7, 3 },
12165		{ "RVF", 0, 7 },
12166	{ "PCIE_FID_VFID", 0x4720, 0 },
12167		{ "Select", 30, 2 },
12168		{ "IDO", 24, 1 },
12169		{ "VFID", 16, 8 },
12170		{ "TC", 11, 3 },
12171		{ "VFVld", 10, 1 },
12172		{ "PF", 7, 3 },
12173		{ "RVF", 0, 7 },
12174	{ "PCIE_FID_VFID", 0x4724, 0 },
12175		{ "Select", 30, 2 },
12176		{ "IDO", 24, 1 },
12177		{ "VFID", 16, 8 },
12178		{ "TC", 11, 3 },
12179		{ "VFVld", 10, 1 },
12180		{ "PF", 7, 3 },
12181		{ "RVF", 0, 7 },
12182	{ "PCIE_FID_VFID", 0x4728, 0 },
12183		{ "Select", 30, 2 },
12184		{ "IDO", 24, 1 },
12185		{ "VFID", 16, 8 },
12186		{ "TC", 11, 3 },
12187		{ "VFVld", 10, 1 },
12188		{ "PF", 7, 3 },
12189		{ "RVF", 0, 7 },
12190	{ "PCIE_FID_VFID", 0x472c, 0 },
12191		{ "Select", 30, 2 },
12192		{ "IDO", 24, 1 },
12193		{ "VFID", 16, 8 },
12194		{ "TC", 11, 3 },
12195		{ "VFVld", 10, 1 },
12196		{ "PF", 7, 3 },
12197		{ "RVF", 0, 7 },
12198	{ "PCIE_FID_VFID", 0x4730, 0 },
12199		{ "Select", 30, 2 },
12200		{ "IDO", 24, 1 },
12201		{ "VFID", 16, 8 },
12202		{ "TC", 11, 3 },
12203		{ "VFVld", 10, 1 },
12204		{ "PF", 7, 3 },
12205		{ "RVF", 0, 7 },
12206	{ "PCIE_FID_VFID", 0x4734, 0 },
12207		{ "Select", 30, 2 },
12208		{ "IDO", 24, 1 },
12209		{ "VFID", 16, 8 },
12210		{ "TC", 11, 3 },
12211		{ "VFVld", 10, 1 },
12212		{ "PF", 7, 3 },
12213		{ "RVF", 0, 7 },
12214	{ "PCIE_FID_VFID", 0x4738, 0 },
12215		{ "Select", 30, 2 },
12216		{ "IDO", 24, 1 },
12217		{ "VFID", 16, 8 },
12218		{ "TC", 11, 3 },
12219		{ "VFVld", 10, 1 },
12220		{ "PF", 7, 3 },
12221		{ "RVF", 0, 7 },
12222	{ "PCIE_FID_VFID", 0x473c, 0 },
12223		{ "Select", 30, 2 },
12224		{ "IDO", 24, 1 },
12225		{ "VFID", 16, 8 },
12226		{ "TC", 11, 3 },
12227		{ "VFVld", 10, 1 },
12228		{ "PF", 7, 3 },
12229		{ "RVF", 0, 7 },
12230	{ "PCIE_FID_VFID", 0x4740, 0 },
12231		{ "Select", 30, 2 },
12232		{ "IDO", 24, 1 },
12233		{ "VFID", 16, 8 },
12234		{ "TC", 11, 3 },
12235		{ "VFVld", 10, 1 },
12236		{ "PF", 7, 3 },
12237		{ "RVF", 0, 7 },
12238	{ "PCIE_FID_VFID", 0x4744, 0 },
12239		{ "Select", 30, 2 },
12240		{ "IDO", 24, 1 },
12241		{ "VFID", 16, 8 },
12242		{ "TC", 11, 3 },
12243		{ "VFVld", 10, 1 },
12244		{ "PF", 7, 3 },
12245		{ "RVF", 0, 7 },
12246	{ "PCIE_FID_VFID", 0x4748, 0 },
12247		{ "Select", 30, 2 },
12248		{ "IDO", 24, 1 },
12249		{ "VFID", 16, 8 },
12250		{ "TC", 11, 3 },
12251		{ "VFVld", 10, 1 },
12252		{ "PF", 7, 3 },
12253		{ "RVF", 0, 7 },
12254	{ "PCIE_FID_VFID", 0x474c, 0 },
12255		{ "Select", 30, 2 },
12256		{ "IDO", 24, 1 },
12257		{ "VFID", 16, 8 },
12258		{ "TC", 11, 3 },
12259		{ "VFVld", 10, 1 },
12260		{ "PF", 7, 3 },
12261		{ "RVF", 0, 7 },
12262	{ "PCIE_FID_VFID", 0x4750, 0 },
12263		{ "Select", 30, 2 },
12264		{ "IDO", 24, 1 },
12265		{ "VFID", 16, 8 },
12266		{ "TC", 11, 3 },
12267		{ "VFVld", 10, 1 },
12268		{ "PF", 7, 3 },
12269		{ "RVF", 0, 7 },
12270	{ "PCIE_FID_VFID", 0x4754, 0 },
12271		{ "Select", 30, 2 },
12272		{ "IDO", 24, 1 },
12273		{ "VFID", 16, 8 },
12274		{ "TC", 11, 3 },
12275		{ "VFVld", 10, 1 },
12276		{ "PF", 7, 3 },
12277		{ "RVF", 0, 7 },
12278	{ "PCIE_FID_VFID", 0x4758, 0 },
12279		{ "Select", 30, 2 },
12280		{ "IDO", 24, 1 },
12281		{ "VFID", 16, 8 },
12282		{ "TC", 11, 3 },
12283		{ "VFVld", 10, 1 },
12284		{ "PF", 7, 3 },
12285		{ "RVF", 0, 7 },
12286	{ "PCIE_FID_VFID", 0x475c, 0 },
12287		{ "Select", 30, 2 },
12288		{ "IDO", 24, 1 },
12289		{ "VFID", 16, 8 },
12290		{ "TC", 11, 3 },
12291		{ "VFVld", 10, 1 },
12292		{ "PF", 7, 3 },
12293		{ "RVF", 0, 7 },
12294	{ "PCIE_FID_VFID", 0x4760, 0 },
12295		{ "Select", 30, 2 },
12296		{ "IDO", 24, 1 },
12297		{ "VFID", 16, 8 },
12298		{ "TC", 11, 3 },
12299		{ "VFVld", 10, 1 },
12300		{ "PF", 7, 3 },
12301		{ "RVF", 0, 7 },
12302	{ "PCIE_FID_VFID", 0x4764, 0 },
12303		{ "Select", 30, 2 },
12304		{ "IDO", 24, 1 },
12305		{ "VFID", 16, 8 },
12306		{ "TC", 11, 3 },
12307		{ "VFVld", 10, 1 },
12308		{ "PF", 7, 3 },
12309		{ "RVF", 0, 7 },
12310	{ "PCIE_FID_VFID", 0x4768, 0 },
12311		{ "Select", 30, 2 },
12312		{ "IDO", 24, 1 },
12313		{ "VFID", 16, 8 },
12314		{ "TC", 11, 3 },
12315		{ "VFVld", 10, 1 },
12316		{ "PF", 7, 3 },
12317		{ "RVF", 0, 7 },
12318	{ "PCIE_FID_VFID", 0x476c, 0 },
12319		{ "Select", 30, 2 },
12320		{ "IDO", 24, 1 },
12321		{ "VFID", 16, 8 },
12322		{ "TC", 11, 3 },
12323		{ "VFVld", 10, 1 },
12324		{ "PF", 7, 3 },
12325		{ "RVF", 0, 7 },
12326	{ "PCIE_FID_VFID", 0x4770, 0 },
12327		{ "Select", 30, 2 },
12328		{ "IDO", 24, 1 },
12329		{ "VFID", 16, 8 },
12330		{ "TC", 11, 3 },
12331		{ "VFVld", 10, 1 },
12332		{ "PF", 7, 3 },
12333		{ "RVF", 0, 7 },
12334	{ "PCIE_FID_VFID", 0x4774, 0 },
12335		{ "Select", 30, 2 },
12336		{ "IDO", 24, 1 },
12337		{ "VFID", 16, 8 },
12338		{ "TC", 11, 3 },
12339		{ "VFVld", 10, 1 },
12340		{ "PF", 7, 3 },
12341		{ "RVF", 0, 7 },
12342	{ "PCIE_FID_VFID", 0x4778, 0 },
12343		{ "Select", 30, 2 },
12344		{ "IDO", 24, 1 },
12345		{ "VFID", 16, 8 },
12346		{ "TC", 11, 3 },
12347		{ "VFVld", 10, 1 },
12348		{ "PF", 7, 3 },
12349		{ "RVF", 0, 7 },
12350	{ "PCIE_FID_VFID", 0x477c, 0 },
12351		{ "Select", 30, 2 },
12352		{ "IDO", 24, 1 },
12353		{ "VFID", 16, 8 },
12354		{ "TC", 11, 3 },
12355		{ "VFVld", 10, 1 },
12356		{ "PF", 7, 3 },
12357		{ "RVF", 0, 7 },
12358	{ "PCIE_FID_VFID", 0x4780, 0 },
12359		{ "Select", 30, 2 },
12360		{ "IDO", 24, 1 },
12361		{ "VFID", 16, 8 },
12362		{ "TC", 11, 3 },
12363		{ "VFVld", 10, 1 },
12364		{ "PF", 7, 3 },
12365		{ "RVF", 0, 7 },
12366	{ "PCIE_FID_VFID", 0x4784, 0 },
12367		{ "Select", 30, 2 },
12368		{ "IDO", 24, 1 },
12369		{ "VFID", 16, 8 },
12370		{ "TC", 11, 3 },
12371		{ "VFVld", 10, 1 },
12372		{ "PF", 7, 3 },
12373		{ "RVF", 0, 7 },
12374	{ "PCIE_FID_VFID", 0x4788, 0 },
12375		{ "Select", 30, 2 },
12376		{ "IDO", 24, 1 },
12377		{ "VFID", 16, 8 },
12378		{ "TC", 11, 3 },
12379		{ "VFVld", 10, 1 },
12380		{ "PF", 7, 3 },
12381		{ "RVF", 0, 7 },
12382	{ "PCIE_FID_VFID", 0x478c, 0 },
12383		{ "Select", 30, 2 },
12384		{ "IDO", 24, 1 },
12385		{ "VFID", 16, 8 },
12386		{ "TC", 11, 3 },
12387		{ "VFVld", 10, 1 },
12388		{ "PF", 7, 3 },
12389		{ "RVF", 0, 7 },
12390	{ "PCIE_FID_VFID", 0x4790, 0 },
12391		{ "Select", 30, 2 },
12392		{ "IDO", 24, 1 },
12393		{ "VFID", 16, 8 },
12394		{ "TC", 11, 3 },
12395		{ "VFVld", 10, 1 },
12396		{ "PF", 7, 3 },
12397		{ "RVF", 0, 7 },
12398	{ "PCIE_FID_VFID", 0x4794, 0 },
12399		{ "Select", 30, 2 },
12400		{ "IDO", 24, 1 },
12401		{ "VFID", 16, 8 },
12402		{ "TC", 11, 3 },
12403		{ "VFVld", 10, 1 },
12404		{ "PF", 7, 3 },
12405		{ "RVF", 0, 7 },
12406	{ "PCIE_FID_VFID", 0x4798, 0 },
12407		{ "Select", 30, 2 },
12408		{ "IDO", 24, 1 },
12409		{ "VFID", 16, 8 },
12410		{ "TC", 11, 3 },
12411		{ "VFVld", 10, 1 },
12412		{ "PF", 7, 3 },
12413		{ "RVF", 0, 7 },
12414	{ "PCIE_FID_VFID", 0x479c, 0 },
12415		{ "Select", 30, 2 },
12416		{ "IDO", 24, 1 },
12417		{ "VFID", 16, 8 },
12418		{ "TC", 11, 3 },
12419		{ "VFVld", 10, 1 },
12420		{ "PF", 7, 3 },
12421		{ "RVF", 0, 7 },
12422	{ "PCIE_FID_VFID", 0x47a0, 0 },
12423		{ "Select", 30, 2 },
12424		{ "IDO", 24, 1 },
12425		{ "VFID", 16, 8 },
12426		{ "TC", 11, 3 },
12427		{ "VFVld", 10, 1 },
12428		{ "PF", 7, 3 },
12429		{ "RVF", 0, 7 },
12430	{ "PCIE_FID_VFID", 0x47a4, 0 },
12431		{ "Select", 30, 2 },
12432		{ "IDO", 24, 1 },
12433		{ "VFID", 16, 8 },
12434		{ "TC", 11, 3 },
12435		{ "VFVld", 10, 1 },
12436		{ "PF", 7, 3 },
12437		{ "RVF", 0, 7 },
12438	{ "PCIE_FID_VFID", 0x47a8, 0 },
12439		{ "Select", 30, 2 },
12440		{ "IDO", 24, 1 },
12441		{ "VFID", 16, 8 },
12442		{ "TC", 11, 3 },
12443		{ "VFVld", 10, 1 },
12444		{ "PF", 7, 3 },
12445		{ "RVF", 0, 7 },
12446	{ "PCIE_FID_VFID", 0x47ac, 0 },
12447		{ "Select", 30, 2 },
12448		{ "IDO", 24, 1 },
12449		{ "VFID", 16, 8 },
12450		{ "TC", 11, 3 },
12451		{ "VFVld", 10, 1 },
12452		{ "PF", 7, 3 },
12453		{ "RVF", 0, 7 },
12454	{ "PCIE_FID_VFID", 0x47b0, 0 },
12455		{ "Select", 30, 2 },
12456		{ "IDO", 24, 1 },
12457		{ "VFID", 16, 8 },
12458		{ "TC", 11, 3 },
12459		{ "VFVld", 10, 1 },
12460		{ "PF", 7, 3 },
12461		{ "RVF", 0, 7 },
12462	{ "PCIE_FID_VFID", 0x47b4, 0 },
12463		{ "Select", 30, 2 },
12464		{ "IDO", 24, 1 },
12465		{ "VFID", 16, 8 },
12466		{ "TC", 11, 3 },
12467		{ "VFVld", 10, 1 },
12468		{ "PF", 7, 3 },
12469		{ "RVF", 0, 7 },
12470	{ "PCIE_FID_VFID", 0x47b8, 0 },
12471		{ "Select", 30, 2 },
12472		{ "IDO", 24, 1 },
12473		{ "VFID", 16, 8 },
12474		{ "TC", 11, 3 },
12475		{ "VFVld", 10, 1 },
12476		{ "PF", 7, 3 },
12477		{ "RVF", 0, 7 },
12478	{ "PCIE_FID_VFID", 0x47bc, 0 },
12479		{ "Select", 30, 2 },
12480		{ "IDO", 24, 1 },
12481		{ "VFID", 16, 8 },
12482		{ "TC", 11, 3 },
12483		{ "VFVld", 10, 1 },
12484		{ "PF", 7, 3 },
12485		{ "RVF", 0, 7 },
12486	{ "PCIE_FID_VFID", 0x47c0, 0 },
12487		{ "Select", 30, 2 },
12488		{ "IDO", 24, 1 },
12489		{ "VFID", 16, 8 },
12490		{ "TC", 11, 3 },
12491		{ "VFVld", 10, 1 },
12492		{ "PF", 7, 3 },
12493		{ "RVF", 0, 7 },
12494	{ "PCIE_FID_VFID", 0x47c4, 0 },
12495		{ "Select", 30, 2 },
12496		{ "IDO", 24, 1 },
12497		{ "VFID", 16, 8 },
12498		{ "TC", 11, 3 },
12499		{ "VFVld", 10, 1 },
12500		{ "PF", 7, 3 },
12501		{ "RVF", 0, 7 },
12502	{ "PCIE_FID_VFID", 0x47c8, 0 },
12503		{ "Select", 30, 2 },
12504		{ "IDO", 24, 1 },
12505		{ "VFID", 16, 8 },
12506		{ "TC", 11, 3 },
12507		{ "VFVld", 10, 1 },
12508		{ "PF", 7, 3 },
12509		{ "RVF", 0, 7 },
12510	{ "PCIE_FID_VFID", 0x47cc, 0 },
12511		{ "Select", 30, 2 },
12512		{ "IDO", 24, 1 },
12513		{ "VFID", 16, 8 },
12514		{ "TC", 11, 3 },
12515		{ "VFVld", 10, 1 },
12516		{ "PF", 7, 3 },
12517		{ "RVF", 0, 7 },
12518	{ "PCIE_FID_VFID", 0x47d0, 0 },
12519		{ "Select", 30, 2 },
12520		{ "IDO", 24, 1 },
12521		{ "VFID", 16, 8 },
12522		{ "TC", 11, 3 },
12523		{ "VFVld", 10, 1 },
12524		{ "PF", 7, 3 },
12525		{ "RVF", 0, 7 },
12526	{ "PCIE_FID_VFID", 0x47d4, 0 },
12527		{ "Select", 30, 2 },
12528		{ "IDO", 24, 1 },
12529		{ "VFID", 16, 8 },
12530		{ "TC", 11, 3 },
12531		{ "VFVld", 10, 1 },
12532		{ "PF", 7, 3 },
12533		{ "RVF", 0, 7 },
12534	{ "PCIE_FID_VFID", 0x47d8, 0 },
12535		{ "Select", 30, 2 },
12536		{ "IDO", 24, 1 },
12537		{ "VFID", 16, 8 },
12538		{ "TC", 11, 3 },
12539		{ "VFVld", 10, 1 },
12540		{ "PF", 7, 3 },
12541		{ "RVF", 0, 7 },
12542	{ "PCIE_FID_VFID", 0x47dc, 0 },
12543		{ "Select", 30, 2 },
12544		{ "IDO", 24, 1 },
12545		{ "VFID", 16, 8 },
12546		{ "TC", 11, 3 },
12547		{ "VFVld", 10, 1 },
12548		{ "PF", 7, 3 },
12549		{ "RVF", 0, 7 },
12550	{ "PCIE_FID_VFID", 0x47e0, 0 },
12551		{ "Select", 30, 2 },
12552		{ "IDO", 24, 1 },
12553		{ "VFID", 16, 8 },
12554		{ "TC", 11, 3 },
12555		{ "VFVld", 10, 1 },
12556		{ "PF", 7, 3 },
12557		{ "RVF", 0, 7 },
12558	{ "PCIE_FID_VFID", 0x47e4, 0 },
12559		{ "Select", 30, 2 },
12560		{ "IDO", 24, 1 },
12561		{ "VFID", 16, 8 },
12562		{ "TC", 11, 3 },
12563		{ "VFVld", 10, 1 },
12564		{ "PF", 7, 3 },
12565		{ "RVF", 0, 7 },
12566	{ "PCIE_FID_VFID", 0x47e8, 0 },
12567		{ "Select", 30, 2 },
12568		{ "IDO", 24, 1 },
12569		{ "VFID", 16, 8 },
12570		{ "TC", 11, 3 },
12571		{ "VFVld", 10, 1 },
12572		{ "PF", 7, 3 },
12573		{ "RVF", 0, 7 },
12574	{ "PCIE_FID_VFID", 0x47ec, 0 },
12575		{ "Select", 30, 2 },
12576		{ "IDO", 24, 1 },
12577		{ "VFID", 16, 8 },
12578		{ "TC", 11, 3 },
12579		{ "VFVld", 10, 1 },
12580		{ "PF", 7, 3 },
12581		{ "RVF", 0, 7 },
12582	{ "PCIE_FID_VFID", 0x47f0, 0 },
12583		{ "Select", 30, 2 },
12584		{ "IDO", 24, 1 },
12585		{ "VFID", 16, 8 },
12586		{ "TC", 11, 3 },
12587		{ "VFVld", 10, 1 },
12588		{ "PF", 7, 3 },
12589		{ "RVF", 0, 7 },
12590	{ "PCIE_FID_VFID", 0x47f4, 0 },
12591		{ "Select", 30, 2 },
12592		{ "IDO", 24, 1 },
12593		{ "VFID", 16, 8 },
12594		{ "TC", 11, 3 },
12595		{ "VFVld", 10, 1 },
12596		{ "PF", 7, 3 },
12597		{ "RVF", 0, 7 },
12598	{ "PCIE_FID_VFID", 0x47f8, 0 },
12599		{ "Select", 30, 2 },
12600		{ "IDO", 24, 1 },
12601		{ "VFID", 16, 8 },
12602		{ "TC", 11, 3 },
12603		{ "VFVld", 10, 1 },
12604		{ "PF", 7, 3 },
12605		{ "RVF", 0, 7 },
12606	{ "PCIE_FID_VFID", 0x47fc, 0 },
12607		{ "Select", 30, 2 },
12608		{ "IDO", 24, 1 },
12609		{ "VFID", 16, 8 },
12610		{ "TC", 11, 3 },
12611		{ "VFVld", 10, 1 },
12612		{ "PF", 7, 3 },
12613		{ "RVF", 0, 7 },
12614	{ "PCIE_FID_VFID", 0x4800, 0 },
12615		{ "Select", 30, 2 },
12616		{ "IDO", 24, 1 },
12617		{ "VFID", 16, 8 },
12618		{ "TC", 11, 3 },
12619		{ "VFVld", 10, 1 },
12620		{ "PF", 7, 3 },
12621		{ "RVF", 0, 7 },
12622	{ "PCIE_FID_VFID", 0x4804, 0 },
12623		{ "Select", 30, 2 },
12624		{ "IDO", 24, 1 },
12625		{ "VFID", 16, 8 },
12626		{ "TC", 11, 3 },
12627		{ "VFVld", 10, 1 },
12628		{ "PF", 7, 3 },
12629		{ "RVF", 0, 7 },
12630	{ "PCIE_FID_VFID", 0x4808, 0 },
12631		{ "Select", 30, 2 },
12632		{ "IDO", 24, 1 },
12633		{ "VFID", 16, 8 },
12634		{ "TC", 11, 3 },
12635		{ "VFVld", 10, 1 },
12636		{ "PF", 7, 3 },
12637		{ "RVF", 0, 7 },
12638	{ "PCIE_FID_VFID", 0x480c, 0 },
12639		{ "Select", 30, 2 },
12640		{ "IDO", 24, 1 },
12641		{ "VFID", 16, 8 },
12642		{ "TC", 11, 3 },
12643		{ "VFVld", 10, 1 },
12644		{ "PF", 7, 3 },
12645		{ "RVF", 0, 7 },
12646	{ "PCIE_FID_VFID", 0x4810, 0 },
12647		{ "Select", 30, 2 },
12648		{ "IDO", 24, 1 },
12649		{ "VFID", 16, 8 },
12650		{ "TC", 11, 3 },
12651		{ "VFVld", 10, 1 },
12652		{ "PF", 7, 3 },
12653		{ "RVF", 0, 7 },
12654	{ "PCIE_FID_VFID", 0x4814, 0 },
12655		{ "Select", 30, 2 },
12656		{ "IDO", 24, 1 },
12657		{ "VFID", 16, 8 },
12658		{ "TC", 11, 3 },
12659		{ "VFVld", 10, 1 },
12660		{ "PF", 7, 3 },
12661		{ "RVF", 0, 7 },
12662	{ "PCIE_FID_VFID", 0x4818, 0 },
12663		{ "Select", 30, 2 },
12664		{ "IDO", 24, 1 },
12665		{ "VFID", 16, 8 },
12666		{ "TC", 11, 3 },
12667		{ "VFVld", 10, 1 },
12668		{ "PF", 7, 3 },
12669		{ "RVF", 0, 7 },
12670	{ "PCIE_FID_VFID", 0x481c, 0 },
12671		{ "Select", 30, 2 },
12672		{ "IDO", 24, 1 },
12673		{ "VFID", 16, 8 },
12674		{ "TC", 11, 3 },
12675		{ "VFVld", 10, 1 },
12676		{ "PF", 7, 3 },
12677		{ "RVF", 0, 7 },
12678	{ "PCIE_FID_VFID", 0x4820, 0 },
12679		{ "Select", 30, 2 },
12680		{ "IDO", 24, 1 },
12681		{ "VFID", 16, 8 },
12682		{ "TC", 11, 3 },
12683		{ "VFVld", 10, 1 },
12684		{ "PF", 7, 3 },
12685		{ "RVF", 0, 7 },
12686	{ "PCIE_FID_VFID", 0x4824, 0 },
12687		{ "Select", 30, 2 },
12688		{ "IDO", 24, 1 },
12689		{ "VFID", 16, 8 },
12690		{ "TC", 11, 3 },
12691		{ "VFVld", 10, 1 },
12692		{ "PF", 7, 3 },
12693		{ "RVF", 0, 7 },
12694	{ "PCIE_FID_VFID", 0x4828, 0 },
12695		{ "Select", 30, 2 },
12696		{ "IDO", 24, 1 },
12697		{ "VFID", 16, 8 },
12698		{ "TC", 11, 3 },
12699		{ "VFVld", 10, 1 },
12700		{ "PF", 7, 3 },
12701		{ "RVF", 0, 7 },
12702	{ "PCIE_FID_VFID", 0x482c, 0 },
12703		{ "Select", 30, 2 },
12704		{ "IDO", 24, 1 },
12705		{ "VFID", 16, 8 },
12706		{ "TC", 11, 3 },
12707		{ "VFVld", 10, 1 },
12708		{ "PF", 7, 3 },
12709		{ "RVF", 0, 7 },
12710	{ "PCIE_FID_VFID", 0x4830, 0 },
12711		{ "Select", 30, 2 },
12712		{ "IDO", 24, 1 },
12713		{ "VFID", 16, 8 },
12714		{ "TC", 11, 3 },
12715		{ "VFVld", 10, 1 },
12716		{ "PF", 7, 3 },
12717		{ "RVF", 0, 7 },
12718	{ "PCIE_FID_VFID", 0x4834, 0 },
12719		{ "Select", 30, 2 },
12720		{ "IDO", 24, 1 },
12721		{ "VFID", 16, 8 },
12722		{ "TC", 11, 3 },
12723		{ "VFVld", 10, 1 },
12724		{ "PF", 7, 3 },
12725		{ "RVF", 0, 7 },
12726	{ "PCIE_FID_VFID", 0x4838, 0 },
12727		{ "Select", 30, 2 },
12728		{ "IDO", 24, 1 },
12729		{ "VFID", 16, 8 },
12730		{ "TC", 11, 3 },
12731		{ "VFVld", 10, 1 },
12732		{ "PF", 7, 3 },
12733		{ "RVF", 0, 7 },
12734	{ "PCIE_FID_VFID", 0x483c, 0 },
12735		{ "Select", 30, 2 },
12736		{ "IDO", 24, 1 },
12737		{ "VFID", 16, 8 },
12738		{ "TC", 11, 3 },
12739		{ "VFVld", 10, 1 },
12740		{ "PF", 7, 3 },
12741		{ "RVF", 0, 7 },
12742	{ "PCIE_FID_VFID", 0x4840, 0 },
12743		{ "Select", 30, 2 },
12744		{ "IDO", 24, 1 },
12745		{ "VFID", 16, 8 },
12746		{ "TC", 11, 3 },
12747		{ "VFVld", 10, 1 },
12748		{ "PF", 7, 3 },
12749		{ "RVF", 0, 7 },
12750	{ "PCIE_FID_VFID", 0x4844, 0 },
12751		{ "Select", 30, 2 },
12752		{ "IDO", 24, 1 },
12753		{ "VFID", 16, 8 },
12754		{ "TC", 11, 3 },
12755		{ "VFVld", 10, 1 },
12756		{ "PF", 7, 3 },
12757		{ "RVF", 0, 7 },
12758	{ "PCIE_FID_VFID", 0x4848, 0 },
12759		{ "Select", 30, 2 },
12760		{ "IDO", 24, 1 },
12761		{ "VFID", 16, 8 },
12762		{ "TC", 11, 3 },
12763		{ "VFVld", 10, 1 },
12764		{ "PF", 7, 3 },
12765		{ "RVF", 0, 7 },
12766	{ "PCIE_FID_VFID", 0x484c, 0 },
12767		{ "Select", 30, 2 },
12768		{ "IDO", 24, 1 },
12769		{ "VFID", 16, 8 },
12770		{ "TC", 11, 3 },
12771		{ "VFVld", 10, 1 },
12772		{ "PF", 7, 3 },
12773		{ "RVF", 0, 7 },
12774	{ "PCIE_FID_VFID", 0x4850, 0 },
12775		{ "Select", 30, 2 },
12776		{ "IDO", 24, 1 },
12777		{ "VFID", 16, 8 },
12778		{ "TC", 11, 3 },
12779		{ "VFVld", 10, 1 },
12780		{ "PF", 7, 3 },
12781		{ "RVF", 0, 7 },
12782	{ "PCIE_FID_VFID", 0x4854, 0 },
12783		{ "Select", 30, 2 },
12784		{ "IDO", 24, 1 },
12785		{ "VFID", 16, 8 },
12786		{ "TC", 11, 3 },
12787		{ "VFVld", 10, 1 },
12788		{ "PF", 7, 3 },
12789		{ "RVF", 0, 7 },
12790	{ "PCIE_FID_VFID", 0x4858, 0 },
12791		{ "Select", 30, 2 },
12792		{ "IDO", 24, 1 },
12793		{ "VFID", 16, 8 },
12794		{ "TC", 11, 3 },
12795		{ "VFVld", 10, 1 },
12796		{ "PF", 7, 3 },
12797		{ "RVF", 0, 7 },
12798	{ "PCIE_FID_VFID", 0x485c, 0 },
12799		{ "Select", 30, 2 },
12800		{ "IDO", 24, 1 },
12801		{ "VFID", 16, 8 },
12802		{ "TC", 11, 3 },
12803		{ "VFVld", 10, 1 },
12804		{ "PF", 7, 3 },
12805		{ "RVF", 0, 7 },
12806	{ "PCIE_FID_VFID", 0x4860, 0 },
12807		{ "Select", 30, 2 },
12808		{ "IDO", 24, 1 },
12809		{ "VFID", 16, 8 },
12810		{ "TC", 11, 3 },
12811		{ "VFVld", 10, 1 },
12812		{ "PF", 7, 3 },
12813		{ "RVF", 0, 7 },
12814	{ "PCIE_FID_VFID", 0x4864, 0 },
12815		{ "Select", 30, 2 },
12816		{ "IDO", 24, 1 },
12817		{ "VFID", 16, 8 },
12818		{ "TC", 11, 3 },
12819		{ "VFVld", 10, 1 },
12820		{ "PF", 7, 3 },
12821		{ "RVF", 0, 7 },
12822	{ "PCIE_FID_VFID", 0x4868, 0 },
12823		{ "Select", 30, 2 },
12824		{ "IDO", 24, 1 },
12825		{ "VFID", 16, 8 },
12826		{ "TC", 11, 3 },
12827		{ "VFVld", 10, 1 },
12828		{ "PF", 7, 3 },
12829		{ "RVF", 0, 7 },
12830	{ "PCIE_FID_VFID", 0x486c, 0 },
12831		{ "Select", 30, 2 },
12832		{ "IDO", 24, 1 },
12833		{ "VFID", 16, 8 },
12834		{ "TC", 11, 3 },
12835		{ "VFVld", 10, 1 },
12836		{ "PF", 7, 3 },
12837		{ "RVF", 0, 7 },
12838	{ "PCIE_FID_VFID", 0x4870, 0 },
12839		{ "Select", 30, 2 },
12840		{ "IDO", 24, 1 },
12841		{ "VFID", 16, 8 },
12842		{ "TC", 11, 3 },
12843		{ "VFVld", 10, 1 },
12844		{ "PF", 7, 3 },
12845		{ "RVF", 0, 7 },
12846	{ "PCIE_FID_VFID", 0x4874, 0 },
12847		{ "Select", 30, 2 },
12848		{ "IDO", 24, 1 },
12849		{ "VFID", 16, 8 },
12850		{ "TC", 11, 3 },
12851		{ "VFVld", 10, 1 },
12852		{ "PF", 7, 3 },
12853		{ "RVF", 0, 7 },
12854	{ "PCIE_FID_VFID", 0x4878, 0 },
12855		{ "Select", 30, 2 },
12856		{ "IDO", 24, 1 },
12857		{ "VFID", 16, 8 },
12858		{ "TC", 11, 3 },
12859		{ "VFVld", 10, 1 },
12860		{ "PF", 7, 3 },
12861		{ "RVF", 0, 7 },
12862	{ "PCIE_FID_VFID", 0x487c, 0 },
12863		{ "Select", 30, 2 },
12864		{ "IDO", 24, 1 },
12865		{ "VFID", 16, 8 },
12866		{ "TC", 11, 3 },
12867		{ "VFVld", 10, 1 },
12868		{ "PF", 7, 3 },
12869		{ "RVF", 0, 7 },
12870	{ "PCIE_FID_VFID", 0x4880, 0 },
12871		{ "Select", 30, 2 },
12872		{ "IDO", 24, 1 },
12873		{ "VFID", 16, 8 },
12874		{ "TC", 11, 3 },
12875		{ "VFVld", 10, 1 },
12876		{ "PF", 7, 3 },
12877		{ "RVF", 0, 7 },
12878	{ "PCIE_FID_VFID", 0x4884, 0 },
12879		{ "Select", 30, 2 },
12880		{ "IDO", 24, 1 },
12881		{ "VFID", 16, 8 },
12882		{ "TC", 11, 3 },
12883		{ "VFVld", 10, 1 },
12884		{ "PF", 7, 3 },
12885		{ "RVF", 0, 7 },
12886	{ "PCIE_FID_VFID", 0x4888, 0 },
12887		{ "Select", 30, 2 },
12888		{ "IDO", 24, 1 },
12889		{ "VFID", 16, 8 },
12890		{ "TC", 11, 3 },
12891		{ "VFVld", 10, 1 },
12892		{ "PF", 7, 3 },
12893		{ "RVF", 0, 7 },
12894	{ "PCIE_FID_VFID", 0x488c, 0 },
12895		{ "Select", 30, 2 },
12896		{ "IDO", 24, 1 },
12897		{ "VFID", 16, 8 },
12898		{ "TC", 11, 3 },
12899		{ "VFVld", 10, 1 },
12900		{ "PF", 7, 3 },
12901		{ "RVF", 0, 7 },
12902	{ "PCIE_FID_VFID", 0x4890, 0 },
12903		{ "Select", 30, 2 },
12904		{ "IDO", 24, 1 },
12905		{ "VFID", 16, 8 },
12906		{ "TC", 11, 3 },
12907		{ "VFVld", 10, 1 },
12908		{ "PF", 7, 3 },
12909		{ "RVF", 0, 7 },
12910	{ "PCIE_FID_VFID", 0x4894, 0 },
12911		{ "Select", 30, 2 },
12912		{ "IDO", 24, 1 },
12913		{ "VFID", 16, 8 },
12914		{ "TC", 11, 3 },
12915		{ "VFVld", 10, 1 },
12916		{ "PF", 7, 3 },
12917		{ "RVF", 0, 7 },
12918	{ "PCIE_FID_VFID", 0x4898, 0 },
12919		{ "Select", 30, 2 },
12920		{ "IDO", 24, 1 },
12921		{ "VFID", 16, 8 },
12922		{ "TC", 11, 3 },
12923		{ "VFVld", 10, 1 },
12924		{ "PF", 7, 3 },
12925		{ "RVF", 0, 7 },
12926	{ "PCIE_FID_VFID", 0x489c, 0 },
12927		{ "Select", 30, 2 },
12928		{ "IDO", 24, 1 },
12929		{ "VFID", 16, 8 },
12930		{ "TC", 11, 3 },
12931		{ "VFVld", 10, 1 },
12932		{ "PF", 7, 3 },
12933		{ "RVF", 0, 7 },
12934	{ "PCIE_FID_VFID", 0x48a0, 0 },
12935		{ "Select", 30, 2 },
12936		{ "IDO", 24, 1 },
12937		{ "VFID", 16, 8 },
12938		{ "TC", 11, 3 },
12939		{ "VFVld", 10, 1 },
12940		{ "PF", 7, 3 },
12941		{ "RVF", 0, 7 },
12942	{ "PCIE_FID_VFID", 0x48a4, 0 },
12943		{ "Select", 30, 2 },
12944		{ "IDO", 24, 1 },
12945		{ "VFID", 16, 8 },
12946		{ "TC", 11, 3 },
12947		{ "VFVld", 10, 1 },
12948		{ "PF", 7, 3 },
12949		{ "RVF", 0, 7 },
12950	{ "PCIE_FID_VFID", 0x48a8, 0 },
12951		{ "Select", 30, 2 },
12952		{ "IDO", 24, 1 },
12953		{ "VFID", 16, 8 },
12954		{ "TC", 11, 3 },
12955		{ "VFVld", 10, 1 },
12956		{ "PF", 7, 3 },
12957		{ "RVF", 0, 7 },
12958	{ "PCIE_FID_VFID", 0x48ac, 0 },
12959		{ "Select", 30, 2 },
12960		{ "IDO", 24, 1 },
12961		{ "VFID", 16, 8 },
12962		{ "TC", 11, 3 },
12963		{ "VFVld", 10, 1 },
12964		{ "PF", 7, 3 },
12965		{ "RVF", 0, 7 },
12966	{ "PCIE_FID_VFID", 0x48b0, 0 },
12967		{ "Select", 30, 2 },
12968		{ "IDO", 24, 1 },
12969		{ "VFID", 16, 8 },
12970		{ "TC", 11, 3 },
12971		{ "VFVld", 10, 1 },
12972		{ "PF", 7, 3 },
12973		{ "RVF", 0, 7 },
12974	{ "PCIE_FID_VFID", 0x48b4, 0 },
12975		{ "Select", 30, 2 },
12976		{ "IDO", 24, 1 },
12977		{ "VFID", 16, 8 },
12978		{ "TC", 11, 3 },
12979		{ "VFVld", 10, 1 },
12980		{ "PF", 7, 3 },
12981		{ "RVF", 0, 7 },
12982	{ "PCIE_FID_VFID", 0x48b8, 0 },
12983		{ "Select", 30, 2 },
12984		{ "IDO", 24, 1 },
12985		{ "VFID", 16, 8 },
12986		{ "TC", 11, 3 },
12987		{ "VFVld", 10, 1 },
12988		{ "PF", 7, 3 },
12989		{ "RVF", 0, 7 },
12990	{ "PCIE_FID_VFID", 0x48bc, 0 },
12991		{ "Select", 30, 2 },
12992		{ "IDO", 24, 1 },
12993		{ "VFID", 16, 8 },
12994		{ "TC", 11, 3 },
12995		{ "VFVld", 10, 1 },
12996		{ "PF", 7, 3 },
12997		{ "RVF", 0, 7 },
12998	{ "PCIE_FID_VFID", 0x48c0, 0 },
12999		{ "Select", 30, 2 },
13000		{ "IDO", 24, 1 },
13001		{ "VFID", 16, 8 },
13002		{ "TC", 11, 3 },
13003		{ "VFVld", 10, 1 },
13004		{ "PF", 7, 3 },
13005		{ "RVF", 0, 7 },
13006	{ "PCIE_FID_VFID", 0x48c4, 0 },
13007		{ "Select", 30, 2 },
13008		{ "IDO", 24, 1 },
13009		{ "VFID", 16, 8 },
13010		{ "TC", 11, 3 },
13011		{ "VFVld", 10, 1 },
13012		{ "PF", 7, 3 },
13013		{ "RVF", 0, 7 },
13014	{ "PCIE_FID_VFID", 0x48c8, 0 },
13015		{ "Select", 30, 2 },
13016		{ "IDO", 24, 1 },
13017		{ "VFID", 16, 8 },
13018		{ "TC", 11, 3 },
13019		{ "VFVld", 10, 1 },
13020		{ "PF", 7, 3 },
13021		{ "RVF", 0, 7 },
13022	{ "PCIE_FID_VFID", 0x48cc, 0 },
13023		{ "Select", 30, 2 },
13024		{ "IDO", 24, 1 },
13025		{ "VFID", 16, 8 },
13026		{ "TC", 11, 3 },
13027		{ "VFVld", 10, 1 },
13028		{ "PF", 7, 3 },
13029		{ "RVF", 0, 7 },
13030	{ "PCIE_FID_VFID", 0x48d0, 0 },
13031		{ "Select", 30, 2 },
13032		{ "IDO", 24, 1 },
13033		{ "VFID", 16, 8 },
13034		{ "TC", 11, 3 },
13035		{ "VFVld", 10, 1 },
13036		{ "PF", 7, 3 },
13037		{ "RVF", 0, 7 },
13038	{ "PCIE_FID_VFID", 0x48d4, 0 },
13039		{ "Select", 30, 2 },
13040		{ "IDO", 24, 1 },
13041		{ "VFID", 16, 8 },
13042		{ "TC", 11, 3 },
13043		{ "VFVld", 10, 1 },
13044		{ "PF", 7, 3 },
13045		{ "RVF", 0, 7 },
13046	{ "PCIE_FID_VFID", 0x48d8, 0 },
13047		{ "Select", 30, 2 },
13048		{ "IDO", 24, 1 },
13049		{ "VFID", 16, 8 },
13050		{ "TC", 11, 3 },
13051		{ "VFVld", 10, 1 },
13052		{ "PF", 7, 3 },
13053		{ "RVF", 0, 7 },
13054	{ "PCIE_FID_VFID", 0x48dc, 0 },
13055		{ "Select", 30, 2 },
13056		{ "IDO", 24, 1 },
13057		{ "VFID", 16, 8 },
13058		{ "TC", 11, 3 },
13059		{ "VFVld", 10, 1 },
13060		{ "PF", 7, 3 },
13061		{ "RVF", 0, 7 },
13062	{ "PCIE_FID_VFID", 0x48e0, 0 },
13063		{ "Select", 30, 2 },
13064		{ "IDO", 24, 1 },
13065		{ "VFID", 16, 8 },
13066		{ "TC", 11, 3 },
13067		{ "VFVld", 10, 1 },
13068		{ "PF", 7, 3 },
13069		{ "RVF", 0, 7 },
13070	{ "PCIE_FID_VFID", 0x48e4, 0 },
13071		{ "Select", 30, 2 },
13072		{ "IDO", 24, 1 },
13073		{ "VFID", 16, 8 },
13074		{ "TC", 11, 3 },
13075		{ "VFVld", 10, 1 },
13076		{ "PF", 7, 3 },
13077		{ "RVF", 0, 7 },
13078	{ "PCIE_FID_VFID", 0x48e8, 0 },
13079		{ "Select", 30, 2 },
13080		{ "IDO", 24, 1 },
13081		{ "VFID", 16, 8 },
13082		{ "TC", 11, 3 },
13083		{ "VFVld", 10, 1 },
13084		{ "PF", 7, 3 },
13085		{ "RVF", 0, 7 },
13086	{ "PCIE_FID_VFID", 0x48ec, 0 },
13087		{ "Select", 30, 2 },
13088		{ "IDO", 24, 1 },
13089		{ "VFID", 16, 8 },
13090		{ "TC", 11, 3 },
13091		{ "VFVld", 10, 1 },
13092		{ "PF", 7, 3 },
13093		{ "RVF", 0, 7 },
13094	{ "PCIE_FID_VFID", 0x48f0, 0 },
13095		{ "Select", 30, 2 },
13096		{ "IDO", 24, 1 },
13097		{ "VFID", 16, 8 },
13098		{ "TC", 11, 3 },
13099		{ "VFVld", 10, 1 },
13100		{ "PF", 7, 3 },
13101		{ "RVF", 0, 7 },
13102	{ "PCIE_FID_VFID", 0x48f4, 0 },
13103		{ "Select", 30, 2 },
13104		{ "IDO", 24, 1 },
13105		{ "VFID", 16, 8 },
13106		{ "TC", 11, 3 },
13107		{ "VFVld", 10, 1 },
13108		{ "PF", 7, 3 },
13109		{ "RVF", 0, 7 },
13110	{ "PCIE_FID_VFID", 0x48f8, 0 },
13111		{ "Select", 30, 2 },
13112		{ "IDO", 24, 1 },
13113		{ "VFID", 16, 8 },
13114		{ "TC", 11, 3 },
13115		{ "VFVld", 10, 1 },
13116		{ "PF", 7, 3 },
13117		{ "RVF", 0, 7 },
13118	{ "PCIE_FID_VFID", 0x48fc, 0 },
13119		{ "Select", 30, 2 },
13120		{ "IDO", 24, 1 },
13121		{ "VFID", 16, 8 },
13122		{ "TC", 11, 3 },
13123		{ "VFVld", 10, 1 },
13124		{ "PF", 7, 3 },
13125		{ "RVF", 0, 7 },
13126	{ "PCIE_FID_VFID", 0x4900, 0 },
13127		{ "Select", 30, 2 },
13128		{ "IDO", 24, 1 },
13129		{ "VFID", 16, 8 },
13130		{ "TC", 11, 3 },
13131		{ "VFVld", 10, 1 },
13132		{ "PF", 7, 3 },
13133		{ "RVF", 0, 7 },
13134	{ "PCIE_FID_VFID", 0x4904, 0 },
13135		{ "Select", 30, 2 },
13136		{ "IDO", 24, 1 },
13137		{ "VFID", 16, 8 },
13138		{ "TC", 11, 3 },
13139		{ "VFVld", 10, 1 },
13140		{ "PF", 7, 3 },
13141		{ "RVF", 0, 7 },
13142	{ "PCIE_FID_VFID", 0x4908, 0 },
13143		{ "Select", 30, 2 },
13144		{ "IDO", 24, 1 },
13145		{ "VFID", 16, 8 },
13146		{ "TC", 11, 3 },
13147		{ "VFVld", 10, 1 },
13148		{ "PF", 7, 3 },
13149		{ "RVF", 0, 7 },
13150	{ "PCIE_FID_VFID", 0x490c, 0 },
13151		{ "Select", 30, 2 },
13152		{ "IDO", 24, 1 },
13153		{ "VFID", 16, 8 },
13154		{ "TC", 11, 3 },
13155		{ "VFVld", 10, 1 },
13156		{ "PF", 7, 3 },
13157		{ "RVF", 0, 7 },
13158	{ "PCIE_FID_VFID", 0x4910, 0 },
13159		{ "Select", 30, 2 },
13160		{ "IDO", 24, 1 },
13161		{ "VFID", 16, 8 },
13162		{ "TC", 11, 3 },
13163		{ "VFVld", 10, 1 },
13164		{ "PF", 7, 3 },
13165		{ "RVF", 0, 7 },
13166	{ "PCIE_FID_VFID", 0x4914, 0 },
13167		{ "Select", 30, 2 },
13168		{ "IDO", 24, 1 },
13169		{ "VFID", 16, 8 },
13170		{ "TC", 11, 3 },
13171		{ "VFVld", 10, 1 },
13172		{ "PF", 7, 3 },
13173		{ "RVF", 0, 7 },
13174	{ "PCIE_FID_VFID", 0x4918, 0 },
13175		{ "Select", 30, 2 },
13176		{ "IDO", 24, 1 },
13177		{ "VFID", 16, 8 },
13178		{ "TC", 11, 3 },
13179		{ "VFVld", 10, 1 },
13180		{ "PF", 7, 3 },
13181		{ "RVF", 0, 7 },
13182	{ "PCIE_FID_VFID", 0x491c, 0 },
13183		{ "Select", 30, 2 },
13184		{ "IDO", 24, 1 },
13185		{ "VFID", 16, 8 },
13186		{ "TC", 11, 3 },
13187		{ "VFVld", 10, 1 },
13188		{ "PF", 7, 3 },
13189		{ "RVF", 0, 7 },
13190	{ "PCIE_FID_VFID", 0x4920, 0 },
13191		{ "Select", 30, 2 },
13192		{ "IDO", 24, 1 },
13193		{ "VFID", 16, 8 },
13194		{ "TC", 11, 3 },
13195		{ "VFVld", 10, 1 },
13196		{ "PF", 7, 3 },
13197		{ "RVF", 0, 7 },
13198	{ "PCIE_FID_VFID", 0x4924, 0 },
13199		{ "Select", 30, 2 },
13200		{ "IDO", 24, 1 },
13201		{ "VFID", 16, 8 },
13202		{ "TC", 11, 3 },
13203		{ "VFVld", 10, 1 },
13204		{ "PF", 7, 3 },
13205		{ "RVF", 0, 7 },
13206	{ "PCIE_FID_VFID", 0x4928, 0 },
13207		{ "Select", 30, 2 },
13208		{ "IDO", 24, 1 },
13209		{ "VFID", 16, 8 },
13210		{ "TC", 11, 3 },
13211		{ "VFVld", 10, 1 },
13212		{ "PF", 7, 3 },
13213		{ "RVF", 0, 7 },
13214	{ "PCIE_FID_VFID", 0x492c, 0 },
13215		{ "Select", 30, 2 },
13216		{ "IDO", 24, 1 },
13217		{ "VFID", 16, 8 },
13218		{ "TC", 11, 3 },
13219		{ "VFVld", 10, 1 },
13220		{ "PF", 7, 3 },
13221		{ "RVF", 0, 7 },
13222	{ "PCIE_FID_VFID", 0x4930, 0 },
13223		{ "Select", 30, 2 },
13224		{ "IDO", 24, 1 },
13225		{ "VFID", 16, 8 },
13226		{ "TC", 11, 3 },
13227		{ "VFVld", 10, 1 },
13228		{ "PF", 7, 3 },
13229		{ "RVF", 0, 7 },
13230	{ "PCIE_FID_VFID", 0x4934, 0 },
13231		{ "Select", 30, 2 },
13232		{ "IDO", 24, 1 },
13233		{ "VFID", 16, 8 },
13234		{ "TC", 11, 3 },
13235		{ "VFVld", 10, 1 },
13236		{ "PF", 7, 3 },
13237		{ "RVF", 0, 7 },
13238	{ "PCIE_FID_VFID", 0x4938, 0 },
13239		{ "Select", 30, 2 },
13240		{ "IDO", 24, 1 },
13241		{ "VFID", 16, 8 },
13242		{ "TC", 11, 3 },
13243		{ "VFVld", 10, 1 },
13244		{ "PF", 7, 3 },
13245		{ "RVF", 0, 7 },
13246	{ "PCIE_FID_VFID", 0x493c, 0 },
13247		{ "Select", 30, 2 },
13248		{ "IDO", 24, 1 },
13249		{ "VFID", 16, 8 },
13250		{ "TC", 11, 3 },
13251		{ "VFVld", 10, 1 },
13252		{ "PF", 7, 3 },
13253		{ "RVF", 0, 7 },
13254	{ "PCIE_FID_VFID", 0x4940, 0 },
13255		{ "Select", 30, 2 },
13256		{ "IDO", 24, 1 },
13257		{ "VFID", 16, 8 },
13258		{ "TC", 11, 3 },
13259		{ "VFVld", 10, 1 },
13260		{ "PF", 7, 3 },
13261		{ "RVF", 0, 7 },
13262	{ "PCIE_FID_VFID", 0x4944, 0 },
13263		{ "Select", 30, 2 },
13264		{ "IDO", 24, 1 },
13265		{ "VFID", 16, 8 },
13266		{ "TC", 11, 3 },
13267		{ "VFVld", 10, 1 },
13268		{ "PF", 7, 3 },
13269		{ "RVF", 0, 7 },
13270	{ "PCIE_FID_VFID", 0x4948, 0 },
13271		{ "Select", 30, 2 },
13272		{ "IDO", 24, 1 },
13273		{ "VFID", 16, 8 },
13274		{ "TC", 11, 3 },
13275		{ "VFVld", 10, 1 },
13276		{ "PF", 7, 3 },
13277		{ "RVF", 0, 7 },
13278	{ "PCIE_FID_VFID", 0x494c, 0 },
13279		{ "Select", 30, 2 },
13280		{ "IDO", 24, 1 },
13281		{ "VFID", 16, 8 },
13282		{ "TC", 11, 3 },
13283		{ "VFVld", 10, 1 },
13284		{ "PF", 7, 3 },
13285		{ "RVF", 0, 7 },
13286	{ "PCIE_FID_VFID", 0x4950, 0 },
13287		{ "Select", 30, 2 },
13288		{ "IDO", 24, 1 },
13289		{ "VFID", 16, 8 },
13290		{ "TC", 11, 3 },
13291		{ "VFVld", 10, 1 },
13292		{ "PF", 7, 3 },
13293		{ "RVF", 0, 7 },
13294	{ "PCIE_FID_VFID", 0x4954, 0 },
13295		{ "Select", 30, 2 },
13296		{ "IDO", 24, 1 },
13297		{ "VFID", 16, 8 },
13298		{ "TC", 11, 3 },
13299		{ "VFVld", 10, 1 },
13300		{ "PF", 7, 3 },
13301		{ "RVF", 0, 7 },
13302	{ "PCIE_FID_VFID", 0x4958, 0 },
13303		{ "Select", 30, 2 },
13304		{ "IDO", 24, 1 },
13305		{ "VFID", 16, 8 },
13306		{ "TC", 11, 3 },
13307		{ "VFVld", 10, 1 },
13308		{ "PF", 7, 3 },
13309		{ "RVF", 0, 7 },
13310	{ "PCIE_FID_VFID", 0x495c, 0 },
13311		{ "Select", 30, 2 },
13312		{ "IDO", 24, 1 },
13313		{ "VFID", 16, 8 },
13314		{ "TC", 11, 3 },
13315		{ "VFVld", 10, 1 },
13316		{ "PF", 7, 3 },
13317		{ "RVF", 0, 7 },
13318	{ "PCIE_FID_VFID", 0x4960, 0 },
13319		{ "Select", 30, 2 },
13320		{ "IDO", 24, 1 },
13321		{ "VFID", 16, 8 },
13322		{ "TC", 11, 3 },
13323		{ "VFVld", 10, 1 },
13324		{ "PF", 7, 3 },
13325		{ "RVF", 0, 7 },
13326	{ "PCIE_FID_VFID", 0x4964, 0 },
13327		{ "Select", 30, 2 },
13328		{ "IDO", 24, 1 },
13329		{ "VFID", 16, 8 },
13330		{ "TC", 11, 3 },
13331		{ "VFVld", 10, 1 },
13332		{ "PF", 7, 3 },
13333		{ "RVF", 0, 7 },
13334	{ "PCIE_FID_VFID", 0x4968, 0 },
13335		{ "Select", 30, 2 },
13336		{ "IDO", 24, 1 },
13337		{ "VFID", 16, 8 },
13338		{ "TC", 11, 3 },
13339		{ "VFVld", 10, 1 },
13340		{ "PF", 7, 3 },
13341		{ "RVF", 0, 7 },
13342	{ "PCIE_FID_VFID", 0x496c, 0 },
13343		{ "Select", 30, 2 },
13344		{ "IDO", 24, 1 },
13345		{ "VFID", 16, 8 },
13346		{ "TC", 11, 3 },
13347		{ "VFVld", 10, 1 },
13348		{ "PF", 7, 3 },
13349		{ "RVF", 0, 7 },
13350	{ "PCIE_FID_VFID", 0x4970, 0 },
13351		{ "Select", 30, 2 },
13352		{ "IDO", 24, 1 },
13353		{ "VFID", 16, 8 },
13354		{ "TC", 11, 3 },
13355		{ "VFVld", 10, 1 },
13356		{ "PF", 7, 3 },
13357		{ "RVF", 0, 7 },
13358	{ "PCIE_FID_VFID", 0x4974, 0 },
13359		{ "Select", 30, 2 },
13360		{ "IDO", 24, 1 },
13361		{ "VFID", 16, 8 },
13362		{ "TC", 11, 3 },
13363		{ "VFVld", 10, 1 },
13364		{ "PF", 7, 3 },
13365		{ "RVF", 0, 7 },
13366	{ "PCIE_FID_VFID", 0x4978, 0 },
13367		{ "Select", 30, 2 },
13368		{ "IDO", 24, 1 },
13369		{ "VFID", 16, 8 },
13370		{ "TC", 11, 3 },
13371		{ "VFVld", 10, 1 },
13372		{ "PF", 7, 3 },
13373		{ "RVF", 0, 7 },
13374	{ "PCIE_FID_VFID", 0x497c, 0 },
13375		{ "Select", 30, 2 },
13376		{ "IDO", 24, 1 },
13377		{ "VFID", 16, 8 },
13378		{ "TC", 11, 3 },
13379		{ "VFVld", 10, 1 },
13380		{ "PF", 7, 3 },
13381		{ "RVF", 0, 7 },
13382	{ "PCIE_FID_VFID", 0x4980, 0 },
13383		{ "Select", 30, 2 },
13384		{ "IDO", 24, 1 },
13385		{ "VFID", 16, 8 },
13386		{ "TC", 11, 3 },
13387		{ "VFVld", 10, 1 },
13388		{ "PF", 7, 3 },
13389		{ "RVF", 0, 7 },
13390	{ "PCIE_FID_VFID", 0x4984, 0 },
13391		{ "Select", 30, 2 },
13392		{ "IDO", 24, 1 },
13393		{ "VFID", 16, 8 },
13394		{ "TC", 11, 3 },
13395		{ "VFVld", 10, 1 },
13396		{ "PF", 7, 3 },
13397		{ "RVF", 0, 7 },
13398	{ "PCIE_FID_VFID", 0x4988, 0 },
13399		{ "Select", 30, 2 },
13400		{ "IDO", 24, 1 },
13401		{ "VFID", 16, 8 },
13402		{ "TC", 11, 3 },
13403		{ "VFVld", 10, 1 },
13404		{ "PF", 7, 3 },
13405		{ "RVF", 0, 7 },
13406	{ "PCIE_FID_VFID", 0x498c, 0 },
13407		{ "Select", 30, 2 },
13408		{ "IDO", 24, 1 },
13409		{ "VFID", 16, 8 },
13410		{ "TC", 11, 3 },
13411		{ "VFVld", 10, 1 },
13412		{ "PF", 7, 3 },
13413		{ "RVF", 0, 7 },
13414	{ "PCIE_FID_VFID", 0x4990, 0 },
13415		{ "Select", 30, 2 },
13416		{ "IDO", 24, 1 },
13417		{ "VFID", 16, 8 },
13418		{ "TC", 11, 3 },
13419		{ "VFVld", 10, 1 },
13420		{ "PF", 7, 3 },
13421		{ "RVF", 0, 7 },
13422	{ "PCIE_FID_VFID", 0x4994, 0 },
13423		{ "Select", 30, 2 },
13424		{ "IDO", 24, 1 },
13425		{ "VFID", 16, 8 },
13426		{ "TC", 11, 3 },
13427		{ "VFVld", 10, 1 },
13428		{ "PF", 7, 3 },
13429		{ "RVF", 0, 7 },
13430	{ "PCIE_FID_VFID", 0x4998, 0 },
13431		{ "Select", 30, 2 },
13432		{ "IDO", 24, 1 },
13433		{ "VFID", 16, 8 },
13434		{ "TC", 11, 3 },
13435		{ "VFVld", 10, 1 },
13436		{ "PF", 7, 3 },
13437		{ "RVF", 0, 7 },
13438	{ "PCIE_FID_VFID", 0x499c, 0 },
13439		{ "Select", 30, 2 },
13440		{ "IDO", 24, 1 },
13441		{ "VFID", 16, 8 },
13442		{ "TC", 11, 3 },
13443		{ "VFVld", 10, 1 },
13444		{ "PF", 7, 3 },
13445		{ "RVF", 0, 7 },
13446	{ "PCIE_FID_VFID", 0x49a0, 0 },
13447		{ "Select", 30, 2 },
13448		{ "IDO", 24, 1 },
13449		{ "VFID", 16, 8 },
13450		{ "TC", 11, 3 },
13451		{ "VFVld", 10, 1 },
13452		{ "PF", 7, 3 },
13453		{ "RVF", 0, 7 },
13454	{ "PCIE_FID_VFID", 0x49a4, 0 },
13455		{ "Select", 30, 2 },
13456		{ "IDO", 24, 1 },
13457		{ "VFID", 16, 8 },
13458		{ "TC", 11, 3 },
13459		{ "VFVld", 10, 1 },
13460		{ "PF", 7, 3 },
13461		{ "RVF", 0, 7 },
13462	{ "PCIE_FID_VFID", 0x49a8, 0 },
13463		{ "Select", 30, 2 },
13464		{ "IDO", 24, 1 },
13465		{ "VFID", 16, 8 },
13466		{ "TC", 11, 3 },
13467		{ "VFVld", 10, 1 },
13468		{ "PF", 7, 3 },
13469		{ "RVF", 0, 7 },
13470	{ "PCIE_FID_VFID", 0x49ac, 0 },
13471		{ "Select", 30, 2 },
13472		{ "IDO", 24, 1 },
13473		{ "VFID", 16, 8 },
13474		{ "TC", 11, 3 },
13475		{ "VFVld", 10, 1 },
13476		{ "PF", 7, 3 },
13477		{ "RVF", 0, 7 },
13478	{ "PCIE_FID_VFID", 0x49b0, 0 },
13479		{ "Select", 30, 2 },
13480		{ "IDO", 24, 1 },
13481		{ "VFID", 16, 8 },
13482		{ "TC", 11, 3 },
13483		{ "VFVld", 10, 1 },
13484		{ "PF", 7, 3 },
13485		{ "RVF", 0, 7 },
13486	{ "PCIE_FID_VFID", 0x49b4, 0 },
13487		{ "Select", 30, 2 },
13488		{ "IDO", 24, 1 },
13489		{ "VFID", 16, 8 },
13490		{ "TC", 11, 3 },
13491		{ "VFVld", 10, 1 },
13492		{ "PF", 7, 3 },
13493		{ "RVF", 0, 7 },
13494	{ "PCIE_FID_VFID", 0x49b8, 0 },
13495		{ "Select", 30, 2 },
13496		{ "IDO", 24, 1 },
13497		{ "VFID", 16, 8 },
13498		{ "TC", 11, 3 },
13499		{ "VFVld", 10, 1 },
13500		{ "PF", 7, 3 },
13501		{ "RVF", 0, 7 },
13502	{ "PCIE_FID_VFID", 0x49bc, 0 },
13503		{ "Select", 30, 2 },
13504		{ "IDO", 24, 1 },
13505		{ "VFID", 16, 8 },
13506		{ "TC", 11, 3 },
13507		{ "VFVld", 10, 1 },
13508		{ "PF", 7, 3 },
13509		{ "RVF", 0, 7 },
13510	{ "PCIE_FID_VFID", 0x49c0, 0 },
13511		{ "Select", 30, 2 },
13512		{ "IDO", 24, 1 },
13513		{ "VFID", 16, 8 },
13514		{ "TC", 11, 3 },
13515		{ "VFVld", 10, 1 },
13516		{ "PF", 7, 3 },
13517		{ "RVF", 0, 7 },
13518	{ "PCIE_FID_VFID", 0x49c4, 0 },
13519		{ "Select", 30, 2 },
13520		{ "IDO", 24, 1 },
13521		{ "VFID", 16, 8 },
13522		{ "TC", 11, 3 },
13523		{ "VFVld", 10, 1 },
13524		{ "PF", 7, 3 },
13525		{ "RVF", 0, 7 },
13526	{ "PCIE_FID_VFID", 0x49c8, 0 },
13527		{ "Select", 30, 2 },
13528		{ "IDO", 24, 1 },
13529		{ "VFID", 16, 8 },
13530		{ "TC", 11, 3 },
13531		{ "VFVld", 10, 1 },
13532		{ "PF", 7, 3 },
13533		{ "RVF", 0, 7 },
13534	{ "PCIE_FID_VFID", 0x49cc, 0 },
13535		{ "Select", 30, 2 },
13536		{ "IDO", 24, 1 },
13537		{ "VFID", 16, 8 },
13538		{ "TC", 11, 3 },
13539		{ "VFVld", 10, 1 },
13540		{ "PF", 7, 3 },
13541		{ "RVF", 0, 7 },
13542	{ "PCIE_FID_VFID", 0x49d0, 0 },
13543		{ "Select", 30, 2 },
13544		{ "IDO", 24, 1 },
13545		{ "VFID", 16, 8 },
13546		{ "TC", 11, 3 },
13547		{ "VFVld", 10, 1 },
13548		{ "PF", 7, 3 },
13549		{ "RVF", 0, 7 },
13550	{ "PCIE_FID_VFID", 0x49d4, 0 },
13551		{ "Select", 30, 2 },
13552		{ "IDO", 24, 1 },
13553		{ "VFID", 16, 8 },
13554		{ "TC", 11, 3 },
13555		{ "VFVld", 10, 1 },
13556		{ "PF", 7, 3 },
13557		{ "RVF", 0, 7 },
13558	{ "PCIE_FID_VFID", 0x49d8, 0 },
13559		{ "Select", 30, 2 },
13560		{ "IDO", 24, 1 },
13561		{ "VFID", 16, 8 },
13562		{ "TC", 11, 3 },
13563		{ "VFVld", 10, 1 },
13564		{ "PF", 7, 3 },
13565		{ "RVF", 0, 7 },
13566	{ "PCIE_FID_VFID", 0x49dc, 0 },
13567		{ "Select", 30, 2 },
13568		{ "IDO", 24, 1 },
13569		{ "VFID", 16, 8 },
13570		{ "TC", 11, 3 },
13571		{ "VFVld", 10, 1 },
13572		{ "PF", 7, 3 },
13573		{ "RVF", 0, 7 },
13574	{ "PCIE_FID_VFID", 0x49e0, 0 },
13575		{ "Select", 30, 2 },
13576		{ "IDO", 24, 1 },
13577		{ "VFID", 16, 8 },
13578		{ "TC", 11, 3 },
13579		{ "VFVld", 10, 1 },
13580		{ "PF", 7, 3 },
13581		{ "RVF", 0, 7 },
13582	{ "PCIE_FID_VFID", 0x49e4, 0 },
13583		{ "Select", 30, 2 },
13584		{ "IDO", 24, 1 },
13585		{ "VFID", 16, 8 },
13586		{ "TC", 11, 3 },
13587		{ "VFVld", 10, 1 },
13588		{ "PF", 7, 3 },
13589		{ "RVF", 0, 7 },
13590	{ "PCIE_FID_VFID", 0x49e8, 0 },
13591		{ "Select", 30, 2 },
13592		{ "IDO", 24, 1 },
13593		{ "VFID", 16, 8 },
13594		{ "TC", 11, 3 },
13595		{ "VFVld", 10, 1 },
13596		{ "PF", 7, 3 },
13597		{ "RVF", 0, 7 },
13598	{ "PCIE_FID_VFID", 0x49ec, 0 },
13599		{ "Select", 30, 2 },
13600		{ "IDO", 24, 1 },
13601		{ "VFID", 16, 8 },
13602		{ "TC", 11, 3 },
13603		{ "VFVld", 10, 1 },
13604		{ "PF", 7, 3 },
13605		{ "RVF", 0, 7 },
13606	{ "PCIE_FID_VFID", 0x49f0, 0 },
13607		{ "Select", 30, 2 },
13608		{ "IDO", 24, 1 },
13609		{ "VFID", 16, 8 },
13610		{ "TC", 11, 3 },
13611		{ "VFVld", 10, 1 },
13612		{ "PF", 7, 3 },
13613		{ "RVF", 0, 7 },
13614	{ "PCIE_FID_VFID", 0x49f4, 0 },
13615		{ "Select", 30, 2 },
13616		{ "IDO", 24, 1 },
13617		{ "VFID", 16, 8 },
13618		{ "TC", 11, 3 },
13619		{ "VFVld", 10, 1 },
13620		{ "PF", 7, 3 },
13621		{ "RVF", 0, 7 },
13622	{ "PCIE_FID_VFID", 0x49f8, 0 },
13623		{ "Select", 30, 2 },
13624		{ "IDO", 24, 1 },
13625		{ "VFID", 16, 8 },
13626		{ "TC", 11, 3 },
13627		{ "VFVld", 10, 1 },
13628		{ "PF", 7, 3 },
13629		{ "RVF", 0, 7 },
13630	{ "PCIE_FID_VFID", 0x49fc, 0 },
13631		{ "Select", 30, 2 },
13632		{ "IDO", 24, 1 },
13633		{ "VFID", 16, 8 },
13634		{ "TC", 11, 3 },
13635		{ "VFVld", 10, 1 },
13636		{ "PF", 7, 3 },
13637		{ "RVF", 0, 7 },
13638	{ "PCIE_FID_VFID", 0x4a00, 0 },
13639		{ "Select", 30, 2 },
13640		{ "IDO", 24, 1 },
13641		{ "VFID", 16, 8 },
13642		{ "TC", 11, 3 },
13643		{ "VFVld", 10, 1 },
13644		{ "PF", 7, 3 },
13645		{ "RVF", 0, 7 },
13646	{ "PCIE_FID_VFID", 0x4a04, 0 },
13647		{ "Select", 30, 2 },
13648		{ "IDO", 24, 1 },
13649		{ "VFID", 16, 8 },
13650		{ "TC", 11, 3 },
13651		{ "VFVld", 10, 1 },
13652		{ "PF", 7, 3 },
13653		{ "RVF", 0, 7 },
13654	{ "PCIE_FID_VFID", 0x4a08, 0 },
13655		{ "Select", 30, 2 },
13656		{ "IDO", 24, 1 },
13657		{ "VFID", 16, 8 },
13658		{ "TC", 11, 3 },
13659		{ "VFVld", 10, 1 },
13660		{ "PF", 7, 3 },
13661		{ "RVF", 0, 7 },
13662	{ "PCIE_FID_VFID", 0x4a0c, 0 },
13663		{ "Select", 30, 2 },
13664		{ "IDO", 24, 1 },
13665		{ "VFID", 16, 8 },
13666		{ "TC", 11, 3 },
13667		{ "VFVld", 10, 1 },
13668		{ "PF", 7, 3 },
13669		{ "RVF", 0, 7 },
13670	{ "PCIE_FID_VFID", 0x4a10, 0 },
13671		{ "Select", 30, 2 },
13672		{ "IDO", 24, 1 },
13673		{ "VFID", 16, 8 },
13674		{ "TC", 11, 3 },
13675		{ "VFVld", 10, 1 },
13676		{ "PF", 7, 3 },
13677		{ "RVF", 0, 7 },
13678	{ "PCIE_FID_VFID", 0x4a14, 0 },
13679		{ "Select", 30, 2 },
13680		{ "IDO", 24, 1 },
13681		{ "VFID", 16, 8 },
13682		{ "TC", 11, 3 },
13683		{ "VFVld", 10, 1 },
13684		{ "PF", 7, 3 },
13685		{ "RVF", 0, 7 },
13686	{ "PCIE_FID_VFID", 0x4a18, 0 },
13687		{ "Select", 30, 2 },
13688		{ "IDO", 24, 1 },
13689		{ "VFID", 16, 8 },
13690		{ "TC", 11, 3 },
13691		{ "VFVld", 10, 1 },
13692		{ "PF", 7, 3 },
13693		{ "RVF", 0, 7 },
13694	{ "PCIE_FID_VFID", 0x4a1c, 0 },
13695		{ "Select", 30, 2 },
13696		{ "IDO", 24, 1 },
13697		{ "VFID", 16, 8 },
13698		{ "TC", 11, 3 },
13699		{ "VFVld", 10, 1 },
13700		{ "PF", 7, 3 },
13701		{ "RVF", 0, 7 },
13702	{ "PCIE_FID_VFID", 0x4a20, 0 },
13703		{ "Select", 30, 2 },
13704		{ "IDO", 24, 1 },
13705		{ "VFID", 16, 8 },
13706		{ "TC", 11, 3 },
13707		{ "VFVld", 10, 1 },
13708		{ "PF", 7, 3 },
13709		{ "RVF", 0, 7 },
13710	{ "PCIE_FID_VFID", 0x4a24, 0 },
13711		{ "Select", 30, 2 },
13712		{ "IDO", 24, 1 },
13713		{ "VFID", 16, 8 },
13714		{ "TC", 11, 3 },
13715		{ "VFVld", 10, 1 },
13716		{ "PF", 7, 3 },
13717		{ "RVF", 0, 7 },
13718	{ "PCIE_FID_VFID", 0x4a28, 0 },
13719		{ "Select", 30, 2 },
13720		{ "IDO", 24, 1 },
13721		{ "VFID", 16, 8 },
13722		{ "TC", 11, 3 },
13723		{ "VFVld", 10, 1 },
13724		{ "PF", 7, 3 },
13725		{ "RVF", 0, 7 },
13726	{ "PCIE_FID_VFID", 0x4a2c, 0 },
13727		{ "Select", 30, 2 },
13728		{ "IDO", 24, 1 },
13729		{ "VFID", 16, 8 },
13730		{ "TC", 11, 3 },
13731		{ "VFVld", 10, 1 },
13732		{ "PF", 7, 3 },
13733		{ "RVF", 0, 7 },
13734	{ "PCIE_FID_VFID", 0x4a30, 0 },
13735		{ "Select", 30, 2 },
13736		{ "IDO", 24, 1 },
13737		{ "VFID", 16, 8 },
13738		{ "TC", 11, 3 },
13739		{ "VFVld", 10, 1 },
13740		{ "PF", 7, 3 },
13741		{ "RVF", 0, 7 },
13742	{ "PCIE_FID_VFID", 0x4a34, 0 },
13743		{ "Select", 30, 2 },
13744		{ "IDO", 24, 1 },
13745		{ "VFID", 16, 8 },
13746		{ "TC", 11, 3 },
13747		{ "VFVld", 10, 1 },
13748		{ "PF", 7, 3 },
13749		{ "RVF", 0, 7 },
13750	{ "PCIE_FID_VFID", 0x4a38, 0 },
13751		{ "Select", 30, 2 },
13752		{ "IDO", 24, 1 },
13753		{ "VFID", 16, 8 },
13754		{ "TC", 11, 3 },
13755		{ "VFVld", 10, 1 },
13756		{ "PF", 7, 3 },
13757		{ "RVF", 0, 7 },
13758	{ "PCIE_FID_VFID", 0x4a3c, 0 },
13759		{ "Select", 30, 2 },
13760		{ "IDO", 24, 1 },
13761		{ "VFID", 16, 8 },
13762		{ "TC", 11, 3 },
13763		{ "VFVld", 10, 1 },
13764		{ "PF", 7, 3 },
13765		{ "RVF", 0, 7 },
13766	{ "PCIE_FID_VFID", 0x4a40, 0 },
13767		{ "Select", 30, 2 },
13768		{ "IDO", 24, 1 },
13769		{ "VFID", 16, 8 },
13770		{ "TC", 11, 3 },
13771		{ "VFVld", 10, 1 },
13772		{ "PF", 7, 3 },
13773		{ "RVF", 0, 7 },
13774	{ "PCIE_FID_VFID", 0x4a44, 0 },
13775		{ "Select", 30, 2 },
13776		{ "IDO", 24, 1 },
13777		{ "VFID", 16, 8 },
13778		{ "TC", 11, 3 },
13779		{ "VFVld", 10, 1 },
13780		{ "PF", 7, 3 },
13781		{ "RVF", 0, 7 },
13782	{ "PCIE_FID_VFID", 0x4a48, 0 },
13783		{ "Select", 30, 2 },
13784		{ "IDO", 24, 1 },
13785		{ "VFID", 16, 8 },
13786		{ "TC", 11, 3 },
13787		{ "VFVld", 10, 1 },
13788		{ "PF", 7, 3 },
13789		{ "RVF", 0, 7 },
13790	{ "PCIE_FID_VFID", 0x4a4c, 0 },
13791		{ "Select", 30, 2 },
13792		{ "IDO", 24, 1 },
13793		{ "VFID", 16, 8 },
13794		{ "TC", 11, 3 },
13795		{ "VFVld", 10, 1 },
13796		{ "PF", 7, 3 },
13797		{ "RVF", 0, 7 },
13798	{ "PCIE_FID_VFID", 0x4a50, 0 },
13799		{ "Select", 30, 2 },
13800		{ "IDO", 24, 1 },
13801		{ "VFID", 16, 8 },
13802		{ "TC", 11, 3 },
13803		{ "VFVld", 10, 1 },
13804		{ "PF", 7, 3 },
13805		{ "RVF", 0, 7 },
13806	{ "PCIE_FID_VFID", 0x4a54, 0 },
13807		{ "Select", 30, 2 },
13808		{ "IDO", 24, 1 },
13809		{ "VFID", 16, 8 },
13810		{ "TC", 11, 3 },
13811		{ "VFVld", 10, 1 },
13812		{ "PF", 7, 3 },
13813		{ "RVF", 0, 7 },
13814	{ "PCIE_FID_VFID", 0x4a58, 0 },
13815		{ "Select", 30, 2 },
13816		{ "IDO", 24, 1 },
13817		{ "VFID", 16, 8 },
13818		{ "TC", 11, 3 },
13819		{ "VFVld", 10, 1 },
13820		{ "PF", 7, 3 },
13821		{ "RVF", 0, 7 },
13822	{ "PCIE_FID_VFID", 0x4a5c, 0 },
13823		{ "Select", 30, 2 },
13824		{ "IDO", 24, 1 },
13825		{ "VFID", 16, 8 },
13826		{ "TC", 11, 3 },
13827		{ "VFVld", 10, 1 },
13828		{ "PF", 7, 3 },
13829		{ "RVF", 0, 7 },
13830	{ "PCIE_FID_VFID", 0x4a60, 0 },
13831		{ "Select", 30, 2 },
13832		{ "IDO", 24, 1 },
13833		{ "VFID", 16, 8 },
13834		{ "TC", 11, 3 },
13835		{ "VFVld", 10, 1 },
13836		{ "PF", 7, 3 },
13837		{ "RVF", 0, 7 },
13838	{ "PCIE_FID_VFID", 0x4a64, 0 },
13839		{ "Select", 30, 2 },
13840		{ "IDO", 24, 1 },
13841		{ "VFID", 16, 8 },
13842		{ "TC", 11, 3 },
13843		{ "VFVld", 10, 1 },
13844		{ "PF", 7, 3 },
13845		{ "RVF", 0, 7 },
13846	{ "PCIE_FID_VFID", 0x4a68, 0 },
13847		{ "Select", 30, 2 },
13848		{ "IDO", 24, 1 },
13849		{ "VFID", 16, 8 },
13850		{ "TC", 11, 3 },
13851		{ "VFVld", 10, 1 },
13852		{ "PF", 7, 3 },
13853		{ "RVF", 0, 7 },
13854	{ "PCIE_FID_VFID", 0x4a6c, 0 },
13855		{ "Select", 30, 2 },
13856		{ "IDO", 24, 1 },
13857		{ "VFID", 16, 8 },
13858		{ "TC", 11, 3 },
13859		{ "VFVld", 10, 1 },
13860		{ "PF", 7, 3 },
13861		{ "RVF", 0, 7 },
13862	{ "PCIE_FID_VFID", 0x4a70, 0 },
13863		{ "Select", 30, 2 },
13864		{ "IDO", 24, 1 },
13865		{ "VFID", 16, 8 },
13866		{ "TC", 11, 3 },
13867		{ "VFVld", 10, 1 },
13868		{ "PF", 7, 3 },
13869		{ "RVF", 0, 7 },
13870	{ "PCIE_FID_VFID", 0x4a74, 0 },
13871		{ "Select", 30, 2 },
13872		{ "IDO", 24, 1 },
13873		{ "VFID", 16, 8 },
13874		{ "TC", 11, 3 },
13875		{ "VFVld", 10, 1 },
13876		{ "PF", 7, 3 },
13877		{ "RVF", 0, 7 },
13878	{ "PCIE_FID_VFID", 0x4a78, 0 },
13879		{ "Select", 30, 2 },
13880		{ "IDO", 24, 1 },
13881		{ "VFID", 16, 8 },
13882		{ "TC", 11, 3 },
13883		{ "VFVld", 10, 1 },
13884		{ "PF", 7, 3 },
13885		{ "RVF", 0, 7 },
13886	{ "PCIE_FID_VFID", 0x4a7c, 0 },
13887		{ "Select", 30, 2 },
13888		{ "IDO", 24, 1 },
13889		{ "VFID", 16, 8 },
13890		{ "TC", 11, 3 },
13891		{ "VFVld", 10, 1 },
13892		{ "PF", 7, 3 },
13893		{ "RVF", 0, 7 },
13894	{ "PCIE_FID_VFID", 0x4a80, 0 },
13895		{ "Select", 30, 2 },
13896		{ "IDO", 24, 1 },
13897		{ "VFID", 16, 8 },
13898		{ "TC", 11, 3 },
13899		{ "VFVld", 10, 1 },
13900		{ "PF", 7, 3 },
13901		{ "RVF", 0, 7 },
13902	{ "PCIE_FID_VFID", 0x4a84, 0 },
13903		{ "Select", 30, 2 },
13904		{ "IDO", 24, 1 },
13905		{ "VFID", 16, 8 },
13906		{ "TC", 11, 3 },
13907		{ "VFVld", 10, 1 },
13908		{ "PF", 7, 3 },
13909		{ "RVF", 0, 7 },
13910	{ "PCIE_FID_VFID", 0x4a88, 0 },
13911		{ "Select", 30, 2 },
13912		{ "IDO", 24, 1 },
13913		{ "VFID", 16, 8 },
13914		{ "TC", 11, 3 },
13915		{ "VFVld", 10, 1 },
13916		{ "PF", 7, 3 },
13917		{ "RVF", 0, 7 },
13918	{ "PCIE_FID_VFID", 0x4a8c, 0 },
13919		{ "Select", 30, 2 },
13920		{ "IDO", 24, 1 },
13921		{ "VFID", 16, 8 },
13922		{ "TC", 11, 3 },
13923		{ "VFVld", 10, 1 },
13924		{ "PF", 7, 3 },
13925		{ "RVF", 0, 7 },
13926	{ "PCIE_FID_VFID", 0x4a90, 0 },
13927		{ "Select", 30, 2 },
13928		{ "IDO", 24, 1 },
13929		{ "VFID", 16, 8 },
13930		{ "TC", 11, 3 },
13931		{ "VFVld", 10, 1 },
13932		{ "PF", 7, 3 },
13933		{ "RVF", 0, 7 },
13934	{ "PCIE_FID_VFID", 0x4a94, 0 },
13935		{ "Select", 30, 2 },
13936		{ "IDO", 24, 1 },
13937		{ "VFID", 16, 8 },
13938		{ "TC", 11, 3 },
13939		{ "VFVld", 10, 1 },
13940		{ "PF", 7, 3 },
13941		{ "RVF", 0, 7 },
13942	{ "PCIE_FID_VFID", 0x4a98, 0 },
13943		{ "Select", 30, 2 },
13944		{ "IDO", 24, 1 },
13945		{ "VFID", 16, 8 },
13946		{ "TC", 11, 3 },
13947		{ "VFVld", 10, 1 },
13948		{ "PF", 7, 3 },
13949		{ "RVF", 0, 7 },
13950	{ "PCIE_FID_VFID", 0x4a9c, 0 },
13951		{ "Select", 30, 2 },
13952		{ "IDO", 24, 1 },
13953		{ "VFID", 16, 8 },
13954		{ "TC", 11, 3 },
13955		{ "VFVld", 10, 1 },
13956		{ "PF", 7, 3 },
13957		{ "RVF", 0, 7 },
13958	{ "PCIE_FID_VFID", 0x4aa0, 0 },
13959		{ "Select", 30, 2 },
13960		{ "IDO", 24, 1 },
13961		{ "VFID", 16, 8 },
13962		{ "TC", 11, 3 },
13963		{ "VFVld", 10, 1 },
13964		{ "PF", 7, 3 },
13965		{ "RVF", 0, 7 },
13966	{ "PCIE_FID_VFID", 0x4aa4, 0 },
13967		{ "Select", 30, 2 },
13968		{ "IDO", 24, 1 },
13969		{ "VFID", 16, 8 },
13970		{ "TC", 11, 3 },
13971		{ "VFVld", 10, 1 },
13972		{ "PF", 7, 3 },
13973		{ "RVF", 0, 7 },
13974	{ "PCIE_FID_VFID", 0x4aa8, 0 },
13975		{ "Select", 30, 2 },
13976		{ "IDO", 24, 1 },
13977		{ "VFID", 16, 8 },
13978		{ "TC", 11, 3 },
13979		{ "VFVld", 10, 1 },
13980		{ "PF", 7, 3 },
13981		{ "RVF", 0, 7 },
13982	{ "PCIE_FID_VFID", 0x4aac, 0 },
13983		{ "Select", 30, 2 },
13984		{ "IDO", 24, 1 },
13985		{ "VFID", 16, 8 },
13986		{ "TC", 11, 3 },
13987		{ "VFVld", 10, 1 },
13988		{ "PF", 7, 3 },
13989		{ "RVF", 0, 7 },
13990	{ "PCIE_FID_VFID", 0x4ab0, 0 },
13991		{ "Select", 30, 2 },
13992		{ "IDO", 24, 1 },
13993		{ "VFID", 16, 8 },
13994		{ "TC", 11, 3 },
13995		{ "VFVld", 10, 1 },
13996		{ "PF", 7, 3 },
13997		{ "RVF", 0, 7 },
13998	{ "PCIE_FID_VFID", 0x4ab4, 0 },
13999		{ "Select", 30, 2 },
14000		{ "IDO", 24, 1 },
14001		{ "VFID", 16, 8 },
14002		{ "TC", 11, 3 },
14003		{ "VFVld", 10, 1 },
14004		{ "PF", 7, 3 },
14005		{ "RVF", 0, 7 },
14006	{ "PCIE_FID_VFID", 0x4ab8, 0 },
14007		{ "Select", 30, 2 },
14008		{ "IDO", 24, 1 },
14009		{ "VFID", 16, 8 },
14010		{ "TC", 11, 3 },
14011		{ "VFVld", 10, 1 },
14012		{ "PF", 7, 3 },
14013		{ "RVF", 0, 7 },
14014	{ "PCIE_FID_VFID", 0x4abc, 0 },
14015		{ "Select", 30, 2 },
14016		{ "IDO", 24, 1 },
14017		{ "VFID", 16, 8 },
14018		{ "TC", 11, 3 },
14019		{ "VFVld", 10, 1 },
14020		{ "PF", 7, 3 },
14021		{ "RVF", 0, 7 },
14022	{ "PCIE_FID_VFID", 0x4ac0, 0 },
14023		{ "Select", 30, 2 },
14024		{ "IDO", 24, 1 },
14025		{ "VFID", 16, 8 },
14026		{ "TC", 11, 3 },
14027		{ "VFVld", 10, 1 },
14028		{ "PF", 7, 3 },
14029		{ "RVF", 0, 7 },
14030	{ "PCIE_FID_VFID", 0x4ac4, 0 },
14031		{ "Select", 30, 2 },
14032		{ "IDO", 24, 1 },
14033		{ "VFID", 16, 8 },
14034		{ "TC", 11, 3 },
14035		{ "VFVld", 10, 1 },
14036		{ "PF", 7, 3 },
14037		{ "RVF", 0, 7 },
14038	{ "PCIE_FID_VFID", 0x4ac8, 0 },
14039		{ "Select", 30, 2 },
14040		{ "IDO", 24, 1 },
14041		{ "VFID", 16, 8 },
14042		{ "TC", 11, 3 },
14043		{ "VFVld", 10, 1 },
14044		{ "PF", 7, 3 },
14045		{ "RVF", 0, 7 },
14046	{ "PCIE_FID_VFID", 0x4acc, 0 },
14047		{ "Select", 30, 2 },
14048		{ "IDO", 24, 1 },
14049		{ "VFID", 16, 8 },
14050		{ "TC", 11, 3 },
14051		{ "VFVld", 10, 1 },
14052		{ "PF", 7, 3 },
14053		{ "RVF", 0, 7 },
14054	{ "PCIE_FID_VFID", 0x4ad0, 0 },
14055		{ "Select", 30, 2 },
14056		{ "IDO", 24, 1 },
14057		{ "VFID", 16, 8 },
14058		{ "TC", 11, 3 },
14059		{ "VFVld", 10, 1 },
14060		{ "PF", 7, 3 },
14061		{ "RVF", 0, 7 },
14062	{ "PCIE_FID_VFID", 0x4ad4, 0 },
14063		{ "Select", 30, 2 },
14064		{ "IDO", 24, 1 },
14065		{ "VFID", 16, 8 },
14066		{ "TC", 11, 3 },
14067		{ "VFVld", 10, 1 },
14068		{ "PF", 7, 3 },
14069		{ "RVF", 0, 7 },
14070	{ "PCIE_FID_VFID", 0x4ad8, 0 },
14071		{ "Select", 30, 2 },
14072		{ "IDO", 24, 1 },
14073		{ "VFID", 16, 8 },
14074		{ "TC", 11, 3 },
14075		{ "VFVld", 10, 1 },
14076		{ "PF", 7, 3 },
14077		{ "RVF", 0, 7 },
14078	{ "PCIE_FID_VFID", 0x4adc, 0 },
14079		{ "Select", 30, 2 },
14080		{ "IDO", 24, 1 },
14081		{ "VFID", 16, 8 },
14082		{ "TC", 11, 3 },
14083		{ "VFVld", 10, 1 },
14084		{ "PF", 7, 3 },
14085		{ "RVF", 0, 7 },
14086	{ "PCIE_FID_VFID", 0x4ae0, 0 },
14087		{ "Select", 30, 2 },
14088		{ "IDO", 24, 1 },
14089		{ "VFID", 16, 8 },
14090		{ "TC", 11, 3 },
14091		{ "VFVld", 10, 1 },
14092		{ "PF", 7, 3 },
14093		{ "RVF", 0, 7 },
14094	{ "PCIE_FID_VFID", 0x4ae4, 0 },
14095		{ "Select", 30, 2 },
14096		{ "IDO", 24, 1 },
14097		{ "VFID", 16, 8 },
14098		{ "TC", 11, 3 },
14099		{ "VFVld", 10, 1 },
14100		{ "PF", 7, 3 },
14101		{ "RVF", 0, 7 },
14102	{ "PCIE_FID_VFID", 0x4ae8, 0 },
14103		{ "Select", 30, 2 },
14104		{ "IDO", 24, 1 },
14105		{ "VFID", 16, 8 },
14106		{ "TC", 11, 3 },
14107		{ "VFVld", 10, 1 },
14108		{ "PF", 7, 3 },
14109		{ "RVF", 0, 7 },
14110	{ "PCIE_FID_VFID", 0x4aec, 0 },
14111		{ "Select", 30, 2 },
14112		{ "IDO", 24, 1 },
14113		{ "VFID", 16, 8 },
14114		{ "TC", 11, 3 },
14115		{ "VFVld", 10, 1 },
14116		{ "PF", 7, 3 },
14117		{ "RVF", 0, 7 },
14118	{ "PCIE_FID_VFID", 0x4af0, 0 },
14119		{ "Select", 30, 2 },
14120		{ "IDO", 24, 1 },
14121		{ "VFID", 16, 8 },
14122		{ "TC", 11, 3 },
14123		{ "VFVld", 10, 1 },
14124		{ "PF", 7, 3 },
14125		{ "RVF", 0, 7 },
14126	{ "PCIE_FID_VFID", 0x4af4, 0 },
14127		{ "Select", 30, 2 },
14128		{ "IDO", 24, 1 },
14129		{ "VFID", 16, 8 },
14130		{ "TC", 11, 3 },
14131		{ "VFVld", 10, 1 },
14132		{ "PF", 7, 3 },
14133		{ "RVF", 0, 7 },
14134	{ "PCIE_FID_VFID", 0x4af8, 0 },
14135		{ "Select", 30, 2 },
14136		{ "IDO", 24, 1 },
14137		{ "VFID", 16, 8 },
14138		{ "TC", 11, 3 },
14139		{ "VFVld", 10, 1 },
14140		{ "PF", 7, 3 },
14141		{ "RVF", 0, 7 },
14142	{ "PCIE_FID_VFID", 0x4afc, 0 },
14143		{ "Select", 30, 2 },
14144		{ "IDO", 24, 1 },
14145		{ "VFID", 16, 8 },
14146		{ "TC", 11, 3 },
14147		{ "VFVld", 10, 1 },
14148		{ "PF", 7, 3 },
14149		{ "RVF", 0, 7 },
14150	{ "PCIE_FID_VFID", 0x4b00, 0 },
14151		{ "Select", 30, 2 },
14152		{ "IDO", 24, 1 },
14153		{ "VFID", 16, 8 },
14154		{ "TC", 11, 3 },
14155		{ "VFVld", 10, 1 },
14156		{ "PF", 7, 3 },
14157		{ "RVF", 0, 7 },
14158	{ "PCIE_FID_VFID", 0x4b04, 0 },
14159		{ "Select", 30, 2 },
14160		{ "IDO", 24, 1 },
14161		{ "VFID", 16, 8 },
14162		{ "TC", 11, 3 },
14163		{ "VFVld", 10, 1 },
14164		{ "PF", 7, 3 },
14165		{ "RVF", 0, 7 },
14166	{ "PCIE_FID_VFID", 0x4b08, 0 },
14167		{ "Select", 30, 2 },
14168		{ "IDO", 24, 1 },
14169		{ "VFID", 16, 8 },
14170		{ "TC", 11, 3 },
14171		{ "VFVld", 10, 1 },
14172		{ "PF", 7, 3 },
14173		{ "RVF", 0, 7 },
14174	{ "PCIE_FID_VFID", 0x4b0c, 0 },
14175		{ "Select", 30, 2 },
14176		{ "IDO", 24, 1 },
14177		{ "VFID", 16, 8 },
14178		{ "TC", 11, 3 },
14179		{ "VFVld", 10, 1 },
14180		{ "PF", 7, 3 },
14181		{ "RVF", 0, 7 },
14182	{ "PCIE_FID_VFID", 0x4b10, 0 },
14183		{ "Select", 30, 2 },
14184		{ "IDO", 24, 1 },
14185		{ "VFID", 16, 8 },
14186		{ "TC", 11, 3 },
14187		{ "VFVld", 10, 1 },
14188		{ "PF", 7, 3 },
14189		{ "RVF", 0, 7 },
14190	{ "PCIE_FID_VFID", 0x4b14, 0 },
14191		{ "Select", 30, 2 },
14192		{ "IDO", 24, 1 },
14193		{ "VFID", 16, 8 },
14194		{ "TC", 11, 3 },
14195		{ "VFVld", 10, 1 },
14196		{ "PF", 7, 3 },
14197		{ "RVF", 0, 7 },
14198	{ "PCIE_FID_VFID", 0x4b18, 0 },
14199		{ "Select", 30, 2 },
14200		{ "IDO", 24, 1 },
14201		{ "VFID", 16, 8 },
14202		{ "TC", 11, 3 },
14203		{ "VFVld", 10, 1 },
14204		{ "PF", 7, 3 },
14205		{ "RVF", 0, 7 },
14206	{ "PCIE_FID_VFID", 0x4b1c, 0 },
14207		{ "Select", 30, 2 },
14208		{ "IDO", 24, 1 },
14209		{ "VFID", 16, 8 },
14210		{ "TC", 11, 3 },
14211		{ "VFVld", 10, 1 },
14212		{ "PF", 7, 3 },
14213		{ "RVF", 0, 7 },
14214	{ "PCIE_FID_VFID", 0x4b20, 0 },
14215		{ "Select", 30, 2 },
14216		{ "IDO", 24, 1 },
14217		{ "VFID", 16, 8 },
14218		{ "TC", 11, 3 },
14219		{ "VFVld", 10, 1 },
14220		{ "PF", 7, 3 },
14221		{ "RVF", 0, 7 },
14222	{ "PCIE_FID_VFID", 0x4b24, 0 },
14223		{ "Select", 30, 2 },
14224		{ "IDO", 24, 1 },
14225		{ "VFID", 16, 8 },
14226		{ "TC", 11, 3 },
14227		{ "VFVld", 10, 1 },
14228		{ "PF", 7, 3 },
14229		{ "RVF", 0, 7 },
14230	{ "PCIE_FID_VFID", 0x4b28, 0 },
14231		{ "Select", 30, 2 },
14232		{ "IDO", 24, 1 },
14233		{ "VFID", 16, 8 },
14234		{ "TC", 11, 3 },
14235		{ "VFVld", 10, 1 },
14236		{ "PF", 7, 3 },
14237		{ "RVF", 0, 7 },
14238	{ "PCIE_FID_VFID", 0x4b2c, 0 },
14239		{ "Select", 30, 2 },
14240		{ "IDO", 24, 1 },
14241		{ "VFID", 16, 8 },
14242		{ "TC", 11, 3 },
14243		{ "VFVld", 10, 1 },
14244		{ "PF", 7, 3 },
14245		{ "RVF", 0, 7 },
14246	{ "PCIE_FID_VFID", 0x4b30, 0 },
14247		{ "Select", 30, 2 },
14248		{ "IDO", 24, 1 },
14249		{ "VFID", 16, 8 },
14250		{ "TC", 11, 3 },
14251		{ "VFVld", 10, 1 },
14252		{ "PF", 7, 3 },
14253		{ "RVF", 0, 7 },
14254	{ "PCIE_FID_VFID", 0x4b34, 0 },
14255		{ "Select", 30, 2 },
14256		{ "IDO", 24, 1 },
14257		{ "VFID", 16, 8 },
14258		{ "TC", 11, 3 },
14259		{ "VFVld", 10, 1 },
14260		{ "PF", 7, 3 },
14261		{ "RVF", 0, 7 },
14262	{ "PCIE_FID_VFID", 0x4b38, 0 },
14263		{ "Select", 30, 2 },
14264		{ "IDO", 24, 1 },
14265		{ "VFID", 16, 8 },
14266		{ "TC", 11, 3 },
14267		{ "VFVld", 10, 1 },
14268		{ "PF", 7, 3 },
14269		{ "RVF", 0, 7 },
14270	{ "PCIE_FID_VFID", 0x4b3c, 0 },
14271		{ "Select", 30, 2 },
14272		{ "IDO", 24, 1 },
14273		{ "VFID", 16, 8 },
14274		{ "TC", 11, 3 },
14275		{ "VFVld", 10, 1 },
14276		{ "PF", 7, 3 },
14277		{ "RVF", 0, 7 },
14278	{ "PCIE_FID_VFID", 0x4b40, 0 },
14279		{ "Select", 30, 2 },
14280		{ "IDO", 24, 1 },
14281		{ "VFID", 16, 8 },
14282		{ "TC", 11, 3 },
14283		{ "VFVld", 10, 1 },
14284		{ "PF", 7, 3 },
14285		{ "RVF", 0, 7 },
14286	{ "PCIE_FID_VFID", 0x4b44, 0 },
14287		{ "Select", 30, 2 },
14288		{ "IDO", 24, 1 },
14289		{ "VFID", 16, 8 },
14290		{ "TC", 11, 3 },
14291		{ "VFVld", 10, 1 },
14292		{ "PF", 7, 3 },
14293		{ "RVF", 0, 7 },
14294	{ "PCIE_FID_VFID", 0x4b48, 0 },
14295		{ "Select", 30, 2 },
14296		{ "IDO", 24, 1 },
14297		{ "VFID", 16, 8 },
14298		{ "TC", 11, 3 },
14299		{ "VFVld", 10, 1 },
14300		{ "PF", 7, 3 },
14301		{ "RVF", 0, 7 },
14302	{ "PCIE_FID_VFID", 0x4b4c, 0 },
14303		{ "Select", 30, 2 },
14304		{ "IDO", 24, 1 },
14305		{ "VFID", 16, 8 },
14306		{ "TC", 11, 3 },
14307		{ "VFVld", 10, 1 },
14308		{ "PF", 7, 3 },
14309		{ "RVF", 0, 7 },
14310	{ "PCIE_FID_VFID", 0x4b50, 0 },
14311		{ "Select", 30, 2 },
14312		{ "IDO", 24, 1 },
14313		{ "VFID", 16, 8 },
14314		{ "TC", 11, 3 },
14315		{ "VFVld", 10, 1 },
14316		{ "PF", 7, 3 },
14317		{ "RVF", 0, 7 },
14318	{ "PCIE_FID_VFID", 0x4b54, 0 },
14319		{ "Select", 30, 2 },
14320		{ "IDO", 24, 1 },
14321		{ "VFID", 16, 8 },
14322		{ "TC", 11, 3 },
14323		{ "VFVld", 10, 1 },
14324		{ "PF", 7, 3 },
14325		{ "RVF", 0, 7 },
14326	{ "PCIE_FID_VFID", 0x4b58, 0 },
14327		{ "Select", 30, 2 },
14328		{ "IDO", 24, 1 },
14329		{ "VFID", 16, 8 },
14330		{ "TC", 11, 3 },
14331		{ "VFVld", 10, 1 },
14332		{ "PF", 7, 3 },
14333		{ "RVF", 0, 7 },
14334	{ "PCIE_FID_VFID", 0x4b5c, 0 },
14335		{ "Select", 30, 2 },
14336		{ "IDO", 24, 1 },
14337		{ "VFID", 16, 8 },
14338		{ "TC", 11, 3 },
14339		{ "VFVld", 10, 1 },
14340		{ "PF", 7, 3 },
14341		{ "RVF", 0, 7 },
14342	{ "PCIE_FID_VFID", 0x4b60, 0 },
14343		{ "Select", 30, 2 },
14344		{ "IDO", 24, 1 },
14345		{ "VFID", 16, 8 },
14346		{ "TC", 11, 3 },
14347		{ "VFVld", 10, 1 },
14348		{ "PF", 7, 3 },
14349		{ "RVF", 0, 7 },
14350	{ "PCIE_FID_VFID", 0x4b64, 0 },
14351		{ "Select", 30, 2 },
14352		{ "IDO", 24, 1 },
14353		{ "VFID", 16, 8 },
14354		{ "TC", 11, 3 },
14355		{ "VFVld", 10, 1 },
14356		{ "PF", 7, 3 },
14357		{ "RVF", 0, 7 },
14358	{ "PCIE_FID_VFID", 0x4b68, 0 },
14359		{ "Select", 30, 2 },
14360		{ "IDO", 24, 1 },
14361		{ "VFID", 16, 8 },
14362		{ "TC", 11, 3 },
14363		{ "VFVld", 10, 1 },
14364		{ "PF", 7, 3 },
14365		{ "RVF", 0, 7 },
14366	{ "PCIE_FID_VFID", 0x4b6c, 0 },
14367		{ "Select", 30, 2 },
14368		{ "IDO", 24, 1 },
14369		{ "VFID", 16, 8 },
14370		{ "TC", 11, 3 },
14371		{ "VFVld", 10, 1 },
14372		{ "PF", 7, 3 },
14373		{ "RVF", 0, 7 },
14374	{ "PCIE_FID_VFID", 0x4b70, 0 },
14375		{ "Select", 30, 2 },
14376		{ "IDO", 24, 1 },
14377		{ "VFID", 16, 8 },
14378		{ "TC", 11, 3 },
14379		{ "VFVld", 10, 1 },
14380		{ "PF", 7, 3 },
14381		{ "RVF", 0, 7 },
14382	{ "PCIE_FID_VFID", 0x4b74, 0 },
14383		{ "Select", 30, 2 },
14384		{ "IDO", 24, 1 },
14385		{ "VFID", 16, 8 },
14386		{ "TC", 11, 3 },
14387		{ "VFVld", 10, 1 },
14388		{ "PF", 7, 3 },
14389		{ "RVF", 0, 7 },
14390	{ "PCIE_FID_VFID", 0x4b78, 0 },
14391		{ "Select", 30, 2 },
14392		{ "IDO", 24, 1 },
14393		{ "VFID", 16, 8 },
14394		{ "TC", 11, 3 },
14395		{ "VFVld", 10, 1 },
14396		{ "PF", 7, 3 },
14397		{ "RVF", 0, 7 },
14398	{ "PCIE_FID_VFID", 0x4b7c, 0 },
14399		{ "Select", 30, 2 },
14400		{ "IDO", 24, 1 },
14401		{ "VFID", 16, 8 },
14402		{ "TC", 11, 3 },
14403		{ "VFVld", 10, 1 },
14404		{ "PF", 7, 3 },
14405		{ "RVF", 0, 7 },
14406	{ "PCIE_FID_VFID", 0x4b80, 0 },
14407		{ "Select", 30, 2 },
14408		{ "IDO", 24, 1 },
14409		{ "VFID", 16, 8 },
14410		{ "TC", 11, 3 },
14411		{ "VFVld", 10, 1 },
14412		{ "PF", 7, 3 },
14413		{ "RVF", 0, 7 },
14414	{ "PCIE_FID_VFID", 0x4b84, 0 },
14415		{ "Select", 30, 2 },
14416		{ "IDO", 24, 1 },
14417		{ "VFID", 16, 8 },
14418		{ "TC", 11, 3 },
14419		{ "VFVld", 10, 1 },
14420		{ "PF", 7, 3 },
14421		{ "RVF", 0, 7 },
14422	{ "PCIE_FID_VFID", 0x4b88, 0 },
14423		{ "Select", 30, 2 },
14424		{ "IDO", 24, 1 },
14425		{ "VFID", 16, 8 },
14426		{ "TC", 11, 3 },
14427		{ "VFVld", 10, 1 },
14428		{ "PF", 7, 3 },
14429		{ "RVF", 0, 7 },
14430	{ "PCIE_FID_VFID", 0x4b8c, 0 },
14431		{ "Select", 30, 2 },
14432		{ "IDO", 24, 1 },
14433		{ "VFID", 16, 8 },
14434		{ "TC", 11, 3 },
14435		{ "VFVld", 10, 1 },
14436		{ "PF", 7, 3 },
14437		{ "RVF", 0, 7 },
14438	{ "PCIE_FID_VFID", 0x4b90, 0 },
14439		{ "Select", 30, 2 },
14440		{ "IDO", 24, 1 },
14441		{ "VFID", 16, 8 },
14442		{ "TC", 11, 3 },
14443		{ "VFVld", 10, 1 },
14444		{ "PF", 7, 3 },
14445		{ "RVF", 0, 7 },
14446	{ "PCIE_FID_VFID", 0x4b94, 0 },
14447		{ "Select", 30, 2 },
14448		{ "IDO", 24, 1 },
14449		{ "VFID", 16, 8 },
14450		{ "TC", 11, 3 },
14451		{ "VFVld", 10, 1 },
14452		{ "PF", 7, 3 },
14453		{ "RVF", 0, 7 },
14454	{ "PCIE_FID_VFID", 0x4b98, 0 },
14455		{ "Select", 30, 2 },
14456		{ "IDO", 24, 1 },
14457		{ "VFID", 16, 8 },
14458		{ "TC", 11, 3 },
14459		{ "VFVld", 10, 1 },
14460		{ "PF", 7, 3 },
14461		{ "RVF", 0, 7 },
14462	{ "PCIE_FID_VFID", 0x4b9c, 0 },
14463		{ "Select", 30, 2 },
14464		{ "IDO", 24, 1 },
14465		{ "VFID", 16, 8 },
14466		{ "TC", 11, 3 },
14467		{ "VFVld", 10, 1 },
14468		{ "PF", 7, 3 },
14469		{ "RVF", 0, 7 },
14470	{ "PCIE_FID_VFID", 0x4ba0, 0 },
14471		{ "Select", 30, 2 },
14472		{ "IDO", 24, 1 },
14473		{ "VFID", 16, 8 },
14474		{ "TC", 11, 3 },
14475		{ "VFVld", 10, 1 },
14476		{ "PF", 7, 3 },
14477		{ "RVF", 0, 7 },
14478	{ "PCIE_FID_VFID", 0x4ba4, 0 },
14479		{ "Select", 30, 2 },
14480		{ "IDO", 24, 1 },
14481		{ "VFID", 16, 8 },
14482		{ "TC", 11, 3 },
14483		{ "VFVld", 10, 1 },
14484		{ "PF", 7, 3 },
14485		{ "RVF", 0, 7 },
14486	{ "PCIE_FID_VFID", 0x4ba8, 0 },
14487		{ "Select", 30, 2 },
14488		{ "IDO", 24, 1 },
14489		{ "VFID", 16, 8 },
14490		{ "TC", 11, 3 },
14491		{ "VFVld", 10, 1 },
14492		{ "PF", 7, 3 },
14493		{ "RVF", 0, 7 },
14494	{ "PCIE_FID_VFID", 0x4bac, 0 },
14495		{ "Select", 30, 2 },
14496		{ "IDO", 24, 1 },
14497		{ "VFID", 16, 8 },
14498		{ "TC", 11, 3 },
14499		{ "VFVld", 10, 1 },
14500		{ "PF", 7, 3 },
14501		{ "RVF", 0, 7 },
14502	{ "PCIE_FID_VFID", 0x4bb0, 0 },
14503		{ "Select", 30, 2 },
14504		{ "IDO", 24, 1 },
14505		{ "VFID", 16, 8 },
14506		{ "TC", 11, 3 },
14507		{ "VFVld", 10, 1 },
14508		{ "PF", 7, 3 },
14509		{ "RVF", 0, 7 },
14510	{ "PCIE_FID_VFID", 0x4bb4, 0 },
14511		{ "Select", 30, 2 },
14512		{ "IDO", 24, 1 },
14513		{ "VFID", 16, 8 },
14514		{ "TC", 11, 3 },
14515		{ "VFVld", 10, 1 },
14516		{ "PF", 7, 3 },
14517		{ "RVF", 0, 7 },
14518	{ "PCIE_FID_VFID", 0x4bb8, 0 },
14519		{ "Select", 30, 2 },
14520		{ "IDO", 24, 1 },
14521		{ "VFID", 16, 8 },
14522		{ "TC", 11, 3 },
14523		{ "VFVld", 10, 1 },
14524		{ "PF", 7, 3 },
14525		{ "RVF", 0, 7 },
14526	{ "PCIE_FID_VFID", 0x4bbc, 0 },
14527		{ "Select", 30, 2 },
14528		{ "IDO", 24, 1 },
14529		{ "VFID", 16, 8 },
14530		{ "TC", 11, 3 },
14531		{ "VFVld", 10, 1 },
14532		{ "PF", 7, 3 },
14533		{ "RVF", 0, 7 },
14534	{ "PCIE_FID_VFID", 0x4bc0, 0 },
14535		{ "Select", 30, 2 },
14536		{ "IDO", 24, 1 },
14537		{ "VFID", 16, 8 },
14538		{ "TC", 11, 3 },
14539		{ "VFVld", 10, 1 },
14540		{ "PF", 7, 3 },
14541		{ "RVF", 0, 7 },
14542	{ "PCIE_FID_VFID", 0x4bc4, 0 },
14543		{ "Select", 30, 2 },
14544		{ "IDO", 24, 1 },
14545		{ "VFID", 16, 8 },
14546		{ "TC", 11, 3 },
14547		{ "VFVld", 10, 1 },
14548		{ "PF", 7, 3 },
14549		{ "RVF", 0, 7 },
14550	{ "PCIE_FID_VFID", 0x4bc8, 0 },
14551		{ "Select", 30, 2 },
14552		{ "IDO", 24, 1 },
14553		{ "VFID", 16, 8 },
14554		{ "TC", 11, 3 },
14555		{ "VFVld", 10, 1 },
14556		{ "PF", 7, 3 },
14557		{ "RVF", 0, 7 },
14558	{ "PCIE_FID_VFID", 0x4bcc, 0 },
14559		{ "Select", 30, 2 },
14560		{ "IDO", 24, 1 },
14561		{ "VFID", 16, 8 },
14562		{ "TC", 11, 3 },
14563		{ "VFVld", 10, 1 },
14564		{ "PF", 7, 3 },
14565		{ "RVF", 0, 7 },
14566	{ "PCIE_FID_VFID", 0x4bd0, 0 },
14567		{ "Select", 30, 2 },
14568		{ "IDO", 24, 1 },
14569		{ "VFID", 16, 8 },
14570		{ "TC", 11, 3 },
14571		{ "VFVld", 10, 1 },
14572		{ "PF", 7, 3 },
14573		{ "RVF", 0, 7 },
14574	{ "PCIE_FID_VFID", 0x4bd4, 0 },
14575		{ "Select", 30, 2 },
14576		{ "IDO", 24, 1 },
14577		{ "VFID", 16, 8 },
14578		{ "TC", 11, 3 },
14579		{ "VFVld", 10, 1 },
14580		{ "PF", 7, 3 },
14581		{ "RVF", 0, 7 },
14582	{ "PCIE_FID_VFID", 0x4bd8, 0 },
14583		{ "Select", 30, 2 },
14584		{ "IDO", 24, 1 },
14585		{ "VFID", 16, 8 },
14586		{ "TC", 11, 3 },
14587		{ "VFVld", 10, 1 },
14588		{ "PF", 7, 3 },
14589		{ "RVF", 0, 7 },
14590	{ "PCIE_FID_VFID", 0x4bdc, 0 },
14591		{ "Select", 30, 2 },
14592		{ "IDO", 24, 1 },
14593		{ "VFID", 16, 8 },
14594		{ "TC", 11, 3 },
14595		{ "VFVld", 10, 1 },
14596		{ "PF", 7, 3 },
14597		{ "RVF", 0, 7 },
14598	{ "PCIE_FID_VFID", 0x4be0, 0 },
14599		{ "Select", 30, 2 },
14600		{ "IDO", 24, 1 },
14601		{ "VFID", 16, 8 },
14602		{ "TC", 11, 3 },
14603		{ "VFVld", 10, 1 },
14604		{ "PF", 7, 3 },
14605		{ "RVF", 0, 7 },
14606	{ "PCIE_FID_VFID", 0x4be4, 0 },
14607		{ "Select", 30, 2 },
14608		{ "IDO", 24, 1 },
14609		{ "VFID", 16, 8 },
14610		{ "TC", 11, 3 },
14611		{ "VFVld", 10, 1 },
14612		{ "PF", 7, 3 },
14613		{ "RVF", 0, 7 },
14614	{ "PCIE_FID_VFID", 0x4be8, 0 },
14615		{ "Select", 30, 2 },
14616		{ "IDO", 24, 1 },
14617		{ "VFID", 16, 8 },
14618		{ "TC", 11, 3 },
14619		{ "VFVld", 10, 1 },
14620		{ "PF", 7, 3 },
14621		{ "RVF", 0, 7 },
14622	{ "PCIE_FID_VFID", 0x4bec, 0 },
14623		{ "Select", 30, 2 },
14624		{ "IDO", 24, 1 },
14625		{ "VFID", 16, 8 },
14626		{ "TC", 11, 3 },
14627		{ "VFVld", 10, 1 },
14628		{ "PF", 7, 3 },
14629		{ "RVF", 0, 7 },
14630	{ "PCIE_FID_VFID", 0x4bf0, 0 },
14631		{ "Select", 30, 2 },
14632		{ "IDO", 24, 1 },
14633		{ "VFID", 16, 8 },
14634		{ "TC", 11, 3 },
14635		{ "VFVld", 10, 1 },
14636		{ "PF", 7, 3 },
14637		{ "RVF", 0, 7 },
14638	{ "PCIE_FID_VFID", 0x4bf4, 0 },
14639		{ "Select", 30, 2 },
14640		{ "IDO", 24, 1 },
14641		{ "VFID", 16, 8 },
14642		{ "TC", 11, 3 },
14643		{ "VFVld", 10, 1 },
14644		{ "PF", 7, 3 },
14645		{ "RVF", 0, 7 },
14646	{ "PCIE_FID_VFID", 0x4bf8, 0 },
14647		{ "Select", 30, 2 },
14648		{ "IDO", 24, 1 },
14649		{ "VFID", 16, 8 },
14650		{ "TC", 11, 3 },
14651		{ "VFVld", 10, 1 },
14652		{ "PF", 7, 3 },
14653		{ "RVF", 0, 7 },
14654	{ "PCIE_FID_VFID", 0x4bfc, 0 },
14655		{ "Select", 30, 2 },
14656		{ "IDO", 24, 1 },
14657		{ "VFID", 16, 8 },
14658		{ "TC", 11, 3 },
14659		{ "VFVld", 10, 1 },
14660		{ "PF", 7, 3 },
14661		{ "RVF", 0, 7 },
14662	{ "PCIE_FID_VFID", 0x4c00, 0 },
14663		{ "Select", 30, 2 },
14664		{ "IDO", 24, 1 },
14665		{ "VFID", 16, 8 },
14666		{ "TC", 11, 3 },
14667		{ "VFVld", 10, 1 },
14668		{ "PF", 7, 3 },
14669		{ "RVF", 0, 7 },
14670	{ "PCIE_FID_VFID", 0x4c04, 0 },
14671		{ "Select", 30, 2 },
14672		{ "IDO", 24, 1 },
14673		{ "VFID", 16, 8 },
14674		{ "TC", 11, 3 },
14675		{ "VFVld", 10, 1 },
14676		{ "PF", 7, 3 },
14677		{ "RVF", 0, 7 },
14678	{ "PCIE_FID_VFID", 0x4c08, 0 },
14679		{ "Select", 30, 2 },
14680		{ "IDO", 24, 1 },
14681		{ "VFID", 16, 8 },
14682		{ "TC", 11, 3 },
14683		{ "VFVld", 10, 1 },
14684		{ "PF", 7, 3 },
14685		{ "RVF", 0, 7 },
14686	{ "PCIE_FID_VFID", 0x4c0c, 0 },
14687		{ "Select", 30, 2 },
14688		{ "IDO", 24, 1 },
14689		{ "VFID", 16, 8 },
14690		{ "TC", 11, 3 },
14691		{ "VFVld", 10, 1 },
14692		{ "PF", 7, 3 },
14693		{ "RVF", 0, 7 },
14694	{ "PCIE_FID_VFID", 0x4c10, 0 },
14695		{ "Select", 30, 2 },
14696		{ "IDO", 24, 1 },
14697		{ "VFID", 16, 8 },
14698		{ "TC", 11, 3 },
14699		{ "VFVld", 10, 1 },
14700		{ "PF", 7, 3 },
14701		{ "RVF", 0, 7 },
14702	{ "PCIE_FID_VFID", 0x4c14, 0 },
14703		{ "Select", 30, 2 },
14704		{ "IDO", 24, 1 },
14705		{ "VFID", 16, 8 },
14706		{ "TC", 11, 3 },
14707		{ "VFVld", 10, 1 },
14708		{ "PF", 7, 3 },
14709		{ "RVF", 0, 7 },
14710	{ "PCIE_FID_VFID", 0x4c18, 0 },
14711		{ "Select", 30, 2 },
14712		{ "IDO", 24, 1 },
14713		{ "VFID", 16, 8 },
14714		{ "TC", 11, 3 },
14715		{ "VFVld", 10, 1 },
14716		{ "PF", 7, 3 },
14717		{ "RVF", 0, 7 },
14718	{ "PCIE_FID_VFID", 0x4c1c, 0 },
14719		{ "Select", 30, 2 },
14720		{ "IDO", 24, 1 },
14721		{ "VFID", 16, 8 },
14722		{ "TC", 11, 3 },
14723		{ "VFVld", 10, 1 },
14724		{ "PF", 7, 3 },
14725		{ "RVF", 0, 7 },
14726	{ "PCIE_FID_VFID", 0x4c20, 0 },
14727		{ "Select", 30, 2 },
14728		{ "IDO", 24, 1 },
14729		{ "VFID", 16, 8 },
14730		{ "TC", 11, 3 },
14731		{ "VFVld", 10, 1 },
14732		{ "PF", 7, 3 },
14733		{ "RVF", 0, 7 },
14734	{ "PCIE_FID_VFID", 0x4c24, 0 },
14735		{ "Select", 30, 2 },
14736		{ "IDO", 24, 1 },
14737		{ "VFID", 16, 8 },
14738		{ "TC", 11, 3 },
14739		{ "VFVld", 10, 1 },
14740		{ "PF", 7, 3 },
14741		{ "RVF", 0, 7 },
14742	{ "PCIE_FID_VFID", 0x4c28, 0 },
14743		{ "Select", 30, 2 },
14744		{ "IDO", 24, 1 },
14745		{ "VFID", 16, 8 },
14746		{ "TC", 11, 3 },
14747		{ "VFVld", 10, 1 },
14748		{ "PF", 7, 3 },
14749		{ "RVF", 0, 7 },
14750	{ "PCIE_FID_VFID", 0x4c2c, 0 },
14751		{ "Select", 30, 2 },
14752		{ "IDO", 24, 1 },
14753		{ "VFID", 16, 8 },
14754		{ "TC", 11, 3 },
14755		{ "VFVld", 10, 1 },
14756		{ "PF", 7, 3 },
14757		{ "RVF", 0, 7 },
14758	{ "PCIE_FID_VFID", 0x4c30, 0 },
14759		{ "Select", 30, 2 },
14760		{ "IDO", 24, 1 },
14761		{ "VFID", 16, 8 },
14762		{ "TC", 11, 3 },
14763		{ "VFVld", 10, 1 },
14764		{ "PF", 7, 3 },
14765		{ "RVF", 0, 7 },
14766	{ "PCIE_FID_VFID", 0x4c34, 0 },
14767		{ "Select", 30, 2 },
14768		{ "IDO", 24, 1 },
14769		{ "VFID", 16, 8 },
14770		{ "TC", 11, 3 },
14771		{ "VFVld", 10, 1 },
14772		{ "PF", 7, 3 },
14773		{ "RVF", 0, 7 },
14774	{ "PCIE_FID_VFID", 0x4c38, 0 },
14775		{ "Select", 30, 2 },
14776		{ "IDO", 24, 1 },
14777		{ "VFID", 16, 8 },
14778		{ "TC", 11, 3 },
14779		{ "VFVld", 10, 1 },
14780		{ "PF", 7, 3 },
14781		{ "RVF", 0, 7 },
14782	{ "PCIE_FID_VFID", 0x4c3c, 0 },
14783		{ "Select", 30, 2 },
14784		{ "IDO", 24, 1 },
14785		{ "VFID", 16, 8 },
14786		{ "TC", 11, 3 },
14787		{ "VFVld", 10, 1 },
14788		{ "PF", 7, 3 },
14789		{ "RVF", 0, 7 },
14790	{ "PCIE_FID_VFID", 0x4c40, 0 },
14791		{ "Select", 30, 2 },
14792		{ "IDO", 24, 1 },
14793		{ "VFID", 16, 8 },
14794		{ "TC", 11, 3 },
14795		{ "VFVld", 10, 1 },
14796		{ "PF", 7, 3 },
14797		{ "RVF", 0, 7 },
14798	{ "PCIE_FID_VFID", 0x4c44, 0 },
14799		{ "Select", 30, 2 },
14800		{ "IDO", 24, 1 },
14801		{ "VFID", 16, 8 },
14802		{ "TC", 11, 3 },
14803		{ "VFVld", 10, 1 },
14804		{ "PF", 7, 3 },
14805		{ "RVF", 0, 7 },
14806	{ "PCIE_FID_VFID", 0x4c48, 0 },
14807		{ "Select", 30, 2 },
14808		{ "IDO", 24, 1 },
14809		{ "VFID", 16, 8 },
14810		{ "TC", 11, 3 },
14811		{ "VFVld", 10, 1 },
14812		{ "PF", 7, 3 },
14813		{ "RVF", 0, 7 },
14814	{ "PCIE_FID_VFID", 0x4c4c, 0 },
14815		{ "Select", 30, 2 },
14816		{ "IDO", 24, 1 },
14817		{ "VFID", 16, 8 },
14818		{ "TC", 11, 3 },
14819		{ "VFVld", 10, 1 },
14820		{ "PF", 7, 3 },
14821		{ "RVF", 0, 7 },
14822	{ "PCIE_FID_VFID", 0x4c50, 0 },
14823		{ "Select", 30, 2 },
14824		{ "IDO", 24, 1 },
14825		{ "VFID", 16, 8 },
14826		{ "TC", 11, 3 },
14827		{ "VFVld", 10, 1 },
14828		{ "PF", 7, 3 },
14829		{ "RVF", 0, 7 },
14830	{ "PCIE_FID_VFID", 0x4c54, 0 },
14831		{ "Select", 30, 2 },
14832		{ "IDO", 24, 1 },
14833		{ "VFID", 16, 8 },
14834		{ "TC", 11, 3 },
14835		{ "VFVld", 10, 1 },
14836		{ "PF", 7, 3 },
14837		{ "RVF", 0, 7 },
14838	{ "PCIE_FID_VFID", 0x4c58, 0 },
14839		{ "Select", 30, 2 },
14840		{ "IDO", 24, 1 },
14841		{ "VFID", 16, 8 },
14842		{ "TC", 11, 3 },
14843		{ "VFVld", 10, 1 },
14844		{ "PF", 7, 3 },
14845		{ "RVF", 0, 7 },
14846	{ "PCIE_FID_VFID", 0x4c5c, 0 },
14847		{ "Select", 30, 2 },
14848		{ "IDO", 24, 1 },
14849		{ "VFID", 16, 8 },
14850		{ "TC", 11, 3 },
14851		{ "VFVld", 10, 1 },
14852		{ "PF", 7, 3 },
14853		{ "RVF", 0, 7 },
14854	{ "PCIE_FID_VFID", 0x4c60, 0 },
14855		{ "Select", 30, 2 },
14856		{ "IDO", 24, 1 },
14857		{ "VFID", 16, 8 },
14858		{ "TC", 11, 3 },
14859		{ "VFVld", 10, 1 },
14860		{ "PF", 7, 3 },
14861		{ "RVF", 0, 7 },
14862	{ "PCIE_FID_VFID", 0x4c64, 0 },
14863		{ "Select", 30, 2 },
14864		{ "IDO", 24, 1 },
14865		{ "VFID", 16, 8 },
14866		{ "TC", 11, 3 },
14867		{ "VFVld", 10, 1 },
14868		{ "PF", 7, 3 },
14869		{ "RVF", 0, 7 },
14870	{ "PCIE_FID_VFID", 0x4c68, 0 },
14871		{ "Select", 30, 2 },
14872		{ "IDO", 24, 1 },
14873		{ "VFID", 16, 8 },
14874		{ "TC", 11, 3 },
14875		{ "VFVld", 10, 1 },
14876		{ "PF", 7, 3 },
14877		{ "RVF", 0, 7 },
14878	{ "PCIE_FID_VFID", 0x4c6c, 0 },
14879		{ "Select", 30, 2 },
14880		{ "IDO", 24, 1 },
14881		{ "VFID", 16, 8 },
14882		{ "TC", 11, 3 },
14883		{ "VFVld", 10, 1 },
14884		{ "PF", 7, 3 },
14885		{ "RVF", 0, 7 },
14886	{ "PCIE_FID_VFID", 0x4c70, 0 },
14887		{ "Select", 30, 2 },
14888		{ "IDO", 24, 1 },
14889		{ "VFID", 16, 8 },
14890		{ "TC", 11, 3 },
14891		{ "VFVld", 10, 1 },
14892		{ "PF", 7, 3 },
14893		{ "RVF", 0, 7 },
14894	{ "PCIE_FID_VFID", 0x4c74, 0 },
14895		{ "Select", 30, 2 },
14896		{ "IDO", 24, 1 },
14897		{ "VFID", 16, 8 },
14898		{ "TC", 11, 3 },
14899		{ "VFVld", 10, 1 },
14900		{ "PF", 7, 3 },
14901		{ "RVF", 0, 7 },
14902	{ "PCIE_FID_VFID", 0x4c78, 0 },
14903		{ "Select", 30, 2 },
14904		{ "IDO", 24, 1 },
14905		{ "VFID", 16, 8 },
14906		{ "TC", 11, 3 },
14907		{ "VFVld", 10, 1 },
14908		{ "PF", 7, 3 },
14909		{ "RVF", 0, 7 },
14910	{ "PCIE_FID_VFID", 0x4c7c, 0 },
14911		{ "Select", 30, 2 },
14912		{ "IDO", 24, 1 },
14913		{ "VFID", 16, 8 },
14914		{ "TC", 11, 3 },
14915		{ "VFVld", 10, 1 },
14916		{ "PF", 7, 3 },
14917		{ "RVF", 0, 7 },
14918	{ "PCIE_FID_VFID", 0x4c80, 0 },
14919		{ "Select", 30, 2 },
14920		{ "IDO", 24, 1 },
14921		{ "VFID", 16, 8 },
14922		{ "TC", 11, 3 },
14923		{ "VFVld", 10, 1 },
14924		{ "PF", 7, 3 },
14925		{ "RVF", 0, 7 },
14926	{ "PCIE_FID_VFID", 0x4c84, 0 },
14927		{ "Select", 30, 2 },
14928		{ "IDO", 24, 1 },
14929		{ "VFID", 16, 8 },
14930		{ "TC", 11, 3 },
14931		{ "VFVld", 10, 1 },
14932		{ "PF", 7, 3 },
14933		{ "RVF", 0, 7 },
14934	{ "PCIE_FID_VFID", 0x4c88, 0 },
14935		{ "Select", 30, 2 },
14936		{ "IDO", 24, 1 },
14937		{ "VFID", 16, 8 },
14938		{ "TC", 11, 3 },
14939		{ "VFVld", 10, 1 },
14940		{ "PF", 7, 3 },
14941		{ "RVF", 0, 7 },
14942	{ "PCIE_FID_VFID", 0x4c8c, 0 },
14943		{ "Select", 30, 2 },
14944		{ "IDO", 24, 1 },
14945		{ "VFID", 16, 8 },
14946		{ "TC", 11, 3 },
14947		{ "VFVld", 10, 1 },
14948		{ "PF", 7, 3 },
14949		{ "RVF", 0, 7 },
14950	{ "PCIE_FID_VFID", 0x4c90, 0 },
14951		{ "Select", 30, 2 },
14952		{ "IDO", 24, 1 },
14953		{ "VFID", 16, 8 },
14954		{ "TC", 11, 3 },
14955		{ "VFVld", 10, 1 },
14956		{ "PF", 7, 3 },
14957		{ "RVF", 0, 7 },
14958	{ "PCIE_FID_VFID", 0x4c94, 0 },
14959		{ "Select", 30, 2 },
14960		{ "IDO", 24, 1 },
14961		{ "VFID", 16, 8 },
14962		{ "TC", 11, 3 },
14963		{ "VFVld", 10, 1 },
14964		{ "PF", 7, 3 },
14965		{ "RVF", 0, 7 },
14966	{ "PCIE_FID_VFID", 0x4c98, 0 },
14967		{ "Select", 30, 2 },
14968		{ "IDO", 24, 1 },
14969		{ "VFID", 16, 8 },
14970		{ "TC", 11, 3 },
14971		{ "VFVld", 10, 1 },
14972		{ "PF", 7, 3 },
14973		{ "RVF", 0, 7 },
14974	{ "PCIE_FID_VFID", 0x4c9c, 0 },
14975		{ "Select", 30, 2 },
14976		{ "IDO", 24, 1 },
14977		{ "VFID", 16, 8 },
14978		{ "TC", 11, 3 },
14979		{ "VFVld", 10, 1 },
14980		{ "PF", 7, 3 },
14981		{ "RVF", 0, 7 },
14982	{ "PCIE_FID_VFID", 0x4ca0, 0 },
14983		{ "Select", 30, 2 },
14984		{ "IDO", 24, 1 },
14985		{ "VFID", 16, 8 },
14986		{ "TC", 11, 3 },
14987		{ "VFVld", 10, 1 },
14988		{ "PF", 7, 3 },
14989		{ "RVF", 0, 7 },
14990	{ "PCIE_FID_VFID", 0x4ca4, 0 },
14991		{ "Select", 30, 2 },
14992		{ "IDO", 24, 1 },
14993		{ "VFID", 16, 8 },
14994		{ "TC", 11, 3 },
14995		{ "VFVld", 10, 1 },
14996		{ "PF", 7, 3 },
14997		{ "RVF", 0, 7 },
14998	{ "PCIE_FID_VFID", 0x4ca8, 0 },
14999		{ "Select", 30, 2 },
15000		{ "IDO", 24, 1 },
15001		{ "VFID", 16, 8 },
15002		{ "TC", 11, 3 },
15003		{ "VFVld", 10, 1 },
15004		{ "PF", 7, 3 },
15005		{ "RVF", 0, 7 },
15006	{ "PCIE_FID_VFID", 0x4cac, 0 },
15007		{ "Select", 30, 2 },
15008		{ "IDO", 24, 1 },
15009		{ "VFID", 16, 8 },
15010		{ "TC", 11, 3 },
15011		{ "VFVld", 10, 1 },
15012		{ "PF", 7, 3 },
15013		{ "RVF", 0, 7 },
15014	{ "PCIE_FID_VFID", 0x4cb0, 0 },
15015		{ "Select", 30, 2 },
15016		{ "IDO", 24, 1 },
15017		{ "VFID", 16, 8 },
15018		{ "TC", 11, 3 },
15019		{ "VFVld", 10, 1 },
15020		{ "PF", 7, 3 },
15021		{ "RVF", 0, 7 },
15022	{ "PCIE_FID_VFID", 0x4cb4, 0 },
15023		{ "Select", 30, 2 },
15024		{ "IDO", 24, 1 },
15025		{ "VFID", 16, 8 },
15026		{ "TC", 11, 3 },
15027		{ "VFVld", 10, 1 },
15028		{ "PF", 7, 3 },
15029		{ "RVF", 0, 7 },
15030	{ "PCIE_FID_VFID", 0x4cb8, 0 },
15031		{ "Select", 30, 2 },
15032		{ "IDO", 24, 1 },
15033		{ "VFID", 16, 8 },
15034		{ "TC", 11, 3 },
15035		{ "VFVld", 10, 1 },
15036		{ "PF", 7, 3 },
15037		{ "RVF", 0, 7 },
15038	{ "PCIE_FID_VFID", 0x4cbc, 0 },
15039		{ "Select", 30, 2 },
15040		{ "IDO", 24, 1 },
15041		{ "VFID", 16, 8 },
15042		{ "TC", 11, 3 },
15043		{ "VFVld", 10, 1 },
15044		{ "PF", 7, 3 },
15045		{ "RVF", 0, 7 },
15046	{ "PCIE_FID_VFID", 0x4cc0, 0 },
15047		{ "Select", 30, 2 },
15048		{ "IDO", 24, 1 },
15049		{ "VFID", 16, 8 },
15050		{ "TC", 11, 3 },
15051		{ "VFVld", 10, 1 },
15052		{ "PF", 7, 3 },
15053		{ "RVF", 0, 7 },
15054	{ "PCIE_FID_VFID", 0x4cc4, 0 },
15055		{ "Select", 30, 2 },
15056		{ "IDO", 24, 1 },
15057		{ "VFID", 16, 8 },
15058		{ "TC", 11, 3 },
15059		{ "VFVld", 10, 1 },
15060		{ "PF", 7, 3 },
15061		{ "RVF", 0, 7 },
15062	{ "PCIE_FID_VFID", 0x4cc8, 0 },
15063		{ "Select", 30, 2 },
15064		{ "IDO", 24, 1 },
15065		{ "VFID", 16, 8 },
15066		{ "TC", 11, 3 },
15067		{ "VFVld", 10, 1 },
15068		{ "PF", 7, 3 },
15069		{ "RVF", 0, 7 },
15070	{ "PCIE_FID_VFID", 0x4ccc, 0 },
15071		{ "Select", 30, 2 },
15072		{ "IDO", 24, 1 },
15073		{ "VFID", 16, 8 },
15074		{ "TC", 11, 3 },
15075		{ "VFVld", 10, 1 },
15076		{ "PF", 7, 3 },
15077		{ "RVF", 0, 7 },
15078	{ "PCIE_FID_VFID", 0x4cd0, 0 },
15079		{ "Select", 30, 2 },
15080		{ "IDO", 24, 1 },
15081		{ "VFID", 16, 8 },
15082		{ "TC", 11, 3 },
15083		{ "VFVld", 10, 1 },
15084		{ "PF", 7, 3 },
15085		{ "RVF", 0, 7 },
15086	{ "PCIE_FID_VFID", 0x4cd4, 0 },
15087		{ "Select", 30, 2 },
15088		{ "IDO", 24, 1 },
15089		{ "VFID", 16, 8 },
15090		{ "TC", 11, 3 },
15091		{ "VFVld", 10, 1 },
15092		{ "PF", 7, 3 },
15093		{ "RVF", 0, 7 },
15094	{ "PCIE_FID_VFID", 0x4cd8, 0 },
15095		{ "Select", 30, 2 },
15096		{ "IDO", 24, 1 },
15097		{ "VFID", 16, 8 },
15098		{ "TC", 11, 3 },
15099		{ "VFVld", 10, 1 },
15100		{ "PF", 7, 3 },
15101		{ "RVF", 0, 7 },
15102	{ "PCIE_FID_VFID", 0x4cdc, 0 },
15103		{ "Select", 30, 2 },
15104		{ "IDO", 24, 1 },
15105		{ "VFID", 16, 8 },
15106		{ "TC", 11, 3 },
15107		{ "VFVld", 10, 1 },
15108		{ "PF", 7, 3 },
15109		{ "RVF", 0, 7 },
15110	{ "PCIE_FID_VFID", 0x4ce0, 0 },
15111		{ "Select", 30, 2 },
15112		{ "IDO", 24, 1 },
15113		{ "VFID", 16, 8 },
15114		{ "TC", 11, 3 },
15115		{ "VFVld", 10, 1 },
15116		{ "PF", 7, 3 },
15117		{ "RVF", 0, 7 },
15118	{ "PCIE_FID_VFID", 0x4ce4, 0 },
15119		{ "Select", 30, 2 },
15120		{ "IDO", 24, 1 },
15121		{ "VFID", 16, 8 },
15122		{ "TC", 11, 3 },
15123		{ "VFVld", 10, 1 },
15124		{ "PF", 7, 3 },
15125		{ "RVF", 0, 7 },
15126	{ "PCIE_FID_VFID", 0x4ce8, 0 },
15127		{ "Select", 30, 2 },
15128		{ "IDO", 24, 1 },
15129		{ "VFID", 16, 8 },
15130		{ "TC", 11, 3 },
15131		{ "VFVld", 10, 1 },
15132		{ "PF", 7, 3 },
15133		{ "RVF", 0, 7 },
15134	{ "PCIE_FID_VFID", 0x4cec, 0 },
15135		{ "Select", 30, 2 },
15136		{ "IDO", 24, 1 },
15137		{ "VFID", 16, 8 },
15138		{ "TC", 11, 3 },
15139		{ "VFVld", 10, 1 },
15140		{ "PF", 7, 3 },
15141		{ "RVF", 0, 7 },
15142	{ "PCIE_FID_VFID", 0x4cf0, 0 },
15143		{ "Select", 30, 2 },
15144		{ "IDO", 24, 1 },
15145		{ "VFID", 16, 8 },
15146		{ "TC", 11, 3 },
15147		{ "VFVld", 10, 1 },
15148		{ "PF", 7, 3 },
15149		{ "RVF", 0, 7 },
15150	{ "PCIE_FID_VFID", 0x4cf4, 0 },
15151		{ "Select", 30, 2 },
15152		{ "IDO", 24, 1 },
15153		{ "VFID", 16, 8 },
15154		{ "TC", 11, 3 },
15155		{ "VFVld", 10, 1 },
15156		{ "PF", 7, 3 },
15157		{ "RVF", 0, 7 },
15158	{ "PCIE_FID_VFID", 0x4cf8, 0 },
15159		{ "Select", 30, 2 },
15160		{ "IDO", 24, 1 },
15161		{ "VFID", 16, 8 },
15162		{ "TC", 11, 3 },
15163		{ "VFVld", 10, 1 },
15164		{ "PF", 7, 3 },
15165		{ "RVF", 0, 7 },
15166	{ "PCIE_FID_VFID", 0x4cfc, 0 },
15167		{ "Select", 30, 2 },
15168		{ "IDO", 24, 1 },
15169		{ "VFID", 16, 8 },
15170		{ "TC", 11, 3 },
15171		{ "VFVld", 10, 1 },
15172		{ "PF", 7, 3 },
15173		{ "RVF", 0, 7 },
15174	{ "PCIE_FID_VFID", 0x4d00, 0 },
15175		{ "Select", 30, 2 },
15176		{ "IDO", 24, 1 },
15177		{ "VFID", 16, 8 },
15178		{ "TC", 11, 3 },
15179		{ "VFVld", 10, 1 },
15180		{ "PF", 7, 3 },
15181		{ "RVF", 0, 7 },
15182	{ "PCIE_FID_VFID", 0x4d04, 0 },
15183		{ "Select", 30, 2 },
15184		{ "IDO", 24, 1 },
15185		{ "VFID", 16, 8 },
15186		{ "TC", 11, 3 },
15187		{ "VFVld", 10, 1 },
15188		{ "PF", 7, 3 },
15189		{ "RVF", 0, 7 },
15190	{ "PCIE_FID_VFID", 0x4d08, 0 },
15191		{ "Select", 30, 2 },
15192		{ "IDO", 24, 1 },
15193		{ "VFID", 16, 8 },
15194		{ "TC", 11, 3 },
15195		{ "VFVld", 10, 1 },
15196		{ "PF", 7, 3 },
15197		{ "RVF", 0, 7 },
15198	{ "PCIE_FID_VFID", 0x4d0c, 0 },
15199		{ "Select", 30, 2 },
15200		{ "IDO", 24, 1 },
15201		{ "VFID", 16, 8 },
15202		{ "TC", 11, 3 },
15203		{ "VFVld", 10, 1 },
15204		{ "PF", 7, 3 },
15205		{ "RVF", 0, 7 },
15206	{ "PCIE_FID_VFID", 0x4d10, 0 },
15207		{ "Select", 30, 2 },
15208		{ "IDO", 24, 1 },
15209		{ "VFID", 16, 8 },
15210		{ "TC", 11, 3 },
15211		{ "VFVld", 10, 1 },
15212		{ "PF", 7, 3 },
15213		{ "RVF", 0, 7 },
15214	{ "PCIE_FID_VFID", 0x4d14, 0 },
15215		{ "Select", 30, 2 },
15216		{ "IDO", 24, 1 },
15217		{ "VFID", 16, 8 },
15218		{ "TC", 11, 3 },
15219		{ "VFVld", 10, 1 },
15220		{ "PF", 7, 3 },
15221		{ "RVF", 0, 7 },
15222	{ "PCIE_FID_VFID", 0x4d18, 0 },
15223		{ "Select", 30, 2 },
15224		{ "IDO", 24, 1 },
15225		{ "VFID", 16, 8 },
15226		{ "TC", 11, 3 },
15227		{ "VFVld", 10, 1 },
15228		{ "PF", 7, 3 },
15229		{ "RVF", 0, 7 },
15230	{ "PCIE_FID_VFID", 0x4d1c, 0 },
15231		{ "Select", 30, 2 },
15232		{ "IDO", 24, 1 },
15233		{ "VFID", 16, 8 },
15234		{ "TC", 11, 3 },
15235		{ "VFVld", 10, 1 },
15236		{ "PF", 7, 3 },
15237		{ "RVF", 0, 7 },
15238	{ "PCIE_FID_VFID", 0x4d20, 0 },
15239		{ "Select", 30, 2 },
15240		{ "IDO", 24, 1 },
15241		{ "VFID", 16, 8 },
15242		{ "TC", 11, 3 },
15243		{ "VFVld", 10, 1 },
15244		{ "PF", 7, 3 },
15245		{ "RVF", 0, 7 },
15246	{ "PCIE_FID_VFID", 0x4d24, 0 },
15247		{ "Select", 30, 2 },
15248		{ "IDO", 24, 1 },
15249		{ "VFID", 16, 8 },
15250		{ "TC", 11, 3 },
15251		{ "VFVld", 10, 1 },
15252		{ "PF", 7, 3 },
15253		{ "RVF", 0, 7 },
15254	{ "PCIE_FID_VFID", 0x4d28, 0 },
15255		{ "Select", 30, 2 },
15256		{ "IDO", 24, 1 },
15257		{ "VFID", 16, 8 },
15258		{ "TC", 11, 3 },
15259		{ "VFVld", 10, 1 },
15260		{ "PF", 7, 3 },
15261		{ "RVF", 0, 7 },
15262	{ "PCIE_FID_VFID", 0x4d2c, 0 },
15263		{ "Select", 30, 2 },
15264		{ "IDO", 24, 1 },
15265		{ "VFID", 16, 8 },
15266		{ "TC", 11, 3 },
15267		{ "VFVld", 10, 1 },
15268		{ "PF", 7, 3 },
15269		{ "RVF", 0, 7 },
15270	{ "PCIE_FID_VFID", 0x4d30, 0 },
15271		{ "Select", 30, 2 },
15272		{ "IDO", 24, 1 },
15273		{ "VFID", 16, 8 },
15274		{ "TC", 11, 3 },
15275		{ "VFVld", 10, 1 },
15276		{ "PF", 7, 3 },
15277		{ "RVF", 0, 7 },
15278	{ "PCIE_FID_VFID", 0x4d34, 0 },
15279		{ "Select", 30, 2 },
15280		{ "IDO", 24, 1 },
15281		{ "VFID", 16, 8 },
15282		{ "TC", 11, 3 },
15283		{ "VFVld", 10, 1 },
15284		{ "PF", 7, 3 },
15285		{ "RVF", 0, 7 },
15286	{ "PCIE_FID_VFID", 0x4d38, 0 },
15287		{ "Select", 30, 2 },
15288		{ "IDO", 24, 1 },
15289		{ "VFID", 16, 8 },
15290		{ "TC", 11, 3 },
15291		{ "VFVld", 10, 1 },
15292		{ "PF", 7, 3 },
15293		{ "RVF", 0, 7 },
15294	{ "PCIE_FID_VFID", 0x4d3c, 0 },
15295		{ "Select", 30, 2 },
15296		{ "IDO", 24, 1 },
15297		{ "VFID", 16, 8 },
15298		{ "TC", 11, 3 },
15299		{ "VFVld", 10, 1 },
15300		{ "PF", 7, 3 },
15301		{ "RVF", 0, 7 },
15302	{ "PCIE_FID_VFID", 0x4d40, 0 },
15303		{ "Select", 30, 2 },
15304		{ "IDO", 24, 1 },
15305		{ "VFID", 16, 8 },
15306		{ "TC", 11, 3 },
15307		{ "VFVld", 10, 1 },
15308		{ "PF", 7, 3 },
15309		{ "RVF", 0, 7 },
15310	{ "PCIE_FID_VFID", 0x4d44, 0 },
15311		{ "Select", 30, 2 },
15312		{ "IDO", 24, 1 },
15313		{ "VFID", 16, 8 },
15314		{ "TC", 11, 3 },
15315		{ "VFVld", 10, 1 },
15316		{ "PF", 7, 3 },
15317		{ "RVF", 0, 7 },
15318	{ "PCIE_FID_VFID", 0x4d48, 0 },
15319		{ "Select", 30, 2 },
15320		{ "IDO", 24, 1 },
15321		{ "VFID", 16, 8 },
15322		{ "TC", 11, 3 },
15323		{ "VFVld", 10, 1 },
15324		{ "PF", 7, 3 },
15325		{ "RVF", 0, 7 },
15326	{ "PCIE_FID_VFID", 0x4d4c, 0 },
15327		{ "Select", 30, 2 },
15328		{ "IDO", 24, 1 },
15329		{ "VFID", 16, 8 },
15330		{ "TC", 11, 3 },
15331		{ "VFVld", 10, 1 },
15332		{ "PF", 7, 3 },
15333		{ "RVF", 0, 7 },
15334	{ "PCIE_FID_VFID", 0x4d50, 0 },
15335		{ "Select", 30, 2 },
15336		{ "IDO", 24, 1 },
15337		{ "VFID", 16, 8 },
15338		{ "TC", 11, 3 },
15339		{ "VFVld", 10, 1 },
15340		{ "PF", 7, 3 },
15341		{ "RVF", 0, 7 },
15342	{ "PCIE_FID_VFID", 0x4d54, 0 },
15343		{ "Select", 30, 2 },
15344		{ "IDO", 24, 1 },
15345		{ "VFID", 16, 8 },
15346		{ "TC", 11, 3 },
15347		{ "VFVld", 10, 1 },
15348		{ "PF", 7, 3 },
15349		{ "RVF", 0, 7 },
15350	{ "PCIE_FID_VFID", 0x4d58, 0 },
15351		{ "Select", 30, 2 },
15352		{ "IDO", 24, 1 },
15353		{ "VFID", 16, 8 },
15354		{ "TC", 11, 3 },
15355		{ "VFVld", 10, 1 },
15356		{ "PF", 7, 3 },
15357		{ "RVF", 0, 7 },
15358	{ "PCIE_FID_VFID", 0x4d5c, 0 },
15359		{ "Select", 30, 2 },
15360		{ "IDO", 24, 1 },
15361		{ "VFID", 16, 8 },
15362		{ "TC", 11, 3 },
15363		{ "VFVld", 10, 1 },
15364		{ "PF", 7, 3 },
15365		{ "RVF", 0, 7 },
15366	{ "PCIE_FID_VFID", 0x4d60, 0 },
15367		{ "Select", 30, 2 },
15368		{ "IDO", 24, 1 },
15369		{ "VFID", 16, 8 },
15370		{ "TC", 11, 3 },
15371		{ "VFVld", 10, 1 },
15372		{ "PF", 7, 3 },
15373		{ "RVF", 0, 7 },
15374	{ "PCIE_FID_VFID", 0x4d64, 0 },
15375		{ "Select", 30, 2 },
15376		{ "IDO", 24, 1 },
15377		{ "VFID", 16, 8 },
15378		{ "TC", 11, 3 },
15379		{ "VFVld", 10, 1 },
15380		{ "PF", 7, 3 },
15381		{ "RVF", 0, 7 },
15382	{ "PCIE_FID_VFID", 0x4d68, 0 },
15383		{ "Select", 30, 2 },
15384		{ "IDO", 24, 1 },
15385		{ "VFID", 16, 8 },
15386		{ "TC", 11, 3 },
15387		{ "VFVld", 10, 1 },
15388		{ "PF", 7, 3 },
15389		{ "RVF", 0, 7 },
15390	{ "PCIE_FID_VFID", 0x4d6c, 0 },
15391		{ "Select", 30, 2 },
15392		{ "IDO", 24, 1 },
15393		{ "VFID", 16, 8 },
15394		{ "TC", 11, 3 },
15395		{ "VFVld", 10, 1 },
15396		{ "PF", 7, 3 },
15397		{ "RVF", 0, 7 },
15398	{ "PCIE_FID_VFID", 0x4d70, 0 },
15399		{ "Select", 30, 2 },
15400		{ "IDO", 24, 1 },
15401		{ "VFID", 16, 8 },
15402		{ "TC", 11, 3 },
15403		{ "VFVld", 10, 1 },
15404		{ "PF", 7, 3 },
15405		{ "RVF", 0, 7 },
15406	{ "PCIE_FID_VFID", 0x4d74, 0 },
15407		{ "Select", 30, 2 },
15408		{ "IDO", 24, 1 },
15409		{ "VFID", 16, 8 },
15410		{ "TC", 11, 3 },
15411		{ "VFVld", 10, 1 },
15412		{ "PF", 7, 3 },
15413		{ "RVF", 0, 7 },
15414	{ "PCIE_FID_VFID", 0x4d78, 0 },
15415		{ "Select", 30, 2 },
15416		{ "IDO", 24, 1 },
15417		{ "VFID", 16, 8 },
15418		{ "TC", 11, 3 },
15419		{ "VFVld", 10, 1 },
15420		{ "PF", 7, 3 },
15421		{ "RVF", 0, 7 },
15422	{ "PCIE_FID_VFID", 0x4d7c, 0 },
15423		{ "Select", 30, 2 },
15424		{ "IDO", 24, 1 },
15425		{ "VFID", 16, 8 },
15426		{ "TC", 11, 3 },
15427		{ "VFVld", 10, 1 },
15428		{ "PF", 7, 3 },
15429		{ "RVF", 0, 7 },
15430	{ "PCIE_FID_VFID", 0x4d80, 0 },
15431		{ "Select", 30, 2 },
15432		{ "IDO", 24, 1 },
15433		{ "VFID", 16, 8 },
15434		{ "TC", 11, 3 },
15435		{ "VFVld", 10, 1 },
15436		{ "PF", 7, 3 },
15437		{ "RVF", 0, 7 },
15438	{ "PCIE_FID_VFID", 0x4d84, 0 },
15439		{ "Select", 30, 2 },
15440		{ "IDO", 24, 1 },
15441		{ "VFID", 16, 8 },
15442		{ "TC", 11, 3 },
15443		{ "VFVld", 10, 1 },
15444		{ "PF", 7, 3 },
15445		{ "RVF", 0, 7 },
15446	{ "PCIE_FID_VFID", 0x4d88, 0 },
15447		{ "Select", 30, 2 },
15448		{ "IDO", 24, 1 },
15449		{ "VFID", 16, 8 },
15450		{ "TC", 11, 3 },
15451		{ "VFVld", 10, 1 },
15452		{ "PF", 7, 3 },
15453		{ "RVF", 0, 7 },
15454	{ "PCIE_FID_VFID", 0x4d8c, 0 },
15455		{ "Select", 30, 2 },
15456		{ "IDO", 24, 1 },
15457		{ "VFID", 16, 8 },
15458		{ "TC", 11, 3 },
15459		{ "VFVld", 10, 1 },
15460		{ "PF", 7, 3 },
15461		{ "RVF", 0, 7 },
15462	{ "PCIE_FID_VFID", 0x4d90, 0 },
15463		{ "Select", 30, 2 },
15464		{ "IDO", 24, 1 },
15465		{ "VFID", 16, 8 },
15466		{ "TC", 11, 3 },
15467		{ "VFVld", 10, 1 },
15468		{ "PF", 7, 3 },
15469		{ "RVF", 0, 7 },
15470	{ "PCIE_FID_VFID", 0x4d94, 0 },
15471		{ "Select", 30, 2 },
15472		{ "IDO", 24, 1 },
15473		{ "VFID", 16, 8 },
15474		{ "TC", 11, 3 },
15475		{ "VFVld", 10, 1 },
15476		{ "PF", 7, 3 },
15477		{ "RVF", 0, 7 },
15478	{ "PCIE_FID_VFID", 0x4d98, 0 },
15479		{ "Select", 30, 2 },
15480		{ "IDO", 24, 1 },
15481		{ "VFID", 16, 8 },
15482		{ "TC", 11, 3 },
15483		{ "VFVld", 10, 1 },
15484		{ "PF", 7, 3 },
15485		{ "RVF", 0, 7 },
15486	{ "PCIE_FID_VFID", 0x4d9c, 0 },
15487		{ "Select", 30, 2 },
15488		{ "IDO", 24, 1 },
15489		{ "VFID", 16, 8 },
15490		{ "TC", 11, 3 },
15491		{ "VFVld", 10, 1 },
15492		{ "PF", 7, 3 },
15493		{ "RVF", 0, 7 },
15494	{ "PCIE_FID_VFID", 0x4da0, 0 },
15495		{ "Select", 30, 2 },
15496		{ "IDO", 24, 1 },
15497		{ "VFID", 16, 8 },
15498		{ "TC", 11, 3 },
15499		{ "VFVld", 10, 1 },
15500		{ "PF", 7, 3 },
15501		{ "RVF", 0, 7 },
15502	{ "PCIE_FID_VFID", 0x4da4, 0 },
15503		{ "Select", 30, 2 },
15504		{ "IDO", 24, 1 },
15505		{ "VFID", 16, 8 },
15506		{ "TC", 11, 3 },
15507		{ "VFVld", 10, 1 },
15508		{ "PF", 7, 3 },
15509		{ "RVF", 0, 7 },
15510	{ "PCIE_FID_VFID", 0x4da8, 0 },
15511		{ "Select", 30, 2 },
15512		{ "IDO", 24, 1 },
15513		{ "VFID", 16, 8 },
15514		{ "TC", 11, 3 },
15515		{ "VFVld", 10, 1 },
15516		{ "PF", 7, 3 },
15517		{ "RVF", 0, 7 },
15518	{ "PCIE_FID_VFID", 0x4dac, 0 },
15519		{ "Select", 30, 2 },
15520		{ "IDO", 24, 1 },
15521		{ "VFID", 16, 8 },
15522		{ "TC", 11, 3 },
15523		{ "VFVld", 10, 1 },
15524		{ "PF", 7, 3 },
15525		{ "RVF", 0, 7 },
15526	{ "PCIE_FID_VFID", 0x4db0, 0 },
15527		{ "Select", 30, 2 },
15528		{ "IDO", 24, 1 },
15529		{ "VFID", 16, 8 },
15530		{ "TC", 11, 3 },
15531		{ "VFVld", 10, 1 },
15532		{ "PF", 7, 3 },
15533		{ "RVF", 0, 7 },
15534	{ "PCIE_FID_VFID", 0x4db4, 0 },
15535		{ "Select", 30, 2 },
15536		{ "IDO", 24, 1 },
15537		{ "VFID", 16, 8 },
15538		{ "TC", 11, 3 },
15539		{ "VFVld", 10, 1 },
15540		{ "PF", 7, 3 },
15541		{ "RVF", 0, 7 },
15542	{ "PCIE_FID_VFID", 0x4db8, 0 },
15543		{ "Select", 30, 2 },
15544		{ "IDO", 24, 1 },
15545		{ "VFID", 16, 8 },
15546		{ "TC", 11, 3 },
15547		{ "VFVld", 10, 1 },
15548		{ "PF", 7, 3 },
15549		{ "RVF", 0, 7 },
15550	{ "PCIE_FID_VFID", 0x4dbc, 0 },
15551		{ "Select", 30, 2 },
15552		{ "IDO", 24, 1 },
15553		{ "VFID", 16, 8 },
15554		{ "TC", 11, 3 },
15555		{ "VFVld", 10, 1 },
15556		{ "PF", 7, 3 },
15557		{ "RVF", 0, 7 },
15558	{ "PCIE_FID_VFID", 0x4dc0, 0 },
15559		{ "Select", 30, 2 },
15560		{ "IDO", 24, 1 },
15561		{ "VFID", 16, 8 },
15562		{ "TC", 11, 3 },
15563		{ "VFVld", 10, 1 },
15564		{ "PF", 7, 3 },
15565		{ "RVF", 0, 7 },
15566	{ "PCIE_FID_VFID", 0x4dc4, 0 },
15567		{ "Select", 30, 2 },
15568		{ "IDO", 24, 1 },
15569		{ "VFID", 16, 8 },
15570		{ "TC", 11, 3 },
15571		{ "VFVld", 10, 1 },
15572		{ "PF", 7, 3 },
15573		{ "RVF", 0, 7 },
15574	{ "PCIE_FID_VFID", 0x4dc8, 0 },
15575		{ "Select", 30, 2 },
15576		{ "IDO", 24, 1 },
15577		{ "VFID", 16, 8 },
15578		{ "TC", 11, 3 },
15579		{ "VFVld", 10, 1 },
15580		{ "PF", 7, 3 },
15581		{ "RVF", 0, 7 },
15582	{ "PCIE_FID_VFID", 0x4dcc, 0 },
15583		{ "Select", 30, 2 },
15584		{ "IDO", 24, 1 },
15585		{ "VFID", 16, 8 },
15586		{ "TC", 11, 3 },
15587		{ "VFVld", 10, 1 },
15588		{ "PF", 7, 3 },
15589		{ "RVF", 0, 7 },
15590	{ "PCIE_FID_VFID", 0x4dd0, 0 },
15591		{ "Select", 30, 2 },
15592		{ "IDO", 24, 1 },
15593		{ "VFID", 16, 8 },
15594		{ "TC", 11, 3 },
15595		{ "VFVld", 10, 1 },
15596		{ "PF", 7, 3 },
15597		{ "RVF", 0, 7 },
15598	{ "PCIE_FID_VFID", 0x4dd4, 0 },
15599		{ "Select", 30, 2 },
15600		{ "IDO", 24, 1 },
15601		{ "VFID", 16, 8 },
15602		{ "TC", 11, 3 },
15603		{ "VFVld", 10, 1 },
15604		{ "PF", 7, 3 },
15605		{ "RVF", 0, 7 },
15606	{ "PCIE_FID_VFID", 0x4dd8, 0 },
15607		{ "Select", 30, 2 },
15608		{ "IDO", 24, 1 },
15609		{ "VFID", 16, 8 },
15610		{ "TC", 11, 3 },
15611		{ "VFVld", 10, 1 },
15612		{ "PF", 7, 3 },
15613		{ "RVF", 0, 7 },
15614	{ "PCIE_FID_VFID", 0x4ddc, 0 },
15615		{ "Select", 30, 2 },
15616		{ "IDO", 24, 1 },
15617		{ "VFID", 16, 8 },
15618		{ "TC", 11, 3 },
15619		{ "VFVld", 10, 1 },
15620		{ "PF", 7, 3 },
15621		{ "RVF", 0, 7 },
15622	{ "PCIE_FID_VFID", 0x4de0, 0 },
15623		{ "Select", 30, 2 },
15624		{ "IDO", 24, 1 },
15625		{ "VFID", 16, 8 },
15626		{ "TC", 11, 3 },
15627		{ "VFVld", 10, 1 },
15628		{ "PF", 7, 3 },
15629		{ "RVF", 0, 7 },
15630	{ "PCIE_FID_VFID", 0x4de4, 0 },
15631		{ "Select", 30, 2 },
15632		{ "IDO", 24, 1 },
15633		{ "VFID", 16, 8 },
15634		{ "TC", 11, 3 },
15635		{ "VFVld", 10, 1 },
15636		{ "PF", 7, 3 },
15637		{ "RVF", 0, 7 },
15638	{ "PCIE_FID_VFID", 0x4de8, 0 },
15639		{ "Select", 30, 2 },
15640		{ "IDO", 24, 1 },
15641		{ "VFID", 16, 8 },
15642		{ "TC", 11, 3 },
15643		{ "VFVld", 10, 1 },
15644		{ "PF", 7, 3 },
15645		{ "RVF", 0, 7 },
15646	{ "PCIE_FID_VFID", 0x4dec, 0 },
15647		{ "Select", 30, 2 },
15648		{ "IDO", 24, 1 },
15649		{ "VFID", 16, 8 },
15650		{ "TC", 11, 3 },
15651		{ "VFVld", 10, 1 },
15652		{ "PF", 7, 3 },
15653		{ "RVF", 0, 7 },
15654	{ "PCIE_FID_VFID", 0x4df0, 0 },
15655		{ "Select", 30, 2 },
15656		{ "IDO", 24, 1 },
15657		{ "VFID", 16, 8 },
15658		{ "TC", 11, 3 },
15659		{ "VFVld", 10, 1 },
15660		{ "PF", 7, 3 },
15661		{ "RVF", 0, 7 },
15662	{ "PCIE_FID_VFID", 0x4df4, 0 },
15663		{ "Select", 30, 2 },
15664		{ "IDO", 24, 1 },
15665		{ "VFID", 16, 8 },
15666		{ "TC", 11, 3 },
15667		{ "VFVld", 10, 1 },
15668		{ "PF", 7, 3 },
15669		{ "RVF", 0, 7 },
15670	{ "PCIE_FID_VFID", 0x4df8, 0 },
15671		{ "Select", 30, 2 },
15672		{ "IDO", 24, 1 },
15673		{ "VFID", 16, 8 },
15674		{ "TC", 11, 3 },
15675		{ "VFVld", 10, 1 },
15676		{ "PF", 7, 3 },
15677		{ "RVF", 0, 7 },
15678	{ "PCIE_FID_VFID", 0x4dfc, 0 },
15679		{ "Select", 30, 2 },
15680		{ "IDO", 24, 1 },
15681		{ "VFID", 16, 8 },
15682		{ "TC", 11, 3 },
15683		{ "VFVld", 10, 1 },
15684		{ "PF", 7, 3 },
15685		{ "RVF", 0, 7 },
15686	{ "PCIE_FID_VFID", 0x4e00, 0 },
15687		{ "Select", 30, 2 },
15688		{ "IDO", 24, 1 },
15689		{ "VFID", 16, 8 },
15690		{ "TC", 11, 3 },
15691		{ "VFVld", 10, 1 },
15692		{ "PF", 7, 3 },
15693		{ "RVF", 0, 7 },
15694	{ "PCIE_FID_VFID", 0x4e04, 0 },
15695		{ "Select", 30, 2 },
15696		{ "IDO", 24, 1 },
15697		{ "VFID", 16, 8 },
15698		{ "TC", 11, 3 },
15699		{ "VFVld", 10, 1 },
15700		{ "PF", 7, 3 },
15701		{ "RVF", 0, 7 },
15702	{ "PCIE_FID_VFID", 0x4e08, 0 },
15703		{ "Select", 30, 2 },
15704		{ "IDO", 24, 1 },
15705		{ "VFID", 16, 8 },
15706		{ "TC", 11, 3 },
15707		{ "VFVld", 10, 1 },
15708		{ "PF", 7, 3 },
15709		{ "RVF", 0, 7 },
15710	{ "PCIE_FID_VFID", 0x4e0c, 0 },
15711		{ "Select", 30, 2 },
15712		{ "IDO", 24, 1 },
15713		{ "VFID", 16, 8 },
15714		{ "TC", 11, 3 },
15715		{ "VFVld", 10, 1 },
15716		{ "PF", 7, 3 },
15717		{ "RVF", 0, 7 },
15718	{ "PCIE_FID_VFID", 0x4e10, 0 },
15719		{ "Select", 30, 2 },
15720		{ "IDO", 24, 1 },
15721		{ "VFID", 16, 8 },
15722		{ "TC", 11, 3 },
15723		{ "VFVld", 10, 1 },
15724		{ "PF", 7, 3 },
15725		{ "RVF", 0, 7 },
15726	{ "PCIE_FID_VFID", 0x4e14, 0 },
15727		{ "Select", 30, 2 },
15728		{ "IDO", 24, 1 },
15729		{ "VFID", 16, 8 },
15730		{ "TC", 11, 3 },
15731		{ "VFVld", 10, 1 },
15732		{ "PF", 7, 3 },
15733		{ "RVF", 0, 7 },
15734	{ "PCIE_FID_VFID", 0x4e18, 0 },
15735		{ "Select", 30, 2 },
15736		{ "IDO", 24, 1 },
15737		{ "VFID", 16, 8 },
15738		{ "TC", 11, 3 },
15739		{ "VFVld", 10, 1 },
15740		{ "PF", 7, 3 },
15741		{ "RVF", 0, 7 },
15742	{ "PCIE_FID_VFID", 0x4e1c, 0 },
15743		{ "Select", 30, 2 },
15744		{ "IDO", 24, 1 },
15745		{ "VFID", 16, 8 },
15746		{ "TC", 11, 3 },
15747		{ "VFVld", 10, 1 },
15748		{ "PF", 7, 3 },
15749		{ "RVF", 0, 7 },
15750	{ "PCIE_FID_VFID", 0x4e20, 0 },
15751		{ "Select", 30, 2 },
15752		{ "IDO", 24, 1 },
15753		{ "VFID", 16, 8 },
15754		{ "TC", 11, 3 },
15755		{ "VFVld", 10, 1 },
15756		{ "PF", 7, 3 },
15757		{ "RVF", 0, 7 },
15758	{ "PCIE_FID_VFID", 0x4e24, 0 },
15759		{ "Select", 30, 2 },
15760		{ "IDO", 24, 1 },
15761		{ "VFID", 16, 8 },
15762		{ "TC", 11, 3 },
15763		{ "VFVld", 10, 1 },
15764		{ "PF", 7, 3 },
15765		{ "RVF", 0, 7 },
15766	{ "PCIE_FID_VFID", 0x4e28, 0 },
15767		{ "Select", 30, 2 },
15768		{ "IDO", 24, 1 },
15769		{ "VFID", 16, 8 },
15770		{ "TC", 11, 3 },
15771		{ "VFVld", 10, 1 },
15772		{ "PF", 7, 3 },
15773		{ "RVF", 0, 7 },
15774	{ "PCIE_FID_VFID", 0x4e2c, 0 },
15775		{ "Select", 30, 2 },
15776		{ "IDO", 24, 1 },
15777		{ "VFID", 16, 8 },
15778		{ "TC", 11, 3 },
15779		{ "VFVld", 10, 1 },
15780		{ "PF", 7, 3 },
15781		{ "RVF", 0, 7 },
15782	{ "PCIE_FID_VFID", 0x4e30, 0 },
15783		{ "Select", 30, 2 },
15784		{ "IDO", 24, 1 },
15785		{ "VFID", 16, 8 },
15786		{ "TC", 11, 3 },
15787		{ "VFVld", 10, 1 },
15788		{ "PF", 7, 3 },
15789		{ "RVF", 0, 7 },
15790	{ "PCIE_FID_VFID", 0x4e34, 0 },
15791		{ "Select", 30, 2 },
15792		{ "IDO", 24, 1 },
15793		{ "VFID", 16, 8 },
15794		{ "TC", 11, 3 },
15795		{ "VFVld", 10, 1 },
15796		{ "PF", 7, 3 },
15797		{ "RVF", 0, 7 },
15798	{ "PCIE_FID_VFID", 0x4e38, 0 },
15799		{ "Select", 30, 2 },
15800		{ "IDO", 24, 1 },
15801		{ "VFID", 16, 8 },
15802		{ "TC", 11, 3 },
15803		{ "VFVld", 10, 1 },
15804		{ "PF", 7, 3 },
15805		{ "RVF", 0, 7 },
15806	{ "PCIE_FID_VFID", 0x4e3c, 0 },
15807		{ "Select", 30, 2 },
15808		{ "IDO", 24, 1 },
15809		{ "VFID", 16, 8 },
15810		{ "TC", 11, 3 },
15811		{ "VFVld", 10, 1 },
15812		{ "PF", 7, 3 },
15813		{ "RVF", 0, 7 },
15814	{ "PCIE_FID_VFID", 0x4e40, 0 },
15815		{ "Select", 30, 2 },
15816		{ "IDO", 24, 1 },
15817		{ "VFID", 16, 8 },
15818		{ "TC", 11, 3 },
15819		{ "VFVld", 10, 1 },
15820		{ "PF", 7, 3 },
15821		{ "RVF", 0, 7 },
15822	{ "PCIE_FID_VFID", 0x4e44, 0 },
15823		{ "Select", 30, 2 },
15824		{ "IDO", 24, 1 },
15825		{ "VFID", 16, 8 },
15826		{ "TC", 11, 3 },
15827		{ "VFVld", 10, 1 },
15828		{ "PF", 7, 3 },
15829		{ "RVF", 0, 7 },
15830	{ "PCIE_FID_VFID", 0x4e48, 0 },
15831		{ "Select", 30, 2 },
15832		{ "IDO", 24, 1 },
15833		{ "VFID", 16, 8 },
15834		{ "TC", 11, 3 },
15835		{ "VFVld", 10, 1 },
15836		{ "PF", 7, 3 },
15837		{ "RVF", 0, 7 },
15838	{ "PCIE_FID_VFID", 0x4e4c, 0 },
15839		{ "Select", 30, 2 },
15840		{ "IDO", 24, 1 },
15841		{ "VFID", 16, 8 },
15842		{ "TC", 11, 3 },
15843		{ "VFVld", 10, 1 },
15844		{ "PF", 7, 3 },
15845		{ "RVF", 0, 7 },
15846	{ "PCIE_FID_VFID", 0x4e50, 0 },
15847		{ "Select", 30, 2 },
15848		{ "IDO", 24, 1 },
15849		{ "VFID", 16, 8 },
15850		{ "TC", 11, 3 },
15851		{ "VFVld", 10, 1 },
15852		{ "PF", 7, 3 },
15853		{ "RVF", 0, 7 },
15854	{ "PCIE_FID_VFID", 0x4e54, 0 },
15855		{ "Select", 30, 2 },
15856		{ "IDO", 24, 1 },
15857		{ "VFID", 16, 8 },
15858		{ "TC", 11, 3 },
15859		{ "VFVld", 10, 1 },
15860		{ "PF", 7, 3 },
15861		{ "RVF", 0, 7 },
15862	{ "PCIE_FID_VFID", 0x4e58, 0 },
15863		{ "Select", 30, 2 },
15864		{ "IDO", 24, 1 },
15865		{ "VFID", 16, 8 },
15866		{ "TC", 11, 3 },
15867		{ "VFVld", 10, 1 },
15868		{ "PF", 7, 3 },
15869		{ "RVF", 0, 7 },
15870	{ "PCIE_FID_VFID", 0x4e5c, 0 },
15871		{ "Select", 30, 2 },
15872		{ "IDO", 24, 1 },
15873		{ "VFID", 16, 8 },
15874		{ "TC", 11, 3 },
15875		{ "VFVld", 10, 1 },
15876		{ "PF", 7, 3 },
15877		{ "RVF", 0, 7 },
15878	{ "PCIE_FID_VFID", 0x4e60, 0 },
15879		{ "Select", 30, 2 },
15880		{ "IDO", 24, 1 },
15881		{ "VFID", 16, 8 },
15882		{ "TC", 11, 3 },
15883		{ "VFVld", 10, 1 },
15884		{ "PF", 7, 3 },
15885		{ "RVF", 0, 7 },
15886	{ "PCIE_FID_VFID", 0x4e64, 0 },
15887		{ "Select", 30, 2 },
15888		{ "IDO", 24, 1 },
15889		{ "VFID", 16, 8 },
15890		{ "TC", 11, 3 },
15891		{ "VFVld", 10, 1 },
15892		{ "PF", 7, 3 },
15893		{ "RVF", 0, 7 },
15894	{ "PCIE_FID_VFID", 0x4e68, 0 },
15895		{ "Select", 30, 2 },
15896		{ "IDO", 24, 1 },
15897		{ "VFID", 16, 8 },
15898		{ "TC", 11, 3 },
15899		{ "VFVld", 10, 1 },
15900		{ "PF", 7, 3 },
15901		{ "RVF", 0, 7 },
15902	{ "PCIE_FID_VFID", 0x4e6c, 0 },
15903		{ "Select", 30, 2 },
15904		{ "IDO", 24, 1 },
15905		{ "VFID", 16, 8 },
15906		{ "TC", 11, 3 },
15907		{ "VFVld", 10, 1 },
15908		{ "PF", 7, 3 },
15909		{ "RVF", 0, 7 },
15910	{ "PCIE_FID_VFID", 0x4e70, 0 },
15911		{ "Select", 30, 2 },
15912		{ "IDO", 24, 1 },
15913		{ "VFID", 16, 8 },
15914		{ "TC", 11, 3 },
15915		{ "VFVld", 10, 1 },
15916		{ "PF", 7, 3 },
15917		{ "RVF", 0, 7 },
15918	{ "PCIE_FID_VFID", 0x4e74, 0 },
15919		{ "Select", 30, 2 },
15920		{ "IDO", 24, 1 },
15921		{ "VFID", 16, 8 },
15922		{ "TC", 11, 3 },
15923		{ "VFVld", 10, 1 },
15924		{ "PF", 7, 3 },
15925		{ "RVF", 0, 7 },
15926	{ "PCIE_FID_VFID", 0x4e78, 0 },
15927		{ "Select", 30, 2 },
15928		{ "IDO", 24, 1 },
15929		{ "VFID", 16, 8 },
15930		{ "TC", 11, 3 },
15931		{ "VFVld", 10, 1 },
15932		{ "PF", 7, 3 },
15933		{ "RVF", 0, 7 },
15934	{ "PCIE_FID_VFID", 0x4e7c, 0 },
15935		{ "Select", 30, 2 },
15936		{ "IDO", 24, 1 },
15937		{ "VFID", 16, 8 },
15938		{ "TC", 11, 3 },
15939		{ "VFVld", 10, 1 },
15940		{ "PF", 7, 3 },
15941		{ "RVF", 0, 7 },
15942	{ "PCIE_FID_VFID", 0x4e80, 0 },
15943		{ "Select", 30, 2 },
15944		{ "IDO", 24, 1 },
15945		{ "VFID", 16, 8 },
15946		{ "TC", 11, 3 },
15947		{ "VFVld", 10, 1 },
15948		{ "PF", 7, 3 },
15949		{ "RVF", 0, 7 },
15950	{ "PCIE_FID_VFID", 0x4e84, 0 },
15951		{ "Select", 30, 2 },
15952		{ "IDO", 24, 1 },
15953		{ "VFID", 16, 8 },
15954		{ "TC", 11, 3 },
15955		{ "VFVld", 10, 1 },
15956		{ "PF", 7, 3 },
15957		{ "RVF", 0, 7 },
15958	{ "PCIE_FID_VFID", 0x4e88, 0 },
15959		{ "Select", 30, 2 },
15960		{ "IDO", 24, 1 },
15961		{ "VFID", 16, 8 },
15962		{ "TC", 11, 3 },
15963		{ "VFVld", 10, 1 },
15964		{ "PF", 7, 3 },
15965		{ "RVF", 0, 7 },
15966	{ "PCIE_FID_VFID", 0x4e8c, 0 },
15967		{ "Select", 30, 2 },
15968		{ "IDO", 24, 1 },
15969		{ "VFID", 16, 8 },
15970		{ "TC", 11, 3 },
15971		{ "VFVld", 10, 1 },
15972		{ "PF", 7, 3 },
15973		{ "RVF", 0, 7 },
15974	{ "PCIE_FID_VFID", 0x4e90, 0 },
15975		{ "Select", 30, 2 },
15976		{ "IDO", 24, 1 },
15977		{ "VFID", 16, 8 },
15978		{ "TC", 11, 3 },
15979		{ "VFVld", 10, 1 },
15980		{ "PF", 7, 3 },
15981		{ "RVF", 0, 7 },
15982	{ "PCIE_FID_VFID", 0x4e94, 0 },
15983		{ "Select", 30, 2 },
15984		{ "IDO", 24, 1 },
15985		{ "VFID", 16, 8 },
15986		{ "TC", 11, 3 },
15987		{ "VFVld", 10, 1 },
15988		{ "PF", 7, 3 },
15989		{ "RVF", 0, 7 },
15990	{ "PCIE_FID_VFID", 0x4e98, 0 },
15991		{ "Select", 30, 2 },
15992		{ "IDO", 24, 1 },
15993		{ "VFID", 16, 8 },
15994		{ "TC", 11, 3 },
15995		{ "VFVld", 10, 1 },
15996		{ "PF", 7, 3 },
15997		{ "RVF", 0, 7 },
15998	{ "PCIE_FID_VFID", 0x4e9c, 0 },
15999		{ "Select", 30, 2 },
16000		{ "IDO", 24, 1 },
16001		{ "VFID", 16, 8 },
16002		{ "TC", 11, 3 },
16003		{ "VFVld", 10, 1 },
16004		{ "PF", 7, 3 },
16005		{ "RVF", 0, 7 },
16006	{ "PCIE_FID_VFID", 0x4ea0, 0 },
16007		{ "Select", 30, 2 },
16008		{ "IDO", 24, 1 },
16009		{ "VFID", 16, 8 },
16010		{ "TC", 11, 3 },
16011		{ "VFVld", 10, 1 },
16012		{ "PF", 7, 3 },
16013		{ "RVF", 0, 7 },
16014	{ "PCIE_FID_VFID", 0x4ea4, 0 },
16015		{ "Select", 30, 2 },
16016		{ "IDO", 24, 1 },
16017		{ "VFID", 16, 8 },
16018		{ "TC", 11, 3 },
16019		{ "VFVld", 10, 1 },
16020		{ "PF", 7, 3 },
16021		{ "RVF", 0, 7 },
16022	{ "PCIE_FID_VFID", 0x4ea8, 0 },
16023		{ "Select", 30, 2 },
16024		{ "IDO", 24, 1 },
16025		{ "VFID", 16, 8 },
16026		{ "TC", 11, 3 },
16027		{ "VFVld", 10, 1 },
16028		{ "PF", 7, 3 },
16029		{ "RVF", 0, 7 },
16030	{ "PCIE_FID_VFID", 0x4eac, 0 },
16031		{ "Select", 30, 2 },
16032		{ "IDO", 24, 1 },
16033		{ "VFID", 16, 8 },
16034		{ "TC", 11, 3 },
16035		{ "VFVld", 10, 1 },
16036		{ "PF", 7, 3 },
16037		{ "RVF", 0, 7 },
16038	{ "PCIE_FID_VFID", 0x4eb0, 0 },
16039		{ "Select", 30, 2 },
16040		{ "IDO", 24, 1 },
16041		{ "VFID", 16, 8 },
16042		{ "TC", 11, 3 },
16043		{ "VFVld", 10, 1 },
16044		{ "PF", 7, 3 },
16045		{ "RVF", 0, 7 },
16046	{ "PCIE_FID_VFID", 0x4eb4, 0 },
16047		{ "Select", 30, 2 },
16048		{ "IDO", 24, 1 },
16049		{ "VFID", 16, 8 },
16050		{ "TC", 11, 3 },
16051		{ "VFVld", 10, 1 },
16052		{ "PF", 7, 3 },
16053		{ "RVF", 0, 7 },
16054	{ "PCIE_FID_VFID", 0x4eb8, 0 },
16055		{ "Select", 30, 2 },
16056		{ "IDO", 24, 1 },
16057		{ "VFID", 16, 8 },
16058		{ "TC", 11, 3 },
16059		{ "VFVld", 10, 1 },
16060		{ "PF", 7, 3 },
16061		{ "RVF", 0, 7 },
16062	{ "PCIE_FID_VFID", 0x4ebc, 0 },
16063		{ "Select", 30, 2 },
16064		{ "IDO", 24, 1 },
16065		{ "VFID", 16, 8 },
16066		{ "TC", 11, 3 },
16067		{ "VFVld", 10, 1 },
16068		{ "PF", 7, 3 },
16069		{ "RVF", 0, 7 },
16070	{ "PCIE_FID_VFID", 0x4ec0, 0 },
16071		{ "Select", 30, 2 },
16072		{ "IDO", 24, 1 },
16073		{ "VFID", 16, 8 },
16074		{ "TC", 11, 3 },
16075		{ "VFVld", 10, 1 },
16076		{ "PF", 7, 3 },
16077		{ "RVF", 0, 7 },
16078	{ "PCIE_FID_VFID", 0x4ec4, 0 },
16079		{ "Select", 30, 2 },
16080		{ "IDO", 24, 1 },
16081		{ "VFID", 16, 8 },
16082		{ "TC", 11, 3 },
16083		{ "VFVld", 10, 1 },
16084		{ "PF", 7, 3 },
16085		{ "RVF", 0, 7 },
16086	{ "PCIE_FID_VFID", 0x4ec8, 0 },
16087		{ "Select", 30, 2 },
16088		{ "IDO", 24, 1 },
16089		{ "VFID", 16, 8 },
16090		{ "TC", 11, 3 },
16091		{ "VFVld", 10, 1 },
16092		{ "PF", 7, 3 },
16093		{ "RVF", 0, 7 },
16094	{ "PCIE_FID_VFID", 0x4ecc, 0 },
16095		{ "Select", 30, 2 },
16096		{ "IDO", 24, 1 },
16097		{ "VFID", 16, 8 },
16098		{ "TC", 11, 3 },
16099		{ "VFVld", 10, 1 },
16100		{ "PF", 7, 3 },
16101		{ "RVF", 0, 7 },
16102	{ "PCIE_FID_VFID", 0x4ed0, 0 },
16103		{ "Select", 30, 2 },
16104		{ "IDO", 24, 1 },
16105		{ "VFID", 16, 8 },
16106		{ "TC", 11, 3 },
16107		{ "VFVld", 10, 1 },
16108		{ "PF", 7, 3 },
16109		{ "RVF", 0, 7 },
16110	{ "PCIE_FID_VFID", 0x4ed4, 0 },
16111		{ "Select", 30, 2 },
16112		{ "IDO", 24, 1 },
16113		{ "VFID", 16, 8 },
16114		{ "TC", 11, 3 },
16115		{ "VFVld", 10, 1 },
16116		{ "PF", 7, 3 },
16117		{ "RVF", 0, 7 },
16118	{ "PCIE_FID_VFID", 0x4ed8, 0 },
16119		{ "Select", 30, 2 },
16120		{ "IDO", 24, 1 },
16121		{ "VFID", 16, 8 },
16122		{ "TC", 11, 3 },
16123		{ "VFVld", 10, 1 },
16124		{ "PF", 7, 3 },
16125		{ "RVF", 0, 7 },
16126	{ "PCIE_FID_VFID", 0x4edc, 0 },
16127		{ "Select", 30, 2 },
16128		{ "IDO", 24, 1 },
16129		{ "VFID", 16, 8 },
16130		{ "TC", 11, 3 },
16131		{ "VFVld", 10, 1 },
16132		{ "PF", 7, 3 },
16133		{ "RVF", 0, 7 },
16134	{ "PCIE_FID_VFID", 0x4ee0, 0 },
16135		{ "Select", 30, 2 },
16136		{ "IDO", 24, 1 },
16137		{ "VFID", 16, 8 },
16138		{ "TC", 11, 3 },
16139		{ "VFVld", 10, 1 },
16140		{ "PF", 7, 3 },
16141		{ "RVF", 0, 7 },
16142	{ "PCIE_FID_VFID", 0x4ee4, 0 },
16143		{ "Select", 30, 2 },
16144		{ "IDO", 24, 1 },
16145		{ "VFID", 16, 8 },
16146		{ "TC", 11, 3 },
16147		{ "VFVld", 10, 1 },
16148		{ "PF", 7, 3 },
16149		{ "RVF", 0, 7 },
16150	{ "PCIE_FID_VFID", 0x4ee8, 0 },
16151		{ "Select", 30, 2 },
16152		{ "IDO", 24, 1 },
16153		{ "VFID", 16, 8 },
16154		{ "TC", 11, 3 },
16155		{ "VFVld", 10, 1 },
16156		{ "PF", 7, 3 },
16157		{ "RVF", 0, 7 },
16158	{ "PCIE_FID_VFID", 0x4eec, 0 },
16159		{ "Select", 30, 2 },
16160		{ "IDO", 24, 1 },
16161		{ "VFID", 16, 8 },
16162		{ "TC", 11, 3 },
16163		{ "VFVld", 10, 1 },
16164		{ "PF", 7, 3 },
16165		{ "RVF", 0, 7 },
16166	{ "PCIE_FID_VFID", 0x4ef0, 0 },
16167		{ "Select", 30, 2 },
16168		{ "IDO", 24, 1 },
16169		{ "VFID", 16, 8 },
16170		{ "TC", 11, 3 },
16171		{ "VFVld", 10, 1 },
16172		{ "PF", 7, 3 },
16173		{ "RVF", 0, 7 },
16174	{ "PCIE_FID_VFID", 0x4ef4, 0 },
16175		{ "Select", 30, 2 },
16176		{ "IDO", 24, 1 },
16177		{ "VFID", 16, 8 },
16178		{ "TC", 11, 3 },
16179		{ "VFVld", 10, 1 },
16180		{ "PF", 7, 3 },
16181		{ "RVF", 0, 7 },
16182	{ "PCIE_FID_VFID", 0x4ef8, 0 },
16183		{ "Select", 30, 2 },
16184		{ "IDO", 24, 1 },
16185		{ "VFID", 16, 8 },
16186		{ "TC", 11, 3 },
16187		{ "VFVld", 10, 1 },
16188		{ "PF", 7, 3 },
16189		{ "RVF", 0, 7 },
16190	{ "PCIE_FID_VFID", 0x4efc, 0 },
16191		{ "Select", 30, 2 },
16192		{ "IDO", 24, 1 },
16193		{ "VFID", 16, 8 },
16194		{ "TC", 11, 3 },
16195		{ "VFVld", 10, 1 },
16196		{ "PF", 7, 3 },
16197		{ "RVF", 0, 7 },
16198	{ "PCIE_FID_VFID", 0x4f00, 0 },
16199		{ "Select", 30, 2 },
16200		{ "IDO", 24, 1 },
16201		{ "VFID", 16, 8 },
16202		{ "TC", 11, 3 },
16203		{ "VFVld", 10, 1 },
16204		{ "PF", 7, 3 },
16205		{ "RVF", 0, 7 },
16206	{ "PCIE_FID_VFID", 0x4f04, 0 },
16207		{ "Select", 30, 2 },
16208		{ "IDO", 24, 1 },
16209		{ "VFID", 16, 8 },
16210		{ "TC", 11, 3 },
16211		{ "VFVld", 10, 1 },
16212		{ "PF", 7, 3 },
16213		{ "RVF", 0, 7 },
16214	{ "PCIE_FID_VFID", 0x4f08, 0 },
16215		{ "Select", 30, 2 },
16216		{ "IDO", 24, 1 },
16217		{ "VFID", 16, 8 },
16218		{ "TC", 11, 3 },
16219		{ "VFVld", 10, 1 },
16220		{ "PF", 7, 3 },
16221		{ "RVF", 0, 7 },
16222	{ "PCIE_FID_VFID", 0x4f0c, 0 },
16223		{ "Select", 30, 2 },
16224		{ "IDO", 24, 1 },
16225		{ "VFID", 16, 8 },
16226		{ "TC", 11, 3 },
16227		{ "VFVld", 10, 1 },
16228		{ "PF", 7, 3 },
16229		{ "RVF", 0, 7 },
16230	{ "PCIE_FID_VFID", 0x4f10, 0 },
16231		{ "Select", 30, 2 },
16232		{ "IDO", 24, 1 },
16233		{ "VFID", 16, 8 },
16234		{ "TC", 11, 3 },
16235		{ "VFVld", 10, 1 },
16236		{ "PF", 7, 3 },
16237		{ "RVF", 0, 7 },
16238	{ "PCIE_FID_VFID", 0x4f14, 0 },
16239		{ "Select", 30, 2 },
16240		{ "IDO", 24, 1 },
16241		{ "VFID", 16, 8 },
16242		{ "TC", 11, 3 },
16243		{ "VFVld", 10, 1 },
16244		{ "PF", 7, 3 },
16245		{ "RVF", 0, 7 },
16246	{ "PCIE_FID_VFID", 0x4f18, 0 },
16247		{ "Select", 30, 2 },
16248		{ "IDO", 24, 1 },
16249		{ "VFID", 16, 8 },
16250		{ "TC", 11, 3 },
16251		{ "VFVld", 10, 1 },
16252		{ "PF", 7, 3 },
16253		{ "RVF", 0, 7 },
16254	{ "PCIE_FID_VFID", 0x4f1c, 0 },
16255		{ "Select", 30, 2 },
16256		{ "IDO", 24, 1 },
16257		{ "VFID", 16, 8 },
16258		{ "TC", 11, 3 },
16259		{ "VFVld", 10, 1 },
16260		{ "PF", 7, 3 },
16261		{ "RVF", 0, 7 },
16262	{ "PCIE_FID_VFID", 0x4f20, 0 },
16263		{ "Select", 30, 2 },
16264		{ "IDO", 24, 1 },
16265		{ "VFID", 16, 8 },
16266		{ "TC", 11, 3 },
16267		{ "VFVld", 10, 1 },
16268		{ "PF", 7, 3 },
16269		{ "RVF", 0, 7 },
16270	{ "PCIE_FID_VFID", 0x4f24, 0 },
16271		{ "Select", 30, 2 },
16272		{ "IDO", 24, 1 },
16273		{ "VFID", 16, 8 },
16274		{ "TC", 11, 3 },
16275		{ "VFVld", 10, 1 },
16276		{ "PF", 7, 3 },
16277		{ "RVF", 0, 7 },
16278	{ "PCIE_FID_VFID", 0x4f28, 0 },
16279		{ "Select", 30, 2 },
16280		{ "IDO", 24, 1 },
16281		{ "VFID", 16, 8 },
16282		{ "TC", 11, 3 },
16283		{ "VFVld", 10, 1 },
16284		{ "PF", 7, 3 },
16285		{ "RVF", 0, 7 },
16286	{ "PCIE_FID_VFID", 0x4f2c, 0 },
16287		{ "Select", 30, 2 },
16288		{ "IDO", 24, 1 },
16289		{ "VFID", 16, 8 },
16290		{ "TC", 11, 3 },
16291		{ "VFVld", 10, 1 },
16292		{ "PF", 7, 3 },
16293		{ "RVF", 0, 7 },
16294	{ "PCIE_FID_VFID", 0x4f30, 0 },
16295		{ "Select", 30, 2 },
16296		{ "IDO", 24, 1 },
16297		{ "VFID", 16, 8 },
16298		{ "TC", 11, 3 },
16299		{ "VFVld", 10, 1 },
16300		{ "PF", 7, 3 },
16301		{ "RVF", 0, 7 },
16302	{ "PCIE_FID_VFID", 0x4f34, 0 },
16303		{ "Select", 30, 2 },
16304		{ "IDO", 24, 1 },
16305		{ "VFID", 16, 8 },
16306		{ "TC", 11, 3 },
16307		{ "VFVld", 10, 1 },
16308		{ "PF", 7, 3 },
16309		{ "RVF", 0, 7 },
16310	{ "PCIE_FID_VFID", 0x4f38, 0 },
16311		{ "Select", 30, 2 },
16312		{ "IDO", 24, 1 },
16313		{ "VFID", 16, 8 },
16314		{ "TC", 11, 3 },
16315		{ "VFVld", 10, 1 },
16316		{ "PF", 7, 3 },
16317		{ "RVF", 0, 7 },
16318	{ "PCIE_FID_VFID", 0x4f3c, 0 },
16319		{ "Select", 30, 2 },
16320		{ "IDO", 24, 1 },
16321		{ "VFID", 16, 8 },
16322		{ "TC", 11, 3 },
16323		{ "VFVld", 10, 1 },
16324		{ "PF", 7, 3 },
16325		{ "RVF", 0, 7 },
16326	{ "PCIE_FID_VFID", 0x4f40, 0 },
16327		{ "Select", 30, 2 },
16328		{ "IDO", 24, 1 },
16329		{ "VFID", 16, 8 },
16330		{ "TC", 11, 3 },
16331		{ "VFVld", 10, 1 },
16332		{ "PF", 7, 3 },
16333		{ "RVF", 0, 7 },
16334	{ "PCIE_FID_VFID", 0x4f44, 0 },
16335		{ "Select", 30, 2 },
16336		{ "IDO", 24, 1 },
16337		{ "VFID", 16, 8 },
16338		{ "TC", 11, 3 },
16339		{ "VFVld", 10, 1 },
16340		{ "PF", 7, 3 },
16341		{ "RVF", 0, 7 },
16342	{ "PCIE_FID_VFID", 0x4f48, 0 },
16343		{ "Select", 30, 2 },
16344		{ "IDO", 24, 1 },
16345		{ "VFID", 16, 8 },
16346		{ "TC", 11, 3 },
16347		{ "VFVld", 10, 1 },
16348		{ "PF", 7, 3 },
16349		{ "RVF", 0, 7 },
16350	{ "PCIE_FID_VFID", 0x4f4c, 0 },
16351		{ "Select", 30, 2 },
16352		{ "IDO", 24, 1 },
16353		{ "VFID", 16, 8 },
16354		{ "TC", 11, 3 },
16355		{ "VFVld", 10, 1 },
16356		{ "PF", 7, 3 },
16357		{ "RVF", 0, 7 },
16358	{ "PCIE_FID_VFID", 0x4f50, 0 },
16359		{ "Select", 30, 2 },
16360		{ "IDO", 24, 1 },
16361		{ "VFID", 16, 8 },
16362		{ "TC", 11, 3 },
16363		{ "VFVld", 10, 1 },
16364		{ "PF", 7, 3 },
16365		{ "RVF", 0, 7 },
16366	{ "PCIE_FID_VFID", 0x4f54, 0 },
16367		{ "Select", 30, 2 },
16368		{ "IDO", 24, 1 },
16369		{ "VFID", 16, 8 },
16370		{ "TC", 11, 3 },
16371		{ "VFVld", 10, 1 },
16372		{ "PF", 7, 3 },
16373		{ "RVF", 0, 7 },
16374	{ "PCIE_FID_VFID", 0x4f58, 0 },
16375		{ "Select", 30, 2 },
16376		{ "IDO", 24, 1 },
16377		{ "VFID", 16, 8 },
16378		{ "TC", 11, 3 },
16379		{ "VFVld", 10, 1 },
16380		{ "PF", 7, 3 },
16381		{ "RVF", 0, 7 },
16382	{ "PCIE_FID_VFID", 0x4f5c, 0 },
16383		{ "Select", 30, 2 },
16384		{ "IDO", 24, 1 },
16385		{ "VFID", 16, 8 },
16386		{ "TC", 11, 3 },
16387		{ "VFVld", 10, 1 },
16388		{ "PF", 7, 3 },
16389		{ "RVF", 0, 7 },
16390	{ "PCIE_FID_VFID", 0x4f60, 0 },
16391		{ "Select", 30, 2 },
16392		{ "IDO", 24, 1 },
16393		{ "VFID", 16, 8 },
16394		{ "TC", 11, 3 },
16395		{ "VFVld", 10, 1 },
16396		{ "PF", 7, 3 },
16397		{ "RVF", 0, 7 },
16398	{ "PCIE_FID_VFID", 0x4f64, 0 },
16399		{ "Select", 30, 2 },
16400		{ "IDO", 24, 1 },
16401		{ "VFID", 16, 8 },
16402		{ "TC", 11, 3 },
16403		{ "VFVld", 10, 1 },
16404		{ "PF", 7, 3 },
16405		{ "RVF", 0, 7 },
16406	{ "PCIE_FID_VFID", 0x4f68, 0 },
16407		{ "Select", 30, 2 },
16408		{ "IDO", 24, 1 },
16409		{ "VFID", 16, 8 },
16410		{ "TC", 11, 3 },
16411		{ "VFVld", 10, 1 },
16412		{ "PF", 7, 3 },
16413		{ "RVF", 0, 7 },
16414	{ "PCIE_FID_VFID", 0x4f6c, 0 },
16415		{ "Select", 30, 2 },
16416		{ "IDO", 24, 1 },
16417		{ "VFID", 16, 8 },
16418		{ "TC", 11, 3 },
16419		{ "VFVld", 10, 1 },
16420		{ "PF", 7, 3 },
16421		{ "RVF", 0, 7 },
16422	{ "PCIE_FID_VFID", 0x4f70, 0 },
16423		{ "Select", 30, 2 },
16424		{ "IDO", 24, 1 },
16425		{ "VFID", 16, 8 },
16426		{ "TC", 11, 3 },
16427		{ "VFVld", 10, 1 },
16428		{ "PF", 7, 3 },
16429		{ "RVF", 0, 7 },
16430	{ "PCIE_FID_VFID", 0x4f74, 0 },
16431		{ "Select", 30, 2 },
16432		{ "IDO", 24, 1 },
16433		{ "VFID", 16, 8 },
16434		{ "TC", 11, 3 },
16435		{ "VFVld", 10, 1 },
16436		{ "PF", 7, 3 },
16437		{ "RVF", 0, 7 },
16438	{ "PCIE_FID_VFID", 0x4f78, 0 },
16439		{ "Select", 30, 2 },
16440		{ "IDO", 24, 1 },
16441		{ "VFID", 16, 8 },
16442		{ "TC", 11, 3 },
16443		{ "VFVld", 10, 1 },
16444		{ "PF", 7, 3 },
16445		{ "RVF", 0, 7 },
16446	{ "PCIE_FID_VFID", 0x4f7c, 0 },
16447		{ "Select", 30, 2 },
16448		{ "IDO", 24, 1 },
16449		{ "VFID", 16, 8 },
16450		{ "TC", 11, 3 },
16451		{ "VFVld", 10, 1 },
16452		{ "PF", 7, 3 },
16453		{ "RVF", 0, 7 },
16454	{ "PCIE_FID_VFID", 0x4f80, 0 },
16455		{ "Select", 30, 2 },
16456		{ "IDO", 24, 1 },
16457		{ "VFID", 16, 8 },
16458		{ "TC", 11, 3 },
16459		{ "VFVld", 10, 1 },
16460		{ "PF", 7, 3 },
16461		{ "RVF", 0, 7 },
16462	{ "PCIE_FID_VFID", 0x4f84, 0 },
16463		{ "Select", 30, 2 },
16464		{ "IDO", 24, 1 },
16465		{ "VFID", 16, 8 },
16466		{ "TC", 11, 3 },
16467		{ "VFVld", 10, 1 },
16468		{ "PF", 7, 3 },
16469		{ "RVF", 0, 7 },
16470	{ "PCIE_FID_VFID", 0x4f88, 0 },
16471		{ "Select", 30, 2 },
16472		{ "IDO", 24, 1 },
16473		{ "VFID", 16, 8 },
16474		{ "TC", 11, 3 },
16475		{ "VFVld", 10, 1 },
16476		{ "PF", 7, 3 },
16477		{ "RVF", 0, 7 },
16478	{ "PCIE_FID_VFID", 0x4f8c, 0 },
16479		{ "Select", 30, 2 },
16480		{ "IDO", 24, 1 },
16481		{ "VFID", 16, 8 },
16482		{ "TC", 11, 3 },
16483		{ "VFVld", 10, 1 },
16484		{ "PF", 7, 3 },
16485		{ "RVF", 0, 7 },
16486	{ "PCIE_FID_VFID", 0x4f90, 0 },
16487		{ "Select", 30, 2 },
16488		{ "IDO", 24, 1 },
16489		{ "VFID", 16, 8 },
16490		{ "TC", 11, 3 },
16491		{ "VFVld", 10, 1 },
16492		{ "PF", 7, 3 },
16493		{ "RVF", 0, 7 },
16494	{ "PCIE_FID_VFID", 0x4f94, 0 },
16495		{ "Select", 30, 2 },
16496		{ "IDO", 24, 1 },
16497		{ "VFID", 16, 8 },
16498		{ "TC", 11, 3 },
16499		{ "VFVld", 10, 1 },
16500		{ "PF", 7, 3 },
16501		{ "RVF", 0, 7 },
16502	{ "PCIE_FID_VFID", 0x4f98, 0 },
16503		{ "Select", 30, 2 },
16504		{ "IDO", 24, 1 },
16505		{ "VFID", 16, 8 },
16506		{ "TC", 11, 3 },
16507		{ "VFVld", 10, 1 },
16508		{ "PF", 7, 3 },
16509		{ "RVF", 0, 7 },
16510	{ "PCIE_FID_VFID", 0x4f9c, 0 },
16511		{ "Select", 30, 2 },
16512		{ "IDO", 24, 1 },
16513		{ "VFID", 16, 8 },
16514		{ "TC", 11, 3 },
16515		{ "VFVld", 10, 1 },
16516		{ "PF", 7, 3 },
16517		{ "RVF", 0, 7 },
16518	{ "PCIE_FID_VFID", 0x4fa0, 0 },
16519		{ "Select", 30, 2 },
16520		{ "IDO", 24, 1 },
16521		{ "VFID", 16, 8 },
16522		{ "TC", 11, 3 },
16523		{ "VFVld", 10, 1 },
16524		{ "PF", 7, 3 },
16525		{ "RVF", 0, 7 },
16526	{ "PCIE_FID_VFID", 0x4fa4, 0 },
16527		{ "Select", 30, 2 },
16528		{ "IDO", 24, 1 },
16529		{ "VFID", 16, 8 },
16530		{ "TC", 11, 3 },
16531		{ "VFVld", 10, 1 },
16532		{ "PF", 7, 3 },
16533		{ "RVF", 0, 7 },
16534	{ "PCIE_FID_VFID", 0x4fa8, 0 },
16535		{ "Select", 30, 2 },
16536		{ "IDO", 24, 1 },
16537		{ "VFID", 16, 8 },
16538		{ "TC", 11, 3 },
16539		{ "VFVld", 10, 1 },
16540		{ "PF", 7, 3 },
16541		{ "RVF", 0, 7 },
16542	{ "PCIE_FID_VFID", 0x4fac, 0 },
16543		{ "Select", 30, 2 },
16544		{ "IDO", 24, 1 },
16545		{ "VFID", 16, 8 },
16546		{ "TC", 11, 3 },
16547		{ "VFVld", 10, 1 },
16548		{ "PF", 7, 3 },
16549		{ "RVF", 0, 7 },
16550	{ "PCIE_FID_VFID", 0x4fb0, 0 },
16551		{ "Select", 30, 2 },
16552		{ "IDO", 24, 1 },
16553		{ "VFID", 16, 8 },
16554		{ "TC", 11, 3 },
16555		{ "VFVld", 10, 1 },
16556		{ "PF", 7, 3 },
16557		{ "RVF", 0, 7 },
16558	{ "PCIE_FID_VFID", 0x4fb4, 0 },
16559		{ "Select", 30, 2 },
16560		{ "IDO", 24, 1 },
16561		{ "VFID", 16, 8 },
16562		{ "TC", 11, 3 },
16563		{ "VFVld", 10, 1 },
16564		{ "PF", 7, 3 },
16565		{ "RVF", 0, 7 },
16566	{ "PCIE_FID_VFID", 0x4fb8, 0 },
16567		{ "Select", 30, 2 },
16568		{ "IDO", 24, 1 },
16569		{ "VFID", 16, 8 },
16570		{ "TC", 11, 3 },
16571		{ "VFVld", 10, 1 },
16572		{ "PF", 7, 3 },
16573		{ "RVF", 0, 7 },
16574	{ "PCIE_FID_VFID", 0x4fbc, 0 },
16575		{ "Select", 30, 2 },
16576		{ "IDO", 24, 1 },
16577		{ "VFID", 16, 8 },
16578		{ "TC", 11, 3 },
16579		{ "VFVld", 10, 1 },
16580		{ "PF", 7, 3 },
16581		{ "RVF", 0, 7 },
16582	{ "PCIE_FID_VFID", 0x4fc0, 0 },
16583		{ "Select", 30, 2 },
16584		{ "IDO", 24, 1 },
16585		{ "VFID", 16, 8 },
16586		{ "TC", 11, 3 },
16587		{ "VFVld", 10, 1 },
16588		{ "PF", 7, 3 },
16589		{ "RVF", 0, 7 },
16590	{ "PCIE_FID_VFID", 0x4fc4, 0 },
16591		{ "Select", 30, 2 },
16592		{ "IDO", 24, 1 },
16593		{ "VFID", 16, 8 },
16594		{ "TC", 11, 3 },
16595		{ "VFVld", 10, 1 },
16596		{ "PF", 7, 3 },
16597		{ "RVF", 0, 7 },
16598	{ "PCIE_FID_VFID", 0x4fc8, 0 },
16599		{ "Select", 30, 2 },
16600		{ "IDO", 24, 1 },
16601		{ "VFID", 16, 8 },
16602		{ "TC", 11, 3 },
16603		{ "VFVld", 10, 1 },
16604		{ "PF", 7, 3 },
16605		{ "RVF", 0, 7 },
16606	{ "PCIE_FID_VFID", 0x4fcc, 0 },
16607		{ "Select", 30, 2 },
16608		{ "IDO", 24, 1 },
16609		{ "VFID", 16, 8 },
16610		{ "TC", 11, 3 },
16611		{ "VFVld", 10, 1 },
16612		{ "PF", 7, 3 },
16613		{ "RVF", 0, 7 },
16614	{ "PCIE_FID_VFID", 0x4fd0, 0 },
16615		{ "Select", 30, 2 },
16616		{ "IDO", 24, 1 },
16617		{ "VFID", 16, 8 },
16618		{ "TC", 11, 3 },
16619		{ "VFVld", 10, 1 },
16620		{ "PF", 7, 3 },
16621		{ "RVF", 0, 7 },
16622	{ "PCIE_FID_VFID", 0x4fd4, 0 },
16623		{ "Select", 30, 2 },
16624		{ "IDO", 24, 1 },
16625		{ "VFID", 16, 8 },
16626		{ "TC", 11, 3 },
16627		{ "VFVld", 10, 1 },
16628		{ "PF", 7, 3 },
16629		{ "RVF", 0, 7 },
16630	{ "PCIE_FID_VFID", 0x4fd8, 0 },
16631		{ "Select", 30, 2 },
16632		{ "IDO", 24, 1 },
16633		{ "VFID", 16, 8 },
16634		{ "TC", 11, 3 },
16635		{ "VFVld", 10, 1 },
16636		{ "PF", 7, 3 },
16637		{ "RVF", 0, 7 },
16638	{ "PCIE_FID_VFID", 0x4fdc, 0 },
16639		{ "Select", 30, 2 },
16640		{ "IDO", 24, 1 },
16641		{ "VFID", 16, 8 },
16642		{ "TC", 11, 3 },
16643		{ "VFVld", 10, 1 },
16644		{ "PF", 7, 3 },
16645		{ "RVF", 0, 7 },
16646	{ "PCIE_FID_VFID", 0x4fe0, 0 },
16647		{ "Select", 30, 2 },
16648		{ "IDO", 24, 1 },
16649		{ "VFID", 16, 8 },
16650		{ "TC", 11, 3 },
16651		{ "VFVld", 10, 1 },
16652		{ "PF", 7, 3 },
16653		{ "RVF", 0, 7 },
16654	{ "PCIE_FID_VFID", 0x4fe4, 0 },
16655		{ "Select", 30, 2 },
16656		{ "IDO", 24, 1 },
16657		{ "VFID", 16, 8 },
16658		{ "TC", 11, 3 },
16659		{ "VFVld", 10, 1 },
16660		{ "PF", 7, 3 },
16661		{ "RVF", 0, 7 },
16662	{ "PCIE_FID_VFID", 0x4fe8, 0 },
16663		{ "Select", 30, 2 },
16664		{ "IDO", 24, 1 },
16665		{ "VFID", 16, 8 },
16666		{ "TC", 11, 3 },
16667		{ "VFVld", 10, 1 },
16668		{ "PF", 7, 3 },
16669		{ "RVF", 0, 7 },
16670	{ "PCIE_FID_VFID", 0x4fec, 0 },
16671		{ "Select", 30, 2 },
16672		{ "IDO", 24, 1 },
16673		{ "VFID", 16, 8 },
16674		{ "TC", 11, 3 },
16675		{ "VFVld", 10, 1 },
16676		{ "PF", 7, 3 },
16677		{ "RVF", 0, 7 },
16678	{ "PCIE_FID_VFID", 0x4ff0, 0 },
16679		{ "Select", 30, 2 },
16680		{ "IDO", 24, 1 },
16681		{ "VFID", 16, 8 },
16682		{ "TC", 11, 3 },
16683		{ "VFVld", 10, 1 },
16684		{ "PF", 7, 3 },
16685		{ "RVF", 0, 7 },
16686	{ "PCIE_FID_VFID", 0x4ff4, 0 },
16687		{ "Select", 30, 2 },
16688		{ "IDO", 24, 1 },
16689		{ "VFID", 16, 8 },
16690		{ "TC", 11, 3 },
16691		{ "VFVld", 10, 1 },
16692		{ "PF", 7, 3 },
16693		{ "RVF", 0, 7 },
16694	{ "PCIE_FID_VFID", 0x4ff8, 0 },
16695		{ "Select", 30, 2 },
16696		{ "IDO", 24, 1 },
16697		{ "VFID", 16, 8 },
16698		{ "TC", 11, 3 },
16699		{ "VFVld", 10, 1 },
16700		{ "PF", 7, 3 },
16701		{ "RVF", 0, 7 },
16702	{ "PCIE_FID_VFID", 0x4ffc, 0 },
16703		{ "Select", 30, 2 },
16704		{ "IDO", 24, 1 },
16705		{ "VFID", 16, 8 },
16706		{ "TC", 11, 3 },
16707		{ "VFVld", 10, 1 },
16708		{ "PF", 7, 3 },
16709		{ "RVF", 0, 7 },
16710	{ "PCIE_FID_VFID", 0x5000, 0 },
16711		{ "Select", 30, 2 },
16712		{ "IDO", 24, 1 },
16713		{ "VFID", 16, 8 },
16714		{ "TC", 11, 3 },
16715		{ "VFVld", 10, 1 },
16716		{ "PF", 7, 3 },
16717		{ "RVF", 0, 7 },
16718	{ "PCIE_FID_VFID", 0x5004, 0 },
16719		{ "Select", 30, 2 },
16720		{ "IDO", 24, 1 },
16721		{ "VFID", 16, 8 },
16722		{ "TC", 11, 3 },
16723		{ "VFVld", 10, 1 },
16724		{ "PF", 7, 3 },
16725		{ "RVF", 0, 7 },
16726	{ "PCIE_FID_VFID", 0x5008, 0 },
16727		{ "Select", 30, 2 },
16728		{ "IDO", 24, 1 },
16729		{ "VFID", 16, 8 },
16730		{ "TC", 11, 3 },
16731		{ "VFVld", 10, 1 },
16732		{ "PF", 7, 3 },
16733		{ "RVF", 0, 7 },
16734	{ "PCIE_FID_VFID", 0x500c, 0 },
16735		{ "Select", 30, 2 },
16736		{ "IDO", 24, 1 },
16737		{ "VFID", 16, 8 },
16738		{ "TC", 11, 3 },
16739		{ "VFVld", 10, 1 },
16740		{ "PF", 7, 3 },
16741		{ "RVF", 0, 7 },
16742	{ "PCIE_FID_VFID", 0x5010, 0 },
16743		{ "Select", 30, 2 },
16744		{ "IDO", 24, 1 },
16745		{ "VFID", 16, 8 },
16746		{ "TC", 11, 3 },
16747		{ "VFVld", 10, 1 },
16748		{ "PF", 7, 3 },
16749		{ "RVF", 0, 7 },
16750	{ "PCIE_FID_VFID", 0x5014, 0 },
16751		{ "Select", 30, 2 },
16752		{ "IDO", 24, 1 },
16753		{ "VFID", 16, 8 },
16754		{ "TC", 11, 3 },
16755		{ "VFVld", 10, 1 },
16756		{ "PF", 7, 3 },
16757		{ "RVF", 0, 7 },
16758	{ "PCIE_FID_VFID", 0x5018, 0 },
16759		{ "Select", 30, 2 },
16760		{ "IDO", 24, 1 },
16761		{ "VFID", 16, 8 },
16762		{ "TC", 11, 3 },
16763		{ "VFVld", 10, 1 },
16764		{ "PF", 7, 3 },
16765		{ "RVF", 0, 7 },
16766	{ "PCIE_FID_VFID", 0x501c, 0 },
16767		{ "Select", 30, 2 },
16768		{ "IDO", 24, 1 },
16769		{ "VFID", 16, 8 },
16770		{ "TC", 11, 3 },
16771		{ "VFVld", 10, 1 },
16772		{ "PF", 7, 3 },
16773		{ "RVF", 0, 7 },
16774	{ "PCIE_FID_VFID", 0x5020, 0 },
16775		{ "Select", 30, 2 },
16776		{ "IDO", 24, 1 },
16777		{ "VFID", 16, 8 },
16778		{ "TC", 11, 3 },
16779		{ "VFVld", 10, 1 },
16780		{ "PF", 7, 3 },
16781		{ "RVF", 0, 7 },
16782	{ "PCIE_FID_VFID", 0x5024, 0 },
16783		{ "Select", 30, 2 },
16784		{ "IDO", 24, 1 },
16785		{ "VFID", 16, 8 },
16786		{ "TC", 11, 3 },
16787		{ "VFVld", 10, 1 },
16788		{ "PF", 7, 3 },
16789		{ "RVF", 0, 7 },
16790	{ "PCIE_FID_VFID", 0x5028, 0 },
16791		{ "Select", 30, 2 },
16792		{ "IDO", 24, 1 },
16793		{ "VFID", 16, 8 },
16794		{ "TC", 11, 3 },
16795		{ "VFVld", 10, 1 },
16796		{ "PF", 7, 3 },
16797		{ "RVF", 0, 7 },
16798	{ "PCIE_FID_VFID", 0x502c, 0 },
16799		{ "Select", 30, 2 },
16800		{ "IDO", 24, 1 },
16801		{ "VFID", 16, 8 },
16802		{ "TC", 11, 3 },
16803		{ "VFVld", 10, 1 },
16804		{ "PF", 7, 3 },
16805		{ "RVF", 0, 7 },
16806	{ "PCIE_FID_VFID", 0x5030, 0 },
16807		{ "Select", 30, 2 },
16808		{ "IDO", 24, 1 },
16809		{ "VFID", 16, 8 },
16810		{ "TC", 11, 3 },
16811		{ "VFVld", 10, 1 },
16812		{ "PF", 7, 3 },
16813		{ "RVF", 0, 7 },
16814	{ "PCIE_FID_VFID", 0x5034, 0 },
16815		{ "Select", 30, 2 },
16816		{ "IDO", 24, 1 },
16817		{ "VFID", 16, 8 },
16818		{ "TC", 11, 3 },
16819		{ "VFVld", 10, 1 },
16820		{ "PF", 7, 3 },
16821		{ "RVF", 0, 7 },
16822	{ "PCIE_FID_VFID", 0x5038, 0 },
16823		{ "Select", 30, 2 },
16824		{ "IDO", 24, 1 },
16825		{ "VFID", 16, 8 },
16826		{ "TC", 11, 3 },
16827		{ "VFVld", 10, 1 },
16828		{ "PF", 7, 3 },
16829		{ "RVF", 0, 7 },
16830	{ "PCIE_FID_VFID", 0x503c, 0 },
16831		{ "Select", 30, 2 },
16832		{ "IDO", 24, 1 },
16833		{ "VFID", 16, 8 },
16834		{ "TC", 11, 3 },
16835		{ "VFVld", 10, 1 },
16836		{ "PF", 7, 3 },
16837		{ "RVF", 0, 7 },
16838	{ "PCIE_FID_VFID", 0x5040, 0 },
16839		{ "Select", 30, 2 },
16840		{ "IDO", 24, 1 },
16841		{ "VFID", 16, 8 },
16842		{ "TC", 11, 3 },
16843		{ "VFVld", 10, 1 },
16844		{ "PF", 7, 3 },
16845		{ "RVF", 0, 7 },
16846	{ "PCIE_FID_VFID", 0x5044, 0 },
16847		{ "Select", 30, 2 },
16848		{ "IDO", 24, 1 },
16849		{ "VFID", 16, 8 },
16850		{ "TC", 11, 3 },
16851		{ "VFVld", 10, 1 },
16852		{ "PF", 7, 3 },
16853		{ "RVF", 0, 7 },
16854	{ "PCIE_FID_VFID", 0x5048, 0 },
16855		{ "Select", 30, 2 },
16856		{ "IDO", 24, 1 },
16857		{ "VFID", 16, 8 },
16858		{ "TC", 11, 3 },
16859		{ "VFVld", 10, 1 },
16860		{ "PF", 7, 3 },
16861		{ "RVF", 0, 7 },
16862	{ "PCIE_FID_VFID", 0x504c, 0 },
16863		{ "Select", 30, 2 },
16864		{ "IDO", 24, 1 },
16865		{ "VFID", 16, 8 },
16866		{ "TC", 11, 3 },
16867		{ "VFVld", 10, 1 },
16868		{ "PF", 7, 3 },
16869		{ "RVF", 0, 7 },
16870	{ "PCIE_FID_VFID", 0x5050, 0 },
16871		{ "Select", 30, 2 },
16872		{ "IDO", 24, 1 },
16873		{ "VFID", 16, 8 },
16874		{ "TC", 11, 3 },
16875		{ "VFVld", 10, 1 },
16876		{ "PF", 7, 3 },
16877		{ "RVF", 0, 7 },
16878	{ "PCIE_FID_VFID", 0x5054, 0 },
16879		{ "Select", 30, 2 },
16880		{ "IDO", 24, 1 },
16881		{ "VFID", 16, 8 },
16882		{ "TC", 11, 3 },
16883		{ "VFVld", 10, 1 },
16884		{ "PF", 7, 3 },
16885		{ "RVF", 0, 7 },
16886	{ "PCIE_FID_VFID", 0x5058, 0 },
16887		{ "Select", 30, 2 },
16888		{ "IDO", 24, 1 },
16889		{ "VFID", 16, 8 },
16890		{ "TC", 11, 3 },
16891		{ "VFVld", 10, 1 },
16892		{ "PF", 7, 3 },
16893		{ "RVF", 0, 7 },
16894	{ "PCIE_FID_VFID", 0x505c, 0 },
16895		{ "Select", 30, 2 },
16896		{ "IDO", 24, 1 },
16897		{ "VFID", 16, 8 },
16898		{ "TC", 11, 3 },
16899		{ "VFVld", 10, 1 },
16900		{ "PF", 7, 3 },
16901		{ "RVF", 0, 7 },
16902	{ "PCIE_FID_VFID", 0x5060, 0 },
16903		{ "Select", 30, 2 },
16904		{ "IDO", 24, 1 },
16905		{ "VFID", 16, 8 },
16906		{ "TC", 11, 3 },
16907		{ "VFVld", 10, 1 },
16908		{ "PF", 7, 3 },
16909		{ "RVF", 0, 7 },
16910	{ "PCIE_FID_VFID", 0x5064, 0 },
16911		{ "Select", 30, 2 },
16912		{ "IDO", 24, 1 },
16913		{ "VFID", 16, 8 },
16914		{ "TC", 11, 3 },
16915		{ "VFVld", 10, 1 },
16916		{ "PF", 7, 3 },
16917		{ "RVF", 0, 7 },
16918	{ "PCIE_FID_VFID", 0x5068, 0 },
16919		{ "Select", 30, 2 },
16920		{ "IDO", 24, 1 },
16921		{ "VFID", 16, 8 },
16922		{ "TC", 11, 3 },
16923		{ "VFVld", 10, 1 },
16924		{ "PF", 7, 3 },
16925		{ "RVF", 0, 7 },
16926	{ "PCIE_FID_VFID", 0x506c, 0 },
16927		{ "Select", 30, 2 },
16928		{ "IDO", 24, 1 },
16929		{ "VFID", 16, 8 },
16930		{ "TC", 11, 3 },
16931		{ "VFVld", 10, 1 },
16932		{ "PF", 7, 3 },
16933		{ "RVF", 0, 7 },
16934	{ "PCIE_FID_VFID", 0x5070, 0 },
16935		{ "Select", 30, 2 },
16936		{ "IDO", 24, 1 },
16937		{ "VFID", 16, 8 },
16938		{ "TC", 11, 3 },
16939		{ "VFVld", 10, 1 },
16940		{ "PF", 7, 3 },
16941		{ "RVF", 0, 7 },
16942	{ "PCIE_FID_VFID", 0x5074, 0 },
16943		{ "Select", 30, 2 },
16944		{ "IDO", 24, 1 },
16945		{ "VFID", 16, 8 },
16946		{ "TC", 11, 3 },
16947		{ "VFVld", 10, 1 },
16948		{ "PF", 7, 3 },
16949		{ "RVF", 0, 7 },
16950	{ "PCIE_FID_VFID", 0x5078, 0 },
16951		{ "Select", 30, 2 },
16952		{ "IDO", 24, 1 },
16953		{ "VFID", 16, 8 },
16954		{ "TC", 11, 3 },
16955		{ "VFVld", 10, 1 },
16956		{ "PF", 7, 3 },
16957		{ "RVF", 0, 7 },
16958	{ "PCIE_FID_VFID", 0x507c, 0 },
16959		{ "Select", 30, 2 },
16960		{ "IDO", 24, 1 },
16961		{ "VFID", 16, 8 },
16962		{ "TC", 11, 3 },
16963		{ "VFVld", 10, 1 },
16964		{ "PF", 7, 3 },
16965		{ "RVF", 0, 7 },
16966	{ "PCIE_FID_VFID", 0x5080, 0 },
16967		{ "Select", 30, 2 },
16968		{ "IDO", 24, 1 },
16969		{ "VFID", 16, 8 },
16970		{ "TC", 11, 3 },
16971		{ "VFVld", 10, 1 },
16972		{ "PF", 7, 3 },
16973		{ "RVF", 0, 7 },
16974	{ "PCIE_FID_VFID", 0x5084, 0 },
16975		{ "Select", 30, 2 },
16976		{ "IDO", 24, 1 },
16977		{ "VFID", 16, 8 },
16978		{ "TC", 11, 3 },
16979		{ "VFVld", 10, 1 },
16980		{ "PF", 7, 3 },
16981		{ "RVF", 0, 7 },
16982	{ "PCIE_FID_VFID", 0x5088, 0 },
16983		{ "Select", 30, 2 },
16984		{ "IDO", 24, 1 },
16985		{ "VFID", 16, 8 },
16986		{ "TC", 11, 3 },
16987		{ "VFVld", 10, 1 },
16988		{ "PF", 7, 3 },
16989		{ "RVF", 0, 7 },
16990	{ "PCIE_FID_VFID", 0x508c, 0 },
16991		{ "Select", 30, 2 },
16992		{ "IDO", 24, 1 },
16993		{ "VFID", 16, 8 },
16994		{ "TC", 11, 3 },
16995		{ "VFVld", 10, 1 },
16996		{ "PF", 7, 3 },
16997		{ "RVF", 0, 7 },
16998	{ "PCIE_FID_VFID", 0x5090, 0 },
16999		{ "Select", 30, 2 },
17000		{ "IDO", 24, 1 },
17001		{ "VFID", 16, 8 },
17002		{ "TC", 11, 3 },
17003		{ "VFVld", 10, 1 },
17004		{ "PF", 7, 3 },
17005		{ "RVF", 0, 7 },
17006	{ "PCIE_FID_VFID", 0x5094, 0 },
17007		{ "Select", 30, 2 },
17008		{ "IDO", 24, 1 },
17009		{ "VFID", 16, 8 },
17010		{ "TC", 11, 3 },
17011		{ "VFVld", 10, 1 },
17012		{ "PF", 7, 3 },
17013		{ "RVF", 0, 7 },
17014	{ "PCIE_FID_VFID", 0x5098, 0 },
17015		{ "Select", 30, 2 },
17016		{ "IDO", 24, 1 },
17017		{ "VFID", 16, 8 },
17018		{ "TC", 11, 3 },
17019		{ "VFVld", 10, 1 },
17020		{ "PF", 7, 3 },
17021		{ "RVF", 0, 7 },
17022	{ "PCIE_FID_VFID", 0x509c, 0 },
17023		{ "Select", 30, 2 },
17024		{ "IDO", 24, 1 },
17025		{ "VFID", 16, 8 },
17026		{ "TC", 11, 3 },
17027		{ "VFVld", 10, 1 },
17028		{ "PF", 7, 3 },
17029		{ "RVF", 0, 7 },
17030	{ "PCIE_FID_VFID", 0x50a0, 0 },
17031		{ "Select", 30, 2 },
17032		{ "IDO", 24, 1 },
17033		{ "VFID", 16, 8 },
17034		{ "TC", 11, 3 },
17035		{ "VFVld", 10, 1 },
17036		{ "PF", 7, 3 },
17037		{ "RVF", 0, 7 },
17038	{ "PCIE_FID_VFID", 0x50a4, 0 },
17039		{ "Select", 30, 2 },
17040		{ "IDO", 24, 1 },
17041		{ "VFID", 16, 8 },
17042		{ "TC", 11, 3 },
17043		{ "VFVld", 10, 1 },
17044		{ "PF", 7, 3 },
17045		{ "RVF", 0, 7 },
17046	{ "PCIE_FID_VFID", 0x50a8, 0 },
17047		{ "Select", 30, 2 },
17048		{ "IDO", 24, 1 },
17049		{ "VFID", 16, 8 },
17050		{ "TC", 11, 3 },
17051		{ "VFVld", 10, 1 },
17052		{ "PF", 7, 3 },
17053		{ "RVF", 0, 7 },
17054	{ "PCIE_FID_VFID", 0x50ac, 0 },
17055		{ "Select", 30, 2 },
17056		{ "IDO", 24, 1 },
17057		{ "VFID", 16, 8 },
17058		{ "TC", 11, 3 },
17059		{ "VFVld", 10, 1 },
17060		{ "PF", 7, 3 },
17061		{ "RVF", 0, 7 },
17062	{ "PCIE_FID_VFID", 0x50b0, 0 },
17063		{ "Select", 30, 2 },
17064		{ "IDO", 24, 1 },
17065		{ "VFID", 16, 8 },
17066		{ "TC", 11, 3 },
17067		{ "VFVld", 10, 1 },
17068		{ "PF", 7, 3 },
17069		{ "RVF", 0, 7 },
17070	{ "PCIE_FID_VFID", 0x50b4, 0 },
17071		{ "Select", 30, 2 },
17072		{ "IDO", 24, 1 },
17073		{ "VFID", 16, 8 },
17074		{ "TC", 11, 3 },
17075		{ "VFVld", 10, 1 },
17076		{ "PF", 7, 3 },
17077		{ "RVF", 0, 7 },
17078	{ "PCIE_FID_VFID", 0x50b8, 0 },
17079		{ "Select", 30, 2 },
17080		{ "IDO", 24, 1 },
17081		{ "VFID", 16, 8 },
17082		{ "TC", 11, 3 },
17083		{ "VFVld", 10, 1 },
17084		{ "PF", 7, 3 },
17085		{ "RVF", 0, 7 },
17086	{ "PCIE_FID_VFID", 0x50bc, 0 },
17087		{ "Select", 30, 2 },
17088		{ "IDO", 24, 1 },
17089		{ "VFID", 16, 8 },
17090		{ "TC", 11, 3 },
17091		{ "VFVld", 10, 1 },
17092		{ "PF", 7, 3 },
17093		{ "RVF", 0, 7 },
17094	{ "PCIE_FID_VFID", 0x50c0, 0 },
17095		{ "Select", 30, 2 },
17096		{ "IDO", 24, 1 },
17097		{ "VFID", 16, 8 },
17098		{ "TC", 11, 3 },
17099		{ "VFVld", 10, 1 },
17100		{ "PF", 7, 3 },
17101		{ "RVF", 0, 7 },
17102	{ "PCIE_FID_VFID", 0x50c4, 0 },
17103		{ "Select", 30, 2 },
17104		{ "IDO", 24, 1 },
17105		{ "VFID", 16, 8 },
17106		{ "TC", 11, 3 },
17107		{ "VFVld", 10, 1 },
17108		{ "PF", 7, 3 },
17109		{ "RVF", 0, 7 },
17110	{ "PCIE_FID_VFID", 0x50c8, 0 },
17111		{ "Select", 30, 2 },
17112		{ "IDO", 24, 1 },
17113		{ "VFID", 16, 8 },
17114		{ "TC", 11, 3 },
17115		{ "VFVld", 10, 1 },
17116		{ "PF", 7, 3 },
17117		{ "RVF", 0, 7 },
17118	{ "PCIE_FID_VFID", 0x50cc, 0 },
17119		{ "Select", 30, 2 },
17120		{ "IDO", 24, 1 },
17121		{ "VFID", 16, 8 },
17122		{ "TC", 11, 3 },
17123		{ "VFVld", 10, 1 },
17124		{ "PF", 7, 3 },
17125		{ "RVF", 0, 7 },
17126	{ "PCIE_FID_VFID", 0x50d0, 0 },
17127		{ "Select", 30, 2 },
17128		{ "IDO", 24, 1 },
17129		{ "VFID", 16, 8 },
17130		{ "TC", 11, 3 },
17131		{ "VFVld", 10, 1 },
17132		{ "PF", 7, 3 },
17133		{ "RVF", 0, 7 },
17134	{ "PCIE_FID_VFID", 0x50d4, 0 },
17135		{ "Select", 30, 2 },
17136		{ "IDO", 24, 1 },
17137		{ "VFID", 16, 8 },
17138		{ "TC", 11, 3 },
17139		{ "VFVld", 10, 1 },
17140		{ "PF", 7, 3 },
17141		{ "RVF", 0, 7 },
17142	{ "PCIE_FID_VFID", 0x50d8, 0 },
17143		{ "Select", 30, 2 },
17144		{ "IDO", 24, 1 },
17145		{ "VFID", 16, 8 },
17146		{ "TC", 11, 3 },
17147		{ "VFVld", 10, 1 },
17148		{ "PF", 7, 3 },
17149		{ "RVF", 0, 7 },
17150	{ "PCIE_FID_VFID", 0x50dc, 0 },
17151		{ "Select", 30, 2 },
17152		{ "IDO", 24, 1 },
17153		{ "VFID", 16, 8 },
17154		{ "TC", 11, 3 },
17155		{ "VFVld", 10, 1 },
17156		{ "PF", 7, 3 },
17157		{ "RVF", 0, 7 },
17158	{ "PCIE_FID_VFID", 0x50e0, 0 },
17159		{ "Select", 30, 2 },
17160		{ "IDO", 24, 1 },
17161		{ "VFID", 16, 8 },
17162		{ "TC", 11, 3 },
17163		{ "VFVld", 10, 1 },
17164		{ "PF", 7, 3 },
17165		{ "RVF", 0, 7 },
17166	{ "PCIE_FID_VFID", 0x50e4, 0 },
17167		{ "Select", 30, 2 },
17168		{ "IDO", 24, 1 },
17169		{ "VFID", 16, 8 },
17170		{ "TC", 11, 3 },
17171		{ "VFVld", 10, 1 },
17172		{ "PF", 7, 3 },
17173		{ "RVF", 0, 7 },
17174	{ "PCIE_FID_VFID", 0x50e8, 0 },
17175		{ "Select", 30, 2 },
17176		{ "IDO", 24, 1 },
17177		{ "VFID", 16, 8 },
17178		{ "TC", 11, 3 },
17179		{ "VFVld", 10, 1 },
17180		{ "PF", 7, 3 },
17181		{ "RVF", 0, 7 },
17182	{ "PCIE_FID_VFID", 0x50ec, 0 },
17183		{ "Select", 30, 2 },
17184		{ "IDO", 24, 1 },
17185		{ "VFID", 16, 8 },
17186		{ "TC", 11, 3 },
17187		{ "VFVld", 10, 1 },
17188		{ "PF", 7, 3 },
17189		{ "RVF", 0, 7 },
17190	{ "PCIE_FID_VFID", 0x50f0, 0 },
17191		{ "Select", 30, 2 },
17192		{ "IDO", 24, 1 },
17193		{ "VFID", 16, 8 },
17194		{ "TC", 11, 3 },
17195		{ "VFVld", 10, 1 },
17196		{ "PF", 7, 3 },
17197		{ "RVF", 0, 7 },
17198	{ "PCIE_FID_VFID", 0x50f4, 0 },
17199		{ "Select", 30, 2 },
17200		{ "IDO", 24, 1 },
17201		{ "VFID", 16, 8 },
17202		{ "TC", 11, 3 },
17203		{ "VFVld", 10, 1 },
17204		{ "PF", 7, 3 },
17205		{ "RVF", 0, 7 },
17206	{ "PCIE_FID_VFID", 0x50f8, 0 },
17207		{ "Select", 30, 2 },
17208		{ "IDO", 24, 1 },
17209		{ "VFID", 16, 8 },
17210		{ "TC", 11, 3 },
17211		{ "VFVld", 10, 1 },
17212		{ "PF", 7, 3 },
17213		{ "RVF", 0, 7 },
17214	{ "PCIE_FID_VFID", 0x50fc, 0 },
17215		{ "Select", 30, 2 },
17216		{ "IDO", 24, 1 },
17217		{ "VFID", 16, 8 },
17218		{ "TC", 11, 3 },
17219		{ "VFVld", 10, 1 },
17220		{ "PF", 7, 3 },
17221		{ "RVF", 0, 7 },
17222	{ "PCIE_FID_VFID", 0x5100, 0 },
17223		{ "Select", 30, 2 },
17224		{ "IDO", 24, 1 },
17225		{ "VFID", 16, 8 },
17226		{ "TC", 11, 3 },
17227		{ "VFVld", 10, 1 },
17228		{ "PF", 7, 3 },
17229		{ "RVF", 0, 7 },
17230	{ "PCIE_FID_VFID", 0x5104, 0 },
17231		{ "Select", 30, 2 },
17232		{ "IDO", 24, 1 },
17233		{ "VFID", 16, 8 },
17234		{ "TC", 11, 3 },
17235		{ "VFVld", 10, 1 },
17236		{ "PF", 7, 3 },
17237		{ "RVF", 0, 7 },
17238	{ "PCIE_FID_VFID", 0x5108, 0 },
17239		{ "Select", 30, 2 },
17240		{ "IDO", 24, 1 },
17241		{ "VFID", 16, 8 },
17242		{ "TC", 11, 3 },
17243		{ "VFVld", 10, 1 },
17244		{ "PF", 7, 3 },
17245		{ "RVF", 0, 7 },
17246	{ "PCIE_FID_VFID", 0x510c, 0 },
17247		{ "Select", 30, 2 },
17248		{ "IDO", 24, 1 },
17249		{ "VFID", 16, 8 },
17250		{ "TC", 11, 3 },
17251		{ "VFVld", 10, 1 },
17252		{ "PF", 7, 3 },
17253		{ "RVF", 0, 7 },
17254	{ "PCIE_FID_VFID", 0x5110, 0 },
17255		{ "Select", 30, 2 },
17256		{ "IDO", 24, 1 },
17257		{ "VFID", 16, 8 },
17258		{ "TC", 11, 3 },
17259		{ "VFVld", 10, 1 },
17260		{ "PF", 7, 3 },
17261		{ "RVF", 0, 7 },
17262	{ "PCIE_FID_VFID", 0x5114, 0 },
17263		{ "Select", 30, 2 },
17264		{ "IDO", 24, 1 },
17265		{ "VFID", 16, 8 },
17266		{ "TC", 11, 3 },
17267		{ "VFVld", 10, 1 },
17268		{ "PF", 7, 3 },
17269		{ "RVF", 0, 7 },
17270	{ "PCIE_FID_VFID", 0x5118, 0 },
17271		{ "Select", 30, 2 },
17272		{ "IDO", 24, 1 },
17273		{ "VFID", 16, 8 },
17274		{ "TC", 11, 3 },
17275		{ "VFVld", 10, 1 },
17276		{ "PF", 7, 3 },
17277		{ "RVF", 0, 7 },
17278	{ "PCIE_FID_VFID", 0x511c, 0 },
17279		{ "Select", 30, 2 },
17280		{ "IDO", 24, 1 },
17281		{ "VFID", 16, 8 },
17282		{ "TC", 11, 3 },
17283		{ "VFVld", 10, 1 },
17284		{ "PF", 7, 3 },
17285		{ "RVF", 0, 7 },
17286	{ "PCIE_FID_VFID", 0x5120, 0 },
17287		{ "Select", 30, 2 },
17288		{ "IDO", 24, 1 },
17289		{ "VFID", 16, 8 },
17290		{ "TC", 11, 3 },
17291		{ "VFVld", 10, 1 },
17292		{ "PF", 7, 3 },
17293		{ "RVF", 0, 7 },
17294	{ "PCIE_FID_VFID", 0x5124, 0 },
17295		{ "Select", 30, 2 },
17296		{ "IDO", 24, 1 },
17297		{ "VFID", 16, 8 },
17298		{ "TC", 11, 3 },
17299		{ "VFVld", 10, 1 },
17300		{ "PF", 7, 3 },
17301		{ "RVF", 0, 7 },
17302	{ "PCIE_FID_VFID", 0x5128, 0 },
17303		{ "Select", 30, 2 },
17304		{ "IDO", 24, 1 },
17305		{ "VFID", 16, 8 },
17306		{ "TC", 11, 3 },
17307		{ "VFVld", 10, 1 },
17308		{ "PF", 7, 3 },
17309		{ "RVF", 0, 7 },
17310	{ "PCIE_FID_VFID", 0x512c, 0 },
17311		{ "Select", 30, 2 },
17312		{ "IDO", 24, 1 },
17313		{ "VFID", 16, 8 },
17314		{ "TC", 11, 3 },
17315		{ "VFVld", 10, 1 },
17316		{ "PF", 7, 3 },
17317		{ "RVF", 0, 7 },
17318	{ "PCIE_FID_VFID", 0x5130, 0 },
17319		{ "Select", 30, 2 },
17320		{ "IDO", 24, 1 },
17321		{ "VFID", 16, 8 },
17322		{ "TC", 11, 3 },
17323		{ "VFVld", 10, 1 },
17324		{ "PF", 7, 3 },
17325		{ "RVF", 0, 7 },
17326	{ "PCIE_FID_VFID", 0x5134, 0 },
17327		{ "Select", 30, 2 },
17328		{ "IDO", 24, 1 },
17329		{ "VFID", 16, 8 },
17330		{ "TC", 11, 3 },
17331		{ "VFVld", 10, 1 },
17332		{ "PF", 7, 3 },
17333		{ "RVF", 0, 7 },
17334	{ "PCIE_FID_VFID", 0x5138, 0 },
17335		{ "Select", 30, 2 },
17336		{ "IDO", 24, 1 },
17337		{ "VFID", 16, 8 },
17338		{ "TC", 11, 3 },
17339		{ "VFVld", 10, 1 },
17340		{ "PF", 7, 3 },
17341		{ "RVF", 0, 7 },
17342	{ "PCIE_FID_VFID", 0x513c, 0 },
17343		{ "Select", 30, 2 },
17344		{ "IDO", 24, 1 },
17345		{ "VFID", 16, 8 },
17346		{ "TC", 11, 3 },
17347		{ "VFVld", 10, 1 },
17348		{ "PF", 7, 3 },
17349		{ "RVF", 0, 7 },
17350	{ "PCIE_FID_VFID", 0x5140, 0 },
17351		{ "Select", 30, 2 },
17352		{ "IDO", 24, 1 },
17353		{ "VFID", 16, 8 },
17354		{ "TC", 11, 3 },
17355		{ "VFVld", 10, 1 },
17356		{ "PF", 7, 3 },
17357		{ "RVF", 0, 7 },
17358	{ "PCIE_FID_VFID", 0x5144, 0 },
17359		{ "Select", 30, 2 },
17360		{ "IDO", 24, 1 },
17361		{ "VFID", 16, 8 },
17362		{ "TC", 11, 3 },
17363		{ "VFVld", 10, 1 },
17364		{ "PF", 7, 3 },
17365		{ "RVF", 0, 7 },
17366	{ "PCIE_FID_VFID", 0x5148, 0 },
17367		{ "Select", 30, 2 },
17368		{ "IDO", 24, 1 },
17369		{ "VFID", 16, 8 },
17370		{ "TC", 11, 3 },
17371		{ "VFVld", 10, 1 },
17372		{ "PF", 7, 3 },
17373		{ "RVF", 0, 7 },
17374	{ "PCIE_FID_VFID", 0x514c, 0 },
17375		{ "Select", 30, 2 },
17376		{ "IDO", 24, 1 },
17377		{ "VFID", 16, 8 },
17378		{ "TC", 11, 3 },
17379		{ "VFVld", 10, 1 },
17380		{ "PF", 7, 3 },
17381		{ "RVF", 0, 7 },
17382	{ "PCIE_FID_VFID", 0x5150, 0 },
17383		{ "Select", 30, 2 },
17384		{ "IDO", 24, 1 },
17385		{ "VFID", 16, 8 },
17386		{ "TC", 11, 3 },
17387		{ "VFVld", 10, 1 },
17388		{ "PF", 7, 3 },
17389		{ "RVF", 0, 7 },
17390	{ "PCIE_FID_VFID", 0x5154, 0 },
17391		{ "Select", 30, 2 },
17392		{ "IDO", 24, 1 },
17393		{ "VFID", 16, 8 },
17394		{ "TC", 11, 3 },
17395		{ "VFVld", 10, 1 },
17396		{ "PF", 7, 3 },
17397		{ "RVF", 0, 7 },
17398	{ "PCIE_FID_VFID", 0x5158, 0 },
17399		{ "Select", 30, 2 },
17400		{ "IDO", 24, 1 },
17401		{ "VFID", 16, 8 },
17402		{ "TC", 11, 3 },
17403		{ "VFVld", 10, 1 },
17404		{ "PF", 7, 3 },
17405		{ "RVF", 0, 7 },
17406	{ "PCIE_FID_VFID", 0x515c, 0 },
17407		{ "Select", 30, 2 },
17408		{ "IDO", 24, 1 },
17409		{ "VFID", 16, 8 },
17410		{ "TC", 11, 3 },
17411		{ "VFVld", 10, 1 },
17412		{ "PF", 7, 3 },
17413		{ "RVF", 0, 7 },
17414	{ "PCIE_FID_VFID", 0x5160, 0 },
17415		{ "Select", 30, 2 },
17416		{ "IDO", 24, 1 },
17417		{ "VFID", 16, 8 },
17418		{ "TC", 11, 3 },
17419		{ "VFVld", 10, 1 },
17420		{ "PF", 7, 3 },
17421		{ "RVF", 0, 7 },
17422	{ "PCIE_FID_VFID", 0x5164, 0 },
17423		{ "Select", 30, 2 },
17424		{ "IDO", 24, 1 },
17425		{ "VFID", 16, 8 },
17426		{ "TC", 11, 3 },
17427		{ "VFVld", 10, 1 },
17428		{ "PF", 7, 3 },
17429		{ "RVF", 0, 7 },
17430	{ "PCIE_FID_VFID", 0x5168, 0 },
17431		{ "Select", 30, 2 },
17432		{ "IDO", 24, 1 },
17433		{ "VFID", 16, 8 },
17434		{ "TC", 11, 3 },
17435		{ "VFVld", 10, 1 },
17436		{ "PF", 7, 3 },
17437		{ "RVF", 0, 7 },
17438	{ "PCIE_FID_VFID", 0x516c, 0 },
17439		{ "Select", 30, 2 },
17440		{ "IDO", 24, 1 },
17441		{ "VFID", 16, 8 },
17442		{ "TC", 11, 3 },
17443		{ "VFVld", 10, 1 },
17444		{ "PF", 7, 3 },
17445		{ "RVF", 0, 7 },
17446	{ "PCIE_FID_VFID", 0x5170, 0 },
17447		{ "Select", 30, 2 },
17448		{ "IDO", 24, 1 },
17449		{ "VFID", 16, 8 },
17450		{ "TC", 11, 3 },
17451		{ "VFVld", 10, 1 },
17452		{ "PF", 7, 3 },
17453		{ "RVF", 0, 7 },
17454	{ "PCIE_FID_VFID", 0x5174, 0 },
17455		{ "Select", 30, 2 },
17456		{ "IDO", 24, 1 },
17457		{ "VFID", 16, 8 },
17458		{ "TC", 11, 3 },
17459		{ "VFVld", 10, 1 },
17460		{ "PF", 7, 3 },
17461		{ "RVF", 0, 7 },
17462	{ "PCIE_FID_VFID", 0x5178, 0 },
17463		{ "Select", 30, 2 },
17464		{ "IDO", 24, 1 },
17465		{ "VFID", 16, 8 },
17466		{ "TC", 11, 3 },
17467		{ "VFVld", 10, 1 },
17468		{ "PF", 7, 3 },
17469		{ "RVF", 0, 7 },
17470	{ "PCIE_FID_VFID", 0x517c, 0 },
17471		{ "Select", 30, 2 },
17472		{ "IDO", 24, 1 },
17473		{ "VFID", 16, 8 },
17474		{ "TC", 11, 3 },
17475		{ "VFVld", 10, 1 },
17476		{ "PF", 7, 3 },
17477		{ "RVF", 0, 7 },
17478	{ "PCIE_FID_VFID", 0x5180, 0 },
17479		{ "Select", 30, 2 },
17480		{ "IDO", 24, 1 },
17481		{ "VFID", 16, 8 },
17482		{ "TC", 11, 3 },
17483		{ "VFVld", 10, 1 },
17484		{ "PF", 7, 3 },
17485		{ "RVF", 0, 7 },
17486	{ "PCIE_FID_VFID", 0x5184, 0 },
17487		{ "Select", 30, 2 },
17488		{ "IDO", 24, 1 },
17489		{ "VFID", 16, 8 },
17490		{ "TC", 11, 3 },
17491		{ "VFVld", 10, 1 },
17492		{ "PF", 7, 3 },
17493		{ "RVF", 0, 7 },
17494	{ "PCIE_FID_VFID", 0x5188, 0 },
17495		{ "Select", 30, 2 },
17496		{ "IDO", 24, 1 },
17497		{ "VFID", 16, 8 },
17498		{ "TC", 11, 3 },
17499		{ "VFVld", 10, 1 },
17500		{ "PF", 7, 3 },
17501		{ "RVF", 0, 7 },
17502	{ "PCIE_FID_VFID", 0x518c, 0 },
17503		{ "Select", 30, 2 },
17504		{ "IDO", 24, 1 },
17505		{ "VFID", 16, 8 },
17506		{ "TC", 11, 3 },
17507		{ "VFVld", 10, 1 },
17508		{ "PF", 7, 3 },
17509		{ "RVF", 0, 7 },
17510	{ "PCIE_FID_VFID", 0x5190, 0 },
17511		{ "Select", 30, 2 },
17512		{ "IDO", 24, 1 },
17513		{ "VFID", 16, 8 },
17514		{ "TC", 11, 3 },
17515		{ "VFVld", 10, 1 },
17516		{ "PF", 7, 3 },
17517		{ "RVF", 0, 7 },
17518	{ "PCIE_FID_VFID", 0x5194, 0 },
17519		{ "Select", 30, 2 },
17520		{ "IDO", 24, 1 },
17521		{ "VFID", 16, 8 },
17522		{ "TC", 11, 3 },
17523		{ "VFVld", 10, 1 },
17524		{ "PF", 7, 3 },
17525		{ "RVF", 0, 7 },
17526	{ "PCIE_FID_VFID", 0x5198, 0 },
17527		{ "Select", 30, 2 },
17528		{ "IDO", 24, 1 },
17529		{ "VFID", 16, 8 },
17530		{ "TC", 11, 3 },
17531		{ "VFVld", 10, 1 },
17532		{ "PF", 7, 3 },
17533		{ "RVF", 0, 7 },
17534	{ "PCIE_FID_VFID", 0x519c, 0 },
17535		{ "Select", 30, 2 },
17536		{ "IDO", 24, 1 },
17537		{ "VFID", 16, 8 },
17538		{ "TC", 11, 3 },
17539		{ "VFVld", 10, 1 },
17540		{ "PF", 7, 3 },
17541		{ "RVF", 0, 7 },
17542	{ "PCIE_FID_VFID", 0x51a0, 0 },
17543		{ "Select", 30, 2 },
17544		{ "IDO", 24, 1 },
17545		{ "VFID", 16, 8 },
17546		{ "TC", 11, 3 },
17547		{ "VFVld", 10, 1 },
17548		{ "PF", 7, 3 },
17549		{ "RVF", 0, 7 },
17550	{ "PCIE_FID_VFID", 0x51a4, 0 },
17551		{ "Select", 30, 2 },
17552		{ "IDO", 24, 1 },
17553		{ "VFID", 16, 8 },
17554		{ "TC", 11, 3 },
17555		{ "VFVld", 10, 1 },
17556		{ "PF", 7, 3 },
17557		{ "RVF", 0, 7 },
17558	{ "PCIE_FID_VFID", 0x51a8, 0 },
17559		{ "Select", 30, 2 },
17560		{ "IDO", 24, 1 },
17561		{ "VFID", 16, 8 },
17562		{ "TC", 11, 3 },
17563		{ "VFVld", 10, 1 },
17564		{ "PF", 7, 3 },
17565		{ "RVF", 0, 7 },
17566	{ "PCIE_FID_VFID", 0x51ac, 0 },
17567		{ "Select", 30, 2 },
17568		{ "IDO", 24, 1 },
17569		{ "VFID", 16, 8 },
17570		{ "TC", 11, 3 },
17571		{ "VFVld", 10, 1 },
17572		{ "PF", 7, 3 },
17573		{ "RVF", 0, 7 },
17574	{ "PCIE_FID_VFID", 0x51b0, 0 },
17575		{ "Select", 30, 2 },
17576		{ "IDO", 24, 1 },
17577		{ "VFID", 16, 8 },
17578		{ "TC", 11, 3 },
17579		{ "VFVld", 10, 1 },
17580		{ "PF", 7, 3 },
17581		{ "RVF", 0, 7 },
17582	{ "PCIE_FID_VFID", 0x51b4, 0 },
17583		{ "Select", 30, 2 },
17584		{ "IDO", 24, 1 },
17585		{ "VFID", 16, 8 },
17586		{ "TC", 11, 3 },
17587		{ "VFVld", 10, 1 },
17588		{ "PF", 7, 3 },
17589		{ "RVF", 0, 7 },
17590	{ "PCIE_FID_VFID", 0x51b8, 0 },
17591		{ "Select", 30, 2 },
17592		{ "IDO", 24, 1 },
17593		{ "VFID", 16, 8 },
17594		{ "TC", 11, 3 },
17595		{ "VFVld", 10, 1 },
17596		{ "PF", 7, 3 },
17597		{ "RVF", 0, 7 },
17598	{ "PCIE_FID_VFID", 0x51bc, 0 },
17599		{ "Select", 30, 2 },
17600		{ "IDO", 24, 1 },
17601		{ "VFID", 16, 8 },
17602		{ "TC", 11, 3 },
17603		{ "VFVld", 10, 1 },
17604		{ "PF", 7, 3 },
17605		{ "RVF", 0, 7 },
17606	{ "PCIE_FID_VFID", 0x51c0, 0 },
17607		{ "Select", 30, 2 },
17608		{ "IDO", 24, 1 },
17609		{ "VFID", 16, 8 },
17610		{ "TC", 11, 3 },
17611		{ "VFVld", 10, 1 },
17612		{ "PF", 7, 3 },
17613		{ "RVF", 0, 7 },
17614	{ "PCIE_FID_VFID", 0x51c4, 0 },
17615		{ "Select", 30, 2 },
17616		{ "IDO", 24, 1 },
17617		{ "VFID", 16, 8 },
17618		{ "TC", 11, 3 },
17619		{ "VFVld", 10, 1 },
17620		{ "PF", 7, 3 },
17621		{ "RVF", 0, 7 },
17622	{ "PCIE_FID_VFID", 0x51c8, 0 },
17623		{ "Select", 30, 2 },
17624		{ "IDO", 24, 1 },
17625		{ "VFID", 16, 8 },
17626		{ "TC", 11, 3 },
17627		{ "VFVld", 10, 1 },
17628		{ "PF", 7, 3 },
17629		{ "RVF", 0, 7 },
17630	{ "PCIE_FID_VFID", 0x51cc, 0 },
17631		{ "Select", 30, 2 },
17632		{ "IDO", 24, 1 },
17633		{ "VFID", 16, 8 },
17634		{ "TC", 11, 3 },
17635		{ "VFVld", 10, 1 },
17636		{ "PF", 7, 3 },
17637		{ "RVF", 0, 7 },
17638	{ "PCIE_FID_VFID", 0x51d0, 0 },
17639		{ "Select", 30, 2 },
17640		{ "IDO", 24, 1 },
17641		{ "VFID", 16, 8 },
17642		{ "TC", 11, 3 },
17643		{ "VFVld", 10, 1 },
17644		{ "PF", 7, 3 },
17645		{ "RVF", 0, 7 },
17646	{ "PCIE_FID_VFID", 0x51d4, 0 },
17647		{ "Select", 30, 2 },
17648		{ "IDO", 24, 1 },
17649		{ "VFID", 16, 8 },
17650		{ "TC", 11, 3 },
17651		{ "VFVld", 10, 1 },
17652		{ "PF", 7, 3 },
17653		{ "RVF", 0, 7 },
17654	{ "PCIE_FID_VFID", 0x51d8, 0 },
17655		{ "Select", 30, 2 },
17656		{ "IDO", 24, 1 },
17657		{ "VFID", 16, 8 },
17658		{ "TC", 11, 3 },
17659		{ "VFVld", 10, 1 },
17660		{ "PF", 7, 3 },
17661		{ "RVF", 0, 7 },
17662	{ "PCIE_FID_VFID", 0x51dc, 0 },
17663		{ "Select", 30, 2 },
17664		{ "IDO", 24, 1 },
17665		{ "VFID", 16, 8 },
17666		{ "TC", 11, 3 },
17667		{ "VFVld", 10, 1 },
17668		{ "PF", 7, 3 },
17669		{ "RVF", 0, 7 },
17670	{ "PCIE_FID_VFID", 0x51e0, 0 },
17671		{ "Select", 30, 2 },
17672		{ "IDO", 24, 1 },
17673		{ "VFID", 16, 8 },
17674		{ "TC", 11, 3 },
17675		{ "VFVld", 10, 1 },
17676		{ "PF", 7, 3 },
17677		{ "RVF", 0, 7 },
17678	{ "PCIE_FID_VFID", 0x51e4, 0 },
17679		{ "Select", 30, 2 },
17680		{ "IDO", 24, 1 },
17681		{ "VFID", 16, 8 },
17682		{ "TC", 11, 3 },
17683		{ "VFVld", 10, 1 },
17684		{ "PF", 7, 3 },
17685		{ "RVF", 0, 7 },
17686	{ "PCIE_FID_VFID", 0x51e8, 0 },
17687		{ "Select", 30, 2 },
17688		{ "IDO", 24, 1 },
17689		{ "VFID", 16, 8 },
17690		{ "TC", 11, 3 },
17691		{ "VFVld", 10, 1 },
17692		{ "PF", 7, 3 },
17693		{ "RVF", 0, 7 },
17694	{ "PCIE_FID_VFID", 0x51ec, 0 },
17695		{ "Select", 30, 2 },
17696		{ "IDO", 24, 1 },
17697		{ "VFID", 16, 8 },
17698		{ "TC", 11, 3 },
17699		{ "VFVld", 10, 1 },
17700		{ "PF", 7, 3 },
17701		{ "RVF", 0, 7 },
17702	{ "PCIE_FID_VFID", 0x51f0, 0 },
17703		{ "Select", 30, 2 },
17704		{ "IDO", 24, 1 },
17705		{ "VFID", 16, 8 },
17706		{ "TC", 11, 3 },
17707		{ "VFVld", 10, 1 },
17708		{ "PF", 7, 3 },
17709		{ "RVF", 0, 7 },
17710	{ "PCIE_FID_VFID", 0x51f4, 0 },
17711		{ "Select", 30, 2 },
17712		{ "IDO", 24, 1 },
17713		{ "VFID", 16, 8 },
17714		{ "TC", 11, 3 },
17715		{ "VFVld", 10, 1 },
17716		{ "PF", 7, 3 },
17717		{ "RVF", 0, 7 },
17718	{ "PCIE_FID_VFID", 0x51f8, 0 },
17719		{ "Select", 30, 2 },
17720		{ "IDO", 24, 1 },
17721		{ "VFID", 16, 8 },
17722		{ "TC", 11, 3 },
17723		{ "VFVld", 10, 1 },
17724		{ "PF", 7, 3 },
17725		{ "RVF", 0, 7 },
17726	{ "PCIE_FID_VFID", 0x51fc, 0 },
17727		{ "Select", 30, 2 },
17728		{ "IDO", 24, 1 },
17729		{ "VFID", 16, 8 },
17730		{ "TC", 11, 3 },
17731		{ "VFVld", 10, 1 },
17732		{ "PF", 7, 3 },
17733		{ "RVF", 0, 7 },
17734	{ "PCIE_FID_VFID", 0x5200, 0 },
17735		{ "Select", 30, 2 },
17736		{ "IDO", 24, 1 },
17737		{ "VFID", 16, 8 },
17738		{ "TC", 11, 3 },
17739		{ "VFVld", 10, 1 },
17740		{ "PF", 7, 3 },
17741		{ "RVF", 0, 7 },
17742	{ "PCIE_FID_VFID", 0x5204, 0 },
17743		{ "Select", 30, 2 },
17744		{ "IDO", 24, 1 },
17745		{ "VFID", 16, 8 },
17746		{ "TC", 11, 3 },
17747		{ "VFVld", 10, 1 },
17748		{ "PF", 7, 3 },
17749		{ "RVF", 0, 7 },
17750	{ "PCIE_FID_VFID", 0x5208, 0 },
17751		{ "Select", 30, 2 },
17752		{ "IDO", 24, 1 },
17753		{ "VFID", 16, 8 },
17754		{ "TC", 11, 3 },
17755		{ "VFVld", 10, 1 },
17756		{ "PF", 7, 3 },
17757		{ "RVF", 0, 7 },
17758	{ "PCIE_FID_VFID", 0x520c, 0 },
17759		{ "Select", 30, 2 },
17760		{ "IDO", 24, 1 },
17761		{ "VFID", 16, 8 },
17762		{ "TC", 11, 3 },
17763		{ "VFVld", 10, 1 },
17764		{ "PF", 7, 3 },
17765		{ "RVF", 0, 7 },
17766	{ "PCIE_FID_VFID", 0x5210, 0 },
17767		{ "Select", 30, 2 },
17768		{ "IDO", 24, 1 },
17769		{ "VFID", 16, 8 },
17770		{ "TC", 11, 3 },
17771		{ "VFVld", 10, 1 },
17772		{ "PF", 7, 3 },
17773		{ "RVF", 0, 7 },
17774	{ "PCIE_FID_VFID", 0x5214, 0 },
17775		{ "Select", 30, 2 },
17776		{ "IDO", 24, 1 },
17777		{ "VFID", 16, 8 },
17778		{ "TC", 11, 3 },
17779		{ "VFVld", 10, 1 },
17780		{ "PF", 7, 3 },
17781		{ "RVF", 0, 7 },
17782	{ "PCIE_FID_VFID", 0x5218, 0 },
17783		{ "Select", 30, 2 },
17784		{ "IDO", 24, 1 },
17785		{ "VFID", 16, 8 },
17786		{ "TC", 11, 3 },
17787		{ "VFVld", 10, 1 },
17788		{ "PF", 7, 3 },
17789		{ "RVF", 0, 7 },
17790	{ "PCIE_FID_VFID", 0x521c, 0 },
17791		{ "Select", 30, 2 },
17792		{ "IDO", 24, 1 },
17793		{ "VFID", 16, 8 },
17794		{ "TC", 11, 3 },
17795		{ "VFVld", 10, 1 },
17796		{ "PF", 7, 3 },
17797		{ "RVF", 0, 7 },
17798	{ "PCIE_FID_VFID", 0x5220, 0 },
17799		{ "Select", 30, 2 },
17800		{ "IDO", 24, 1 },
17801		{ "VFID", 16, 8 },
17802		{ "TC", 11, 3 },
17803		{ "VFVld", 10, 1 },
17804		{ "PF", 7, 3 },
17805		{ "RVF", 0, 7 },
17806	{ "PCIE_FID_VFID", 0x5224, 0 },
17807		{ "Select", 30, 2 },
17808		{ "IDO", 24, 1 },
17809		{ "VFID", 16, 8 },
17810		{ "TC", 11, 3 },
17811		{ "VFVld", 10, 1 },
17812		{ "PF", 7, 3 },
17813		{ "RVF", 0, 7 },
17814	{ "PCIE_FID_VFID", 0x5228, 0 },
17815		{ "Select", 30, 2 },
17816		{ "IDO", 24, 1 },
17817		{ "VFID", 16, 8 },
17818		{ "TC", 11, 3 },
17819		{ "VFVld", 10, 1 },
17820		{ "PF", 7, 3 },
17821		{ "RVF", 0, 7 },
17822	{ "PCIE_FID_VFID", 0x522c, 0 },
17823		{ "Select", 30, 2 },
17824		{ "IDO", 24, 1 },
17825		{ "VFID", 16, 8 },
17826		{ "TC", 11, 3 },
17827		{ "VFVld", 10, 1 },
17828		{ "PF", 7, 3 },
17829		{ "RVF", 0, 7 },
17830	{ "PCIE_FID_VFID", 0x5230, 0 },
17831		{ "Select", 30, 2 },
17832		{ "IDO", 24, 1 },
17833		{ "VFID", 16, 8 },
17834		{ "TC", 11, 3 },
17835		{ "VFVld", 10, 1 },
17836		{ "PF", 7, 3 },
17837		{ "RVF", 0, 7 },
17838	{ "PCIE_FID_VFID", 0x5234, 0 },
17839		{ "Select", 30, 2 },
17840		{ "IDO", 24, 1 },
17841		{ "VFID", 16, 8 },
17842		{ "TC", 11, 3 },
17843		{ "VFVld", 10, 1 },
17844		{ "PF", 7, 3 },
17845		{ "RVF", 0, 7 },
17846	{ "PCIE_FID_VFID", 0x5238, 0 },
17847		{ "Select", 30, 2 },
17848		{ "IDO", 24, 1 },
17849		{ "VFID", 16, 8 },
17850		{ "TC", 11, 3 },
17851		{ "VFVld", 10, 1 },
17852		{ "PF", 7, 3 },
17853		{ "RVF", 0, 7 },
17854	{ "PCIE_FID_VFID", 0x523c, 0 },
17855		{ "Select", 30, 2 },
17856		{ "IDO", 24, 1 },
17857		{ "VFID", 16, 8 },
17858		{ "TC", 11, 3 },
17859		{ "VFVld", 10, 1 },
17860		{ "PF", 7, 3 },
17861		{ "RVF", 0, 7 },
17862	{ "PCIE_FID_VFID", 0x5240, 0 },
17863		{ "Select", 30, 2 },
17864		{ "IDO", 24, 1 },
17865		{ "VFID", 16, 8 },
17866		{ "TC", 11, 3 },
17867		{ "VFVld", 10, 1 },
17868		{ "PF", 7, 3 },
17869		{ "RVF", 0, 7 },
17870	{ "PCIE_FID_VFID", 0x5244, 0 },
17871		{ "Select", 30, 2 },
17872		{ "IDO", 24, 1 },
17873		{ "VFID", 16, 8 },
17874		{ "TC", 11, 3 },
17875		{ "VFVld", 10, 1 },
17876		{ "PF", 7, 3 },
17877		{ "RVF", 0, 7 },
17878	{ "PCIE_FID_VFID", 0x5248, 0 },
17879		{ "Select", 30, 2 },
17880		{ "IDO", 24, 1 },
17881		{ "VFID", 16, 8 },
17882		{ "TC", 11, 3 },
17883		{ "VFVld", 10, 1 },
17884		{ "PF", 7, 3 },
17885		{ "RVF", 0, 7 },
17886	{ "PCIE_FID_VFID", 0x524c, 0 },
17887		{ "Select", 30, 2 },
17888		{ "IDO", 24, 1 },
17889		{ "VFID", 16, 8 },
17890		{ "TC", 11, 3 },
17891		{ "VFVld", 10, 1 },
17892		{ "PF", 7, 3 },
17893		{ "RVF", 0, 7 },
17894	{ "PCIE_FID_VFID", 0x5250, 0 },
17895		{ "Select", 30, 2 },
17896		{ "IDO", 24, 1 },
17897		{ "VFID", 16, 8 },
17898		{ "TC", 11, 3 },
17899		{ "VFVld", 10, 1 },
17900		{ "PF", 7, 3 },
17901		{ "RVF", 0, 7 },
17902	{ "PCIE_FID_VFID", 0x5254, 0 },
17903		{ "Select", 30, 2 },
17904		{ "IDO", 24, 1 },
17905		{ "VFID", 16, 8 },
17906		{ "TC", 11, 3 },
17907		{ "VFVld", 10, 1 },
17908		{ "PF", 7, 3 },
17909		{ "RVF", 0, 7 },
17910	{ "PCIE_FID_VFID", 0x5258, 0 },
17911		{ "Select", 30, 2 },
17912		{ "IDO", 24, 1 },
17913		{ "VFID", 16, 8 },
17914		{ "TC", 11, 3 },
17915		{ "VFVld", 10, 1 },
17916		{ "PF", 7, 3 },
17917		{ "RVF", 0, 7 },
17918	{ "PCIE_FID_VFID", 0x525c, 0 },
17919		{ "Select", 30, 2 },
17920		{ "IDO", 24, 1 },
17921		{ "VFID", 16, 8 },
17922		{ "TC", 11, 3 },
17923		{ "VFVld", 10, 1 },
17924		{ "PF", 7, 3 },
17925		{ "RVF", 0, 7 },
17926	{ "PCIE_FID_VFID", 0x5260, 0 },
17927		{ "Select", 30, 2 },
17928		{ "IDO", 24, 1 },
17929		{ "VFID", 16, 8 },
17930		{ "TC", 11, 3 },
17931		{ "VFVld", 10, 1 },
17932		{ "PF", 7, 3 },
17933		{ "RVF", 0, 7 },
17934	{ "PCIE_FID_VFID", 0x5264, 0 },
17935		{ "Select", 30, 2 },
17936		{ "IDO", 24, 1 },
17937		{ "VFID", 16, 8 },
17938		{ "TC", 11, 3 },
17939		{ "VFVld", 10, 1 },
17940		{ "PF", 7, 3 },
17941		{ "RVF", 0, 7 },
17942	{ "PCIE_FID_VFID", 0x5268, 0 },
17943		{ "Select", 30, 2 },
17944		{ "IDO", 24, 1 },
17945		{ "VFID", 16, 8 },
17946		{ "TC", 11, 3 },
17947		{ "VFVld", 10, 1 },
17948		{ "PF", 7, 3 },
17949		{ "RVF", 0, 7 },
17950	{ "PCIE_FID_VFID", 0x526c, 0 },
17951		{ "Select", 30, 2 },
17952		{ "IDO", 24, 1 },
17953		{ "VFID", 16, 8 },
17954		{ "TC", 11, 3 },
17955		{ "VFVld", 10, 1 },
17956		{ "PF", 7, 3 },
17957		{ "RVF", 0, 7 },
17958	{ "PCIE_FID_VFID", 0x5270, 0 },
17959		{ "Select", 30, 2 },
17960		{ "IDO", 24, 1 },
17961		{ "VFID", 16, 8 },
17962		{ "TC", 11, 3 },
17963		{ "VFVld", 10, 1 },
17964		{ "PF", 7, 3 },
17965		{ "RVF", 0, 7 },
17966	{ "PCIE_FID_VFID", 0x5274, 0 },
17967		{ "Select", 30, 2 },
17968		{ "IDO", 24, 1 },
17969		{ "VFID", 16, 8 },
17970		{ "TC", 11, 3 },
17971		{ "VFVld", 10, 1 },
17972		{ "PF", 7, 3 },
17973		{ "RVF", 0, 7 },
17974	{ "PCIE_FID_VFID", 0x5278, 0 },
17975		{ "Select", 30, 2 },
17976		{ "IDO", 24, 1 },
17977		{ "VFID", 16, 8 },
17978		{ "TC", 11, 3 },
17979		{ "VFVld", 10, 1 },
17980		{ "PF", 7, 3 },
17981		{ "RVF", 0, 7 },
17982	{ "PCIE_FID_VFID", 0x527c, 0 },
17983		{ "Select", 30, 2 },
17984		{ "IDO", 24, 1 },
17985		{ "VFID", 16, 8 },
17986		{ "TC", 11, 3 },
17987		{ "VFVld", 10, 1 },
17988		{ "PF", 7, 3 },
17989		{ "RVF", 0, 7 },
17990	{ "PCIE_FID_VFID", 0x5280, 0 },
17991		{ "Select", 30, 2 },
17992		{ "IDO", 24, 1 },
17993		{ "VFID", 16, 8 },
17994		{ "TC", 11, 3 },
17995		{ "VFVld", 10, 1 },
17996		{ "PF", 7, 3 },
17997		{ "RVF", 0, 7 },
17998	{ "PCIE_FID_VFID", 0x5284, 0 },
17999		{ "Select", 30, 2 },
18000		{ "IDO", 24, 1 },
18001		{ "VFID", 16, 8 },
18002		{ "TC", 11, 3 },
18003		{ "VFVld", 10, 1 },
18004		{ "PF", 7, 3 },
18005		{ "RVF", 0, 7 },
18006	{ "PCIE_FID_VFID", 0x5288, 0 },
18007		{ "Select", 30, 2 },
18008		{ "IDO", 24, 1 },
18009		{ "VFID", 16, 8 },
18010		{ "TC", 11, 3 },
18011		{ "VFVld", 10, 1 },
18012		{ "PF", 7, 3 },
18013		{ "RVF", 0, 7 },
18014	{ "PCIE_FID_VFID", 0x528c, 0 },
18015		{ "Select", 30, 2 },
18016		{ "IDO", 24, 1 },
18017		{ "VFID", 16, 8 },
18018		{ "TC", 11, 3 },
18019		{ "VFVld", 10, 1 },
18020		{ "PF", 7, 3 },
18021		{ "RVF", 0, 7 },
18022	{ "PCIE_FID_VFID", 0x5290, 0 },
18023		{ "Select", 30, 2 },
18024		{ "IDO", 24, 1 },
18025		{ "VFID", 16, 8 },
18026		{ "TC", 11, 3 },
18027		{ "VFVld", 10, 1 },
18028		{ "PF", 7, 3 },
18029		{ "RVF", 0, 7 },
18030	{ "PCIE_FID_VFID", 0x5294, 0 },
18031		{ "Select", 30, 2 },
18032		{ "IDO", 24, 1 },
18033		{ "VFID", 16, 8 },
18034		{ "TC", 11, 3 },
18035		{ "VFVld", 10, 1 },
18036		{ "PF", 7, 3 },
18037		{ "RVF", 0, 7 },
18038	{ "PCIE_FID_VFID", 0x5298, 0 },
18039		{ "Select", 30, 2 },
18040		{ "IDO", 24, 1 },
18041		{ "VFID", 16, 8 },
18042		{ "TC", 11, 3 },
18043		{ "VFVld", 10, 1 },
18044		{ "PF", 7, 3 },
18045		{ "RVF", 0, 7 },
18046	{ "PCIE_FID_VFID", 0x529c, 0 },
18047		{ "Select", 30, 2 },
18048		{ "IDO", 24, 1 },
18049		{ "VFID", 16, 8 },
18050		{ "TC", 11, 3 },
18051		{ "VFVld", 10, 1 },
18052		{ "PF", 7, 3 },
18053		{ "RVF", 0, 7 },
18054	{ "PCIE_FID_VFID", 0x52a0, 0 },
18055		{ "Select", 30, 2 },
18056		{ "IDO", 24, 1 },
18057		{ "VFID", 16, 8 },
18058		{ "TC", 11, 3 },
18059		{ "VFVld", 10, 1 },
18060		{ "PF", 7, 3 },
18061		{ "RVF", 0, 7 },
18062	{ "PCIE_FID_VFID", 0x52a4, 0 },
18063		{ "Select", 30, 2 },
18064		{ "IDO", 24, 1 },
18065		{ "VFID", 16, 8 },
18066		{ "TC", 11, 3 },
18067		{ "VFVld", 10, 1 },
18068		{ "PF", 7, 3 },
18069		{ "RVF", 0, 7 },
18070	{ "PCIE_FID_VFID", 0x52a8, 0 },
18071		{ "Select", 30, 2 },
18072		{ "IDO", 24, 1 },
18073		{ "VFID", 16, 8 },
18074		{ "TC", 11, 3 },
18075		{ "VFVld", 10, 1 },
18076		{ "PF", 7, 3 },
18077		{ "RVF", 0, 7 },
18078	{ "PCIE_FID_VFID", 0x52ac, 0 },
18079		{ "Select", 30, 2 },
18080		{ "IDO", 24, 1 },
18081		{ "VFID", 16, 8 },
18082		{ "TC", 11, 3 },
18083		{ "VFVld", 10, 1 },
18084		{ "PF", 7, 3 },
18085		{ "RVF", 0, 7 },
18086	{ "PCIE_FID_VFID", 0x52b0, 0 },
18087		{ "Select", 30, 2 },
18088		{ "IDO", 24, 1 },
18089		{ "VFID", 16, 8 },
18090		{ "TC", 11, 3 },
18091		{ "VFVld", 10, 1 },
18092		{ "PF", 7, 3 },
18093		{ "RVF", 0, 7 },
18094	{ "PCIE_FID_VFID", 0x52b4, 0 },
18095		{ "Select", 30, 2 },
18096		{ "IDO", 24, 1 },
18097		{ "VFID", 16, 8 },
18098		{ "TC", 11, 3 },
18099		{ "VFVld", 10, 1 },
18100		{ "PF", 7, 3 },
18101		{ "RVF", 0, 7 },
18102	{ "PCIE_FID_VFID", 0x52b8, 0 },
18103		{ "Select", 30, 2 },
18104		{ "IDO", 24, 1 },
18105		{ "VFID", 16, 8 },
18106		{ "TC", 11, 3 },
18107		{ "VFVld", 10, 1 },
18108		{ "PF", 7, 3 },
18109		{ "RVF", 0, 7 },
18110	{ "PCIE_FID_VFID", 0x52bc, 0 },
18111		{ "Select", 30, 2 },
18112		{ "IDO", 24, 1 },
18113		{ "VFID", 16, 8 },
18114		{ "TC", 11, 3 },
18115		{ "VFVld", 10, 1 },
18116		{ "PF", 7, 3 },
18117		{ "RVF", 0, 7 },
18118	{ "PCIE_FID_VFID", 0x52c0, 0 },
18119		{ "Select", 30, 2 },
18120		{ "IDO", 24, 1 },
18121		{ "VFID", 16, 8 },
18122		{ "TC", 11, 3 },
18123		{ "VFVld", 10, 1 },
18124		{ "PF", 7, 3 },
18125		{ "RVF", 0, 7 },
18126	{ "PCIE_FID_VFID", 0x52c4, 0 },
18127		{ "Select", 30, 2 },
18128		{ "IDO", 24, 1 },
18129		{ "VFID", 16, 8 },
18130		{ "TC", 11, 3 },
18131		{ "VFVld", 10, 1 },
18132		{ "PF", 7, 3 },
18133		{ "RVF", 0, 7 },
18134	{ "PCIE_FID_VFID", 0x52c8, 0 },
18135		{ "Select", 30, 2 },
18136		{ "IDO", 24, 1 },
18137		{ "VFID", 16, 8 },
18138		{ "TC", 11, 3 },
18139		{ "VFVld", 10, 1 },
18140		{ "PF", 7, 3 },
18141		{ "RVF", 0, 7 },
18142	{ "PCIE_FID_VFID", 0x52cc, 0 },
18143		{ "Select", 30, 2 },
18144		{ "IDO", 24, 1 },
18145		{ "VFID", 16, 8 },
18146		{ "TC", 11, 3 },
18147		{ "VFVld", 10, 1 },
18148		{ "PF", 7, 3 },
18149		{ "RVF", 0, 7 },
18150	{ "PCIE_FID_VFID", 0x52d0, 0 },
18151		{ "Select", 30, 2 },
18152		{ "IDO", 24, 1 },
18153		{ "VFID", 16, 8 },
18154		{ "TC", 11, 3 },
18155		{ "VFVld", 10, 1 },
18156		{ "PF", 7, 3 },
18157		{ "RVF", 0, 7 },
18158	{ "PCIE_FID_VFID", 0x52d4, 0 },
18159		{ "Select", 30, 2 },
18160		{ "IDO", 24, 1 },
18161		{ "VFID", 16, 8 },
18162		{ "TC", 11, 3 },
18163		{ "VFVld", 10, 1 },
18164		{ "PF", 7, 3 },
18165		{ "RVF", 0, 7 },
18166	{ "PCIE_FID_VFID", 0x52d8, 0 },
18167		{ "Select", 30, 2 },
18168		{ "IDO", 24, 1 },
18169		{ "VFID", 16, 8 },
18170		{ "TC", 11, 3 },
18171		{ "VFVld", 10, 1 },
18172		{ "PF", 7, 3 },
18173		{ "RVF", 0, 7 },
18174	{ "PCIE_FID_VFID", 0x52dc, 0 },
18175		{ "Select", 30, 2 },
18176		{ "IDO", 24, 1 },
18177		{ "VFID", 16, 8 },
18178		{ "TC", 11, 3 },
18179		{ "VFVld", 10, 1 },
18180		{ "PF", 7, 3 },
18181		{ "RVF", 0, 7 },
18182	{ "PCIE_FID_VFID", 0x52e0, 0 },
18183		{ "Select", 30, 2 },
18184		{ "IDO", 24, 1 },
18185		{ "VFID", 16, 8 },
18186		{ "TC", 11, 3 },
18187		{ "VFVld", 10, 1 },
18188		{ "PF", 7, 3 },
18189		{ "RVF", 0, 7 },
18190	{ "PCIE_FID_VFID", 0x52e4, 0 },
18191		{ "Select", 30, 2 },
18192		{ "IDO", 24, 1 },
18193		{ "VFID", 16, 8 },
18194		{ "TC", 11, 3 },
18195		{ "VFVld", 10, 1 },
18196		{ "PF", 7, 3 },
18197		{ "RVF", 0, 7 },
18198	{ "PCIE_FID_VFID", 0x52e8, 0 },
18199		{ "Select", 30, 2 },
18200		{ "IDO", 24, 1 },
18201		{ "VFID", 16, 8 },
18202		{ "TC", 11, 3 },
18203		{ "VFVld", 10, 1 },
18204		{ "PF", 7, 3 },
18205		{ "RVF", 0, 7 },
18206	{ "PCIE_FID_VFID", 0x52ec, 0 },
18207		{ "Select", 30, 2 },
18208		{ "IDO", 24, 1 },
18209		{ "VFID", 16, 8 },
18210		{ "TC", 11, 3 },
18211		{ "VFVld", 10, 1 },
18212		{ "PF", 7, 3 },
18213		{ "RVF", 0, 7 },
18214	{ "PCIE_FID_VFID", 0x52f0, 0 },
18215		{ "Select", 30, 2 },
18216		{ "IDO", 24, 1 },
18217		{ "VFID", 16, 8 },
18218		{ "TC", 11, 3 },
18219		{ "VFVld", 10, 1 },
18220		{ "PF", 7, 3 },
18221		{ "RVF", 0, 7 },
18222	{ "PCIE_FID_VFID", 0x52f4, 0 },
18223		{ "Select", 30, 2 },
18224		{ "IDO", 24, 1 },
18225		{ "VFID", 16, 8 },
18226		{ "TC", 11, 3 },
18227		{ "VFVld", 10, 1 },
18228		{ "PF", 7, 3 },
18229		{ "RVF", 0, 7 },
18230	{ "PCIE_FID_VFID", 0x52f8, 0 },
18231		{ "Select", 30, 2 },
18232		{ "IDO", 24, 1 },
18233		{ "VFID", 16, 8 },
18234		{ "TC", 11, 3 },
18235		{ "VFVld", 10, 1 },
18236		{ "PF", 7, 3 },
18237		{ "RVF", 0, 7 },
18238	{ "PCIE_FID_VFID", 0x52fc, 0 },
18239		{ "Select", 30, 2 },
18240		{ "IDO", 24, 1 },
18241		{ "VFID", 16, 8 },
18242		{ "TC", 11, 3 },
18243		{ "VFVld", 10, 1 },
18244		{ "PF", 7, 3 },
18245		{ "RVF", 0, 7 },
18246	{ "PCIE_FID_VFID", 0x5300, 0 },
18247		{ "Select", 30, 2 },
18248		{ "IDO", 24, 1 },
18249		{ "VFID", 16, 8 },
18250		{ "TC", 11, 3 },
18251		{ "VFVld", 10, 1 },
18252		{ "PF", 7, 3 },
18253		{ "RVF", 0, 7 },
18254	{ "PCIE_FID_VFID", 0x5304, 0 },
18255		{ "Select", 30, 2 },
18256		{ "IDO", 24, 1 },
18257		{ "VFID", 16, 8 },
18258		{ "TC", 11, 3 },
18259		{ "VFVld", 10, 1 },
18260		{ "PF", 7, 3 },
18261		{ "RVF", 0, 7 },
18262	{ "PCIE_FID_VFID", 0x5308, 0 },
18263		{ "Select", 30, 2 },
18264		{ "IDO", 24, 1 },
18265		{ "VFID", 16, 8 },
18266		{ "TC", 11, 3 },
18267		{ "VFVld", 10, 1 },
18268		{ "PF", 7, 3 },
18269		{ "RVF", 0, 7 },
18270	{ "PCIE_FID_VFID", 0x530c, 0 },
18271		{ "Select", 30, 2 },
18272		{ "IDO", 24, 1 },
18273		{ "VFID", 16, 8 },
18274		{ "TC", 11, 3 },
18275		{ "VFVld", 10, 1 },
18276		{ "PF", 7, 3 },
18277		{ "RVF", 0, 7 },
18278	{ "PCIE_FID_VFID", 0x5310, 0 },
18279		{ "Select", 30, 2 },
18280		{ "IDO", 24, 1 },
18281		{ "VFID", 16, 8 },
18282		{ "TC", 11, 3 },
18283		{ "VFVld", 10, 1 },
18284		{ "PF", 7, 3 },
18285		{ "RVF", 0, 7 },
18286	{ "PCIE_FID_VFID", 0x5314, 0 },
18287		{ "Select", 30, 2 },
18288		{ "IDO", 24, 1 },
18289		{ "VFID", 16, 8 },
18290		{ "TC", 11, 3 },
18291		{ "VFVld", 10, 1 },
18292		{ "PF", 7, 3 },
18293		{ "RVF", 0, 7 },
18294	{ "PCIE_FID_VFID", 0x5318, 0 },
18295		{ "Select", 30, 2 },
18296		{ "IDO", 24, 1 },
18297		{ "VFID", 16, 8 },
18298		{ "TC", 11, 3 },
18299		{ "VFVld", 10, 1 },
18300		{ "PF", 7, 3 },
18301		{ "RVF", 0, 7 },
18302	{ "PCIE_FID_VFID", 0x531c, 0 },
18303		{ "Select", 30, 2 },
18304		{ "IDO", 24, 1 },
18305		{ "VFID", 16, 8 },
18306		{ "TC", 11, 3 },
18307		{ "VFVld", 10, 1 },
18308		{ "PF", 7, 3 },
18309		{ "RVF", 0, 7 },
18310	{ "PCIE_FID_VFID", 0x5320, 0 },
18311		{ "Select", 30, 2 },
18312		{ "IDO", 24, 1 },
18313		{ "VFID", 16, 8 },
18314		{ "TC", 11, 3 },
18315		{ "VFVld", 10, 1 },
18316		{ "PF", 7, 3 },
18317		{ "RVF", 0, 7 },
18318	{ "PCIE_FID_VFID", 0x5324, 0 },
18319		{ "Select", 30, 2 },
18320		{ "IDO", 24, 1 },
18321		{ "VFID", 16, 8 },
18322		{ "TC", 11, 3 },
18323		{ "VFVld", 10, 1 },
18324		{ "PF", 7, 3 },
18325		{ "RVF", 0, 7 },
18326	{ "PCIE_FID_VFID", 0x5328, 0 },
18327		{ "Select", 30, 2 },
18328		{ "IDO", 24, 1 },
18329		{ "VFID", 16, 8 },
18330		{ "TC", 11, 3 },
18331		{ "VFVld", 10, 1 },
18332		{ "PF", 7, 3 },
18333		{ "RVF", 0, 7 },
18334	{ "PCIE_FID_VFID", 0x532c, 0 },
18335		{ "Select", 30, 2 },
18336		{ "IDO", 24, 1 },
18337		{ "VFID", 16, 8 },
18338		{ "TC", 11, 3 },
18339		{ "VFVld", 10, 1 },
18340		{ "PF", 7, 3 },
18341		{ "RVF", 0, 7 },
18342	{ "PCIE_FID_VFID", 0x5330, 0 },
18343		{ "Select", 30, 2 },
18344		{ "IDO", 24, 1 },
18345		{ "VFID", 16, 8 },
18346		{ "TC", 11, 3 },
18347		{ "VFVld", 10, 1 },
18348		{ "PF", 7, 3 },
18349		{ "RVF", 0, 7 },
18350	{ "PCIE_FID_VFID", 0x5334, 0 },
18351		{ "Select", 30, 2 },
18352		{ "IDO", 24, 1 },
18353		{ "VFID", 16, 8 },
18354		{ "TC", 11, 3 },
18355		{ "VFVld", 10, 1 },
18356		{ "PF", 7, 3 },
18357		{ "RVF", 0, 7 },
18358	{ "PCIE_FID_VFID", 0x5338, 0 },
18359		{ "Select", 30, 2 },
18360		{ "IDO", 24, 1 },
18361		{ "VFID", 16, 8 },
18362		{ "TC", 11, 3 },
18363		{ "VFVld", 10, 1 },
18364		{ "PF", 7, 3 },
18365		{ "RVF", 0, 7 },
18366	{ "PCIE_FID_VFID", 0x533c, 0 },
18367		{ "Select", 30, 2 },
18368		{ "IDO", 24, 1 },
18369		{ "VFID", 16, 8 },
18370		{ "TC", 11, 3 },
18371		{ "VFVld", 10, 1 },
18372		{ "PF", 7, 3 },
18373		{ "RVF", 0, 7 },
18374	{ "PCIE_FID_VFID", 0x5340, 0 },
18375		{ "Select", 30, 2 },
18376		{ "IDO", 24, 1 },
18377		{ "VFID", 16, 8 },
18378		{ "TC", 11, 3 },
18379		{ "VFVld", 10, 1 },
18380		{ "PF", 7, 3 },
18381		{ "RVF", 0, 7 },
18382	{ "PCIE_FID_VFID", 0x5344, 0 },
18383		{ "Select", 30, 2 },
18384		{ "IDO", 24, 1 },
18385		{ "VFID", 16, 8 },
18386		{ "TC", 11, 3 },
18387		{ "VFVld", 10, 1 },
18388		{ "PF", 7, 3 },
18389		{ "RVF", 0, 7 },
18390	{ "PCIE_FID_VFID", 0x5348, 0 },
18391		{ "Select", 30, 2 },
18392		{ "IDO", 24, 1 },
18393		{ "VFID", 16, 8 },
18394		{ "TC", 11, 3 },
18395		{ "VFVld", 10, 1 },
18396		{ "PF", 7, 3 },
18397		{ "RVF", 0, 7 },
18398	{ "PCIE_FID_VFID", 0x534c, 0 },
18399		{ "Select", 30, 2 },
18400		{ "IDO", 24, 1 },
18401		{ "VFID", 16, 8 },
18402		{ "TC", 11, 3 },
18403		{ "VFVld", 10, 1 },
18404		{ "PF", 7, 3 },
18405		{ "RVF", 0, 7 },
18406	{ "PCIE_FID_VFID", 0x5350, 0 },
18407		{ "Select", 30, 2 },
18408		{ "IDO", 24, 1 },
18409		{ "VFID", 16, 8 },
18410		{ "TC", 11, 3 },
18411		{ "VFVld", 10, 1 },
18412		{ "PF", 7, 3 },
18413		{ "RVF", 0, 7 },
18414	{ "PCIE_FID_VFID", 0x5354, 0 },
18415		{ "Select", 30, 2 },
18416		{ "IDO", 24, 1 },
18417		{ "VFID", 16, 8 },
18418		{ "TC", 11, 3 },
18419		{ "VFVld", 10, 1 },
18420		{ "PF", 7, 3 },
18421		{ "RVF", 0, 7 },
18422	{ "PCIE_FID_VFID", 0x5358, 0 },
18423		{ "Select", 30, 2 },
18424		{ "IDO", 24, 1 },
18425		{ "VFID", 16, 8 },
18426		{ "TC", 11, 3 },
18427		{ "VFVld", 10, 1 },
18428		{ "PF", 7, 3 },
18429		{ "RVF", 0, 7 },
18430	{ "PCIE_FID_VFID", 0x535c, 0 },
18431		{ "Select", 30, 2 },
18432		{ "IDO", 24, 1 },
18433		{ "VFID", 16, 8 },
18434		{ "TC", 11, 3 },
18435		{ "VFVld", 10, 1 },
18436		{ "PF", 7, 3 },
18437		{ "RVF", 0, 7 },
18438	{ "PCIE_FID_VFID", 0x5360, 0 },
18439		{ "Select", 30, 2 },
18440		{ "IDO", 24, 1 },
18441		{ "VFID", 16, 8 },
18442		{ "TC", 11, 3 },
18443		{ "VFVld", 10, 1 },
18444		{ "PF", 7, 3 },
18445		{ "RVF", 0, 7 },
18446	{ "PCIE_FID_VFID", 0x5364, 0 },
18447		{ "Select", 30, 2 },
18448		{ "IDO", 24, 1 },
18449		{ "VFID", 16, 8 },
18450		{ "TC", 11, 3 },
18451		{ "VFVld", 10, 1 },
18452		{ "PF", 7, 3 },
18453		{ "RVF", 0, 7 },
18454	{ "PCIE_FID_VFID", 0x5368, 0 },
18455		{ "Select", 30, 2 },
18456		{ "IDO", 24, 1 },
18457		{ "VFID", 16, 8 },
18458		{ "TC", 11, 3 },
18459		{ "VFVld", 10, 1 },
18460		{ "PF", 7, 3 },
18461		{ "RVF", 0, 7 },
18462	{ "PCIE_FID_VFID", 0x536c, 0 },
18463		{ "Select", 30, 2 },
18464		{ "IDO", 24, 1 },
18465		{ "VFID", 16, 8 },
18466		{ "TC", 11, 3 },
18467		{ "VFVld", 10, 1 },
18468		{ "PF", 7, 3 },
18469		{ "RVF", 0, 7 },
18470	{ "PCIE_FID_VFID", 0x5370, 0 },
18471		{ "Select", 30, 2 },
18472		{ "IDO", 24, 1 },
18473		{ "VFID", 16, 8 },
18474		{ "TC", 11, 3 },
18475		{ "VFVld", 10, 1 },
18476		{ "PF", 7, 3 },
18477		{ "RVF", 0, 7 },
18478	{ "PCIE_FID_VFID", 0x5374, 0 },
18479		{ "Select", 30, 2 },
18480		{ "IDO", 24, 1 },
18481		{ "VFID", 16, 8 },
18482		{ "TC", 11, 3 },
18483		{ "VFVld", 10, 1 },
18484		{ "PF", 7, 3 },
18485		{ "RVF", 0, 7 },
18486	{ "PCIE_FID_VFID", 0x5378, 0 },
18487		{ "Select", 30, 2 },
18488		{ "IDO", 24, 1 },
18489		{ "VFID", 16, 8 },
18490		{ "TC", 11, 3 },
18491		{ "VFVld", 10, 1 },
18492		{ "PF", 7, 3 },
18493		{ "RVF", 0, 7 },
18494	{ "PCIE_FID_VFID", 0x537c, 0 },
18495		{ "Select", 30, 2 },
18496		{ "IDO", 24, 1 },
18497		{ "VFID", 16, 8 },
18498		{ "TC", 11, 3 },
18499		{ "VFVld", 10, 1 },
18500		{ "PF", 7, 3 },
18501		{ "RVF", 0, 7 },
18502	{ "PCIE_FID_VFID", 0x5380, 0 },
18503		{ "Select", 30, 2 },
18504		{ "IDO", 24, 1 },
18505		{ "VFID", 16, 8 },
18506		{ "TC", 11, 3 },
18507		{ "VFVld", 10, 1 },
18508		{ "PF", 7, 3 },
18509		{ "RVF", 0, 7 },
18510	{ "PCIE_FID_VFID", 0x5384, 0 },
18511		{ "Select", 30, 2 },
18512		{ "IDO", 24, 1 },
18513		{ "VFID", 16, 8 },
18514		{ "TC", 11, 3 },
18515		{ "VFVld", 10, 1 },
18516		{ "PF", 7, 3 },
18517		{ "RVF", 0, 7 },
18518	{ "PCIE_FID_VFID", 0x5388, 0 },
18519		{ "Select", 30, 2 },
18520		{ "IDO", 24, 1 },
18521		{ "VFID", 16, 8 },
18522		{ "TC", 11, 3 },
18523		{ "VFVld", 10, 1 },
18524		{ "PF", 7, 3 },
18525		{ "RVF", 0, 7 },
18526	{ "PCIE_FID_VFID", 0x538c, 0 },
18527		{ "Select", 30, 2 },
18528		{ "IDO", 24, 1 },
18529		{ "VFID", 16, 8 },
18530		{ "TC", 11, 3 },
18531		{ "VFVld", 10, 1 },
18532		{ "PF", 7, 3 },
18533		{ "RVF", 0, 7 },
18534	{ "PCIE_FID_VFID", 0x5390, 0 },
18535		{ "Select", 30, 2 },
18536		{ "IDO", 24, 1 },
18537		{ "VFID", 16, 8 },
18538		{ "TC", 11, 3 },
18539		{ "VFVld", 10, 1 },
18540		{ "PF", 7, 3 },
18541		{ "RVF", 0, 7 },
18542	{ "PCIE_FID_VFID", 0x5394, 0 },
18543		{ "Select", 30, 2 },
18544		{ "IDO", 24, 1 },
18545		{ "VFID", 16, 8 },
18546		{ "TC", 11, 3 },
18547		{ "VFVld", 10, 1 },
18548		{ "PF", 7, 3 },
18549		{ "RVF", 0, 7 },
18550	{ "PCIE_FID_VFID", 0x5398, 0 },
18551		{ "Select", 30, 2 },
18552		{ "IDO", 24, 1 },
18553		{ "VFID", 16, 8 },
18554		{ "TC", 11, 3 },
18555		{ "VFVld", 10, 1 },
18556		{ "PF", 7, 3 },
18557		{ "RVF", 0, 7 },
18558	{ "PCIE_FID_VFID", 0x539c, 0 },
18559		{ "Select", 30, 2 },
18560		{ "IDO", 24, 1 },
18561		{ "VFID", 16, 8 },
18562		{ "TC", 11, 3 },
18563		{ "VFVld", 10, 1 },
18564		{ "PF", 7, 3 },
18565		{ "RVF", 0, 7 },
18566	{ "PCIE_FID_VFID", 0x53a0, 0 },
18567		{ "Select", 30, 2 },
18568		{ "IDO", 24, 1 },
18569		{ "VFID", 16, 8 },
18570		{ "TC", 11, 3 },
18571		{ "VFVld", 10, 1 },
18572		{ "PF", 7, 3 },
18573		{ "RVF", 0, 7 },
18574	{ "PCIE_FID_VFID", 0x53a4, 0 },
18575		{ "Select", 30, 2 },
18576		{ "IDO", 24, 1 },
18577		{ "VFID", 16, 8 },
18578		{ "TC", 11, 3 },
18579		{ "VFVld", 10, 1 },
18580		{ "PF", 7, 3 },
18581		{ "RVF", 0, 7 },
18582	{ "PCIE_FID_VFID", 0x53a8, 0 },
18583		{ "Select", 30, 2 },
18584		{ "IDO", 24, 1 },
18585		{ "VFID", 16, 8 },
18586		{ "TC", 11, 3 },
18587		{ "VFVld", 10, 1 },
18588		{ "PF", 7, 3 },
18589		{ "RVF", 0, 7 },
18590	{ "PCIE_FID_VFID", 0x53ac, 0 },
18591		{ "Select", 30, 2 },
18592		{ "IDO", 24, 1 },
18593		{ "VFID", 16, 8 },
18594		{ "TC", 11, 3 },
18595		{ "VFVld", 10, 1 },
18596		{ "PF", 7, 3 },
18597		{ "RVF", 0, 7 },
18598	{ "PCIE_FID_VFID", 0x53b0, 0 },
18599		{ "Select", 30, 2 },
18600		{ "IDO", 24, 1 },
18601		{ "VFID", 16, 8 },
18602		{ "TC", 11, 3 },
18603		{ "VFVld", 10, 1 },
18604		{ "PF", 7, 3 },
18605		{ "RVF", 0, 7 },
18606	{ "PCIE_FID_VFID", 0x53b4, 0 },
18607		{ "Select", 30, 2 },
18608		{ "IDO", 24, 1 },
18609		{ "VFID", 16, 8 },
18610		{ "TC", 11, 3 },
18611		{ "VFVld", 10, 1 },
18612		{ "PF", 7, 3 },
18613		{ "RVF", 0, 7 },
18614	{ "PCIE_FID_VFID", 0x53b8, 0 },
18615		{ "Select", 30, 2 },
18616		{ "IDO", 24, 1 },
18617		{ "VFID", 16, 8 },
18618		{ "TC", 11, 3 },
18619		{ "VFVld", 10, 1 },
18620		{ "PF", 7, 3 },
18621		{ "RVF", 0, 7 },
18622	{ "PCIE_FID_VFID", 0x53bc, 0 },
18623		{ "Select", 30, 2 },
18624		{ "IDO", 24, 1 },
18625		{ "VFID", 16, 8 },
18626		{ "TC", 11, 3 },
18627		{ "VFVld", 10, 1 },
18628		{ "PF", 7, 3 },
18629		{ "RVF", 0, 7 },
18630	{ "PCIE_FID_VFID", 0x53c0, 0 },
18631		{ "Select", 30, 2 },
18632		{ "IDO", 24, 1 },
18633		{ "VFID", 16, 8 },
18634		{ "TC", 11, 3 },
18635		{ "VFVld", 10, 1 },
18636		{ "PF", 7, 3 },
18637		{ "RVF", 0, 7 },
18638	{ "PCIE_FID_VFID", 0x53c4, 0 },
18639		{ "Select", 30, 2 },
18640		{ "IDO", 24, 1 },
18641		{ "VFID", 16, 8 },
18642		{ "TC", 11, 3 },
18643		{ "VFVld", 10, 1 },
18644		{ "PF", 7, 3 },
18645		{ "RVF", 0, 7 },
18646	{ "PCIE_FID_VFID", 0x53c8, 0 },
18647		{ "Select", 30, 2 },
18648		{ "IDO", 24, 1 },
18649		{ "VFID", 16, 8 },
18650		{ "TC", 11, 3 },
18651		{ "VFVld", 10, 1 },
18652		{ "PF", 7, 3 },
18653		{ "RVF", 0, 7 },
18654	{ "PCIE_FID_VFID", 0x53cc, 0 },
18655		{ "Select", 30, 2 },
18656		{ "IDO", 24, 1 },
18657		{ "VFID", 16, 8 },
18658		{ "TC", 11, 3 },
18659		{ "VFVld", 10, 1 },
18660		{ "PF", 7, 3 },
18661		{ "RVF", 0, 7 },
18662	{ "PCIE_FID_VFID", 0x53d0, 0 },
18663		{ "Select", 30, 2 },
18664		{ "IDO", 24, 1 },
18665		{ "VFID", 16, 8 },
18666		{ "TC", 11, 3 },
18667		{ "VFVld", 10, 1 },
18668		{ "PF", 7, 3 },
18669		{ "RVF", 0, 7 },
18670	{ "PCIE_FID_VFID", 0x53d4, 0 },
18671		{ "Select", 30, 2 },
18672		{ "IDO", 24, 1 },
18673		{ "VFID", 16, 8 },
18674		{ "TC", 11, 3 },
18675		{ "VFVld", 10, 1 },
18676		{ "PF", 7, 3 },
18677		{ "RVF", 0, 7 },
18678	{ "PCIE_FID_VFID", 0x53d8, 0 },
18679		{ "Select", 30, 2 },
18680		{ "IDO", 24, 1 },
18681		{ "VFID", 16, 8 },
18682		{ "TC", 11, 3 },
18683		{ "VFVld", 10, 1 },
18684		{ "PF", 7, 3 },
18685		{ "RVF", 0, 7 },
18686	{ "PCIE_FID_VFID", 0x53dc, 0 },
18687		{ "Select", 30, 2 },
18688		{ "IDO", 24, 1 },
18689		{ "VFID", 16, 8 },
18690		{ "TC", 11, 3 },
18691		{ "VFVld", 10, 1 },
18692		{ "PF", 7, 3 },
18693		{ "RVF", 0, 7 },
18694	{ "PCIE_FID_VFID", 0x53e0, 0 },
18695		{ "Select", 30, 2 },
18696		{ "IDO", 24, 1 },
18697		{ "VFID", 16, 8 },
18698		{ "TC", 11, 3 },
18699		{ "VFVld", 10, 1 },
18700		{ "PF", 7, 3 },
18701		{ "RVF", 0, 7 },
18702	{ "PCIE_FID_VFID", 0x53e4, 0 },
18703		{ "Select", 30, 2 },
18704		{ "IDO", 24, 1 },
18705		{ "VFID", 16, 8 },
18706		{ "TC", 11, 3 },
18707		{ "VFVld", 10, 1 },
18708		{ "PF", 7, 3 },
18709		{ "RVF", 0, 7 },
18710	{ "PCIE_FID_VFID", 0x53e8, 0 },
18711		{ "Select", 30, 2 },
18712		{ "IDO", 24, 1 },
18713		{ "VFID", 16, 8 },
18714		{ "TC", 11, 3 },
18715		{ "VFVld", 10, 1 },
18716		{ "PF", 7, 3 },
18717		{ "RVF", 0, 7 },
18718	{ "PCIE_FID_VFID", 0x53ec, 0 },
18719		{ "Select", 30, 2 },
18720		{ "IDO", 24, 1 },
18721		{ "VFID", 16, 8 },
18722		{ "TC", 11, 3 },
18723		{ "VFVld", 10, 1 },
18724		{ "PF", 7, 3 },
18725		{ "RVF", 0, 7 },
18726	{ "PCIE_FID_VFID", 0x53f0, 0 },
18727		{ "Select", 30, 2 },
18728		{ "IDO", 24, 1 },
18729		{ "VFID", 16, 8 },
18730		{ "TC", 11, 3 },
18731		{ "VFVld", 10, 1 },
18732		{ "PF", 7, 3 },
18733		{ "RVF", 0, 7 },
18734	{ "PCIE_FID_VFID", 0x53f4, 0 },
18735		{ "Select", 30, 2 },
18736		{ "IDO", 24, 1 },
18737		{ "VFID", 16, 8 },
18738		{ "TC", 11, 3 },
18739		{ "VFVld", 10, 1 },
18740		{ "PF", 7, 3 },
18741		{ "RVF", 0, 7 },
18742	{ "PCIE_FID_VFID", 0x53f8, 0 },
18743		{ "Select", 30, 2 },
18744		{ "IDO", 24, 1 },
18745		{ "VFID", 16, 8 },
18746		{ "TC", 11, 3 },
18747		{ "VFVld", 10, 1 },
18748		{ "PF", 7, 3 },
18749		{ "RVF", 0, 7 },
18750	{ "PCIE_FID_VFID", 0x53fc, 0 },
18751		{ "Select", 30, 2 },
18752		{ "IDO", 24, 1 },
18753		{ "VFID", 16, 8 },
18754		{ "TC", 11, 3 },
18755		{ "VFVld", 10, 1 },
18756		{ "PF", 7, 3 },
18757		{ "RVF", 0, 7 },
18758	{ "PCIE_FID_VFID", 0x5400, 0 },
18759		{ "Select", 30, 2 },
18760		{ "IDO", 24, 1 },
18761		{ "VFID", 16, 8 },
18762		{ "TC", 11, 3 },
18763		{ "VFVld", 10, 1 },
18764		{ "PF", 7, 3 },
18765		{ "RVF", 0, 7 },
18766	{ "PCIE_FID_VFID", 0x5404, 0 },
18767		{ "Select", 30, 2 },
18768		{ "IDO", 24, 1 },
18769		{ "VFID", 16, 8 },
18770		{ "TC", 11, 3 },
18771		{ "VFVld", 10, 1 },
18772		{ "PF", 7, 3 },
18773		{ "RVF", 0, 7 },
18774	{ "PCIE_FID_VFID", 0x5408, 0 },
18775		{ "Select", 30, 2 },
18776		{ "IDO", 24, 1 },
18777		{ "VFID", 16, 8 },
18778		{ "TC", 11, 3 },
18779		{ "VFVld", 10, 1 },
18780		{ "PF", 7, 3 },
18781		{ "RVF", 0, 7 },
18782	{ "PCIE_FID_VFID", 0x540c, 0 },
18783		{ "Select", 30, 2 },
18784		{ "IDO", 24, 1 },
18785		{ "VFID", 16, 8 },
18786		{ "TC", 11, 3 },
18787		{ "VFVld", 10, 1 },
18788		{ "PF", 7, 3 },
18789		{ "RVF", 0, 7 },
18790	{ "PCIE_FID_VFID", 0x5410, 0 },
18791		{ "Select", 30, 2 },
18792		{ "IDO", 24, 1 },
18793		{ "VFID", 16, 8 },
18794		{ "TC", 11, 3 },
18795		{ "VFVld", 10, 1 },
18796		{ "PF", 7, 3 },
18797		{ "RVF", 0, 7 },
18798	{ "PCIE_FID_VFID", 0x5414, 0 },
18799		{ "Select", 30, 2 },
18800		{ "IDO", 24, 1 },
18801		{ "VFID", 16, 8 },
18802		{ "TC", 11, 3 },
18803		{ "VFVld", 10, 1 },
18804		{ "PF", 7, 3 },
18805		{ "RVF", 0, 7 },
18806	{ "PCIE_FID_VFID", 0x5418, 0 },
18807		{ "Select", 30, 2 },
18808		{ "IDO", 24, 1 },
18809		{ "VFID", 16, 8 },
18810		{ "TC", 11, 3 },
18811		{ "VFVld", 10, 1 },
18812		{ "PF", 7, 3 },
18813		{ "RVF", 0, 7 },
18814	{ "PCIE_FID_VFID", 0x541c, 0 },
18815		{ "Select", 30, 2 },
18816		{ "IDO", 24, 1 },
18817		{ "VFID", 16, 8 },
18818		{ "TC", 11, 3 },
18819		{ "VFVld", 10, 1 },
18820		{ "PF", 7, 3 },
18821		{ "RVF", 0, 7 },
18822	{ "PCIE_FID_VFID", 0x5420, 0 },
18823		{ "Select", 30, 2 },
18824		{ "IDO", 24, 1 },
18825		{ "VFID", 16, 8 },
18826		{ "TC", 11, 3 },
18827		{ "VFVld", 10, 1 },
18828		{ "PF", 7, 3 },
18829		{ "RVF", 0, 7 },
18830	{ "PCIE_FID_VFID", 0x5424, 0 },
18831		{ "Select", 30, 2 },
18832		{ "IDO", 24, 1 },
18833		{ "VFID", 16, 8 },
18834		{ "TC", 11, 3 },
18835		{ "VFVld", 10, 1 },
18836		{ "PF", 7, 3 },
18837		{ "RVF", 0, 7 },
18838	{ "PCIE_FID_VFID", 0x5428, 0 },
18839		{ "Select", 30, 2 },
18840		{ "IDO", 24, 1 },
18841		{ "VFID", 16, 8 },
18842		{ "TC", 11, 3 },
18843		{ "VFVld", 10, 1 },
18844		{ "PF", 7, 3 },
18845		{ "RVF", 0, 7 },
18846	{ "PCIE_FID_VFID", 0x542c, 0 },
18847		{ "Select", 30, 2 },
18848		{ "IDO", 24, 1 },
18849		{ "VFID", 16, 8 },
18850		{ "TC", 11, 3 },
18851		{ "VFVld", 10, 1 },
18852		{ "PF", 7, 3 },
18853		{ "RVF", 0, 7 },
18854	{ "PCIE_FID_VFID", 0x5430, 0 },
18855		{ "Select", 30, 2 },
18856		{ "IDO", 24, 1 },
18857		{ "VFID", 16, 8 },
18858		{ "TC", 11, 3 },
18859		{ "VFVld", 10, 1 },
18860		{ "PF", 7, 3 },
18861		{ "RVF", 0, 7 },
18862	{ "PCIE_FID_VFID", 0x5434, 0 },
18863		{ "Select", 30, 2 },
18864		{ "IDO", 24, 1 },
18865		{ "VFID", 16, 8 },
18866		{ "TC", 11, 3 },
18867		{ "VFVld", 10, 1 },
18868		{ "PF", 7, 3 },
18869		{ "RVF", 0, 7 },
18870	{ "PCIE_FID_VFID", 0x5438, 0 },
18871		{ "Select", 30, 2 },
18872		{ "IDO", 24, 1 },
18873		{ "VFID", 16, 8 },
18874		{ "TC", 11, 3 },
18875		{ "VFVld", 10, 1 },
18876		{ "PF", 7, 3 },
18877		{ "RVF", 0, 7 },
18878	{ "PCIE_FID_VFID", 0x543c, 0 },
18879		{ "Select", 30, 2 },
18880		{ "IDO", 24, 1 },
18881		{ "VFID", 16, 8 },
18882		{ "TC", 11, 3 },
18883		{ "VFVld", 10, 1 },
18884		{ "PF", 7, 3 },
18885		{ "RVF", 0, 7 },
18886	{ "PCIE_FID_VFID", 0x5440, 0 },
18887		{ "Select", 30, 2 },
18888		{ "IDO", 24, 1 },
18889		{ "VFID", 16, 8 },
18890		{ "TC", 11, 3 },
18891		{ "VFVld", 10, 1 },
18892		{ "PF", 7, 3 },
18893		{ "RVF", 0, 7 },
18894	{ "PCIE_FID_VFID", 0x5444, 0 },
18895		{ "Select", 30, 2 },
18896		{ "IDO", 24, 1 },
18897		{ "VFID", 16, 8 },
18898		{ "TC", 11, 3 },
18899		{ "VFVld", 10, 1 },
18900		{ "PF", 7, 3 },
18901		{ "RVF", 0, 7 },
18902	{ "PCIE_FID_VFID", 0x5448, 0 },
18903		{ "Select", 30, 2 },
18904		{ "IDO", 24, 1 },
18905		{ "VFID", 16, 8 },
18906		{ "TC", 11, 3 },
18907		{ "VFVld", 10, 1 },
18908		{ "PF", 7, 3 },
18909		{ "RVF", 0, 7 },
18910	{ "PCIE_FID_VFID", 0x544c, 0 },
18911		{ "Select", 30, 2 },
18912		{ "IDO", 24, 1 },
18913		{ "VFID", 16, 8 },
18914		{ "TC", 11, 3 },
18915		{ "VFVld", 10, 1 },
18916		{ "PF", 7, 3 },
18917		{ "RVF", 0, 7 },
18918	{ "PCIE_FID_VFID", 0x5450, 0 },
18919		{ "Select", 30, 2 },
18920		{ "IDO", 24, 1 },
18921		{ "VFID", 16, 8 },
18922		{ "TC", 11, 3 },
18923		{ "VFVld", 10, 1 },
18924		{ "PF", 7, 3 },
18925		{ "RVF", 0, 7 },
18926	{ "PCIE_FID_VFID", 0x5454, 0 },
18927		{ "Select", 30, 2 },
18928		{ "IDO", 24, 1 },
18929		{ "VFID", 16, 8 },
18930		{ "TC", 11, 3 },
18931		{ "VFVld", 10, 1 },
18932		{ "PF", 7, 3 },
18933		{ "RVF", 0, 7 },
18934	{ "PCIE_FID_VFID", 0x5458, 0 },
18935		{ "Select", 30, 2 },
18936		{ "IDO", 24, 1 },
18937		{ "VFID", 16, 8 },
18938		{ "TC", 11, 3 },
18939		{ "VFVld", 10, 1 },
18940		{ "PF", 7, 3 },
18941		{ "RVF", 0, 7 },
18942	{ "PCIE_FID_VFID", 0x545c, 0 },
18943		{ "Select", 30, 2 },
18944		{ "IDO", 24, 1 },
18945		{ "VFID", 16, 8 },
18946		{ "TC", 11, 3 },
18947		{ "VFVld", 10, 1 },
18948		{ "PF", 7, 3 },
18949		{ "RVF", 0, 7 },
18950	{ "PCIE_FID_VFID", 0x5460, 0 },
18951		{ "Select", 30, 2 },
18952		{ "IDO", 24, 1 },
18953		{ "VFID", 16, 8 },
18954		{ "TC", 11, 3 },
18955		{ "VFVld", 10, 1 },
18956		{ "PF", 7, 3 },
18957		{ "RVF", 0, 7 },
18958	{ "PCIE_FID_VFID", 0x5464, 0 },
18959		{ "Select", 30, 2 },
18960		{ "IDO", 24, 1 },
18961		{ "VFID", 16, 8 },
18962		{ "TC", 11, 3 },
18963		{ "VFVld", 10, 1 },
18964		{ "PF", 7, 3 },
18965		{ "RVF", 0, 7 },
18966	{ "PCIE_FID_VFID", 0x5468, 0 },
18967		{ "Select", 30, 2 },
18968		{ "IDO", 24, 1 },
18969		{ "VFID", 16, 8 },
18970		{ "TC", 11, 3 },
18971		{ "VFVld", 10, 1 },
18972		{ "PF", 7, 3 },
18973		{ "RVF", 0, 7 },
18974	{ "PCIE_FID_VFID", 0x546c, 0 },
18975		{ "Select", 30, 2 },
18976		{ "IDO", 24, 1 },
18977		{ "VFID", 16, 8 },
18978		{ "TC", 11, 3 },
18979		{ "VFVld", 10, 1 },
18980		{ "PF", 7, 3 },
18981		{ "RVF", 0, 7 },
18982	{ "PCIE_FID_VFID", 0x5470, 0 },
18983		{ "Select", 30, 2 },
18984		{ "IDO", 24, 1 },
18985		{ "VFID", 16, 8 },
18986		{ "TC", 11, 3 },
18987		{ "VFVld", 10, 1 },
18988		{ "PF", 7, 3 },
18989		{ "RVF", 0, 7 },
18990	{ "PCIE_FID_VFID", 0x5474, 0 },
18991		{ "Select", 30, 2 },
18992		{ "IDO", 24, 1 },
18993		{ "VFID", 16, 8 },
18994		{ "TC", 11, 3 },
18995		{ "VFVld", 10, 1 },
18996		{ "PF", 7, 3 },
18997		{ "RVF", 0, 7 },
18998	{ "PCIE_FID_VFID", 0x5478, 0 },
18999		{ "Select", 30, 2 },
19000		{ "IDO", 24, 1 },
19001		{ "VFID", 16, 8 },
19002		{ "TC", 11, 3 },
19003		{ "VFVld", 10, 1 },
19004		{ "PF", 7, 3 },
19005		{ "RVF", 0, 7 },
19006	{ "PCIE_FID_VFID", 0x547c, 0 },
19007		{ "Select", 30, 2 },
19008		{ "IDO", 24, 1 },
19009		{ "VFID", 16, 8 },
19010		{ "TC", 11, 3 },
19011		{ "VFVld", 10, 1 },
19012		{ "PF", 7, 3 },
19013		{ "RVF", 0, 7 },
19014	{ "PCIE_FID_VFID", 0x5480, 0 },
19015		{ "Select", 30, 2 },
19016		{ "IDO", 24, 1 },
19017		{ "VFID", 16, 8 },
19018		{ "TC", 11, 3 },
19019		{ "VFVld", 10, 1 },
19020		{ "PF", 7, 3 },
19021		{ "RVF", 0, 7 },
19022	{ "PCIE_FID_VFID", 0x5484, 0 },
19023		{ "Select", 30, 2 },
19024		{ "IDO", 24, 1 },
19025		{ "VFID", 16, 8 },
19026		{ "TC", 11, 3 },
19027		{ "VFVld", 10, 1 },
19028		{ "PF", 7, 3 },
19029		{ "RVF", 0, 7 },
19030	{ "PCIE_FID_VFID", 0x5488, 0 },
19031		{ "Select", 30, 2 },
19032		{ "IDO", 24, 1 },
19033		{ "VFID", 16, 8 },
19034		{ "TC", 11, 3 },
19035		{ "VFVld", 10, 1 },
19036		{ "PF", 7, 3 },
19037		{ "RVF", 0, 7 },
19038	{ "PCIE_FID_VFID", 0x548c, 0 },
19039		{ "Select", 30, 2 },
19040		{ "IDO", 24, 1 },
19041		{ "VFID", 16, 8 },
19042		{ "TC", 11, 3 },
19043		{ "VFVld", 10, 1 },
19044		{ "PF", 7, 3 },
19045		{ "RVF", 0, 7 },
19046	{ "PCIE_FID_VFID", 0x5490, 0 },
19047		{ "Select", 30, 2 },
19048		{ "IDO", 24, 1 },
19049		{ "VFID", 16, 8 },
19050		{ "TC", 11, 3 },
19051		{ "VFVld", 10, 1 },
19052		{ "PF", 7, 3 },
19053		{ "RVF", 0, 7 },
19054	{ "PCIE_FID_VFID", 0x5494, 0 },
19055		{ "Select", 30, 2 },
19056		{ "IDO", 24, 1 },
19057		{ "VFID", 16, 8 },
19058		{ "TC", 11, 3 },
19059		{ "VFVld", 10, 1 },
19060		{ "PF", 7, 3 },
19061		{ "RVF", 0, 7 },
19062	{ "PCIE_FID_VFID", 0x5498, 0 },
19063		{ "Select", 30, 2 },
19064		{ "IDO", 24, 1 },
19065		{ "VFID", 16, 8 },
19066		{ "TC", 11, 3 },
19067		{ "VFVld", 10, 1 },
19068		{ "PF", 7, 3 },
19069		{ "RVF", 0, 7 },
19070	{ "PCIE_FID_VFID", 0x549c, 0 },
19071		{ "Select", 30, 2 },
19072		{ "IDO", 24, 1 },
19073		{ "VFID", 16, 8 },
19074		{ "TC", 11, 3 },
19075		{ "VFVld", 10, 1 },
19076		{ "PF", 7, 3 },
19077		{ "RVF", 0, 7 },
19078	{ "PCIE_FID_VFID", 0x54a0, 0 },
19079		{ "Select", 30, 2 },
19080		{ "IDO", 24, 1 },
19081		{ "VFID", 16, 8 },
19082		{ "TC", 11, 3 },
19083		{ "VFVld", 10, 1 },
19084		{ "PF", 7, 3 },
19085		{ "RVF", 0, 7 },
19086	{ "PCIE_FID_VFID", 0x54a4, 0 },
19087		{ "Select", 30, 2 },
19088		{ "IDO", 24, 1 },
19089		{ "VFID", 16, 8 },
19090		{ "TC", 11, 3 },
19091		{ "VFVld", 10, 1 },
19092		{ "PF", 7, 3 },
19093		{ "RVF", 0, 7 },
19094	{ "PCIE_FID_VFID", 0x54a8, 0 },
19095		{ "Select", 30, 2 },
19096		{ "IDO", 24, 1 },
19097		{ "VFID", 16, 8 },
19098		{ "TC", 11, 3 },
19099		{ "VFVld", 10, 1 },
19100		{ "PF", 7, 3 },
19101		{ "RVF", 0, 7 },
19102	{ "PCIE_FID_VFID", 0x54ac, 0 },
19103		{ "Select", 30, 2 },
19104		{ "IDO", 24, 1 },
19105		{ "VFID", 16, 8 },
19106		{ "TC", 11, 3 },
19107		{ "VFVld", 10, 1 },
19108		{ "PF", 7, 3 },
19109		{ "RVF", 0, 7 },
19110	{ "PCIE_FID_VFID", 0x54b0, 0 },
19111		{ "Select", 30, 2 },
19112		{ "IDO", 24, 1 },
19113		{ "VFID", 16, 8 },
19114		{ "TC", 11, 3 },
19115		{ "VFVld", 10, 1 },
19116		{ "PF", 7, 3 },
19117		{ "RVF", 0, 7 },
19118	{ "PCIE_FID_VFID", 0x54b4, 0 },
19119		{ "Select", 30, 2 },
19120		{ "IDO", 24, 1 },
19121		{ "VFID", 16, 8 },
19122		{ "TC", 11, 3 },
19123		{ "VFVld", 10, 1 },
19124		{ "PF", 7, 3 },
19125		{ "RVF", 0, 7 },
19126	{ "PCIE_FID_VFID", 0x54b8, 0 },
19127		{ "Select", 30, 2 },
19128		{ "IDO", 24, 1 },
19129		{ "VFID", 16, 8 },
19130		{ "TC", 11, 3 },
19131		{ "VFVld", 10, 1 },
19132		{ "PF", 7, 3 },
19133		{ "RVF", 0, 7 },
19134	{ "PCIE_FID_VFID", 0x54bc, 0 },
19135		{ "Select", 30, 2 },
19136		{ "IDO", 24, 1 },
19137		{ "VFID", 16, 8 },
19138		{ "TC", 11, 3 },
19139		{ "VFVld", 10, 1 },
19140		{ "PF", 7, 3 },
19141		{ "RVF", 0, 7 },
19142	{ "PCIE_FID_VFID", 0x54c0, 0 },
19143		{ "Select", 30, 2 },
19144		{ "IDO", 24, 1 },
19145		{ "VFID", 16, 8 },
19146		{ "TC", 11, 3 },
19147		{ "VFVld", 10, 1 },
19148		{ "PF", 7, 3 },
19149		{ "RVF", 0, 7 },
19150	{ "PCIE_FID_VFID", 0x54c4, 0 },
19151		{ "Select", 30, 2 },
19152		{ "IDO", 24, 1 },
19153		{ "VFID", 16, 8 },
19154		{ "TC", 11, 3 },
19155		{ "VFVld", 10, 1 },
19156		{ "PF", 7, 3 },
19157		{ "RVF", 0, 7 },
19158	{ "PCIE_FID_VFID", 0x54c8, 0 },
19159		{ "Select", 30, 2 },
19160		{ "IDO", 24, 1 },
19161		{ "VFID", 16, 8 },
19162		{ "TC", 11, 3 },
19163		{ "VFVld", 10, 1 },
19164		{ "PF", 7, 3 },
19165		{ "RVF", 0, 7 },
19166	{ "PCIE_FID_VFID", 0x54cc, 0 },
19167		{ "Select", 30, 2 },
19168		{ "IDO", 24, 1 },
19169		{ "VFID", 16, 8 },
19170		{ "TC", 11, 3 },
19171		{ "VFVld", 10, 1 },
19172		{ "PF", 7, 3 },
19173		{ "RVF", 0, 7 },
19174	{ "PCIE_FID_VFID", 0x54d0, 0 },
19175		{ "Select", 30, 2 },
19176		{ "IDO", 24, 1 },
19177		{ "VFID", 16, 8 },
19178		{ "TC", 11, 3 },
19179		{ "VFVld", 10, 1 },
19180		{ "PF", 7, 3 },
19181		{ "RVF", 0, 7 },
19182	{ "PCIE_FID_VFID", 0x54d4, 0 },
19183		{ "Select", 30, 2 },
19184		{ "IDO", 24, 1 },
19185		{ "VFID", 16, 8 },
19186		{ "TC", 11, 3 },
19187		{ "VFVld", 10, 1 },
19188		{ "PF", 7, 3 },
19189		{ "RVF", 0, 7 },
19190	{ "PCIE_FID_VFID", 0x54d8, 0 },
19191		{ "Select", 30, 2 },
19192		{ "IDO", 24, 1 },
19193		{ "VFID", 16, 8 },
19194		{ "TC", 11, 3 },
19195		{ "VFVld", 10, 1 },
19196		{ "PF", 7, 3 },
19197		{ "RVF", 0, 7 },
19198	{ "PCIE_FID_VFID", 0x54dc, 0 },
19199		{ "Select", 30, 2 },
19200		{ "IDO", 24, 1 },
19201		{ "VFID", 16, 8 },
19202		{ "TC", 11, 3 },
19203		{ "VFVld", 10, 1 },
19204		{ "PF", 7, 3 },
19205		{ "RVF", 0, 7 },
19206	{ "PCIE_FID_VFID", 0x54e0, 0 },
19207		{ "Select", 30, 2 },
19208		{ "IDO", 24, 1 },
19209		{ "VFID", 16, 8 },
19210		{ "TC", 11, 3 },
19211		{ "VFVld", 10, 1 },
19212		{ "PF", 7, 3 },
19213		{ "RVF", 0, 7 },
19214	{ "PCIE_FID_VFID", 0x54e4, 0 },
19215		{ "Select", 30, 2 },
19216		{ "IDO", 24, 1 },
19217		{ "VFID", 16, 8 },
19218		{ "TC", 11, 3 },
19219		{ "VFVld", 10, 1 },
19220		{ "PF", 7, 3 },
19221		{ "RVF", 0, 7 },
19222	{ "PCIE_FID_VFID", 0x54e8, 0 },
19223		{ "Select", 30, 2 },
19224		{ "IDO", 24, 1 },
19225		{ "VFID", 16, 8 },
19226		{ "TC", 11, 3 },
19227		{ "VFVld", 10, 1 },
19228		{ "PF", 7, 3 },
19229		{ "RVF", 0, 7 },
19230	{ "PCIE_FID_VFID", 0x54ec, 0 },
19231		{ "Select", 30, 2 },
19232		{ "IDO", 24, 1 },
19233		{ "VFID", 16, 8 },
19234		{ "TC", 11, 3 },
19235		{ "VFVld", 10, 1 },
19236		{ "PF", 7, 3 },
19237		{ "RVF", 0, 7 },
19238	{ "PCIE_FID_VFID", 0x54f0, 0 },
19239		{ "Select", 30, 2 },
19240		{ "IDO", 24, 1 },
19241		{ "VFID", 16, 8 },
19242		{ "TC", 11, 3 },
19243		{ "VFVld", 10, 1 },
19244		{ "PF", 7, 3 },
19245		{ "RVF", 0, 7 },
19246	{ "PCIE_FID_VFID", 0x54f4, 0 },
19247		{ "Select", 30, 2 },
19248		{ "IDO", 24, 1 },
19249		{ "VFID", 16, 8 },
19250		{ "TC", 11, 3 },
19251		{ "VFVld", 10, 1 },
19252		{ "PF", 7, 3 },
19253		{ "RVF", 0, 7 },
19254	{ "PCIE_FID_VFID", 0x54f8, 0 },
19255		{ "Select", 30, 2 },
19256		{ "IDO", 24, 1 },
19257		{ "VFID", 16, 8 },
19258		{ "TC", 11, 3 },
19259		{ "VFVld", 10, 1 },
19260		{ "PF", 7, 3 },
19261		{ "RVF", 0, 7 },
19262	{ "PCIE_FID_VFID", 0x54fc, 0 },
19263		{ "Select", 30, 2 },
19264		{ "IDO", 24, 1 },
19265		{ "VFID", 16, 8 },
19266		{ "TC", 11, 3 },
19267		{ "VFVld", 10, 1 },
19268		{ "PF", 7, 3 },
19269		{ "RVF", 0, 7 },
19270	{ "PCIE_FID_VFID", 0x5500, 0 },
19271		{ "Select", 30, 2 },
19272		{ "IDO", 24, 1 },
19273		{ "VFID", 16, 8 },
19274		{ "TC", 11, 3 },
19275		{ "VFVld", 10, 1 },
19276		{ "PF", 7, 3 },
19277		{ "RVF", 0, 7 },
19278	{ "PCIE_FID_VFID", 0x5504, 0 },
19279		{ "Select", 30, 2 },
19280		{ "IDO", 24, 1 },
19281		{ "VFID", 16, 8 },
19282		{ "TC", 11, 3 },
19283		{ "VFVld", 10, 1 },
19284		{ "PF", 7, 3 },
19285		{ "RVF", 0, 7 },
19286	{ "PCIE_FID_VFID", 0x5508, 0 },
19287		{ "Select", 30, 2 },
19288		{ "IDO", 24, 1 },
19289		{ "VFID", 16, 8 },
19290		{ "TC", 11, 3 },
19291		{ "VFVld", 10, 1 },
19292		{ "PF", 7, 3 },
19293		{ "RVF", 0, 7 },
19294	{ "PCIE_FID_VFID", 0x550c, 0 },
19295		{ "Select", 30, 2 },
19296		{ "IDO", 24, 1 },
19297		{ "VFID", 16, 8 },
19298		{ "TC", 11, 3 },
19299		{ "VFVld", 10, 1 },
19300		{ "PF", 7, 3 },
19301		{ "RVF", 0, 7 },
19302	{ "PCIE_FID_VFID", 0x5510, 0 },
19303		{ "Select", 30, 2 },
19304		{ "IDO", 24, 1 },
19305		{ "VFID", 16, 8 },
19306		{ "TC", 11, 3 },
19307		{ "VFVld", 10, 1 },
19308		{ "PF", 7, 3 },
19309		{ "RVF", 0, 7 },
19310	{ "PCIE_FID_VFID", 0x5514, 0 },
19311		{ "Select", 30, 2 },
19312		{ "IDO", 24, 1 },
19313		{ "VFID", 16, 8 },
19314		{ "TC", 11, 3 },
19315		{ "VFVld", 10, 1 },
19316		{ "PF", 7, 3 },
19317		{ "RVF", 0, 7 },
19318	{ "PCIE_FID_VFID", 0x5518, 0 },
19319		{ "Select", 30, 2 },
19320		{ "IDO", 24, 1 },
19321		{ "VFID", 16, 8 },
19322		{ "TC", 11, 3 },
19323		{ "VFVld", 10, 1 },
19324		{ "PF", 7, 3 },
19325		{ "RVF", 0, 7 },
19326	{ "PCIE_FID_VFID", 0x551c, 0 },
19327		{ "Select", 30, 2 },
19328		{ "IDO", 24, 1 },
19329		{ "VFID", 16, 8 },
19330		{ "TC", 11, 3 },
19331		{ "VFVld", 10, 1 },
19332		{ "PF", 7, 3 },
19333		{ "RVF", 0, 7 },
19334	{ "PCIE_FID_VFID", 0x5520, 0 },
19335		{ "Select", 30, 2 },
19336		{ "IDO", 24, 1 },
19337		{ "VFID", 16, 8 },
19338		{ "TC", 11, 3 },
19339		{ "VFVld", 10, 1 },
19340		{ "PF", 7, 3 },
19341		{ "RVF", 0, 7 },
19342	{ "PCIE_FID_VFID", 0x5524, 0 },
19343		{ "Select", 30, 2 },
19344		{ "IDO", 24, 1 },
19345		{ "VFID", 16, 8 },
19346		{ "TC", 11, 3 },
19347		{ "VFVld", 10, 1 },
19348		{ "PF", 7, 3 },
19349		{ "RVF", 0, 7 },
19350	{ "PCIE_FID_VFID", 0x5528, 0 },
19351		{ "Select", 30, 2 },
19352		{ "IDO", 24, 1 },
19353		{ "VFID", 16, 8 },
19354		{ "TC", 11, 3 },
19355		{ "VFVld", 10, 1 },
19356		{ "PF", 7, 3 },
19357		{ "RVF", 0, 7 },
19358	{ "PCIE_FID_VFID", 0x552c, 0 },
19359		{ "Select", 30, 2 },
19360		{ "IDO", 24, 1 },
19361		{ "VFID", 16, 8 },
19362		{ "TC", 11, 3 },
19363		{ "VFVld", 10, 1 },
19364		{ "PF", 7, 3 },
19365		{ "RVF", 0, 7 },
19366	{ "PCIE_FID_VFID", 0x5530, 0 },
19367		{ "Select", 30, 2 },
19368		{ "IDO", 24, 1 },
19369		{ "VFID", 16, 8 },
19370		{ "TC", 11, 3 },
19371		{ "VFVld", 10, 1 },
19372		{ "PF", 7, 3 },
19373		{ "RVF", 0, 7 },
19374	{ "PCIE_FID_VFID", 0x5534, 0 },
19375		{ "Select", 30, 2 },
19376		{ "IDO", 24, 1 },
19377		{ "VFID", 16, 8 },
19378		{ "TC", 11, 3 },
19379		{ "VFVld", 10, 1 },
19380		{ "PF", 7, 3 },
19381		{ "RVF", 0, 7 },
19382	{ "PCIE_FID_VFID", 0x5538, 0 },
19383		{ "Select", 30, 2 },
19384		{ "IDO", 24, 1 },
19385		{ "VFID", 16, 8 },
19386		{ "TC", 11, 3 },
19387		{ "VFVld", 10, 1 },
19388		{ "PF", 7, 3 },
19389		{ "RVF", 0, 7 },
19390	{ "PCIE_FID_VFID", 0x553c, 0 },
19391		{ "Select", 30, 2 },
19392		{ "IDO", 24, 1 },
19393		{ "VFID", 16, 8 },
19394		{ "TC", 11, 3 },
19395		{ "VFVld", 10, 1 },
19396		{ "PF", 7, 3 },
19397		{ "RVF", 0, 7 },
19398	{ "PCIE_FID_VFID", 0x5540, 0 },
19399		{ "Select", 30, 2 },
19400		{ "IDO", 24, 1 },
19401		{ "VFID", 16, 8 },
19402		{ "TC", 11, 3 },
19403		{ "VFVld", 10, 1 },
19404		{ "PF", 7, 3 },
19405		{ "RVF", 0, 7 },
19406	{ "PCIE_FID_VFID", 0x5544, 0 },
19407		{ "Select", 30, 2 },
19408		{ "IDO", 24, 1 },
19409		{ "VFID", 16, 8 },
19410		{ "TC", 11, 3 },
19411		{ "VFVld", 10, 1 },
19412		{ "PF", 7, 3 },
19413		{ "RVF", 0, 7 },
19414	{ "PCIE_FID_VFID", 0x5548, 0 },
19415		{ "Select", 30, 2 },
19416		{ "IDO", 24, 1 },
19417		{ "VFID", 16, 8 },
19418		{ "TC", 11, 3 },
19419		{ "VFVld", 10, 1 },
19420		{ "PF", 7, 3 },
19421		{ "RVF", 0, 7 },
19422	{ "PCIE_FID_VFID", 0x554c, 0 },
19423		{ "Select", 30, 2 },
19424		{ "IDO", 24, 1 },
19425		{ "VFID", 16, 8 },
19426		{ "TC", 11, 3 },
19427		{ "VFVld", 10, 1 },
19428		{ "PF", 7, 3 },
19429		{ "RVF", 0, 7 },
19430	{ "PCIE_FID_VFID", 0x5550, 0 },
19431		{ "Select", 30, 2 },
19432		{ "IDO", 24, 1 },
19433		{ "VFID", 16, 8 },
19434		{ "TC", 11, 3 },
19435		{ "VFVld", 10, 1 },
19436		{ "PF", 7, 3 },
19437		{ "RVF", 0, 7 },
19438	{ "PCIE_FID_VFID", 0x5554, 0 },
19439		{ "Select", 30, 2 },
19440		{ "IDO", 24, 1 },
19441		{ "VFID", 16, 8 },
19442		{ "TC", 11, 3 },
19443		{ "VFVld", 10, 1 },
19444		{ "PF", 7, 3 },
19445		{ "RVF", 0, 7 },
19446	{ "PCIE_FID_VFID", 0x5558, 0 },
19447		{ "Select", 30, 2 },
19448		{ "IDO", 24, 1 },
19449		{ "VFID", 16, 8 },
19450		{ "TC", 11, 3 },
19451		{ "VFVld", 10, 1 },
19452		{ "PF", 7, 3 },
19453		{ "RVF", 0, 7 },
19454	{ "PCIE_FID_VFID", 0x555c, 0 },
19455		{ "Select", 30, 2 },
19456		{ "IDO", 24, 1 },
19457		{ "VFID", 16, 8 },
19458		{ "TC", 11, 3 },
19459		{ "VFVld", 10, 1 },
19460		{ "PF", 7, 3 },
19461		{ "RVF", 0, 7 },
19462	{ "PCIE_FID_VFID", 0x5560, 0 },
19463		{ "Select", 30, 2 },
19464		{ "IDO", 24, 1 },
19465		{ "VFID", 16, 8 },
19466		{ "TC", 11, 3 },
19467		{ "VFVld", 10, 1 },
19468		{ "PF", 7, 3 },
19469		{ "RVF", 0, 7 },
19470	{ "PCIE_FID_VFID", 0x5564, 0 },
19471		{ "Select", 30, 2 },
19472		{ "IDO", 24, 1 },
19473		{ "VFID", 16, 8 },
19474		{ "TC", 11, 3 },
19475		{ "VFVld", 10, 1 },
19476		{ "PF", 7, 3 },
19477		{ "RVF", 0, 7 },
19478	{ "PCIE_FID_VFID", 0x5568, 0 },
19479		{ "Select", 30, 2 },
19480		{ "IDO", 24, 1 },
19481		{ "VFID", 16, 8 },
19482		{ "TC", 11, 3 },
19483		{ "VFVld", 10, 1 },
19484		{ "PF", 7, 3 },
19485		{ "RVF", 0, 7 },
19486	{ "PCIE_FID_VFID", 0x556c, 0 },
19487		{ "Select", 30, 2 },
19488		{ "IDO", 24, 1 },
19489		{ "VFID", 16, 8 },
19490		{ "TC", 11, 3 },
19491		{ "VFVld", 10, 1 },
19492		{ "PF", 7, 3 },
19493		{ "RVF", 0, 7 },
19494	{ "PCIE_FID_VFID", 0x5570, 0 },
19495		{ "Select", 30, 2 },
19496		{ "IDO", 24, 1 },
19497		{ "VFID", 16, 8 },
19498		{ "TC", 11, 3 },
19499		{ "VFVld", 10, 1 },
19500		{ "PF", 7, 3 },
19501		{ "RVF", 0, 7 },
19502	{ "PCIE_FID_VFID", 0x5574, 0 },
19503		{ "Select", 30, 2 },
19504		{ "IDO", 24, 1 },
19505		{ "VFID", 16, 8 },
19506		{ "TC", 11, 3 },
19507		{ "VFVld", 10, 1 },
19508		{ "PF", 7, 3 },
19509		{ "RVF", 0, 7 },
19510	{ "PCIE_FID_VFID", 0x5578, 0 },
19511		{ "Select", 30, 2 },
19512		{ "IDO", 24, 1 },
19513		{ "VFID", 16, 8 },
19514		{ "TC", 11, 3 },
19515		{ "VFVld", 10, 1 },
19516		{ "PF", 7, 3 },
19517		{ "RVF", 0, 7 },
19518	{ "PCIE_FID_VFID", 0x557c, 0 },
19519		{ "Select", 30, 2 },
19520		{ "IDO", 24, 1 },
19521		{ "VFID", 16, 8 },
19522		{ "TC", 11, 3 },
19523		{ "VFVld", 10, 1 },
19524		{ "PF", 7, 3 },
19525		{ "RVF", 0, 7 },
19526	{ "PCIE_FID_VFID", 0x5580, 0 },
19527		{ "Select", 30, 2 },
19528		{ "IDO", 24, 1 },
19529		{ "VFID", 16, 8 },
19530		{ "TC", 11, 3 },
19531		{ "VFVld", 10, 1 },
19532		{ "PF", 7, 3 },
19533		{ "RVF", 0, 7 },
19534	{ "PCIE_FID_VFID", 0x5584, 0 },
19535		{ "Select", 30, 2 },
19536		{ "IDO", 24, 1 },
19537		{ "VFID", 16, 8 },
19538		{ "TC", 11, 3 },
19539		{ "VFVld", 10, 1 },
19540		{ "PF", 7, 3 },
19541		{ "RVF", 0, 7 },
19542	{ "PCIE_FID_VFID", 0x5588, 0 },
19543		{ "Select", 30, 2 },
19544		{ "IDO", 24, 1 },
19545		{ "VFID", 16, 8 },
19546		{ "TC", 11, 3 },
19547		{ "VFVld", 10, 1 },
19548		{ "PF", 7, 3 },
19549		{ "RVF", 0, 7 },
19550	{ "PCIE_FID_VFID", 0x558c, 0 },
19551		{ "Select", 30, 2 },
19552		{ "IDO", 24, 1 },
19553		{ "VFID", 16, 8 },
19554		{ "TC", 11, 3 },
19555		{ "VFVld", 10, 1 },
19556		{ "PF", 7, 3 },
19557		{ "RVF", 0, 7 },
19558	{ "PCIE_FID_VFID", 0x5590, 0 },
19559		{ "Select", 30, 2 },
19560		{ "IDO", 24, 1 },
19561		{ "VFID", 16, 8 },
19562		{ "TC", 11, 3 },
19563		{ "VFVld", 10, 1 },
19564		{ "PF", 7, 3 },
19565		{ "RVF", 0, 7 },
19566	{ "PCIE_FID_VFID", 0x5594, 0 },
19567		{ "Select", 30, 2 },
19568		{ "IDO", 24, 1 },
19569		{ "VFID", 16, 8 },
19570		{ "TC", 11, 3 },
19571		{ "VFVld", 10, 1 },
19572		{ "PF", 7, 3 },
19573		{ "RVF", 0, 7 },
19574	{ "PCIE_FID_VFID", 0x5598, 0 },
19575		{ "Select", 30, 2 },
19576		{ "IDO", 24, 1 },
19577		{ "VFID", 16, 8 },
19578		{ "TC", 11, 3 },
19579		{ "VFVld", 10, 1 },
19580		{ "PF", 7, 3 },
19581		{ "RVF", 0, 7 },
19582	{ "PCIE_FID_VFID", 0x559c, 0 },
19583		{ "Select", 30, 2 },
19584		{ "IDO", 24, 1 },
19585		{ "VFID", 16, 8 },
19586		{ "TC", 11, 3 },
19587		{ "VFVld", 10, 1 },
19588		{ "PF", 7, 3 },
19589		{ "RVF", 0, 7 },
19590	{ "PCIE_FID_VFID", 0x55a0, 0 },
19591		{ "Select", 30, 2 },
19592		{ "IDO", 24, 1 },
19593		{ "VFID", 16, 8 },
19594		{ "TC", 11, 3 },
19595		{ "VFVld", 10, 1 },
19596		{ "PF", 7, 3 },
19597		{ "RVF", 0, 7 },
19598	{ "PCIE_FID_VFID", 0x55a4, 0 },
19599		{ "Select", 30, 2 },
19600		{ "IDO", 24, 1 },
19601		{ "VFID", 16, 8 },
19602		{ "TC", 11, 3 },
19603		{ "VFVld", 10, 1 },
19604		{ "PF", 7, 3 },
19605		{ "RVF", 0, 7 },
19606	{ "PCIE_FID_VFID", 0x55a8, 0 },
19607		{ "Select", 30, 2 },
19608		{ "IDO", 24, 1 },
19609		{ "VFID", 16, 8 },
19610		{ "TC", 11, 3 },
19611		{ "VFVld", 10, 1 },
19612		{ "PF", 7, 3 },
19613		{ "RVF", 0, 7 },
19614	{ "PCIE_FID_VFID", 0x55ac, 0 },
19615		{ "Select", 30, 2 },
19616		{ "IDO", 24, 1 },
19617		{ "VFID", 16, 8 },
19618		{ "TC", 11, 3 },
19619		{ "VFVld", 10, 1 },
19620		{ "PF", 7, 3 },
19621		{ "RVF", 0, 7 },
19622	{ "PCIE_FID_VFID", 0x55b0, 0 },
19623		{ "Select", 30, 2 },
19624		{ "IDO", 24, 1 },
19625		{ "VFID", 16, 8 },
19626		{ "TC", 11, 3 },
19627		{ "VFVld", 10, 1 },
19628		{ "PF", 7, 3 },
19629		{ "RVF", 0, 7 },
19630	{ "PCIE_FID_VFID", 0x55b4, 0 },
19631		{ "Select", 30, 2 },
19632		{ "IDO", 24, 1 },
19633		{ "VFID", 16, 8 },
19634		{ "TC", 11, 3 },
19635		{ "VFVld", 10, 1 },
19636		{ "PF", 7, 3 },
19637		{ "RVF", 0, 7 },
19638	{ "PCIE_FID_VFID", 0x55b8, 0 },
19639		{ "Select", 30, 2 },
19640		{ "IDO", 24, 1 },
19641		{ "VFID", 16, 8 },
19642		{ "TC", 11, 3 },
19643		{ "VFVld", 10, 1 },
19644		{ "PF", 7, 3 },
19645		{ "RVF", 0, 7 },
19646	{ "PCIE_FID_VFID", 0x55bc, 0 },
19647		{ "Select", 30, 2 },
19648		{ "IDO", 24, 1 },
19649		{ "VFID", 16, 8 },
19650		{ "TC", 11, 3 },
19651		{ "VFVld", 10, 1 },
19652		{ "PF", 7, 3 },
19653		{ "RVF", 0, 7 },
19654	{ "PCIE_FID_VFID", 0x55c0, 0 },
19655		{ "Select", 30, 2 },
19656		{ "IDO", 24, 1 },
19657		{ "VFID", 16, 8 },
19658		{ "TC", 11, 3 },
19659		{ "VFVld", 10, 1 },
19660		{ "PF", 7, 3 },
19661		{ "RVF", 0, 7 },
19662	{ "PCIE_FID_VFID", 0x55c4, 0 },
19663		{ "Select", 30, 2 },
19664		{ "IDO", 24, 1 },
19665		{ "VFID", 16, 8 },
19666		{ "TC", 11, 3 },
19667		{ "VFVld", 10, 1 },
19668		{ "PF", 7, 3 },
19669		{ "RVF", 0, 7 },
19670	{ "PCIE_FID_VFID", 0x55c8, 0 },
19671		{ "Select", 30, 2 },
19672		{ "IDO", 24, 1 },
19673		{ "VFID", 16, 8 },
19674		{ "TC", 11, 3 },
19675		{ "VFVld", 10, 1 },
19676		{ "PF", 7, 3 },
19677		{ "RVF", 0, 7 },
19678	{ "PCIE_FID_VFID", 0x55cc, 0 },
19679		{ "Select", 30, 2 },
19680		{ "IDO", 24, 1 },
19681		{ "VFID", 16, 8 },
19682		{ "TC", 11, 3 },
19683		{ "VFVld", 10, 1 },
19684		{ "PF", 7, 3 },
19685		{ "RVF", 0, 7 },
19686	{ "PCIE_FID_VFID", 0x55d0, 0 },
19687		{ "Select", 30, 2 },
19688		{ "IDO", 24, 1 },
19689		{ "VFID", 16, 8 },
19690		{ "TC", 11, 3 },
19691		{ "VFVld", 10, 1 },
19692		{ "PF", 7, 3 },
19693		{ "RVF", 0, 7 },
19694	{ "PCIE_FID_VFID", 0x55d4, 0 },
19695		{ "Select", 30, 2 },
19696		{ "IDO", 24, 1 },
19697		{ "VFID", 16, 8 },
19698		{ "TC", 11, 3 },
19699		{ "VFVld", 10, 1 },
19700		{ "PF", 7, 3 },
19701		{ "RVF", 0, 7 },
19702	{ "PCIE_FID_VFID", 0x55d8, 0 },
19703		{ "Select", 30, 2 },
19704		{ "IDO", 24, 1 },
19705		{ "VFID", 16, 8 },
19706		{ "TC", 11, 3 },
19707		{ "VFVld", 10, 1 },
19708		{ "PF", 7, 3 },
19709		{ "RVF", 0, 7 },
19710	{ "PCIE_FID_VFID", 0x55dc, 0 },
19711		{ "Select", 30, 2 },
19712		{ "IDO", 24, 1 },
19713		{ "VFID", 16, 8 },
19714		{ "TC", 11, 3 },
19715		{ "VFVld", 10, 1 },
19716		{ "PF", 7, 3 },
19717		{ "RVF", 0, 7 },
19718	{ "PCIE_FID_VFID", 0x55e0, 0 },
19719		{ "Select", 30, 2 },
19720		{ "IDO", 24, 1 },
19721		{ "VFID", 16, 8 },
19722		{ "TC", 11, 3 },
19723		{ "VFVld", 10, 1 },
19724		{ "PF", 7, 3 },
19725		{ "RVF", 0, 7 },
19726	{ "PCIE_FID_VFID", 0x55e4, 0 },
19727		{ "Select", 30, 2 },
19728		{ "IDO", 24, 1 },
19729		{ "VFID", 16, 8 },
19730		{ "TC", 11, 3 },
19731		{ "VFVld", 10, 1 },
19732		{ "PF", 7, 3 },
19733		{ "RVF", 0, 7 },
19734	{ "PCIE_FID_VFID", 0x55e8, 0 },
19735		{ "Select", 30, 2 },
19736		{ "IDO", 24, 1 },
19737		{ "VFID", 16, 8 },
19738		{ "TC", 11, 3 },
19739		{ "VFVld", 10, 1 },
19740		{ "PF", 7, 3 },
19741		{ "RVF", 0, 7 },
19742	{ "PCIE_FID_VFID", 0x55ec, 0 },
19743		{ "Select", 30, 2 },
19744		{ "IDO", 24, 1 },
19745		{ "VFID", 16, 8 },
19746		{ "TC", 11, 3 },
19747		{ "VFVld", 10, 1 },
19748		{ "PF", 7, 3 },
19749		{ "RVF", 0, 7 },
19750	{ "PCIE_FID_VFID", 0x55f0, 0 },
19751		{ "Select", 30, 2 },
19752		{ "IDO", 24, 1 },
19753		{ "VFID", 16, 8 },
19754		{ "TC", 11, 3 },
19755		{ "VFVld", 10, 1 },
19756		{ "PF", 7, 3 },
19757		{ "RVF", 0, 7 },
19758	{ "PCIE_FID_VFID", 0x55f4, 0 },
19759		{ "Select", 30, 2 },
19760		{ "IDO", 24, 1 },
19761		{ "VFID", 16, 8 },
19762		{ "TC", 11, 3 },
19763		{ "VFVld", 10, 1 },
19764		{ "PF", 7, 3 },
19765		{ "RVF", 0, 7 },
19766	{ "PCIE_FID_VFID", 0x55f8, 0 },
19767		{ "Select", 30, 2 },
19768		{ "IDO", 24, 1 },
19769		{ "VFID", 16, 8 },
19770		{ "TC", 11, 3 },
19771		{ "VFVld", 10, 1 },
19772		{ "PF", 7, 3 },
19773		{ "RVF", 0, 7 },
19774	{ "PCIE_FID_VFID", 0x55fc, 0 },
19775		{ "Select", 30, 2 },
19776		{ "IDO", 24, 1 },
19777		{ "VFID", 16, 8 },
19778		{ "TC", 11, 3 },
19779		{ "VFVld", 10, 1 },
19780		{ "PF", 7, 3 },
19781		{ "RVF", 0, 7 },
19782	{ "PCIE_COOKIE_STAT", 0x5600, 0 },
19783		{ "CookieB", 16, 10 },
19784		{ "CookieA", 0, 10 },
19785	{ "PCIE_COOKIE_STAT", 0x5604, 0 },
19786		{ "CookieB", 16, 10 },
19787		{ "CookieA", 0, 10 },
19788	{ "PCIE_COOKIE_STAT", 0x5608, 0 },
19789		{ "CookieB", 16, 10 },
19790		{ "CookieA", 0, 10 },
19791	{ "PCIE_COOKIE_STAT", 0x560c, 0 },
19792		{ "CookieB", 16, 10 },
19793		{ "CookieA", 0, 10 },
19794	{ "PCIE_COOKIE_STAT", 0x5610, 0 },
19795		{ "CookieB", 16, 10 },
19796		{ "CookieA", 0, 10 },
19797	{ "PCIE_COOKIE_STAT", 0x5614, 0 },
19798		{ "CookieB", 16, 10 },
19799		{ "CookieA", 0, 10 },
19800	{ "PCIE_COOKIE_STAT", 0x5618, 0 },
19801		{ "CookieB", 16, 10 },
19802		{ "CookieA", 0, 10 },
19803	{ "PCIE_COOKIE_STAT", 0x561c, 0 },
19804		{ "CookieB", 16, 10 },
19805		{ "CookieA", 0, 10 },
19806	{ "PCIE_FLR_PIO", 0x5620, 0 },
19807		{ "RcvdBAR2Cookie", 24, 8 },
19808		{ "RcvdMARspCookie", 16, 8 },
19809		{ "RcvdPIORspCookie", 8, 8 },
19810		{ "ExpdCookie", 0, 8 },
19811	{ "PCIE_FLR_PIO2", 0x5624, 0 },
19812		{ "RcvdMAReqCookie", 16, 8 },
19813		{ "RcvdPIOReqCookie", 8, 8 },
19814	{ "PCIE_VC0_CDTS0", 0x56cc, 0 },
19815		{ "CPLD0", 20, 12 },
19816		{ "PH0", 12, 8 },
19817		{ "PD0", 0, 12 },
19818	{ "PCIE_VC0_CDTS1", 0x56d0, 0 },
19819		{ "CPLH0", 20, 8 },
19820		{ "NPH0", 12, 8 },
19821		{ "NPD0", 0, 12 },
19822	{ "PCIE_VC1_CDTS0", 0x56d4, 0 },
19823		{ "CPLD1", 20, 12 },
19824		{ "PH1", 12, 8 },
19825		{ "PD1", 0, 12 },
19826	{ "PCIE_VC1_CDTS1", 0x56d8, 0 },
19827		{ "CPLH1", 20, 8 },
19828		{ "NPH1", 12, 8 },
19829		{ "NPD1", 0, 12 },
19830	{ "PCIE_FLR_PF_STATUS", 0x56dc, 0 },
19831	{ "PCIE_FLR_VF0_STATUS", 0x56e0, 0 },
19832	{ "PCIE_FLR_VF1_STATUS", 0x56e4, 0 },
19833	{ "PCIE_FLR_VF2_STATUS", 0x56e8, 0 },
19834	{ "PCIE_FLR_VF3_STATUS", 0x56ec, 0 },
19835	{ "PCIE_STAT", 0x56f4, 0 },
19836		{ "PM_Status", 24, 8 },
19837		{ "PM_CurrentState", 20, 3 },
19838		{ "LTSSMEnable", 12, 1 },
19839		{ "StateCfgInitF", 4, 7 },
19840		{ "StateCfgInit", 0, 4 },
19841	{ "PCIE_CRS", 0x56f8, 0 },
19842	{ "PCIE_LTSSM", 0x56fc, 0 },
19843	{ "PCIE_PF_CFG", 0x1e040, 0 },
19844		{ "INTXStat", 16, 1 },
19845		{ "AIVec", 4, 10 },
19846		{ "D3HotEn", 1, 1 },
19847		{ "CLIDecEn", 0, 1 },
19848	{ "PCIE_PF_CLI", 0x1e044, 0 },
19849	{ "PCIE_PF_EXPROM_OFST", 0x1e04c, 0 },
19850		{ "Offset", 10, 14 },
19851	{ "PCIE_PF_CFG", 0x1e440, 0 },
19852		{ "INTXStat", 16, 1 },
19853		{ "AIVec", 4, 10 },
19854		{ "D3HotEn", 1, 1 },
19855		{ "CLIDecEn", 0, 1 },
19856	{ "PCIE_PF_CLI", 0x1e444, 0 },
19857	{ "PCIE_PF_EXPROM_OFST", 0x1e44c, 0 },
19858		{ "Offset", 10, 14 },
19859	{ "PCIE_PF_CFG", 0x1e840, 0 },
19860		{ "INTXStat", 16, 1 },
19861		{ "AIVec", 4, 10 },
19862		{ "D3HotEn", 1, 1 },
19863		{ "CLIDecEn", 0, 1 },
19864	{ "PCIE_PF_CLI", 0x1e844, 0 },
19865	{ "PCIE_PF_EXPROM_OFST", 0x1e84c, 0 },
19866		{ "Offset", 10, 14 },
19867	{ "PCIE_PF_CFG", 0x1ec40, 0 },
19868		{ "INTXStat", 16, 1 },
19869		{ "AIVec", 4, 10 },
19870		{ "D3HotEn", 1, 1 },
19871		{ "CLIDecEn", 0, 1 },
19872	{ "PCIE_PF_CLI", 0x1ec44, 0 },
19873	{ "PCIE_PF_EXPROM_OFST", 0x1ec4c, 0 },
19874		{ "Offset", 10, 14 },
19875	{ "PCIE_PF_CFG", 0x1f040, 0 },
19876		{ "INTXStat", 16, 1 },
19877		{ "AIVec", 4, 10 },
19878		{ "D3HotEn", 1, 1 },
19879		{ "CLIDecEn", 0, 1 },
19880	{ "PCIE_PF_CLI", 0x1f044, 0 },
19881	{ "PCIE_PF_EXPROM_OFST", 0x1f04c, 0 },
19882		{ "Offset", 10, 14 },
19883	{ "PCIE_PF_CFG", 0x1f440, 0 },
19884		{ "INTXStat", 16, 1 },
19885		{ "AIVec", 4, 10 },
19886		{ "D3HotEn", 1, 1 },
19887		{ "CLIDecEn", 0, 1 },
19888	{ "PCIE_PF_CLI", 0x1f444, 0 },
19889	{ "PCIE_PF_EXPROM_OFST", 0x1f44c, 0 },
19890		{ "Offset", 10, 14 },
19891	{ "PCIE_PF_CFG", 0x1f840, 0 },
19892		{ "INTXStat", 16, 1 },
19893		{ "AIVec", 4, 10 },
19894		{ "D3HotEn", 1, 1 },
19895		{ "CLIDecEn", 0, 1 },
19896	{ "PCIE_PF_CLI", 0x1f844, 0 },
19897	{ "PCIE_PF_EXPROM_OFST", 0x1f84c, 0 },
19898		{ "Offset", 10, 14 },
19899	{ "PCIE_PF_CFG", 0x1fc40, 0 },
19900		{ "INTXStat", 16, 1 },
19901		{ "AIVec", 4, 10 },
19902		{ "D3HotEn", 1, 1 },
19903		{ "CLIDecEn", 0, 1 },
19904	{ "PCIE_PF_CLI", 0x1fc44, 0 },
19905	{ "PCIE_PF_EXPROM_OFST", 0x1fc4c, 0 },
19906		{ "Offset", 10, 14 },
19907	{ "PCIE_CORE_ACK_LATENCY_TIMER_REPLAY_TIMER", 0x5700, 0 },
19908		{ "Replay_Time_Limit", 16, 16 },
19909		{ "Ack_Latency_Timer_Limit", 0, 16 },
19910	{ "PCIE_CORE_VENDOR_SPECIFIC_DLLP", 0x5704, 0 },
19911	{ "PCIE_CORE_PORT_FORCE_LINK", 0x5708, 0 },
19912		{ "Low_Power_Entrance_Count", 24, 8 },
19913		{ "Link_State", 16, 6 },
19914		{ "Force_Link", 15, 1 },
19915		{ "Link_Number", 0, 8 },
19916	{ "PCIE_CORE_ACK_FREQUENCY_L0L1_ASPM_CONTROL", 0x570c, 0 },
19917		{ "Enter_ASPM_L1_wo_L0s", 30, 1 },
19918		{ "L1_Entrance_Latency", 27, 3 },
19919		{ "L0s_Entrance_Latency", 24, 3 },
19920		{ "Common_Clock_N_FTS", 16, 8 },
19921		{ "N_FTS", 8, 8 },
19922		{ "Ack_Frequency", 0, 8 },
19923	{ "PCIE_CORE_PORT_LINK_CONTROL", 0x5710, 0 },
19924		{ "Crosslink_Active", 23, 1 },
19925		{ "Crosslink_Enable", 22, 1 },
19926		{ "Link_Mode_Enable", 16, 6 },
19927		{ "Fast_Link_Mode", 7, 1 },
19928		{ "DLL_Link_Enable", 5, 1 },
19929		{ "Reset_Assert", 3, 1 },
19930		{ "Loopback_Enable", 2, 1 },
19931		{ "Scramble_Disable", 1, 1 },
19932		{ "Vendor_Specific_DLLP_Request", 0, 1 },
19933	{ "PCIE_CORE_LANE_SKEW", 0x5714, 0 },
19934		{ "Disable_DeSkew", 31, 1 },
19935		{ "Ack_Nak_Disable", 25, 1 },
19936		{ "Flow_Control_Disable", 24, 1 },
19937		{ "Insert_TxSkew", 0, 24 },
19938	{ "PCIE_CORE_SYMBOL_NUMBER", 0x5718, 0 },
19939		{ "Flow_Control_Timer_Modifier", 24, 5 },
19940		{ "Ack_Nak_Timer_Modifier", 19, 5 },
19941		{ "Replay_Timer_Modifier", 14, 5 },
19942		{ "MaxFunc", 0, 3 },
19943	{ "PCIE_CORE_SYMBOL_TIMER_FILTER_MASK1", 0x571c, 0 },
19944		{ "Mask_RADM_Filter", 16, 16 },
19945		{ "Disable_FC_Watchdog", 15, 1 },
19946		{ "SKP_Interval", 0, 11 },
19947	{ "PCIE_CORE_FILTER_MASK2", 0x5720, 0 },
19948	{ "PCIE_CORE_DEBUG_0", 0x5728, 0 },
19949	{ "PCIE_CORE_DEBUG_1", 0x572c, 0 },
19950	{ "PCIE_CORE_TRANSMIT_POSTED_FC_CREDIT_STATUS", 0x5730, 0 },
19951		{ "TxPH_FC", 12, 8 },
19952		{ "TxPD_FC", 0, 12 },
19953	{ "PCIE_CORE_TRANSMIT_NONPOSTED_FC_CREDIT_STATUS", 0x5734, 0 },
19954		{ "TxNPH_FC", 12, 8 },
19955		{ "TxNPD_FC", 0, 12 },
19956	{ "PCIE_CORE_TRANSMIT_COMPLETION_FC_CREDIT_STATUS", 0x5738, 0 },
19957		{ "TxCPLH_FC", 12, 8 },
19958		{ "TxCPLD_FC", 0, 12 },
19959	{ "PCIE_CORE_QUEUE_STATUS", 0x573c, 0 },
19960		{ "RxQueue_Not_Empty", 2, 1 },
19961		{ "TxRetryBuf_Not_Empty", 1, 1 },
19962		{ "RxTLP_FC_Not_Returned", 0, 1 },
19963	{ "PCIE_CORE_VC_TRANSMIT_ARBITRATION_1", 0x5740, 0 },
19964		{ "VC3_WRR", 24, 8 },
19965		{ "VC2_WRR", 16, 8 },
19966		{ "VC1_WRR", 8, 8 },
19967		{ "VC0_WRR", 0, 8 },
19968	{ "PCIE_CORE_VC_TRANSMIT_ARBITRATION_2", 0x5744, 0 },
19969		{ "VC7_WRR", 24, 8 },
19970		{ "VC6_WRR", 16, 8 },
19971		{ "VC5_WRR", 8, 8 },
19972		{ "VC4_WRR", 0, 8 },
19973	{ "PCIE_CORE_VC0_POSTED_RECEIVE_QUEUE_CONTROL", 0x5748, 0 },
19974		{ "VC0_Rx_Ordering", 31, 1 },
19975		{ "VC0_TLP_Ordering", 30, 1 },
19976		{ "VC0_PTLP_Queue_Mode", 21, 3 },
19977		{ "VC0_PH_Credits", 12, 8 },
19978		{ "VC0_PD_Credits", 0, 12 },
19979	{ "PCIE_CORE_VC0_NONPOSTED_RECEIVE_QUEUE_CONTROL", 0x574c, 0 },
19980		{ "VC0_NPTLP_Queue_Mode", 21, 3 },
19981		{ "VC0_NPH_Credits", 12, 8 },
19982		{ "VC0_NPD_Credits", 0, 12 },
19983	{ "PCIE_CORE_VC0_COMPLETION_RECEIVE_QUEUE_CONTROL", 0x5750, 0 },
19984		{ "VC0_CPLTLP_Queue_Mode", 21, 3 },
19985		{ "VC0_CPLH_Credits", 12, 8 },
19986		{ "VC0_CPLD_Credits", 0, 12 },
19987	{ "PCIE_CORE_VC1_POSTED_RECEIVE_QUEUE_CONTROL", 0x5754, 0 },
19988		{ "VC1_TLP_Ordering", 30, 1 },
19989		{ "VC1_PTLP_Queue_Mode", 21, 3 },
19990		{ "VC1_PH_Credits", 12, 8 },
19991		{ "VC1_PD_Credits", 0, 12 },
19992	{ "PCIE_CORE_VC1_NONPOSTED_RECEIVE_QUEUE_CONTROL", 0x5758, 0 },
19993		{ "VC1_NPTLP_Queue_Mode", 21, 3 },
19994		{ "VC1_NPH_Credits", 12, 8 },
19995		{ "VC1_NPD_Credits", 0, 12 },
19996	{ "PCIE_CORE_VC1_COMPLETION_RECEIVE_QUEUE_CONTROL", 0x575c, 0 },
19997		{ "VC1_CPLTLP_Queue_Mode", 21, 3 },
19998		{ "VC1_CPLH_Credits", 12, 8 },
19999		{ "VC1_CPLD_Credits", 0, 12 },
20000	{ "PCIE_CORE_LINK_WIDTH_SPEED_CHANGE", 0x580c, 0 },
20001		{ "Sel_DeEmphasis", 20, 1 },
20002		{ "TxCmplRcv", 19, 1 },
20003		{ "PhyTxSwing", 18, 1 },
20004		{ "DirSpdChange", 17, 1 },
20005		{ "Num_Lanes", 8, 9 },
20006		{ "NFTS_Gen2_3", 0, 8 },
20007	{ "PCIE_CORE_PHY_STATUS", 0x5810, 0 },
20008	{ "PCIE_CORE_PHY_CONTROL", 0x5814, 0 },
20009	{ "PCIE_CORE_GEN3_CONTROL", 0x5890, 0 },
20010		{ "DC_Balance_Disable", 18, 1 },
20011		{ "DLLP_Delay_Disable", 17, 1 },
20012		{ "Eql_Disable", 16, 1 },
20013		{ "Eql_Redo_Disable", 11, 1 },
20014		{ "Eql_EIEOS_CntRst_Disable", 10, 1 },
20015		{ "Eql_PH2_PH3_Disable", 9, 1 },
20016		{ "Disable_Scrambler", 8, 1 },
20017	{ "PCIE_CORE_GEN3_EQ_FS_LF", 0x5894, 0 },
20018		{ "Full_Swing", 6, 6 },
20019		{ "Low_Frequency", 0, 6 },
20020	{ "PCIE_CORE_GEN3_EQ_PRESET_COEFF", 0x5898, 0 },
20021		{ "PostCursor", 12, 6 },
20022		{ "Cursor", 6, 6 },
20023		{ "PreCursor", 0, 6 },
20024	{ "PCIE_CORE_GEN3_EQ_PRESET_INDEX", 0x589c, 0 },
20025	{ "PCIE_CORE_GEN3_EQ_STATUS", 0x58a4, 0 },
20026	{ "PCIE_CORE_GEN3_EQ_CONTROL", 0x58a8, 0 },
20027		{ "Include_Initial_FOM", 24, 1 },
20028		{ "Preset_Request_Vector", 8, 16 },
20029		{ "Phase23_2ms_Timeout_Disable", 5, 1 },
20030		{ "After24ms", 4, 1 },
20031		{ "Feedback_Mode", 0, 4 },
20032	{ "PCIE_CORE_GEN3_EQ_DIRCHANGE_FEEDBACK", 0x58ac, 0 },
20033		{ "WinAperture_CPlus1", 14, 4 },
20034		{ "WinAperture_CMins1", 10, 4 },
20035		{ "Convergence_WinDepth", 5, 5 },
20036		{ "EQMasterPhase_MinTime", 0, 5 },
20037	{ "PCIE_CORE_PIPE_CONTROL", 0x58b8, 0 },
20038	{ "PCIE_CORE_DBI_RO_WE", 0x58bc, 0 },
20039	{ "PCIE_DMA_CFG", 0x5940, 0 },
20040		{ "MaxPyldSize", 28, 3 },
20041		{ "MaxReqCnt", 20, 8 },
20042		{ "MaxRdReqSize", 17, 3 },
20043		{ "MaxRspCnt", 8, 9 },
20044		{ "SeqChkDis", 7, 1 },
20045		{ "MinTag", 0, 7 },
20046	{ "PCIE_DMA_STAT", 0x5944, 0 },
20047		{ "RspCnt", 20, 12 },
20048		{ "RdReqCnt", 12, 8 },
20049		{ "WrReqCnt", 0, 11 },
20050	{ "PCIE_DMA_STAT2", 0x5948, 0 },
20051		{ "CookieCnt", 24, 4 },
20052		{ "RdSeqNumUpdCnt", 20, 4 },
20053		{ "SIReqCnt", 16, 4 },
20054		{ "WrEOPMatchSOP", 12, 1 },
20055		{ "WrSOPCnt", 8, 4 },
20056		{ "RdSOPCnt", 0, 8 },
20057	{ "PCIE_DMA_STAT3", 0x594c, 0 },
20058		{ "AtmReqSOPCnt", 24, 8 },
20059		{ "AtmEOPMatchSOP", 17, 1 },
20060		{ "RspEOPMatchSOP", 16, 1 },
20061		{ "RspErrCnt", 8, 8 },
20062		{ "RspSOPCnt", 0, 8 },
20063	{ "PCIE_DMA_CFG", 0x5950, 0 },
20064		{ "MaxPyldSize", 28, 3 },
20065		{ "MaxReqCnt", 20, 8 },
20066		{ "MaxRdReqSize", 17, 3 },
20067		{ "MaxRspCnt", 8, 9 },
20068		{ "SeqChkDis", 7, 1 },
20069		{ "MinTag", 0, 7 },
20070	{ "PCIE_DMA_STAT", 0x5954, 0 },
20071		{ "RspCnt", 20, 12 },
20072		{ "RdReqCnt", 12, 8 },
20073		{ "WrReqCnt", 0, 11 },
20074	{ "PCIE_DMA_STAT2", 0x5958, 0 },
20075		{ "CookieCnt", 24, 4 },
20076		{ "RdSeqNumUpdCnt", 20, 4 },
20077		{ "SIReqCnt", 16, 4 },
20078		{ "WrEOPMatchSOP", 12, 1 },
20079		{ "WrSOPCnt", 8, 4 },
20080		{ "RdSOPCnt", 0, 8 },
20081	{ "PCIE_DMA_STAT3", 0x595c, 0 },
20082		{ "AtmReqSOPCnt", 24, 8 },
20083		{ "AtmEOPMatchSOP", 17, 1 },
20084		{ "RspEOPMatchSOP", 16, 1 },
20085		{ "RspErrCnt", 8, 8 },
20086		{ "RspSOPCnt", 0, 8 },
20087	{ "PCIE_DMA_CFG", 0x5960, 0 },
20088		{ "MaxPyldSize", 28, 3 },
20089		{ "MaxReqCnt", 20, 8 },
20090		{ "MaxRdReqSize", 17, 3 },
20091		{ "MaxRspCnt", 8, 9 },
20092		{ "SeqChkDis", 7, 1 },
20093		{ "MinTag", 0, 7 },
20094	{ "PCIE_DMA_STAT", 0x5964, 0 },
20095		{ "RspCnt", 20, 12 },
20096		{ "RdReqCnt", 12, 8 },
20097		{ "WrReqCnt", 0, 11 },
20098	{ "PCIE_DMA_STAT2", 0x5968, 0 },
20099		{ "CookieCnt", 24, 4 },
20100		{ "RdSeqNumUpdCnt", 20, 4 },
20101		{ "SIReqCnt", 16, 4 },
20102		{ "WrEOPMatchSOP", 12, 1 },
20103		{ "WrSOPCnt", 8, 4 },
20104		{ "RdSOPCnt", 0, 8 },
20105	{ "PCIE_DMA_STAT3", 0x596c, 0 },
20106		{ "AtmReqSOPCnt", 24, 8 },
20107		{ "AtmEOPMatchSOP", 17, 1 },
20108		{ "RspEOPMatchSOP", 16, 1 },
20109		{ "RspErrCnt", 8, 8 },
20110		{ "RspSOPCnt", 0, 8 },
20111	{ "PCIE_DMA_CFG", 0x5970, 0 },
20112		{ "MaxPyldSize", 28, 3 },
20113		{ "MaxReqCnt", 20, 8 },
20114		{ "MaxRdReqSize", 17, 3 },
20115		{ "MaxRspCnt", 8, 9 },
20116		{ "SeqChkDis", 7, 1 },
20117		{ "MinTag", 0, 7 },
20118	{ "PCIE_DMA_STAT", 0x5974, 0 },
20119		{ "RspCnt", 20, 12 },
20120		{ "RdReqCnt", 12, 8 },
20121		{ "WrReqCnt", 0, 11 },
20122	{ "PCIE_DMA_STAT2", 0x5978, 0 },
20123		{ "CookieCnt", 24, 4 },
20124		{ "RdSeqNumUpdCnt", 20, 4 },
20125		{ "SIReqCnt", 16, 4 },
20126		{ "WrEOPMatchSOP", 12, 1 },
20127		{ "WrSOPCnt", 8, 4 },
20128		{ "RdSOPCnt", 0, 8 },
20129	{ "PCIE_DMA_STAT3", 0x597c, 0 },
20130		{ "AtmReqSOPCnt", 24, 8 },
20131		{ "AtmEOPMatchSOP", 17, 1 },
20132		{ "RspEOPMatchSOP", 16, 1 },
20133		{ "RspErrCnt", 8, 8 },
20134		{ "RspSOPCnt", 0, 8 },
20135	{ "PCIE_CMD_CFG", 0x5980, 0 },
20136		{ "MaxRdReqSize", 17, 3 },
20137		{ "MaxRspCnt", 8, 8 },
20138		{ "UseCmdPool", 7, 1 },
20139		{ "MinTag", 0, 7 },
20140	{ "PCIE_CMD_STAT", 0x5984, 0 },
20141		{ "RspCnt", 20, 11 },
20142		{ "RdReqCnt", 12, 5 },
20143	{ "PCIE_CMD_STAT2", 0x5988, 0 },
20144	{ "PCIE_CMD_STAT3", 0x598c, 0 },
20145		{ "RspEOPMatchSOP", 16, 1 },
20146		{ "RspErrCnt", 8, 8 },
20147		{ "RspSOPCnt", 0, 8 },
20148	{ "PCIE_CMD_CFG", 0x5990, 0 },
20149		{ "MaxRdReqSize", 17, 3 },
20150		{ "MaxRspCnt", 8, 8 },
20151		{ "UseCmdPool", 7, 1 },
20152		{ "MinTag", 0, 7 },
20153	{ "PCIE_CMD_STAT", 0x5994, 0 },
20154		{ "RspCnt", 20, 11 },
20155		{ "RdReqCnt", 12, 5 },
20156	{ "PCIE_CMD_STAT2", 0x5998, 0 },
20157	{ "PCIE_CMD_STAT3", 0x599c, 0 },
20158		{ "RspEOPMatchSOP", 16, 1 },
20159		{ "RspErrCnt", 8, 8 },
20160		{ "RspSOPCnt", 0, 8 },
20161	{ "PCIE_CMD_CFG", 0x59a0, 0 },
20162		{ "MaxRdReqSize", 17, 3 },
20163		{ "MaxRspCnt", 8, 8 },
20164		{ "UseCmdPool", 7, 1 },
20165		{ "MinTag", 0, 7 },
20166	{ "PCIE_CMD_STAT", 0x59a4, 0 },
20167		{ "RspCnt", 20, 11 },
20168		{ "RdReqCnt", 12, 5 },
20169	{ "PCIE_CMD_STAT2", 0x59a8, 0 },
20170	{ "PCIE_CMD_STAT3", 0x59ac, 0 },
20171		{ "RspEOPMatchSOP", 16, 1 },
20172		{ "RspErrCnt", 8, 8 },
20173		{ "RspSOPCnt", 0, 8 },
20174	{ "PCIE_HMA_CFG", 0x59b0, 0 },
20175		{ "MaxPyldSize", 28, 3 },
20176		{ "MaxReqCnt", 20, 5 },
20177		{ "MaxRdReqSize", 17, 3 },
20178		{ "MaxRspCnt", 8, 5 },
20179		{ "SeqChkDis", 7, 1 },
20180		{ "MinTag", 0, 7 },
20181	{ "PCIE_HMA_STAT", 0x59b4, 0 },
20182		{ "RspCnt", 20, 9 },
20183		{ "RdReqCnt", 12, 6 },
20184		{ "WrReqCnt", 0, 9 },
20185	{ "PCIE_HMA_STAT2", 0x59b8, 0 },
20186		{ "CookieCnt", 24, 4 },
20187		{ "RdSeqNumUpdCnt", 20, 4 },
20188		{ "WrEOPMatchSOP", 12, 1 },
20189		{ "WrSOPCnt", 8, 4 },
20190		{ "RdSOPCnt", 0, 8 },
20191	{ "PCIE_HMA_STAT3", 0x59bc, 0 },
20192		{ "RspEOPMatchSOP", 16, 1 },
20193		{ "RspErrCnt", 8, 8 },
20194		{ "RspSOPCnt", 0, 8 },
20195	{ "PCIE_CGEN", 0x59c0, 0 },
20196		{ "VPD_Dynamic_CGEN", 26, 1 },
20197		{ "MA_Dynamic_CGEN", 25, 1 },
20198		{ "Tagq_Dynamic_CGEN", 24, 1 },
20199		{ "ReqCtl_Dynamic_CGEN", 23, 1 },
20200		{ "RspDataProc_Dynamic_CGEN", 22, 1 },
20201		{ "RspRdq_Dynamic_CGEN", 21, 1 },
20202		{ "RspIPif_Dynamic_CGEN", 20, 1 },
20203		{ "HMA_Static_CGEN", 19, 1 },
20204		{ "HMA_Dynamic_CGEN", 18, 1 },
20205		{ "CMD_Static_CGEN", 16, 1 },
20206		{ "CMD_Dynamic_CGEN", 15, 1 },
20207		{ "DMA_Static_CGEN", 13, 1 },
20208		{ "DMA_Dynamic_CGEN", 12, 1 },
20209		{ "VFID_SleepStatus", 10, 1 },
20210		{ "VC1_SleepStatus", 9, 1 },
20211		{ "STI_SleepStatus", 8, 1 },
20212		{ "VFID_SleepReq", 2, 1 },
20213		{ "VC1_SleepReq", 1, 1 },
20214		{ "STI_SleepReq", 0, 1 },
20215	{ "PCIE_MA_RSP", 0x59c4, 0 },
20216		{ "TimerValue", 8, 24 },
20217		{ "MAReqTimerEn", 1, 1 },
20218		{ "TimerEn", 0, 1 },
20219	{ "PCIE_HPRD", 0x59c8, 0 },
20220		{ "NPH_CreditsAvailVC0", 19, 2 },
20221		{ "NPD_CreditsAvailVC0", 17, 2 },
20222		{ "NPH_CreditsAvailVC1", 15, 2 },
20223		{ "NPD_CreditsAvailVC1", 13, 2 },
20224		{ "NPH_CreditsRequired", 11, 2 },
20225		{ "NPD_CreditsRequired", 9, 2 },
20226		{ "ReqBurstCount", 5, 4 },
20227		{ "ReqBurstFrequency", 1, 4 },
20228		{ "EnableVC1", 0, 1 },
20229	{ "PCIE_PERR_GROUP", 0x59d0, 0 },
20230		{ "MST_DataPathPerr", 25, 1 },
20231		{ "MST_RspRdQPerr", 24, 1 },
20232		{ "IP_RxPerr", 23, 1 },
20233		{ "IP_BackTxPerr", 22, 1 },
20234		{ "IP_FrontTxPerr", 21, 1 },
20235		{ "TRGT1_FIDLkUpHdrPerr", 20, 1 },
20236		{ "TRGT1_AlindDataPerr", 19, 1 },
20237		{ "TRGT1_UnAlinDataPerr", 18, 1 },
20238		{ "TRGT1_ReqDataPerr", 17, 1 },
20239		{ "TRGT1_ReqHdrPerr", 16, 1 },
20240		{ "IPRxData_VC1Perr", 15, 1 },
20241		{ "IPRxData_VC0Perr", 14, 1 },
20242		{ "IPRxHdr_VC1Perr", 13, 1 },
20243		{ "IPRxHdr_VC0Perr", 12, 1 },
20244		{ "MA_RspDataPerr", 11, 1 },
20245		{ "MA_CplTagQPerr", 10, 1 },
20246		{ "MA_ReqTagQPerr", 9, 1 },
20247		{ "PIOReq_BAR2CtlPerr", 8, 1 },
20248		{ "PIOReq_MEMCtlPerr", 7, 1 },
20249		{ "PIOReq_PLMCtlPerr", 6, 1 },
20250		{ "PIOReq_BAR2DataPerr", 5, 1 },
20251		{ "PIOReq_MEMDataPerr", 4, 1 },
20252		{ "PIOReq_PLMDataPerr", 3, 1 },
20253		{ "PIOCpl_CtlPerr", 2, 1 },
20254		{ "PIOCpl_DataPerr", 1, 1 },
20255		{ "PIOCpl_PLMRspPerr", 0, 1 },
20256	{ "PCIE_RSP_ERR_INT_LOG_EN", 0x59d4, 0 },
20257		{ "CplStatusIntEn", 12, 1 },
20258		{ "TimeoutIntEn", 11, 1 },
20259		{ "DisabledIntEn", 10, 1 },
20260		{ "RspDropFLRIntEn", 9, 1 },
20261		{ "ReqUnderFLRIntEn", 8, 1 },
20262		{ "CplStatusLogEn", 4, 1 },
20263		{ "TimeoutLogEn", 3, 1 },
20264		{ "DisabledLogEn", 2, 1 },
20265		{ "RspDropFLRLogEn", 1, 1 },
20266		{ "ReqUnderFLRLogEn", 0, 1 },
20267	{ "PCIE_RSP_ERR_LOG1", 0x59d8, 0 },
20268		{ "Tag", 25, 7 },
20269		{ "CID", 22, 3 },
20270		{ "ChNum", 19, 3 },
20271		{ "ByteLen", 6, 13 },
20272		{ "Reason", 3, 3 },
20273		{ "CplStatus", 0, 3 },
20274	{ "PCIE_RSP_ERR_LOG2", 0x59dc, 0 },
20275		{ "Valid", 31, 1 },
20276		{ "Addr10b", 8, 10 },
20277		{ "VFID", 0, 8 },
20278	{ "PCIE_REVISION", 0x5a00, 0 },
20279	{ "PCIE_PDEBUG_INDEX", 0x5a04, 0 },
20280		{ "PDEBUGSelH", 16, 6 },
20281		{ "PDEBUGSelL", 0, 6 },
20282	{ "PCIE_PDEBUG_DATA_HIGH", 0x5a08, 0 },
20283	{ "PCIE_PDEBUG_DATA_LOW", 0x5a0c, 0 },
20284	{ "PCIE_CDEBUG_INDEX", 0x5a10, 0 },
20285		{ "CDEBUGSelH", 16, 8 },
20286		{ "CDEBUGSelL", 0, 8 },
20287	{ "PCIE_CDEBUG_DATA_HIGH", 0x5a14, 0 },
20288	{ "PCIE_CDEBUG_DATA_LOW", 0x5a18, 0 },
20289	{ "PCIE_BUS_MST_STAT_0", 0x5a60, 0 },
20290	{ "PCIE_BUS_MST_STAT_1", 0x5a64, 0 },
20291	{ "PCIE_BUS_MST_STAT_2", 0x5a68, 0 },
20292	{ "PCIE_BUS_MST_STAT_3", 0x5a6c, 0 },
20293	{ "PCIE_BUS_MST_STAT_4", 0x5a70, 0 },
20294	{ "PCIE_RSP_ERR_STAT_0", 0x5a80, 0 },
20295	{ "PCIE_RSP_ERR_STAT_1", 0x5a84, 0 },
20296	{ "PCIE_RSP_ERR_STAT_2", 0x5a88, 0 },
20297	{ "PCIE_RSP_ERR_STAT_3", 0x5a8c, 0 },
20298	{ "PCIE_RSP_ERR_STAT_4", 0x5a90, 0 },
20299	{ "PCIE_DBI_TIMEOUT_CTL", 0x5a94, 0 },
20300	{ "PCIE_DBI_TIMEOUT_STATUS0", 0x5a98, 0 },
20301	{ "PCIE_DBI_TIMEOUT_STATUS1", 0x5a9c, 0 },
20302		{ "Valid", 31, 1 },
20303		{ "Source", 16, 2 },
20304		{ "Write", 12, 4 },
20305		{ "CS2", 11, 1 },
20306		{ "PF", 8, 3 },
20307		{ "VFVld", 7, 1 },
20308		{ "VF", 0, 7 },
20309	{ "PCIE_PB_CTL", 0x5b94, 0 },
20310		{ "PB_Sel", 16, 8 },
20311		{ "PB_SelReg", 8, 8 },
20312		{ "PB_Func", 0, 3 },
20313	{ "PCIE_PB_DATA", 0x5b98, 0 },
20314	{ "PCIE_CHANGESET", 0x59fc, 0 },
20315	{ "PCIE_CUR_LINK", 0x5b9c, 0 },
20316		{ "CfgInitCoeffDoneSeen", 22, 1 },
20317		{ "CfgInitCoeffDone", 21, 1 },
20318		{ "xmlh_link_up", 20, 1 },
20319		{ "pm_linkst_in_l0s", 19, 1 },
20320		{ "pm_linkst_in_l1", 18, 1 },
20321		{ "pm_linkst_in_l2", 17, 1 },
20322		{ "pm_linkst_l2_exit", 16, 1 },
20323		{ "xmlh_in_rl0s", 15, 1 },
20324		{ "xmlh_ltssm_state_rcvry_eq", 14, 1 },
20325		{ "NegotiatedWidth", 8, 6 },
20326		{ "ActiveLanes", 0, 8 },
20327	{ "PCIE_PHY_REQRXPWR", 0x5ba0, 0 },
20328		{ "LnH_RxStateDone", 31, 1 },
20329		{ "LnH_RxStateReq", 30, 1 },
20330		{ "LnH_RxPwrState", 28, 2 },
20331		{ "LnG_RxStateDone", 27, 1 },
20332		{ "LnG_RxStateReq", 26, 1 },
20333		{ "LnG_RxPwrState", 24, 2 },
20334		{ "LnF_RxStateDone", 23, 1 },
20335		{ "LnF_RxStateReq", 22, 1 },
20336		{ "LnF_RxPwrState", 20, 2 },
20337		{ "LnE_RxStateDone", 19, 1 },
20338		{ "LnE_RxStateReq", 18, 1 },
20339		{ "LnE_RxPwrState", 16, 2 },
20340		{ "LnD_RxStateDone", 15, 1 },
20341		{ "LnD_RxStateReq", 14, 1 },
20342		{ "LnD_RxPwrState", 12, 2 },
20343		{ "LnC_RxStateDone", 11, 1 },
20344		{ "LnC_RxStateReq", 10, 1 },
20345		{ "LnC_RxPwrState", 8, 2 },
20346		{ "LnB_RxStateDone", 7, 1 },
20347		{ "LnB_RxStateReq", 6, 1 },
20348		{ "LnB_RxPwrState", 4, 2 },
20349		{ "LnA_RxStateDone", 3, 1 },
20350		{ "LnA_RxStateReq", 2, 1 },
20351		{ "LnA_RxPwrState", 0, 2 },
20352	{ "PCIE_PHY_CURRXPWR", 0x5ba4, 0 },
20353		{ "LnH_RxPwrState", 28, 3 },
20354		{ "LnG_RxPwrState", 24, 3 },
20355		{ "LnF_RxPwrState", 20, 3 },
20356		{ "LnE_RxPwrState", 16, 3 },
20357		{ "LnD_RxPwrState", 12, 3 },
20358		{ "LnC_RxPwrState", 8, 3 },
20359		{ "LnB_RxPwrState", 4, 3 },
20360		{ "LnA_RxPwrState", 0, 3 },
20361	{ "PCIE_PHY_GEN3_AE0", 0x5ba8, 0 },
20362		{ "LnD_STAT", 28, 3 },
20363		{ "LnD_CMD", 24, 3 },
20364		{ "LnC_STAT", 20, 3 },
20365		{ "LnC_CMD", 16, 3 },
20366		{ "LnB_STAT", 12, 3 },
20367		{ "LnB_CMD", 8, 3 },
20368		{ "LnA_STAT", 4, 3 },
20369		{ "LnA_CMD", 0, 3 },
20370	{ "PCIE_PHY_GEN3_AE1", 0x5bac, 0 },
20371		{ "LnH_STAT", 28, 3 },
20372		{ "LnH_CMD", 24, 3 },
20373		{ "LnG_STAT", 20, 3 },
20374		{ "LnG_CMD", 16, 3 },
20375		{ "LnF_STAT", 12, 3 },
20376		{ "LnF_CMD", 8, 3 },
20377		{ "LnE_STAT", 4, 3 },
20378		{ "LnE_CMD", 0, 3 },
20379	{ "PCIE_PHY_FS_LF0", 0x5bb0, 0 },
20380		{ "Lane1LF", 24, 6 },
20381		{ "Lane1FS", 16, 6 },
20382		{ "Lane0LF", 8, 6 },
20383		{ "Lane0FS", 0, 6 },
20384	{ "PCIE_PHY_FS_LF1", 0x5bb4, 0 },
20385		{ "Lane3LF", 24, 6 },
20386		{ "Lane3FS", 16, 6 },
20387		{ "Lane2LF", 8, 6 },
20388		{ "Lane2FS", 0, 6 },
20389	{ "PCIE_PHY_FS_LF2", 0x5bb8, 0 },
20390		{ "Lane5LF", 24, 6 },
20391		{ "Lane5FS", 16, 6 },
20392		{ "Lane4LF", 8, 6 },
20393		{ "Lane4FS", 0, 6 },
20394	{ "PCIE_PHY_FS_LF3", 0x5bbc, 0 },
20395		{ "Lane7LF", 24, 6 },
20396		{ "Lane7FS", 16, 6 },
20397		{ "Lane6LF", 8, 6 },
20398		{ "Lane6FS", 0, 6 },
20399	{ "PCIE_PHY_PRESET_REQ", 0x5bc0, 0 },
20400		{ "CoeffDone", 16, 1 },
20401		{ "CoeffLane", 8, 3 },
20402		{ "CoeffStart", 0, 1 },
20403	{ "PCIE_PHY_PRESET_COEFF", 0x5bc4, 0 },
20404	{ "PCIE_PHY_PRESET_COEFF", 0x5bc8, 0 },
20405	{ "PCIE_PHY_PRESET_COEFF", 0x5bcc, 0 },
20406	{ "PCIE_PHY_PRESET_COEFF", 0x5bd0, 0 },
20407	{ "PCIE_PHY_PRESET_COEFF", 0x5bd4, 0 },
20408	{ "PCIE_PHY_PRESET_COEFF", 0x5bd8, 0 },
20409	{ "PCIE_PHY_PRESET_COEFF", 0x5bdc, 0 },
20410	{ "PCIE_PHY_PRESET_COEFF", 0x5be0, 0 },
20411	{ "PCIE_PHY_PRESET_COEFF", 0x5be4, 0 },
20412	{ "PCIE_PHY_PRESET_COEFF", 0x5be8, 0 },
20413	{ "PCIE_PHY_PRESET_COEFF", 0x5bec, 0 },
20414	{ "PCIE_PHY_INDIR_REQ", 0x5bf0, 0 },
20415		{ "Enable", 31, 1 },
20416		{ "RegAddr", 0, 16 },
20417	{ "PCIE_PHY_INDIR_DATA", 0x5bf4, 0 },
20418	{ "PCIE_STATIC_SPARE1", 0x5bf8, 0 },
20419	{ "PCIE_STATIC_SPARE2", 0x5bfc, 0 },
20420	{ NULL }
20421};
20422
20423struct reg_info t5_dbg_regs[] = {
20424	{ "DBG_DBG0_CFG", 0x6000, 0 },
20425		{ "ModuleSelect", 12, 8 },
20426		{ "RegSelect", 4, 8 },
20427		{ "ClkSelect", 0, 4 },
20428	{ "DBG_DBG0_EN", 0x6004, 0 },
20429		{ "SDRHalfWord0", 8, 1 },
20430		{ "DDREn", 4, 1 },
20431		{ "PortEn", 0, 1 },
20432	{ "DBG_DBG1_CFG", 0x6008, 0 },
20433		{ "ModuleSelect", 12, 8 },
20434		{ "RegSelect", 4, 8 },
20435		{ "ClkSelect", 0, 4 },
20436	{ "DBG_DBG1_EN", 0x600c, 0 },
20437		{ "Clk_en_on_dbg1", 20, 1 },
20438		{ "SDRHalfWord0", 8, 1 },
20439		{ "DDREn", 4, 1 },
20440		{ "PortEn", 0, 1 },
20441	{ "DBG_GPIO_EN", 0x6010, 0 },
20442		{ "GPIO15_OEn", 31, 1 },
20443		{ "GPIO14_OEn", 30, 1 },
20444		{ "GPIO13_OEn", 29, 1 },
20445		{ "GPIO12_OEn", 28, 1 },
20446		{ "GPIO11_OEn", 27, 1 },
20447		{ "GPIO10_OEn", 26, 1 },
20448		{ "GPIO9_OEn", 25, 1 },
20449		{ "GPIO8_OEn", 24, 1 },
20450		{ "GPIO7_OEn", 23, 1 },
20451		{ "GPIO6_OEn", 22, 1 },
20452		{ "GPIO5_OEn", 21, 1 },
20453		{ "GPIO4_OEn", 20, 1 },
20454		{ "GPIO3_OEn", 19, 1 },
20455		{ "GPIO2_OEn", 18, 1 },
20456		{ "GPIO1_OEn", 17, 1 },
20457		{ "GPIO0_OEn", 16, 1 },
20458		{ "GPIO15_Out_Val", 15, 1 },
20459		{ "GPIO14_Out_Val", 14, 1 },
20460		{ "GPIO13_Out_Val", 13, 1 },
20461		{ "GPIO12_Out_Val", 12, 1 },
20462		{ "GPIO11_Out_Val", 11, 1 },
20463		{ "GPIO10_Out_Val", 10, 1 },
20464		{ "GPIO9_Out_Val", 9, 1 },
20465		{ "GPIO8_Out_Val", 8, 1 },
20466		{ "GPIO7_Out_Val", 7, 1 },
20467		{ "GPIO6_Out_Val", 6, 1 },
20468		{ "GPIO5_Out_Val", 5, 1 },
20469		{ "GPIO4_Out_Val", 4, 1 },
20470		{ "GPIO3_Out_Val", 3, 1 },
20471		{ "GPIO2_Out_Val", 2, 1 },
20472		{ "GPIO1_Out_Val", 1, 1 },
20473		{ "GPIO0_Out_Val", 0, 1 },
20474	{ "DBG_GPIO_IN", 0x6014, 0 },
20475		{ "GPIO15_CHG_DET", 31, 1 },
20476		{ "GPIO14_CHG_DET", 30, 1 },
20477		{ "GPIO13_CHG_DET", 29, 1 },
20478		{ "GPIO12_CHG_DET", 28, 1 },
20479		{ "GPIO11_CHG_DET", 27, 1 },
20480		{ "GPIO10_CHG_DET", 26, 1 },
20481		{ "GPIO9_CHG_DET", 25, 1 },
20482		{ "GPIO8_CHG_DET", 24, 1 },
20483		{ "GPIO7_CHG_DET", 23, 1 },
20484		{ "GPIO6_CHG_DET", 22, 1 },
20485		{ "GPIO5_CHG_DET", 21, 1 },
20486		{ "GPIO4_CHG_DET", 20, 1 },
20487		{ "GPIO3_CHG_DET", 19, 1 },
20488		{ "GPIO2_CHG_DET", 18, 1 },
20489		{ "GPIO1_CHG_DET", 17, 1 },
20490		{ "GPIO0_CHG_DET", 16, 1 },
20491		{ "GPIO15_IN", 15, 1 },
20492		{ "GPIO14_IN", 14, 1 },
20493		{ "GPIO13_IN", 13, 1 },
20494		{ "GPIO12_IN", 12, 1 },
20495		{ "GPIO11_IN", 11, 1 },
20496		{ "GPIO10_IN", 10, 1 },
20497		{ "GPIO9_IN", 9, 1 },
20498		{ "GPIO8_IN", 8, 1 },
20499		{ "GPIO7_IN", 7, 1 },
20500		{ "GPIO6_IN", 6, 1 },
20501		{ "GPIO5_IN", 5, 1 },
20502		{ "GPIO4_IN", 4, 1 },
20503		{ "GPIO3_IN", 3, 1 },
20504		{ "GPIO2_IN", 2, 1 },
20505		{ "GPIO1_IN", 1, 1 },
20506		{ "GPIO0_IN", 0, 1 },
20507	{ "DBG_GPIO_EN_NEW", 0x6100, 0 },
20508		{ "GPIO16_OEn", 7, 1 },
20509		{ "GPIO17_OEn", 6, 1 },
20510		{ "GPIO18_OEn", 5, 1 },
20511		{ "GPIO19_OEn", 4, 1 },
20512		{ "GPIO16_Out_Val", 3, 1 },
20513		{ "GPIO17_Out_Val", 2, 1 },
20514		{ "GPIO18_Out_Val", 1, 1 },
20515		{ "GPIO19_Out_Val", 0, 1 },
20516	{ "DBG_GPIO_IN_NEW", 0x6104, 0 },
20517		{ "GPIO16_CHG_DET", 7, 1 },
20518		{ "GPIO17_CHG_DET", 6, 1 },
20519		{ "GPIO18_CHG_DET", 5, 1 },
20520		{ "GPIO19_CHG_DET", 4, 1 },
20521		{ "GPIO19_IN", 3, 1 },
20522		{ "GPIO18_IN", 2, 1 },
20523		{ "GPIO17_IN", 1, 1 },
20524		{ "GPIO16_IN", 0, 1 },
20525	{ "DBG_INT_ENABLE", 0x6018, 0 },
20526		{ "GPIO19", 29, 1 },
20527		{ "GPIO18", 28, 1 },
20528		{ "GPIO17", 27, 1 },
20529		{ "GPIO16", 26, 1 },
20530		{ "IBM_FDL_FAIL_int_enbl", 25, 1 },
20531		{ "pll_lock_lost_int_enbl", 22, 1 },
20532		{ "C_LOCK", 21, 1 },
20533		{ "M_LOCK", 20, 1 },
20534		{ "U_LOCK", 19, 1 },
20535		{ "PCIe_LOCK", 18, 1 },
20536		{ "KX_LOCK", 17, 1 },
20537		{ "KR_LOCK", 16, 1 },
20538		{ "GPIO15", 15, 1 },
20539		{ "GPIO14", 14, 1 },
20540		{ "GPIO13", 13, 1 },
20541		{ "GPIO12", 12, 1 },
20542		{ "GPIO11", 11, 1 },
20543		{ "GPIO10", 10, 1 },
20544		{ "GPIO9", 9, 1 },
20545		{ "GPIO8", 8, 1 },
20546		{ "GPIO7", 7, 1 },
20547		{ "GPIO6", 6, 1 },
20548		{ "GPIO5", 5, 1 },
20549		{ "GPIO4", 4, 1 },
20550		{ "GPIO3", 3, 1 },
20551		{ "GPIO2", 2, 1 },
20552		{ "GPIO1", 1, 1 },
20553		{ "GPIO0", 0, 1 },
20554	{ "DBG_INT_CAUSE", 0x601c, 0 },
20555		{ "GPIO19", 29, 1 },
20556		{ "GPIO18", 28, 1 },
20557		{ "GPIO17", 27, 1 },
20558		{ "GPIO16", 26, 1 },
20559		{ "IBM_FDL_FAIL_int_cause", 25, 1 },
20560		{ "pll_lock_lost_int_cause", 22, 1 },
20561		{ "C_LOCK", 21, 1 },
20562		{ "M_LOCK", 20, 1 },
20563		{ "U_LOCK", 19, 1 },
20564		{ "PCIe_LOCK", 18, 1 },
20565		{ "KX_LOCK", 17, 1 },
20566		{ "KR_LOCK", 16, 1 },
20567		{ "GPIO15", 15, 1 },
20568		{ "GPIO14", 14, 1 },
20569		{ "GPIO13", 13, 1 },
20570		{ "GPIO12", 12, 1 },
20571		{ "GPIO11", 11, 1 },
20572		{ "GPIO10", 10, 1 },
20573		{ "GPIO9", 9, 1 },
20574		{ "GPIO8", 8, 1 },
20575		{ "GPIO7", 7, 1 },
20576		{ "GPIO6", 6, 1 },
20577		{ "GPIO5", 5, 1 },
20578		{ "GPIO4", 4, 1 },
20579		{ "GPIO3", 3, 1 },
20580		{ "GPIO2", 2, 1 },
20581		{ "GPIO1", 1, 1 },
20582		{ "GPIO0", 0, 1 },
20583	{ "DBG_DBG0_RST_VALUE", 0x6020, 0 },
20584	{ "DBG_PLL_OCLK_PAD_EN", 0x6028, 0 },
20585		{ "PCIE_OCLK_En", 20, 1 },
20586		{ "KX_OCLK_En", 16, 1 },
20587		{ "U_OCLK_En", 12, 1 },
20588		{ "KR_OCLK_En", 8, 1 },
20589		{ "M_OCLK_En", 4, 1 },
20590		{ "C_OCLK_En", 0, 1 },
20591	{ "DBG_PLL_LOCK", 0x602c, 0 },
20592		{ "P_LOCK", 20, 1 },
20593		{ "KX_LOCK", 16, 1 },
20594		{ "U_LOCK", 12, 1 },
20595		{ "KR_LOCK", 8, 1 },
20596		{ "M_LOCK", 4, 1 },
20597		{ "C_LOCK", 0, 1 },
20598	{ "DBG_GPIO_ACT_LOW", 0x6030, 0 },
20599		{ "GPIO19_ACT_LOW", 25, 1 },
20600		{ "GPIO18_ACT_LOW", 24, 1 },
20601		{ "GPIO17_ACT_LOW", 23, 1 },
20602		{ "GPIO16_ACT_LOW", 22, 1 },
20603		{ "P_LOCK_ACT_LOW", 21, 1 },
20604		{ "C_LOCK_ACT_LOW", 20, 1 },
20605		{ "M_LOCK_ACT_LOW", 19, 1 },
20606		{ "U_LOCK_ACT_LOW", 18, 1 },
20607		{ "KR_LOCK_ACT_LOW", 17, 1 },
20608		{ "KX_LOCK_ACT_LOW", 16, 1 },
20609		{ "GPIO15_ACT_LOW", 15, 1 },
20610		{ "GPIO14_ACT_LOW", 14, 1 },
20611		{ "GPIO13_ACT_LOW", 13, 1 },
20612		{ "GPIO12_ACT_LOW", 12, 1 },
20613		{ "GPIO11_ACT_LOW", 11, 1 },
20614		{ "GPIO10_ACT_LOW", 10, 1 },
20615		{ "GPIO9_ACT_LOW", 9, 1 },
20616		{ "GPIO8_ACT_LOW", 8, 1 },
20617		{ "GPIO7_ACT_LOW", 7, 1 },
20618		{ "GPIO6_ACT_LOW", 6, 1 },
20619		{ "GPIO5_ACT_LOW", 5, 1 },
20620		{ "GPIO4_ACT_LOW", 4, 1 },
20621		{ "GPIO3_ACT_LOW", 3, 1 },
20622		{ "GPIO2_ACT_LOW", 2, 1 },
20623		{ "GPIO1_ACT_LOW", 1, 1 },
20624		{ "GPIO0_ACT_LOW", 0, 1 },
20625	{ "DBG_EFUSE_BYTE0_3", 0x6034, 0 },
20626	{ "DBG_EFUSE_BYTE4_7", 0x6038, 0 },
20627	{ "DBG_EFUSE_BYTE8_11", 0x603c, 0 },
20628	{ "DBG_EFUSE_BYTE12_15", 0x6040, 0 },
20629	{ "DBG_EXTRA_STATIC_BITS_CONF", 0x6058, 0 },
20630		{ "STATIC_M_PLL_RESET", 30, 1 },
20631		{ "STATIC_M_PLL_SLEEP", 29, 1 },
20632		{ "STATIC_M_PLL_BYPASS", 28, 1 },
20633		{ "STATIC_MPLL_CLK_SEL", 27, 1 },
20634		{ "STATIC_U_PLL_SLEEP", 26, 1 },
20635		{ "STATIC_C_PLL_SLEEP", 25, 1 },
20636		{ "STATIC_LVDS_CLKOUT_SEL", 23, 2 },
20637		{ "STATIC_LVDS_CLKOUT_EN", 22, 1 },
20638		{ "STATIC_CCLK_FREQ_SEL", 20, 2 },
20639		{ "STATIC_UCLK_FREQ_SEL", 18, 2 },
20640		{ "ExPHYClk_sel_en", 17, 1 },
20641		{ "ExPHYClk_sel", 15, 2 },
20642		{ "STATIC_U_PLL_BYPASS", 14, 1 },
20643		{ "STATIC_C_PLL_BYPASS", 13, 1 },
20644		{ "STATIC_KR_PLL_BYPASS", 12, 1 },
20645		{ "STATIC_KX_PLL_BYPASS", 11, 1 },
20646		{ "STATIC_KX_PLL_V", 7, 4 },
20647		{ "STATIC_KR_PLL_V", 3, 4 },
20648	{ "DBG_STATIC_OCLK_MUXSEL_CONF", 0x605c, 0 },
20649		{ "T5_P_OCLK_MUXSEL", 13, 4 },
20650		{ "M_OCLK_MUXSEL", 12, 1 },
20651		{ "C_OCLK_MUXSEL", 10, 2 },
20652		{ "U_OCLK_MUXSEL", 8, 2 },
20653		{ "P_OCLK_MUXSEL", 6, 2 },
20654		{ "KX_OCLK_MUXSEL", 3, 3 },
20655		{ "KR_OCLK_MUXSEL", 0, 3 },
20656	{ "DBG_TRACE0_CONF_COMPREG0", 0x6060, 0 },
20657	{ "DBG_TRACE0_CONF_COMPREG1", 0x6064, 0 },
20658	{ "DBG_TRACE1_CONF_COMPREG0", 0x6068, 0 },
20659	{ "DBG_TRACE1_CONF_COMPREG1", 0x606c, 0 },
20660	{ "DBG_TRACE0_CONF_MASKREG0", 0x6070, 0 },
20661	{ "DBG_TRACE0_CONF_MASKREG1", 0x6074, 0 },
20662	{ "DBG_TRACE1_CONF_MASKREG0", 0x6078, 0 },
20663	{ "DBG_TRACE1_CONF_MASKREG1", 0x607c, 0 },
20664	{ "DBG_TRACE_COUNTER", 0x6080, 0 },
20665		{ "Counter1", 16, 16 },
20666		{ "Counter0", 0, 16 },
20667	{ "DBG_STATIC_REFCLK_PERIOD", 0x6084, 0 },
20668	{ "DBG_TRACE_CONF", 0x6088, 0 },
20669		{ "dbg_trace_operate_with_trg", 5, 1 },
20670		{ "dbg_trace_operate_en", 4, 1 },
20671		{ "dbg_operate_indv_combined", 3, 1 },
20672		{ "dbg_operate_order_of_trigger", 2, 1 },
20673		{ "dbg_operate_sgl_dbl_trigger", 1, 1 },
20674		{ "dbg_operate0_or_1", 0, 1 },
20675	{ "DBG_TRACE_RDEN", 0x608c, 0 },
20676		{ "RD_ADDR1", 11, 9 },
20677		{ "RD_ADDR0", 2, 9 },
20678		{ "Rd_en1", 1, 1 },
20679		{ "Rd_en0", 0, 1 },
20680	{ "DBG_TRACE_WRADDR", 0x6090, 0 },
20681		{ "Wr_pointer_addr1", 16, 9 },
20682		{ "Wr_pointer_addr0", 0, 9 },
20683	{ "DBG_TRACE0_DATA_OUT", 0x6094, 0 },
20684	{ "DBG_TRACE1_DATA_OUT", 0x6098, 0 },
20685	{ "DBG_FUSE_SENSE_DONE", 0x609c, 0 },
20686		{ "STATIC_JTAG_VersionNr", 5, 4 },
20687		{ "PSRO_sel", 1, 4 },
20688		{ "FUSE_DONE_SENSE", 0, 1 },
20689	{ "DBG_TVSENSE_EN", 0x60a8, 0 },
20690		{ "MCIMPED1_out", 29, 1 },
20691		{ "MCIMPED2_out", 28, 1 },
20692		{ "TVSENSE_SNSOUT", 17, 9 },
20693		{ "TVSENSE_OUTPUTVALID", 16, 1 },
20694		{ "TVSENSE_SLEEP", 10, 1 },
20695		{ "TVSENSE_SENSV", 9, 1 },
20696		{ "TVSENSE_RST", 8, 1 },
20697		{ "TVSENSE_RATIO", 0, 8 },
20698	{ "DBG_CUST_EFUSE_OUT_EN", 0x60ac, 0 },
20699	{ "DBG_CUST_EFUSE_SEL1_EN", 0x60b0, 0 },
20700	{ "DBG_CUST_EFUSE_SEL2_EN", 0x60b4, 0 },
20701		{ "DBG_FEENABLE", 29, 1 },
20702		{ "DBG_FEF", 23, 6 },
20703		{ "DBG_FEMIMICN", 22, 1 },
20704		{ "DBG_FEGATEC", 21, 1 },
20705		{ "DBG_FEPROGP", 20, 1 },
20706		{ "DBG_FEREADCLK", 19, 1 },
20707		{ "DBG_FERSEL", 3, 16 },
20708		{ "DBG_FETIME", 0, 3 },
20709	{ "DBG_T5_STATIC_M_PLL_CONF1", 0x60b8, 0 },
20710		{ "T5_STATIC_M_PLL_MULTFRAC", 8, 24 },
20711		{ "T5_STATIC_M_PLL_FFSLEWRATE", 0, 8 },
20712	{ "DBG_T5_STATIC_M_PLL_CONF2", 0x60bc, 0 },
20713		{ "T5_STATIC_M_PLL_DCO_BYPASS", 23, 1 },
20714		{ "T5_STATIC_M_PLL_SDORDER", 21, 2 },
20715		{ "T5_STATIC_M_PLL_FFENABLE", 20, 1 },
20716		{ "T5_STATIC_M_PLL_STOPCLKB", 19, 1 },
20717		{ "T5_STATIC_M_PLL_STOPCLKA", 18, 1 },
20718		{ "T5_STATIC_M_PLL_SLEEP", 17, 1 },
20719		{ "T5_STATIC_M_PLL_BYPASS", 16, 1 },
20720		{ "T5_STATIC_M_PLL_LOCKTUNE", 0, 16 },
20721	{ "DBG_T5_STATIC_M_PLL_CONF3", 0x60c0, 0 },
20722		{ "T5_STATIC_M_PLL_MULTPRE", 30, 2 },
20723		{ "T5_STATIC_M_PLL_LOCKSEL", 28, 2 },
20724		{ "T5_STATIC_M_PLL_FFTUNE", 12, 16 },
20725		{ "T5_STATIC_M_PLL_RANGEPRE", 10, 2 },
20726		{ "T5_STATIC_M_PLL_RANGEB", 5, 5 },
20727		{ "T5_STATIC_M_PLL_RANGEA", 0, 5 },
20728	{ "DBG_T5_STATIC_M_PLL_CONF4", 0x60c4, 0 },
20729	{ "DBG_T5_STATIC_M_PLL_CONF5", 0x60c8, 0 },
20730		{ "T5_STATIC_M_PLL_VCVTUNE", 24, 3 },
20731		{ "T5_STATIC_M_PLL_RESET", 23, 1 },
20732		{ "T5_STATIC_MPLL_REFCLK_SEL", 22, 1 },
20733		{ "T5_STATIC_M_PLL_LFTUNE_32_40", 13, 9 },
20734		{ "T5_STATIC_M_PLL_PREDIV", 8, 5 },
20735		{ "T5_STATIC_M_PLL_MULT", 0, 8 },
20736	{ "DBG_T5_STATIC_M_PLL_CONF6", 0x60cc, 0 },
20737		{ "T5_STATIC_PHY0RecRst_", 5, 1 },
20738		{ "T5_STATIC_PHY1RecRst_", 4, 1 },
20739		{ "T5_STATIC_SWMC0Rst_", 3, 1 },
20740		{ "T5_STATIC_SWMC0CfgRst_", 2, 1 },
20741		{ "T5_STATIC_SWMC1Rst_", 1, 1 },
20742		{ "T5_STATIC_SWMC1CfgRst_", 0, 1 },
20743	{ "DBG_T5_STATIC_C_PLL_CONF1", 0x60d0, 0 },
20744		{ "T5_STATIC_C_PLL_MULTFRAC", 8, 24 },
20745		{ "T5_STATIC_C_PLL_FFSLEWRATE", 0, 8 },
20746	{ "DBG_T5_STATIC_C_PLL_CONF2", 0x60d4, 0 },
20747		{ "T5_STATIC_C_PLL_DCO_BYPASS", 23, 1 },
20748		{ "T5_STATIC_C_PLL_SDORDER", 21, 2 },
20749		{ "T5_STATIC_C_PLL_FFENABLE", 20, 1 },
20750		{ "T5_STATIC_C_PLL_STOPCLKB", 19, 1 },
20751		{ "T5_STATIC_C_PLL_STOPCLKA", 18, 1 },
20752		{ "T5_STATIC_C_PLL_SLEEP", 17, 1 },
20753		{ "T5_STATIC_C_PLL_BYPASS", 16, 1 },
20754		{ "T5_STATIC_C_PLL_LOCKTUNE", 0, 16 },
20755	{ "DBG_T5_STATIC_C_PLL_CONF3", 0x60d8, 0 },
20756		{ "T5_STATIC_C_PLL_MULTPRE", 30, 2 },
20757		{ "T5_STATIC_C_PLL_LOCKSEL", 28, 2 },
20758		{ "T5_STATIC_C_PLL_FFTUNE", 12, 16 },
20759		{ "T5_STATIC_C_PLL_RANGEPRE", 10, 2 },
20760		{ "T5_STATIC_C_PLL_RANGEB", 5, 5 },
20761		{ "T5_STATIC_C_PLL_RANGEA", 0, 5 },
20762	{ "DBG_T5_STATIC_C_PLL_CONF4", 0x60dc, 0 },
20763	{ "DBG_T5_STATIC_C_PLL_CONF5", 0x60e0, 0 },
20764		{ "T5_STATIC_C_PLL_VCVTUNE", 22, 3 },
20765		{ "T5_STATIC_C_PLL_LFTUNE_32_40", 13, 9 },
20766		{ "T5_STATIC_C_PLL_PREDIV", 8, 5 },
20767		{ "T5_STATIC_C_PLL_MULT", 0, 8 },
20768	{ "DBG_T5_STATIC_U_PLL_CONF1", 0x60e4, 0 },
20769		{ "T5_STATIC_U_PLL_MULTFRAC", 8, 24 },
20770		{ "T5_STATIC_U_PLL_FFSLEWRATE", 0, 8 },
20771	{ "DBG_T5_STATIC_U_PLL_CONF2", 0x60e8, 0 },
20772		{ "T5_STATIC_U_PLL_DCO_BYPASS", 23, 1 },
20773		{ "T5_STATIC_U_PLL_SDORDER", 21, 2 },
20774		{ "T5_STATIC_U_PLL_FFENABLE", 20, 1 },
20775		{ "T5_STATIC_U_PLL_STOPCLKB", 19, 1 },
20776		{ "T5_STATIC_U_PLL_STOPCLKA", 18, 1 },
20777		{ "T5_STATIC_U_PLL_SLEEP", 17, 1 },
20778		{ "T5_STATIC_U_PLL_BYPASS", 16, 1 },
20779		{ "T5_STATIC_U_PLL_LOCKTUNE", 0, 16 },
20780	{ "DBG_T5_STATIC_U_PLL_CONF3", 0x60ec, 0 },
20781		{ "T5_STATIC_U_PLL_MULTPRE", 30, 2 },
20782		{ "T5_STATIC_U_PLL_LOCKSEL", 28, 2 },
20783		{ "T5_STATIC_U_PLL_FFTUNE", 12, 16 },
20784		{ "T5_STATIC_U_PLL_RANGEPRE", 10, 2 },
20785		{ "T5_STATIC_U_PLL_RANGEB", 5, 5 },
20786		{ "T5_STATIC_U_PLL_RANGEA", 0, 5 },
20787	{ "DBG_T5_STATIC_U_PLL_CONF4", 0x60f0, 0 },
20788	{ "DBG_T5_STATIC_U_PLL_CONF5", 0x60f4, 0 },
20789		{ "T5_STATIC_U_PLL_VCVTUNE", 22, 3 },
20790		{ "T5_STATIC_U_PLL_LFTUNE_32_40", 13, 9 },
20791		{ "T5_STATIC_U_PLL_PREDIV", 8, 5 },
20792		{ "T5_STATIC_U_PLL_MULT", 0, 8 },
20793	{ "DBG_T5_STATIC_KR_PLL_CONF1", 0x60f8, 0 },
20794		{ "T5_STATIC_KR_PLL_BYPASS", 30, 1 },
20795		{ "T5_STATIC_KR_PLL_VBOOSTDIV", 27, 3 },
20796		{ "T5_STATIC_KR_PLL_CPISEL", 24, 3 },
20797		{ "T5_STATIC_KR_PLL_CCALMETHOD", 23, 1 },
20798		{ "T5_STATIC_KR_PLL_CCALLOAD", 22, 1 },
20799		{ "T5_STATIC_KR_PLL_CCALFMIN", 21, 1 },
20800		{ "T5_STATIC_KR_PLL_CCALFMAX", 20, 1 },
20801		{ "T5_STATIC_KR_PLL_CCALCVHOLD", 19, 1 },
20802		{ "T5_STATIC_KR_PLL_CCALBANDSEL", 15, 4 },
20803		{ "T5_STATIC_KR_PLL_BGOFFSET", 11, 4 },
20804		{ "T5_STATIC_KR_PLL_P", 8, 3 },
20805		{ "T5_STATIC_KR_PLL_N2", 4, 4 },
20806		{ "T5_STATIC_KR_PLL_N1", 0, 4 },
20807	{ "DBG_T5_STATIC_KR_PLL_CONF2", 0x60fc, 0 },
20808		{ "T5_STATIC_KR_PLL_M", 11, 9 },
20809		{ "T5_STATIC_KR_PLL_ANALOGTUNE", 0, 11 },
20810	{ "DBG_T5_STATIC_KX_PLL_CONF1", 0x6108, 0 },
20811		{ "T5_STATIC_KX_PLL_BYPASS", 30, 1 },
20812		{ "T5_STATIC_KX_PLL_VBOOSTDIV", 27, 3 },
20813		{ "T5_STATIC_KX_PLL_CPISEL", 24, 3 },
20814		{ "T5_STATIC_KX_PLL_CCALMETHOD", 23, 1 },
20815		{ "T5_STATIC_KX_PLL_CCALLOAD", 22, 1 },
20816		{ "T5_STATIC_KX_PLL_CCALFMIN", 21, 1 },
20817		{ "T5_STATIC_KX_PLL_CCALFMAX", 20, 1 },
20818		{ "T5_STATIC_KX_PLL_CCALCVHOLD", 19, 1 },
20819		{ "T5_STATIC_KX_PLL_CCALBANDSEL", 15, 4 },
20820		{ "T5_STATIC_KX_PLL_BGOFFSET", 11, 4 },
20821		{ "T5_STATIC_KX_PLL_P", 8, 3 },
20822		{ "T5_STATIC_KX_PLL_N2", 4, 4 },
20823		{ "T5_STATIC_KX_PLL_N1", 0, 4 },
20824	{ "DBG_T5_STATIC_KX_PLL_CONF2", 0x610c, 0 },
20825		{ "T5_STATIC_KX_PLL_M", 11, 9 },
20826		{ "T5_STATIC_KX_PLL_ANALOGTUNE", 0, 11 },
20827	{ "DBG_T5_STATIC_C_DFS_CONF", 0x6110, 0 },
20828		{ "STATIC_C_DFS_RANGEA", 8, 5 },
20829		{ "STATIC_C_DFS_RANGEB", 3, 5 },
20830		{ "STATIC_C_DFS_FFTUNE4", 2, 1 },
20831		{ "STATIC_C_DFS_FFTUNE5", 1, 1 },
20832		{ "STATIC_C_DFS_ENABLE", 0, 1 },
20833	{ "DBG_T5_STATIC_U_DFS_CONF", 0x6114, 0 },
20834		{ "STATIC_U_DFS_RANGEA", 8, 5 },
20835		{ "STATIC_U_DFS_RANGEB", 3, 5 },
20836		{ "STATIC_U_DFS_FFTUNE4", 2, 1 },
20837		{ "STATIC_U_DFS_FFTUNE5", 1, 1 },
20838		{ "STATIC_U_DFS_ENABLE", 0, 1 },
20839	{ "DBG_GPIO_PE_EN", 0x6118, 0 },
20840		{ "GPIO19_PE_En", 19, 1 },
20841		{ "GPIO18_PE_En", 18, 1 },
20842		{ "GPIO17_PE_En", 17, 1 },
20843		{ "GPIO16_PE_En", 16, 1 },
20844		{ "GPIO15_PE_En", 15, 1 },
20845		{ "GPIO14_PE_En", 14, 1 },
20846		{ "GPIO13_PE_En", 13, 1 },
20847		{ "GPIO12_PE_En", 12, 1 },
20848		{ "GPIO11_PE_En", 11, 1 },
20849		{ "GPIO10_PE_En", 10, 1 },
20850		{ "GPIO9_PE_En", 9, 1 },
20851		{ "GPIO8_PE_En", 8, 1 },
20852		{ "GPIO7_PE_En", 7, 1 },
20853		{ "GPIO6_PE_En", 6, 1 },
20854		{ "GPIO5_PE_En", 5, 1 },
20855		{ "GPIO4_PE_En", 4, 1 },
20856		{ "GPIO3_PE_En", 3, 1 },
20857		{ "GPIO2_PE_En", 2, 1 },
20858		{ "GPIO1_PE_En", 1, 1 },
20859		{ "GPIO0_PE_En", 0, 1 },
20860	{ "DBG_GPIO_PS_EN", 0x611c, 0 },
20861		{ "GPIO19_PS_En", 19, 1 },
20862		{ "GPIO18_PS_En", 18, 1 },
20863		{ "GPIO17_PS_En", 17, 1 },
20864		{ "GPIO16_PS_En", 16, 1 },
20865		{ "GPIO15_PS_En", 15, 1 },
20866		{ "GPIO14_PS_En", 14, 1 },
20867		{ "GPIO13_PS_En", 13, 1 },
20868		{ "GPIO12_PS_En", 12, 1 },
20869		{ "GPIO11_PS_En", 11, 1 },
20870		{ "GPIO10_PS_En", 10, 1 },
20871		{ "GPIO9_PS_En", 9, 1 },
20872		{ "GPIO8_PS_En", 8, 1 },
20873		{ "GPIO7_PS_En", 7, 1 },
20874		{ "GPIO6_PS_En", 6, 1 },
20875		{ "GPIO5_PS_En", 5, 1 },
20876		{ "GPIO4_PS_En", 4, 1 },
20877		{ "GPIO3_PS_En", 3, 1 },
20878		{ "GPIO2_PS_En", 2, 1 },
20879		{ "GPIO1_PS_En", 1, 1 },
20880		{ "GPIO0_PS_En", 0, 1 },
20881	{ "DBG_EFUSE_BYTE16_19", 0x6120, 0 },
20882	{ "DBG_EFUSE_BYTE20_23", 0x6124, 0 },
20883	{ "DBG_EFUSE_BYTE24_27", 0x6128, 0 },
20884	{ "DBG_EFUSE_BYTE28_31", 0x612c, 0 },
20885	{ "DBG_EFUSE_BYTE32_35", 0x6130, 0 },
20886	{ "DBG_EFUSE_BYTE36_39", 0x6134, 0 },
20887	{ "DBG_EFUSE_BYTE40_43", 0x6138, 0 },
20888	{ "DBG_EFUSE_BYTE44_47", 0x613c, 0 },
20889	{ "DBG_EFUSE_BYTE48_51", 0x6140, 0 },
20890	{ "DBG_EFUSE_BYTE52_55", 0x6144, 0 },
20891	{ "DBG_EFUSE_BYTE56_59", 0x6148, 0 },
20892	{ "DBG_EFUSE_BYTE60_63", 0x614c, 0 },
20893	{ NULL }
20894};
20895
20896struct reg_info t5_ma_regs[] = {
20897	{ "MA_CLIENT0_RD_LATENCY_THRESHOLD", 0x7700, 0 },
20898		{ "THRESHOLD1", 17, 15 },
20899		{ "THRESHOLD1_EN", 16, 1 },
20900		{ "THRESHOLD0", 1, 15 },
20901		{ "THRESHOLD0_EN", 0, 1 },
20902	{ "MA_CLIENT0_WR_LATENCY_THRESHOLD", 0x7704, 0 },
20903		{ "THRESHOLD1", 17, 15 },
20904		{ "THRESHOLD1_EN", 16, 1 },
20905		{ "THRESHOLD0", 1, 15 },
20906		{ "THRESHOLD0_EN", 0, 1 },
20907	{ "MA_CLIENT1_RD_LATENCY_THRESHOLD", 0x7708, 0 },
20908		{ "THRESHOLD1", 17, 15 },
20909		{ "THRESHOLD1_EN", 16, 1 },
20910		{ "THRESHOLD0", 1, 15 },
20911		{ "THRESHOLD0_EN", 0, 1 },
20912	{ "MA_CLIENT1_WR_LATENCY_THRESHOLD", 0x770c, 0 },
20913		{ "THRESHOLD1", 17, 15 },
20914		{ "THRESHOLD1_EN", 16, 1 },
20915		{ "THRESHOLD0", 1, 15 },
20916		{ "THRESHOLD0_EN", 0, 1 },
20917	{ "MA_CLIENT2_RD_LATENCY_THRESHOLD", 0x7710, 0 },
20918		{ "THRESHOLD1", 17, 15 },
20919		{ "THRESHOLD1_EN", 16, 1 },
20920		{ "THRESHOLD0", 1, 15 },
20921		{ "THRESHOLD0_EN", 0, 1 },
20922	{ "MA_CLIENT2_WR_LATENCY_THRESHOLD", 0x7714, 0 },
20923		{ "THRESHOLD1", 17, 15 },
20924		{ "THRESHOLD1_EN", 16, 1 },
20925		{ "THRESHOLD0", 1, 15 },
20926		{ "THRESHOLD0_EN", 0, 1 },
20927	{ "MA_CLIENT3_RD_LATENCY_THRESHOLD", 0x7718, 0 },
20928		{ "THRESHOLD1", 17, 15 },
20929		{ "THRESHOLD1_EN", 16, 1 },
20930		{ "THRESHOLD0", 1, 15 },
20931		{ "THRESHOLD0_EN", 0, 1 },
20932	{ "MA_CLIENT3_WR_LATENCY_THRESHOLD", 0x771c, 0 },
20933		{ "THRESHOLD1", 17, 15 },
20934		{ "THRESHOLD1_EN", 16, 1 },
20935		{ "THRESHOLD0", 1, 15 },
20936		{ "THRESHOLD0_EN", 0, 1 },
20937	{ "MA_CLIENT4_RD_LATENCY_THRESHOLD", 0x7720, 0 },
20938		{ "THRESHOLD1", 17, 15 },
20939		{ "THRESHOLD1_EN", 16, 1 },
20940		{ "THRESHOLD0", 1, 15 },
20941		{ "THRESHOLD0_EN", 0, 1 },
20942	{ "MA_CLIENT4_WR_LATENCY_THRESHOLD", 0x7724, 0 },
20943		{ "THRESHOLD1", 17, 15 },
20944		{ "THRESHOLD1_EN", 16, 1 },
20945		{ "THRESHOLD0", 1, 15 },
20946		{ "THRESHOLD0_EN", 0, 1 },
20947	{ "MA_CLIENT5_RD_LATENCY_THRESHOLD", 0x7728, 0 },
20948		{ "THRESHOLD1", 17, 15 },
20949		{ "THRESHOLD1_EN", 16, 1 },
20950		{ "THRESHOLD0", 1, 15 },
20951		{ "THRESHOLD0_EN", 0, 1 },
20952	{ "MA_CLIENT5_WR_LATENCY_THRESHOLD", 0x772c, 0 },
20953		{ "THRESHOLD1", 17, 15 },
20954		{ "THRESHOLD1_EN", 16, 1 },
20955		{ "THRESHOLD0", 1, 15 },
20956		{ "THRESHOLD0_EN", 0, 1 },
20957	{ "MA_CLIENT6_RD_LATENCY_THRESHOLD", 0x7730, 0 },
20958		{ "THRESHOLD1", 17, 15 },
20959		{ "THRESHOLD1_EN", 16, 1 },
20960		{ "THRESHOLD0", 1, 15 },
20961		{ "THRESHOLD0_EN", 0, 1 },
20962	{ "MA_CLIENT6_WR_LATENCY_THRESHOLD", 0x7734, 0 },
20963		{ "THRESHOLD1", 17, 15 },
20964		{ "THRESHOLD1_EN", 16, 1 },
20965		{ "THRESHOLD0", 1, 15 },
20966		{ "THRESHOLD0_EN", 0, 1 },
20967	{ "MA_CLIENT7_RD_LATENCY_THRESHOLD", 0x7738, 0 },
20968		{ "THRESHOLD1", 17, 15 },
20969		{ "THRESHOLD1_EN", 16, 1 },
20970		{ "THRESHOLD0", 1, 15 },
20971		{ "THRESHOLD0_EN", 0, 1 },
20972	{ "MA_CLIENT7_WR_LATENCY_THRESHOLD", 0x773c, 0 },
20973		{ "THRESHOLD1", 17, 15 },
20974		{ "THRESHOLD1_EN", 16, 1 },
20975		{ "THRESHOLD0", 1, 15 },
20976		{ "THRESHOLD0_EN", 0, 1 },
20977	{ "MA_CLIENT8_RD_LATENCY_THRESHOLD", 0x7740, 0 },
20978		{ "THRESHOLD1", 17, 15 },
20979		{ "THRESHOLD1_EN", 16, 1 },
20980		{ "THRESHOLD0", 1, 15 },
20981		{ "THRESHOLD0_EN", 0, 1 },
20982	{ "MA_CLIENT8_WR_LATENCY_THRESHOLD", 0x7744, 0 },
20983		{ "THRESHOLD1", 17, 15 },
20984		{ "THRESHOLD1_EN", 16, 1 },
20985		{ "THRESHOLD0", 1, 15 },
20986		{ "THRESHOLD0_EN", 0, 1 },
20987	{ "MA_CLIENT9_RD_LATENCY_THRESHOLD", 0x7748, 0 },
20988		{ "THRESHOLD1", 17, 15 },
20989		{ "THRESHOLD1_EN", 16, 1 },
20990		{ "THRESHOLD0", 1, 15 },
20991		{ "THRESHOLD0_EN", 0, 1 },
20992	{ "MA_CLIENT9_WR_LATENCY_THRESHOLD", 0x774c, 0 },
20993		{ "THRESHOLD1", 17, 15 },
20994		{ "THRESHOLD1_EN", 16, 1 },
20995		{ "THRESHOLD0", 1, 15 },
20996		{ "THRESHOLD0_EN", 0, 1 },
20997	{ "MA_CLIENT10_RD_LATENCY_THRESHOLD", 0x7750, 0 },
20998		{ "THRESHOLD1", 17, 15 },
20999		{ "THRESHOLD1_EN", 16, 1 },
21000		{ "THRESHOLD0", 1, 15 },
21001		{ "THRESHOLD0_EN", 0, 1 },
21002	{ "MA_CLIENT10_WR_LATENCY_THRESHOLD", 0x7754, 0 },
21003		{ "THRESHOLD1", 17, 15 },
21004		{ "THRESHOLD1_EN", 16, 1 },
21005		{ "THRESHOLD0", 1, 15 },
21006		{ "THRESHOLD0_EN", 0, 1 },
21007	{ "MA_CLIENT11_RD_LATENCY_THRESHOLD", 0x7758, 0 },
21008		{ "THRESHOLD1", 17, 15 },
21009		{ "THRESHOLD1_EN", 16, 1 },
21010		{ "THRESHOLD0", 1, 15 },
21011		{ "THRESHOLD0_EN", 0, 1 },
21012	{ "MA_CLIENT11_WR_LATENCY_THRESHOLD", 0x775c, 0 },
21013		{ "THRESHOLD1", 17, 15 },
21014		{ "THRESHOLD1_EN", 16, 1 },
21015		{ "THRESHOLD0", 1, 15 },
21016		{ "THRESHOLD0_EN", 0, 1 },
21017	{ "MA_CLIENT12_RD_LATENCY_THRESHOLD", 0x7760, 0 },
21018		{ "THRESHOLD1", 17, 15 },
21019		{ "THRESHOLD1_EN", 16, 1 },
21020		{ "THRESHOLD0", 1, 15 },
21021		{ "THRESHOLD0_EN", 0, 1 },
21022	{ "MA_CLIENT12_WR_LATENCY_THRESHOLD", 0x7764, 0 },
21023		{ "THRESHOLD1", 17, 15 },
21024		{ "THRESHOLD1_EN", 16, 1 },
21025		{ "THRESHOLD0", 1, 15 },
21026		{ "THRESHOLD0_EN", 0, 1 },
21027	{ "MA_SGE_TH0_DEBUG_CNT", 0x7768, 0 },
21028		{ "DBG_READ_DATA_CNT", 24, 8 },
21029		{ "DBG_READ_REQ_CNT", 16, 8 },
21030		{ "DBG_WRITE_DATA_CNT", 8, 8 },
21031		{ "DBG_WRITE_REQ_CNT", 0, 8 },
21032	{ "MA_SGE_TH1_DEBUG_CNT", 0x776c, 0 },
21033		{ "DBG_READ_DATA_CNT", 24, 8 },
21034		{ "DBG_READ_REQ_CNT", 16, 8 },
21035		{ "DBG_WRITE_DATA_CNT", 8, 8 },
21036		{ "DBG_WRITE_REQ_CNT", 0, 8 },
21037	{ "MA_ULPTX_DEBUG_CNT", 0x7770, 0 },
21038		{ "DBG_READ_DATA_CNT", 24, 8 },
21039		{ "DBG_READ_REQ_CNT", 16, 8 },
21040		{ "DBG_WRITE_DATA_CNT", 8, 8 },
21041		{ "DBG_WRITE_REQ_CNT", 0, 8 },
21042	{ "MA_ULPRX_DEBUG_CNT", 0x7774, 0 },
21043		{ "DBG_READ_DATA_CNT", 24, 8 },
21044		{ "DBG_READ_REQ_CNT", 16, 8 },
21045		{ "DBG_WRITE_DATA_CNT", 8, 8 },
21046		{ "DBG_WRITE_REQ_CNT", 0, 8 },
21047	{ "MA_ULPTXRX_DEBUG_CNT", 0x7778, 0 },
21048		{ "DBG_READ_DATA_CNT", 24, 8 },
21049		{ "DBG_READ_REQ_CNT", 16, 8 },
21050		{ "DBG_WRITE_DATA_CNT", 8, 8 },
21051		{ "DBG_WRITE_REQ_CNT", 0, 8 },
21052	{ "MA_TP_TH0_DEBUG_CNT", 0x777c, 0 },
21053		{ "DBG_READ_DATA_CNT", 24, 8 },
21054		{ "DBG_READ_REQ_CNT", 16, 8 },
21055		{ "DBG_WRITE_DATA_CNT", 8, 8 },
21056		{ "DBG_WRITE_REQ_CNT", 0, 8 },
21057	{ "MA_TP_TH1_DEBUG_CNT", 0x7780, 0 },
21058		{ "DBG_READ_DATA_CNT", 24, 8 },
21059		{ "DBG_READ_REQ_CNT", 16, 8 },
21060		{ "DBG_WRITE_DATA_CNT", 8, 8 },
21061		{ "DBG_WRITE_REQ_CNT", 0, 8 },
21062	{ "MA_LE_DEBUG_CNT", 0x7784, 0 },
21063		{ "DBG_READ_DATA_CNT", 24, 8 },
21064		{ "DBG_READ_REQ_CNT", 16, 8 },
21065		{ "DBG_WRITE_DATA_CNT", 8, 8 },
21066		{ "DBG_WRITE_REQ_CNT", 0, 8 },
21067	{ "MA_CIM_DEBUG_CNT", 0x7788, 0 },
21068		{ "DBG_READ_DATA_CNT", 24, 8 },
21069		{ "DBG_READ_REQ_CNT", 16, 8 },
21070		{ "DBG_WRITE_DATA_CNT", 8, 8 },
21071		{ "DBG_WRITE_REQ_CNT", 0, 8 },
21072	{ "MA_PCIE_DEBUG_CNT", 0x778c, 0 },
21073		{ "DBG_READ_DATA_CNT", 24, 8 },
21074		{ "DBG_READ_REQ_CNT", 16, 8 },
21075		{ "DBG_WRITE_DATA_CNT", 8, 8 },
21076		{ "DBG_WRITE_REQ_CNT", 0, 8 },
21077	{ "MA_PMTX_DEBUG_CNT", 0x7790, 0 },
21078		{ "DBG_READ_DATA_CNT", 24, 8 },
21079		{ "DBG_READ_REQ_CNT", 16, 8 },
21080		{ "DBG_WRITE_DATA_CNT", 8, 8 },
21081		{ "DBG_WRITE_REQ_CNT", 0, 8 },
21082	{ "MA_PMRX_DEBUG_CNT", 0x7794, 0 },
21083		{ "DBG_READ_DATA_CNT", 24, 8 },
21084		{ "DBG_READ_REQ_CNT", 16, 8 },
21085		{ "DBG_WRITE_DATA_CNT", 8, 8 },
21086		{ "DBG_WRITE_REQ_CNT", 0, 8 },
21087	{ "MA_HMA_DEBUG_CNT", 0x7798, 0 },
21088		{ "DBG_READ_DATA_CNT", 24, 8 },
21089		{ "DBG_READ_REQ_CNT", 16, 8 },
21090		{ "DBG_WRITE_DATA_CNT", 8, 8 },
21091		{ "DBG_WRITE_REQ_CNT", 0, 8 },
21092	{ "MA_EDRAM0_BAR", 0x77c0, 0 },
21093		{ "EDRAM0_BASE", 16, 12 },
21094		{ "EDRAM0_SIZE", 0, 12 },
21095	{ "MA_EDRAM1_BAR", 0x77c4, 0 },
21096		{ "EDRAM1_BASE", 16, 12 },
21097		{ "EDRAM1_SIZE", 0, 12 },
21098	{ "MA_EXT_MEMORY0_BAR", 0x77c8, 0 },
21099		{ "EXT_MEM0_BASE", 16, 12 },
21100		{ "EXT_MEM0_SIZE", 0, 12 },
21101	{ "MA_HOST_MEMORY_BAR", 0x77cc, 0 },
21102		{ "HMA_BASE", 16, 12 },
21103		{ "HMA_SIZE", 0, 12 },
21104	{ "MA_EXT_MEM_PAGE_SIZE", 0x77d0, 0 },
21105		{ "BRC_MODE1", 6, 1 },
21106		{ "EXT_MEM_PAGE_SIZE1", 4, 2 },
21107		{ "BRC_MODE", 2, 1 },
21108		{ "EXT_MEM_PAGE_SIZE", 0, 2 },
21109	{ "MA_ARB_CTRL", 0x77d4, 0 },
21110		{ "DIS_BANK_FAIR", 2, 1 },
21111		{ "DIS_PAGE_HINT", 1, 1 },
21112		{ "DIS_ADV_ARB", 0, 1 },
21113	{ "MA_TARGET_MEM_ENABLE", 0x77d8, 0 },
21114		{ "HMA_MUX", 5, 1 },
21115		{ "EXT_MEM1_ENABLE", 4, 1 },
21116		{ "HMA_ENABLE", 3, 1 },
21117		{ "EXT_MEM0_ENABLE", 2, 1 },
21118		{ "EDRAM1_ENABLE", 1, 1 },
21119		{ "EDRAM0_ENABLE", 0, 1 },
21120	{ "MA_INT_ENABLE", 0x77dc, 0 },
21121		{ "MEM_TO_INT_ENABLE", 2, 1 },
21122		{ "MEM_PERR_INT_ENABLE", 1, 1 },
21123		{ "MEM_WRAP_INT_ENABLE", 0, 1 },
21124	{ "MA_INT_CAUSE", 0x77e0, 0 },
21125		{ "MEM_TO_INT_CAUSE", 2, 1 },
21126		{ "MEM_PERR_INT_CAUSE", 1, 1 },
21127		{ "MEM_WRAP_INT_CAUSE", 0, 1 },
21128	{ "MA_INT_WRAP_STATUS", 0x77e4, 0 },
21129		{ "MEM_WRAP_ADDRESS", 4, 28 },
21130		{ "MEM_WRAP_CLIENT_NUM", 0, 4 },
21131	{ "MA_TP_THREAD1_MAPPER", 0x77e8, 0 },
21132	{ "MA_SGE_THREAD1_MAPPER", 0x77ec, 0 },
21133	{ "MA_PARITY_ERROR_ENABLE1", 0x77f0, 0 },
21134		{ "TP_DMARBT_PAR_ERROR_EN", 31, 1 },
21135		{ "LOGIC_FIFO_PAR_ERROR_EN", 30, 1 },
21136		{ "ARB3_PAR_WRQUEUE_ERROR_EN", 29, 1 },
21137		{ "ARB2_PAR_WRQUEUE_ERROR_EN", 28, 1 },
21138		{ "ARB1_PAR_WRQUEUE_ERROR_EN", 27, 1 },
21139		{ "ARB0_PAR_WRQUEUE_ERROR_EN", 26, 1 },
21140		{ "ARB3_PAR_RDQUEUE_ERROR_EN", 25, 1 },
21141		{ "ARB2_PAR_RDQUEUE_ERROR_EN", 24, 1 },
21142		{ "ARB1_PAR_RDQUEUE_ERROR_EN", 23, 1 },
21143		{ "ARB0_PAR_RDQUEUE_ERROR_EN", 22, 1 },
21144		{ "CL10_PAR_WRQUEUE_ERROR_EN", 21, 1 },
21145		{ "CL9_PAR_WRQUEUE_ERROR_EN", 20, 1 },
21146		{ "CL8_PAR_WRQUEUE_ERROR_EN", 19, 1 },
21147		{ "CL7_PAR_WRQUEUE_ERROR_EN", 18, 1 },
21148		{ "CL6_PAR_WRQUEUE_ERROR_EN", 17, 1 },
21149		{ "CL5_PAR_WRQUEUE_ERROR_EN", 16, 1 },
21150		{ "CL4_PAR_WRQUEUE_ERROR_EN", 15, 1 },
21151		{ "CL3_PAR_WRQUEUE_ERROR_EN", 14, 1 },
21152		{ "CL2_PAR_WRQUEUE_ERROR_EN", 13, 1 },
21153		{ "CL1_PAR_WRQUEUE_ERROR_EN", 12, 1 },
21154		{ "CL0_PAR_WRQUEUE_ERROR_EN", 11, 1 },
21155		{ "CL10_PAR_RDQUEUE_ERROR_EN", 10, 1 },
21156		{ "CL9_PAR_RDQUEUE_ERROR_EN", 9, 1 },
21157		{ "CL8_PAR_RDQUEUE_ERROR_EN", 8, 1 },
21158		{ "CL7_PAR_RDQUEUE_ERROR_EN", 7, 1 },
21159		{ "CL6_PAR_RDQUEUE_ERROR_EN", 6, 1 },
21160		{ "CL5_PAR_RDQUEUE_ERROR_EN", 5, 1 },
21161		{ "CL4_PAR_RDQUEUE_ERROR_EN", 4, 1 },
21162		{ "CL3_PAR_RDQUEUE_ERROR_EN", 3, 1 },
21163		{ "CL2_PAR_RDQUEUE_ERROR_EN", 2, 1 },
21164		{ "CL1_PAR_RDQUEUE_ERROR_EN", 1, 1 },
21165		{ "CL0_PAR_RDQUEUE_ERROR_EN", 0, 1 },
21166	{ "MA_PARITY_ERROR_STATUS1", 0x77f4, 0 },
21167		{ "TP_DMARBT_PAR_ERROR", 31, 1 },
21168		{ "LOGIC_FIFO_PAR_ERROR", 30, 1 },
21169		{ "ARB3_PAR_WRQUEUE_ERROR", 29, 1 },
21170		{ "ARB2_PAR_WRQUEUE_ERROR", 28, 1 },
21171		{ "ARB1_PAR_WRQUEUE_ERROR", 27, 1 },
21172		{ "ARB0_PAR_WRQUEUE_ERROR", 26, 1 },
21173		{ "ARB3_PAR_RDQUEUE_ERROR", 25, 1 },
21174		{ "ARB2_PAR_RDQUEUE_ERROR", 24, 1 },
21175		{ "ARB1_PAR_RDQUEUE_ERROR", 23, 1 },
21176		{ "ARB0_PAR_RDQUEUE_ERROR", 22, 1 },
21177		{ "CL10_PAR_WRQUEUE_ERROR", 21, 1 },
21178		{ "CL9_PAR_WRQUEUE_ERROR", 20, 1 },
21179		{ "CL8_PAR_WRQUEUE_ERROR", 19, 1 },
21180		{ "CL7_PAR_WRQUEUE_ERROR", 18, 1 },
21181		{ "CL6_PAR_WRQUEUE_ERROR", 17, 1 },
21182		{ "CL5_PAR_WRQUEUE_ERROR", 16, 1 },
21183		{ "CL4_PAR_WRQUEUE_ERROR", 15, 1 },
21184		{ "CL3_PAR_WRQUEUE_ERROR", 14, 1 },
21185		{ "CL2_PAR_WRQUEUE_ERROR", 13, 1 },
21186		{ "CL1_PAR_WRQUEUE_ERROR", 12, 1 },
21187		{ "CL0_PAR_WRQUEUE_ERROR", 11, 1 },
21188		{ "CL10_PAR_RDQUEUE_ERROR", 10, 1 },
21189		{ "CL9_PAR_RDQUEUE_ERROR", 9, 1 },
21190		{ "CL8_PAR_RDQUEUE_ERROR", 8, 1 },
21191		{ "CL7_PAR_RDQUEUE_ERROR", 7, 1 },
21192		{ "CL6_PAR_RDQUEUE_ERROR", 6, 1 },
21193		{ "CL5_PAR_RDQUEUE_ERROR", 5, 1 },
21194		{ "CL4_PAR_RDQUEUE_ERROR", 4, 1 },
21195		{ "CL3_PAR_RDQUEUE_ERROR", 3, 1 },
21196		{ "CL2_PAR_RDQUEUE_ERROR", 2, 1 },
21197		{ "CL1_PAR_RDQUEUE_ERROR", 1, 1 },
21198		{ "CL0_PAR_RDQUEUE_ERROR", 0, 1 },
21199	{ "MA_SGE_PCIE_COHERANCY_CTRL", 0x77f8, 0 },
21200		{ "BONUS_REG", 6, 26 },
21201		{ "COHERANCY_CMD_TYPE", 4, 2 },
21202		{ "COHERANCY_THREAD_NUM", 1, 3 },
21203		{ "COHERANCY_ENABLE", 0, 1 },
21204	{ "MA_ERROR_ENABLE", 0x77fc, 0 },
21205		{ "FUTURE_EXPANSION", 1, 31 },
21206		{ "UE_ENABLE", 0, 1 },
21207	{ "MA_PARITY_ERROR_ENABLE2", 0x7800, 0 },
21208		{ "ARB4_PAR_WRQUEUE_ERROR_EN", 1, 1 },
21209		{ "ARB4_PAR_RDQUEUE_ERROR_EN", 0, 1 },
21210	{ "MA_PARITY_ERROR_STATUS2", 0x7804, 0 },
21211		{ "ARB4_PAR_WRQUEUE_ERROR", 1, 1 },
21212		{ "ARB4_PAR_RDQUEUE_ERROR", 0, 1 },
21213	{ "MA_EXT_MEMORY1_BAR", 0x7808, 0 },
21214		{ "EXT_MEM1_BASE", 16, 12 },
21215		{ "EXT_MEM1_SIZE", 0, 12 },
21216	{ "MA_PMTX_THROTTLE", 0x780c, 0 },
21217		{ "FL_ENABLE", 31, 1 },
21218		{ "FL_LIMIT", 0, 8 },
21219	{ "MA_PMRX_THROTTLE", 0x7810, 0 },
21220		{ "FL_ENABLE", 31, 1 },
21221		{ "FL_LIMIT", 0, 8 },
21222	{ "MA_SGE_TH0_WRDATA_CNT", 0x7814, 0 },
21223	{ "MA_SGE_TH1_WRDATA_CNT", 0x7818, 0 },
21224	{ "MA_ULPTX_WRDATA_CNT", 0x781c, 0 },
21225	{ "MA_ULPRX_WRDATA_CNT", 0x7820, 0 },
21226	{ "MA_ULPTXRX_WRDATA_CNT", 0x7824, 0 },
21227	{ "MA_TP_TH0_WRDATA_CNT", 0x7828, 0 },
21228	{ "MA_TP_TH1_WRDATA_CNT", 0x782c, 0 },
21229	{ "MA_LE_WRDATA_CNT", 0x7830, 0 },
21230	{ "MA_CIM_WRDATA_CNT", 0x7834, 0 },
21231	{ "MA_PCIE_WRDATA_CNT", 0x7838, 0 },
21232	{ "MA_PMTX_WRDATA_CNT", 0x783c, 0 },
21233	{ "MA_PMRX_WRDATA_CNT", 0x7840, 0 },
21234	{ "MA_HMA_WRDATA_CNT", 0x7844, 0 },
21235	{ "MA_SGE_TH0_RDDATA_CNT", 0x7848, 0 },
21236	{ "MA_SGE_TH1_RDDATA_CNT", 0x784c, 0 },
21237	{ "MA_ULPTX_RDDATA_CNT", 0x7850, 0 },
21238	{ "MA_ULPRX_RDDATA_CNT", 0x7854, 0 },
21239	{ "MA_ULPTXRX_RDDATA_CNT", 0x7858, 0 },
21240	{ "MA_TP_TH0_RDDATA_CNT", 0x785c, 0 },
21241	{ "MA_TP_TH1_RDDATA_CNT", 0x7860, 0 },
21242	{ "MA_LE_RDDATA_CNT", 0x7864, 0 },
21243	{ "MA_CIM_RDDATA_CNT", 0x7868, 0 },
21244	{ "MA_PCIE_RDDATA_CNT", 0x786c, 0 },
21245	{ "MA_PMTX_RDDATA_CNT", 0x7870, 0 },
21246	{ "MA_PMRX_RDDATA_CNT", 0x7874, 0 },
21247	{ "MA_HMA_RDDATA_CNT", 0x7878, 0 },
21248	{ "MA_EDRAM0_WRDATA_CNT1", 0x787c, 0 },
21249	{ "MA_EDRAM0_WRDATA_CNT0", 0x7880, 0 },
21250	{ "MA_EDRAM1_WRDATA_CNT1", 0x7884, 0 },
21251	{ "MA_EDRAM1_WRDATA_CNT0", 0x7888, 0 },
21252	{ "MA_EXT_MEMORY0_WRDATA_CNT1", 0x788c, 0 },
21253	{ "MA_EXT_MEMORY0_WRDATA_CNT0", 0x7890, 0 },
21254	{ "MA_HOST_MEMORY_WRDATA_CNT1", 0x7894, 0 },
21255	{ "MA_HOST_MEMORY_WRDATA_CNT0", 0x7898, 0 },
21256	{ "MA_EXT_MEMORY1_WRDATA_CNT1", 0x789c, 0 },
21257	{ "MA_EXT_MEMORY1_WRDATA_CNT0", 0x78a0, 0 },
21258	{ "MA_EDRAM0_RDDATA_CNT1", 0x78a4, 0 },
21259	{ "MA_EDRAM0_RDDATA_CNT0", 0x78a8, 0 },
21260	{ "MA_EDRAM1_RDDATA_CNT1", 0x78ac, 0 },
21261	{ "MA_EDRAM1_RDDATA_CNT0", 0x78b0, 0 },
21262	{ "MA_EXT_MEMORY0_RDDATA_CNT1", 0x78b4, 0 },
21263	{ "MA_EXT_MEMORY0_RDDATA_CNT0", 0x78b8, 0 },
21264	{ "MA_HOST_MEMORY_RDDATA_CNT1", 0x78bc, 0 },
21265	{ "MA_HOST_MEMORY_RDDATA_CNT0", 0x78c0, 0 },
21266	{ "MA_EXT_MEMORY1_RDDATA_CNT1", 0x78c4, 0 },
21267	{ "MA_EXT_MEMORY1_RDDATA_CNT0", 0x78c8, 0 },
21268	{ "MA_TIMEOUT_CFG", 0x78cc, 0 },
21269		{ "CLR", 31, 1 },
21270		{ "CNT_LOCK", 30, 1 },
21271		{ "WRN", 24, 1 },
21272		{ "DIR", 23, 1 },
21273		{ "TYPE", 22, 1 },
21274		{ "CLIENT", 16, 4 },
21275		{ "DELAY", 0, 16 },
21276	{ "MA_TIMEOUT_CNT", 0x78d0, 0 },
21277		{ "DIR", 23, 1 },
21278		{ "TYPE", 22, 1 },
21279		{ "CLIENT", 16, 4 },
21280		{ "CNT_VAL", 0, 16 },
21281	{ "MA_WRITE_TIMEOUT_ERROR_ENABLE", 0x78d4, 0 },
21282		{ "FUTURE_CEXPANSION", 29, 3 },
21283		{ "CL12_WR_CMD_TO_EN", 28, 1 },
21284		{ "CL11_WR_CMD_TO_EN", 27, 1 },
21285		{ "CL10_WR_CMD_TO_EN", 26, 1 },
21286		{ "CL9_WR_CMD_TO_EN", 25, 1 },
21287		{ "CL8_WR_CMD_TO_EN", 24, 1 },
21288		{ "CL7_WR_CMD_TO_EN", 23, 1 },
21289		{ "CL6_WR_CMD_TO_EN", 22, 1 },
21290		{ "CL5_WR_CMD_TO_EN", 21, 1 },
21291		{ "CL4_WR_CMD_TO_EN", 20, 1 },
21292		{ "CL3_WR_CMD_TO_EN", 19, 1 },
21293		{ "CL2_WR_CMD_TO_EN", 18, 1 },
21294		{ "CL1_WR_CMD_TO_EN", 17, 1 },
21295		{ "CL0_WR_CMD_TO_EN", 16, 1 },
21296		{ "FUTURE_DEXPANSION", 13, 3 },
21297		{ "CL12_WR_DATA_TO_EN", 12, 1 },
21298		{ "CL11_WR_DATA_TO_EN", 11, 1 },
21299		{ "CL10_WR_DATA_TO_EN", 10, 1 },
21300		{ "CL9_WR_DATA_TO_EN", 9, 1 },
21301		{ "CL8_WR_DATA_TO_EN", 8, 1 },
21302		{ "CL7_WR_DATA_TO_EN", 7, 1 },
21303		{ "CL6_WR_DATA_TO_EN", 6, 1 },
21304		{ "CL5_WR_DATA_TO_EN", 5, 1 },
21305		{ "CL4_WR_DATA_TO_EN", 4, 1 },
21306		{ "CL3_WR_DATA_TO_EN", 3, 1 },
21307		{ "CL2_WR_DATA_TO_EN", 2, 1 },
21308		{ "CL1_WR_DATA_TO_EN", 1, 1 },
21309		{ "CL0_WR_DATA_TO_EN", 0, 1 },
21310	{ "MA_WRITE_TIMEOUT_ERROR_STATUS", 0x78d8, 0 },
21311		{ "FUTURE_CEXPANSION", 29, 3 },
21312		{ "CL12_WR_CMD_TO_ERROR", 28, 1 },
21313		{ "CL11_WR_CMD_TO_ERROR", 27, 1 },
21314		{ "CL10_WR_CMD_TO_ERROR", 26, 1 },
21315		{ "CL9_WR_CMD_TO_ERROR", 25, 1 },
21316		{ "CL8_WR_CMD_TO_ERROR", 24, 1 },
21317		{ "CL7_WR_CMD_TO_ERROR", 23, 1 },
21318		{ "CL6_WR_CMD_TO_ERROR", 22, 1 },
21319		{ "CL5_WR_CMD_TO_ERROR", 21, 1 },
21320		{ "CL4_WR_CMD_TO_ERROR", 20, 1 },
21321		{ "CL3_WR_CMD_TO_ERROR", 19, 1 },
21322		{ "CL2_WR_CMD_TO_ERROR", 18, 1 },
21323		{ "CL1_WR_CMD_TO_ERROR", 17, 1 },
21324		{ "CL0_WR_CMD_TO_ERROR", 16, 1 },
21325		{ "FUTURE_DEXPANSION", 13, 3 },
21326		{ "CL12_WR_DATA_TO_ERROR", 12, 1 },
21327		{ "CL11_WR_DATA_TO_ERROR", 11, 1 },
21328		{ "CL10_WR_DATA_TO_ERROR", 10, 1 },
21329		{ "CL9_WR_DATA_TO_ERROR", 9, 1 },
21330		{ "CL8_WR_DATA_TO_ERROR", 8, 1 },
21331		{ "CL7_WR_DATA_TO_ERROR", 7, 1 },
21332		{ "CL6_WR_DATA_TO_ERROR", 6, 1 },
21333		{ "CL5_WR_DATA_TO_ERROR", 5, 1 },
21334		{ "CL4_WR_DATA_TO_ERROR", 4, 1 },
21335		{ "CL3_WR_DATA_TO_ERROR", 3, 1 },
21336		{ "CL2_WR_DATA_TO_ERROR", 2, 1 },
21337		{ "CL1_WR_DATA_TO_ERROR", 1, 1 },
21338		{ "CL0_WR_DATA_TO_ERROR", 0, 1 },
21339	{ "MA_READ_TIMEOUT_ERROR_ENABLE", 0x78dc, 0 },
21340		{ "FUTURE_CEXPANSION", 29, 3 },
21341		{ "CL12_RD_CMD_TO_EN", 28, 1 },
21342		{ "CL11_RD_CMD_TO_EN", 27, 1 },
21343		{ "CL10_RD_CMD_TO_EN", 26, 1 },
21344		{ "CL9_RD_CMD_TO_EN", 25, 1 },
21345		{ "CL8_RD_CMD_TO_EN", 24, 1 },
21346		{ "CL7_RD_CMD_TO_EN", 23, 1 },
21347		{ "CL6_RD_CMD_TO_EN", 22, 1 },
21348		{ "CL5_RD_CMD_TO_EN", 21, 1 },
21349		{ "CL4_RD_CMD_TO_EN", 20, 1 },
21350		{ "CL3_RD_CMD_TO_EN", 19, 1 },
21351		{ "CL2_RD_CMD_TO_EN", 18, 1 },
21352		{ "CL1_RD_CMD_TO_EN", 17, 1 },
21353		{ "CL0_RD_CMD_TO_EN", 16, 1 },
21354		{ "FUTURE_DEXPANSION", 13, 3 },
21355		{ "CL12_RD_DATA_TO_EN", 12, 1 },
21356		{ "CL11_RD_DATA_TO_EN", 11, 1 },
21357		{ "CL10_RD_DATA_TO_EN", 10, 1 },
21358		{ "CL9_RD_DATA_TO_EN", 9, 1 },
21359		{ "CL8_RD_DATA_TO_EN", 8, 1 },
21360		{ "CL7_RD_DATA_TO_EN", 7, 1 },
21361		{ "CL6_RD_DATA_TO_EN", 6, 1 },
21362		{ "CL5_RD_DATA_TO_EN", 5, 1 },
21363		{ "CL4_RD_DATA_TO_EN", 4, 1 },
21364		{ "CL3_RD_DATA_TO_EN", 3, 1 },
21365		{ "CL2_RD_DATA_TO_EN", 2, 1 },
21366		{ "CL1_RD_DATA_TO_EN", 1, 1 },
21367		{ "CL0_RD_DATA_TO_EN", 0, 1 },
21368	{ "MA_READ_TIMEOUT_ERROR_STATUS", 0x78e0, 0 },
21369		{ "FUTURE_CEXPANSION", 29, 3 },
21370		{ "CL12_RD_CMD_TO_ERROR", 28, 1 },
21371		{ "CL11_RD_CMD_TO_ERROR", 27, 1 },
21372		{ "CL10_RD_CMD_TO_ERROR", 26, 1 },
21373		{ "CL9_RD_CMD_TO_ERROR", 25, 1 },
21374		{ "CL8_RD_CMD_TO_ERROR", 24, 1 },
21375		{ "CL7_RD_CMD_TO_ERROR", 23, 1 },
21376		{ "CL6_RD_CMD_TO_ERROR", 22, 1 },
21377		{ "CL5_RD_CMD_TO_ERROR", 21, 1 },
21378		{ "CL4_RD_CMD_TO_ERROR", 20, 1 },
21379		{ "CL3_RD_CMD_TO_ERROR", 19, 1 },
21380		{ "CL2_RD_CMD_TO_ERROR", 18, 1 },
21381		{ "CL1_RD_CMD_TO_ERROR", 17, 1 },
21382		{ "CL0_RD_CMD_TO_ERROR", 16, 1 },
21383		{ "FUTURE_DEXPANSION", 13, 3 },
21384		{ "CL12_RD_DATA_TO_ERROR", 12, 1 },
21385		{ "CL11_RD_DATA_TO_ERROR", 11, 1 },
21386		{ "CL10_RD_DATA_TO_ERROR", 10, 1 },
21387		{ "CL9_RD_DATA_TO_ERROR", 9, 1 },
21388		{ "CL8_RD_DATA_TO_ERROR", 8, 1 },
21389		{ "CL7_RD_DATA_TO_ERROR", 7, 1 },
21390		{ "CL6_RD_DATA_TO_ERROR", 6, 1 },
21391		{ "CL5_RD_DATA_TO_ERROR", 5, 1 },
21392		{ "CL4_RD_DATA_TO_ERROR", 4, 1 },
21393		{ "CL3_RD_DATA_TO_ERROR", 3, 1 },
21394		{ "CL2_RD_DATA_TO_ERROR", 2, 1 },
21395		{ "CL1_RD_DATA_TO_ERROR", 1, 1 },
21396		{ "CL0_RD_DATA_TO_ERROR", 0, 1 },
21397	{ "MA_BKP_CNT_SEL", 0x78e4, 0 },
21398		{ "TYPE", 30, 2 },
21399		{ "CLIENT", 24, 4 },
21400	{ "MA_BKP_CNT", 0x78e8, 0 },
21401	{ "MA_WRT_ARB", 0x78ec, 0 },
21402		{ "WRT_EN", 31, 1 },
21403		{ "WR_TIM", 16, 8 },
21404		{ "RD_WIN", 8, 8 },
21405		{ "WR_WIN", 0, 8 },
21406	{ "MA_IF_PARITY_ERROR_ENABLE", 0x78f0, 0 },
21407		{ "FUTURE_DEXPANSION", 13, 19 },
21408		{ "CL12_IF_PAR_EN", 12, 1 },
21409		{ "CL11_IF_PAR_EN", 11, 1 },
21410		{ "CL10_IF_PAR_EN", 10, 1 },
21411		{ "CL9_IF_PAR_EN", 9, 1 },
21412		{ "CL8_IF_PAR_EN", 8, 1 },
21413		{ "CL7_IF_PAR_EN", 7, 1 },
21414		{ "CL6_IF_PAR_EN", 6, 1 },
21415		{ "CL5_IF_PAR_EN", 5, 1 },
21416		{ "CL4_IF_PAR_EN", 4, 1 },
21417		{ "CL3_IF_PAR_EN", 3, 1 },
21418		{ "CL2_IF_PAR_EN", 2, 1 },
21419		{ "CL1_IF_PAR_EN", 1, 1 },
21420		{ "CL0_IF_PAR_EN", 0, 1 },
21421	{ "MA_IF_PARITY_ERROR_STATUS", 0x78f4, 0 },
21422		{ "FUTURE_DEXPANSION", 13, 19 },
21423		{ "CL12_IF_PAR_ERROR", 12, 1 },
21424		{ "CL11_IF_PAR_ERROR", 11, 1 },
21425		{ "CL10_IF_PAR_ERROR", 10, 1 },
21426		{ "CL9_IF_PAR_ERROR", 9, 1 },
21427		{ "CL8_IF_PAR_ERROR", 8, 1 },
21428		{ "CL7_IF_PAR_ERROR", 7, 1 },
21429		{ "CL6_IF_PAR_ERROR", 6, 1 },
21430		{ "CL5_IF_PAR_ERROR", 5, 1 },
21431		{ "CL4_IF_PAR_ERROR", 4, 1 },
21432		{ "CL3_IF_PAR_ERROR", 3, 1 },
21433		{ "CL2_IF_PAR_ERROR", 2, 1 },
21434		{ "CL1_IF_PAR_ERROR", 1, 1 },
21435		{ "CL0_IF_PAR_ERROR", 0, 1 },
21436	{ "MA_LOCAL_DEBUG_CFG", 0x78f8, 0 },
21437		{ "DEBUG_OR", 15, 1 },
21438		{ "DEBUG_HI", 14, 1 },
21439		{ "DEBUG_RPT", 13, 1 },
21440		{ "DEBUGPAGE", 10, 3 },
21441		{ "DEBUGSELH", 5, 5 },
21442		{ "DEBUGSELL", 0, 5 },
21443	{ "MA_LOCAL_DEBUG_RPT", 0x78fc, 0 },
21444	{ NULL }
21445};
21446
21447struct reg_info t5_cim_regs[] = {
21448	{ "CIM_BOOT_CFG", 0x7b00, 0 },
21449		{ "BootAddr", 8, 24 },
21450		{ "uPGen", 2, 6 },
21451		{ "BootSdram", 1, 1 },
21452		{ "uPCRst", 0, 1 },
21453	{ "CIM_BOOT_LEN", 0x7bf0, 0 },
21454		{ "BootLen", 4, 28 },
21455	{ "CIM_FLASH_BASE_ADDR", 0x7b04, 0 },
21456		{ "FlashBaseAddr", 6, 18 },
21457	{ "CIM_FLASH_ADDR_SIZE", 0x7b08, 0 },
21458		{ "FlashAddrSize", 4, 20 },
21459	{ "CIM_EEPROM_BASE_ADDR", 0x7b0c, 0 },
21460		{ "EEPROMBaseAddr", 6, 18 },
21461	{ "CIM_EEPROM_ADDR_SIZE", 0x7b10, 0 },
21462		{ "EEPROMAddrSize", 4, 20 },
21463	{ "CIM_SDRAM_BASE_ADDR", 0x7b14, 0 },
21464		{ "SdramBaseAddr", 6, 26 },
21465	{ "CIM_SDRAM_ADDR_SIZE", 0x7b18, 0 },
21466		{ "SdramAddrSize", 4, 28 },
21467	{ "CIM_EXTMEM2_BASE_ADDR", 0x7b1c, 0 },
21468		{ "ExtMem2BaseAddr", 6, 26 },
21469	{ "CIM_EXTMEM2_ADDR_SIZE", 0x7b20, 0 },
21470		{ "ExtMem2AddrSize", 4, 28 },
21471	{ "CIM_UP_SPARE_INT", 0x7b24, 0 },
21472		{ "TDebugInt", 4, 1 },
21473		{ "BootVecSel", 3, 1 },
21474		{ "uPSpareInt", 0, 3 },
21475	{ "CIM_HOST_INT_ENABLE", 0x7b28, 0 },
21476		{ "ma_cim_IntfPerr", 28, 1 },
21477		{ "PLCIM_MstRspDataParErr", 27, 1 },
21478		{ "NCSI2CIMIntfParErr", 26, 1 },
21479		{ "SGE2CIMIntfParErr", 25, 1 },
21480		{ "ULP2CIMIntfParErr", 24, 1 },
21481		{ "TP2CIMIntfParErr", 23, 1 },
21482		{ "OBQSGERx1ParErr", 22, 1 },
21483		{ "OBQSGERx0ParErr", 21, 1 },
21484		{ "TieQOutParErrIntEn", 20, 1 },
21485		{ "TieQInParErrIntEn", 19, 1 },
21486		{ "MBHostParErr", 18, 1 },
21487		{ "MBuPParErr", 17, 1 },
21488		{ "IBQTP0ParErr", 16, 1 },
21489		{ "IBQTP1ParErr", 15, 1 },
21490		{ "IBQULPParErr", 14, 1 },
21491		{ "IBQSGELOParErr", 13, 1 },
21492		{ "IBQSGEHIParErr", 12, 1 },
21493		{ "IBQNCSIParErr", 11, 1 },
21494		{ "OBQULP0ParErr", 10, 1 },
21495		{ "OBQULP1ParErr", 9, 1 },
21496		{ "OBQULP2ParErr", 8, 1 },
21497		{ "OBQULP3ParErr", 7, 1 },
21498		{ "OBQSGEParErr", 6, 1 },
21499		{ "OBQNCSIParErr", 5, 1 },
21500		{ "Timer1IntEn", 3, 1 },
21501		{ "Timer0IntEn", 2, 1 },
21502		{ "PrefDropIntEn", 1, 1 },
21503	{ "CIM_HOST_INT_CAUSE", 0x7b2c, 0 },
21504		{ "ma_cim_IntfPerr", 28, 1 },
21505		{ "PLCIM_MstRspDataParErr", 27, 1 },
21506		{ "NCSI2CIMIntfParErr", 26, 1 },
21507		{ "SGE2CIMIntfParErr", 25, 1 },
21508		{ "ULP2CIMIntfParErr", 24, 1 },
21509		{ "TP2CIMIntfParErr", 23, 1 },
21510		{ "OBQSGERx1ParErr", 22, 1 },
21511		{ "OBQSGERx0ParErr", 21, 1 },
21512		{ "TieQOutParErrInt", 20, 1 },
21513		{ "TieQInParErrInt", 19, 1 },
21514		{ "MBHostParErr", 18, 1 },
21515		{ "IBQTP0ParErr", 16, 1 },
21516		{ "IBQTP1ParErr", 15, 1 },
21517		{ "IBQULPParErr", 14, 1 },
21518		{ "IBQSGELOParErr", 13, 1 },
21519		{ "IBQSGEHIParErr", 12, 1 },
21520		{ "IBQNCSIParErr", 11, 1 },
21521		{ "OBQULP0ParErr", 10, 1 },
21522		{ "OBQULP1ParErr", 9, 1 },
21523		{ "OBQULP2ParErr", 8, 1 },
21524		{ "OBQULP3ParErr", 7, 1 },
21525		{ "OBQSGEParErr", 6, 1 },
21526		{ "OBQNCSIParErr", 5, 1 },
21527		{ "Timer1Int", 3, 1 },
21528		{ "Timer0Int", 2, 1 },
21529		{ "PrefDropInt", 1, 1 },
21530		{ "uPAccNonZero", 0, 1 },
21531	{ "CIM_HOST_UPACC_INT_ENABLE", 0x7b30, 0 },
21532		{ "EEPROMWRIntEn", 30, 1 },
21533		{ "TimeOutMAIntEn", 29, 1 },
21534		{ "TimeOutIntEn", 28, 1 },
21535		{ "RspOvrLookupIntEn", 27, 1 },
21536		{ "ReqOvrLookupIntEn", 26, 1 },
21537		{ "BlkWrPlIntEn", 25, 1 },
21538		{ "BlkRdPlIntEn", 24, 1 },
21539		{ "SglWrPlIntEn", 23, 1 },
21540		{ "SglRdPlIntEn", 22, 1 },
21541		{ "BlkWrCtlIntEn", 21, 1 },
21542		{ "BlkRdCtlIntEn", 20, 1 },
21543		{ "SglWrCtlIntEn", 19, 1 },
21544		{ "SglRdCtlIntEn", 18, 1 },
21545		{ "BlkWrEEPROMIntEn", 17, 1 },
21546		{ "BlkRdEEPROMIntEn", 16, 1 },
21547		{ "SglWrEEPROMIntEn", 15, 1 },
21548		{ "SglRdEEPROMIntEn", 14, 1 },
21549		{ "BlkWrFlashIntEn", 13, 1 },
21550		{ "BlkRdFlashIntEn", 12, 1 },
21551		{ "SglWrFlashIntEn", 11, 1 },
21552		{ "SglRdFlashIntEn", 10, 1 },
21553		{ "BlkWrBootIntEn", 9, 1 },
21554		{ "BlkRdBootIntEn", 8, 1 },
21555		{ "SglWrBootIntEn", 7, 1 },
21556		{ "SglRdBootIntEn", 6, 1 },
21557		{ "IllWrBEIntEn", 5, 1 },
21558		{ "IllRdBEIntEn", 4, 1 },
21559		{ "IllRdIntEn", 3, 1 },
21560		{ "IllWrIntEn", 2, 1 },
21561		{ "IllTransIntEn", 1, 1 },
21562		{ "RsvdSpaceIntEn", 0, 1 },
21563	{ "CIM_HOST_UPACC_INT_CAUSE", 0x7b34, 0 },
21564		{ "EEPROMWRInt", 30, 1 },
21565		{ "TimeOutMAInt", 29, 1 },
21566		{ "TimeOutInt", 28, 1 },
21567		{ "RspOvrLookupInt", 27, 1 },
21568		{ "ReqOvrLookupInt", 26, 1 },
21569		{ "BlkWrPlInt", 25, 1 },
21570		{ "BlkRdPlInt", 24, 1 },
21571		{ "SglWrPlInt", 23, 1 },
21572		{ "SglRdPlInt", 22, 1 },
21573		{ "BlkWrCtlInt", 21, 1 },
21574		{ "BlkRdCtlInt", 20, 1 },
21575		{ "SglWrCtlInt", 19, 1 },
21576		{ "SglRdCtlInt", 18, 1 },
21577		{ "BlkWrEEPROMInt", 17, 1 },
21578		{ "BlkRdEEPROMInt", 16, 1 },
21579		{ "SglWrEEPROMInt", 15, 1 },
21580		{ "SglRdEEPROMInt", 14, 1 },
21581		{ "BlkWrFlashInt", 13, 1 },
21582		{ "BlkRdFlashInt", 12, 1 },
21583		{ "SglWrFlashInt", 11, 1 },
21584		{ "SglRdFlashInt", 10, 1 },
21585		{ "BlkWrBootInt", 9, 1 },
21586		{ "BlkRdBootInt", 8, 1 },
21587		{ "SglWrBootInt", 7, 1 },
21588		{ "SglRdBootInt", 6, 1 },
21589		{ "IllWrBEInt", 5, 1 },
21590		{ "IllRdBEInt", 4, 1 },
21591		{ "IllRdInt", 3, 1 },
21592		{ "IllWrInt", 2, 1 },
21593		{ "IllTransInt", 1, 1 },
21594		{ "RsvdSpaceInt", 0, 1 },
21595	{ "CIM_UP_INT_ENABLE", 0x7b38, 0 },
21596		{ "ma_cim_IntfPerr", 28, 1 },
21597		{ "PLCIM_MstRspDataParErr", 27, 1 },
21598		{ "NCSI2CIMIntfParErr", 26, 1 },
21599		{ "SGE2CIMIntfParErr", 25, 1 },
21600		{ "ULP2CIMIntfParErr", 24, 1 },
21601		{ "TP2CIMIntfParErr", 23, 1 },
21602		{ "OBQSGERx1ParErr", 22, 1 },
21603		{ "OBQSGERx0ParErr", 21, 1 },
21604		{ "TieQOutParErrIntEn", 20, 1 },
21605		{ "TieQInParErrIntEn", 19, 1 },
21606		{ "MBHostParErr", 18, 1 },
21607		{ "MBuPParErr", 17, 1 },
21608		{ "IBQTP0ParErr", 16, 1 },
21609		{ "IBQTP1ParErr", 15, 1 },
21610		{ "IBQULPParErr", 14, 1 },
21611		{ "IBQSGELOParErr", 13, 1 },
21612		{ "IBQSGEHIParErr", 12, 1 },
21613		{ "IBQNCSIParErr", 11, 1 },
21614		{ "OBQULP0ParErr", 10, 1 },
21615		{ "OBQULP1ParErr", 9, 1 },
21616		{ "OBQULP2ParErr", 8, 1 },
21617		{ "OBQULP3ParErr", 7, 1 },
21618		{ "OBQSGEParErr", 6, 1 },
21619		{ "OBQNCSIParErr", 5, 1 },
21620		{ "MstPlIntEn", 4, 1 },
21621		{ "Timer1IntEn", 3, 1 },
21622		{ "Timer0IntEn", 2, 1 },
21623		{ "PrefDropIntEn", 1, 1 },
21624	{ "CIM_UP_INT_CAUSE", 0x7b3c, 0 },
21625		{ "ma_cim_IntfPerr", 28, 1 },
21626		{ "PLCIM_MstRspDataParErr", 27, 1 },
21627		{ "NCSI2CIMIntfParErr", 26, 1 },
21628		{ "SGE2CIMIntfParErr", 25, 1 },
21629		{ "ULP2CIMIntfParErr", 24, 1 },
21630		{ "TP2CIMIntfParErr", 23, 1 },
21631		{ "OBQSGERx1ParErr", 22, 1 },
21632		{ "OBQSGERx0ParErr", 21, 1 },
21633		{ "TieQOutParErrInt", 20, 1 },
21634		{ "TieQInParErrInt", 19, 1 },
21635		{ "MBHostParErr", 18, 1 },
21636		{ "IBQTP0ParErr", 16, 1 },
21637		{ "IBQTP1ParErr", 15, 1 },
21638		{ "IBQULPParErr", 14, 1 },
21639		{ "IBQSGELOParErr", 13, 1 },
21640		{ "IBQSGEHIParErr", 12, 1 },
21641		{ "IBQNCSIParErr", 11, 1 },
21642		{ "OBQULP0ParErr", 10, 1 },
21643		{ "OBQULP1ParErr", 9, 1 },
21644		{ "OBQULP2ParErr", 8, 1 },
21645		{ "OBQULP3ParErr", 7, 1 },
21646		{ "OBQSGEParErr", 6, 1 },
21647		{ "OBQNCSIParErr", 5, 1 },
21648		{ "MstPlInt", 4, 1 },
21649		{ "Timer1Int", 3, 1 },
21650		{ "Timer0Int", 2, 1 },
21651		{ "PrefDropInt", 1, 1 },
21652		{ "uPAccNonZero", 0, 1 },
21653	{ "CIM_UP_ACC_INT_ENABLE", 0x7b40, 0 },
21654		{ "EEPROMWRIntEn", 30, 1 },
21655		{ "TimeOutMAIntEn", 29, 1 },
21656		{ "TimeOutIntEn", 28, 1 },
21657		{ "RspOvrLookupIntEn", 27, 1 },
21658		{ "ReqOvrLookupIntEn", 26, 1 },
21659		{ "BlkWrPlIntEn", 25, 1 },
21660		{ "BlkRdPlIntEn", 24, 1 },
21661		{ "SglWrPlIntEn", 23, 1 },
21662		{ "SglRdPlIntEn", 22, 1 },
21663		{ "BlkWrCtlIntEn", 21, 1 },
21664		{ "BlkRdCtlIntEn", 20, 1 },
21665		{ "SglWrCtlIntEn", 19, 1 },
21666		{ "SglRdCtlIntEn", 18, 1 },
21667		{ "BlkWrEEPROMIntEn", 17, 1 },
21668		{ "BlkRdEEPROMIntEn", 16, 1 },
21669		{ "SglWrEEPROMIntEn", 15, 1 },
21670		{ "SglRdEEPROMIntEn", 14, 1 },
21671		{ "BlkWrFlashIntEn", 13, 1 },
21672		{ "BlkRdFlashIntEn", 12, 1 },
21673		{ "SglWrFlashIntEn", 11, 1 },
21674		{ "SglRdFlashIntEn", 10, 1 },
21675		{ "BlkWrBootIntEn", 9, 1 },
21676		{ "BlkRdBootIntEn", 8, 1 },
21677		{ "SglWrBootIntEn", 7, 1 },
21678		{ "SglRdBootIntEn", 6, 1 },
21679		{ "IllWrBEIntEn", 5, 1 },
21680		{ "IllRdBEIntEn", 4, 1 },
21681		{ "IllRdIntEn", 3, 1 },
21682		{ "IllWrIntEn", 2, 1 },
21683		{ "IllTransIntEn", 1, 1 },
21684		{ "RsvdSpaceIntEn", 0, 1 },
21685	{ "CIM_UP_ACC_INT_CAUSE", 0x7b44, 0 },
21686		{ "EEPROMWRInt", 30, 1 },
21687		{ "TimeOutMAInt", 29, 1 },
21688		{ "TimeOutInt", 28, 1 },
21689		{ "RspOvrLookupInt", 27, 1 },
21690		{ "ReqOvrLookupInt", 26, 1 },
21691		{ "BlkWrPlInt", 25, 1 },
21692		{ "BlkRdPlInt", 24, 1 },
21693		{ "SglWrPlInt", 23, 1 },
21694		{ "SglRdPlInt", 22, 1 },
21695		{ "BlkWrCtlInt", 21, 1 },
21696		{ "BlkRdCtlInt", 20, 1 },
21697		{ "SglWrCtlInt", 19, 1 },
21698		{ "SglRdCtlInt", 18, 1 },
21699		{ "BlkWrEEPROMInt", 17, 1 },
21700		{ "BlkRdEEPROMInt", 16, 1 },
21701		{ "SglWrEEPROMInt", 15, 1 },
21702		{ "SglRdEEPROMInt", 14, 1 },
21703		{ "BlkWrFlashInt", 13, 1 },
21704		{ "BlkRdFlashInt", 12, 1 },
21705		{ "SglWrFlashInt", 11, 1 },
21706		{ "SglRdFlashInt", 10, 1 },
21707		{ "BlkWrBootInt", 9, 1 },
21708		{ "BlkRdBootInt", 8, 1 },
21709		{ "SglWrBootInt", 7, 1 },
21710		{ "SglRdBootInt", 6, 1 },
21711		{ "IllWrBEInt", 5, 1 },
21712		{ "IllRdBEInt", 4, 1 },
21713		{ "IllRdInt", 3, 1 },
21714		{ "IllWrInt", 2, 1 },
21715		{ "IllTransInt", 1, 1 },
21716		{ "RsvdSpaceInt", 0, 1 },
21717	{ "CIM_QUEUE_CONFIG_REF", 0x7b48, 0 },
21718		{ "OBQSelect", 4, 1 },
21719		{ "IBQSelect", 3, 1 },
21720		{ "QueNumSelect", 0, 3 },
21721	{ "CIM_QUEUE_CONFIG_CTRL", 0x7b4c, 0 },
21722		{ "QueSize", 24, 6 },
21723		{ "QueBase", 16, 6 },
21724		{ "QueDbg8BEn", 9, 1 },
21725		{ "QueFullThrsh", 0, 9 },
21726	{ "CIM_HOST_ACC_CTRL", 0x7b50, 0 },
21727		{ "HostBusy", 17, 1 },
21728		{ "HostWrite", 16, 1 },
21729		{ "HostAddr", 0, 16 },
21730	{ "CIM_HOST_ACC_DATA", 0x7b54, 0 },
21731	{ "CIM_CDEBUGDATA", 0x7b58, 0 },
21732		{ "CDebugDataH", 16, 16 },
21733		{ "CDebugDataL", 0, 16 },
21734	{ "CIM_IBQ_DBG_CFG", 0x7b60, 0 },
21735		{ "IbqDbgAddr", 16, 12 },
21736		{ "IbqDbgWr", 2, 1 },
21737		{ "IbqDbgBusy", 1, 1 },
21738		{ "IbqDbgEn", 0, 1 },
21739	{ "CIM_OBQ_DBG_CFG", 0x7b64, 0 },
21740		{ "ObqDbgAddr", 16, 12 },
21741		{ "ObqDbgWr", 2, 1 },
21742		{ "ObqDbgBusy", 1, 1 },
21743		{ "ObqDbgEn", 0, 1 },
21744	{ "CIM_IBQ_DBG_DATA", 0x7b68, 0 },
21745	{ "CIM_OBQ_DBG_DATA", 0x7b6c, 0 },
21746	{ "CIM_DEBUGCFG", 0x7b70, 0 },
21747		{ "POLADbgRdPtr", 23, 9 },
21748		{ "PILADbgRdPtr", 14, 9 },
21749		{ "LAMaskTrig", 13, 1 },
21750		{ "LADbgEn", 12, 1 },
21751		{ "LAFillOnce", 11, 1 },
21752		{ "LAMaskStop", 10, 1 },
21753		{ "DebugSelH", 5, 5 },
21754		{ "DebugSelL", 0, 5 },
21755	{ "CIM_DEBUGSTS", 0x7b74, 0 },
21756		{ "LAReset", 31, 1 },
21757		{ "POLADbgWrPtr", 16, 9 },
21758		{ "PILADbgWrPtr", 0, 9 },
21759	{ "CIM_PO_LA_DEBUGDATA", 0x7b78, 0 },
21760	{ "CIM_PI_LA_DEBUGDATA", 0x7b7c, 0 },
21761	{ "CIM_PO_LA_MADEBUGDATA", 0x7b80, 0 },
21762	{ "CIM_PI_LA_MADEBUGDATA", 0x7b84, 0 },
21763	{ "CIM_PO_LA_PIFSMDEBUGDATA", 0x7b8c, 0 },
21764	{ "CIM_MEM_ZONE0_VA", 0x7b90, 0 },
21765		{ "MEM_ZONE_VA", 4, 28 },
21766	{ "CIM_MEM_ZONE0_BA", 0x7b94, 0 },
21767		{ "MEM_ZONE_BA", 6, 26 },
21768		{ "PBT_enable", 5, 1 },
21769		{ "ZONE_DST", 0, 2 },
21770	{ "CIM_MEM_ZONE0_LEN", 0x7b98, 0 },
21771		{ "MEM_ZONE_LEN", 4, 28 },
21772	{ "CIM_MEM_ZONE1_VA", 0x7b9c, 0 },
21773		{ "MEM_ZONE_VA", 4, 28 },
21774	{ "CIM_MEM_ZONE1_BA", 0x7ba0, 0 },
21775		{ "MEM_ZONE_BA", 6, 26 },
21776		{ "PBT_enable", 5, 1 },
21777		{ "ZONE_DST", 0, 2 },
21778	{ "CIM_MEM_ZONE1_LEN", 0x7ba4, 0 },
21779		{ "MEM_ZONE_LEN", 4, 28 },
21780	{ "CIM_MEM_ZONE2_VA", 0x7ba8, 0 },
21781		{ "MEM_ZONE_VA", 4, 28 },
21782	{ "CIM_MEM_ZONE2_BA", 0x7bac, 0 },
21783		{ "MEM_ZONE_BA", 6, 26 },
21784		{ "PBT_enable", 5, 1 },
21785		{ "ZONE_DST", 0, 2 },
21786	{ "CIM_MEM_ZONE2_LEN", 0x7bb0, 0 },
21787		{ "MEM_ZONE_LEN", 4, 28 },
21788	{ "CIM_MEM_ZONE3_VA", 0x7bb4, 0 },
21789		{ "MEM_ZONE_VA", 4, 28 },
21790	{ "CIM_MEM_ZONE3_BA", 0x7bb8, 0 },
21791		{ "MEM_ZONE_BA", 6, 26 },
21792		{ "PBT_enable", 5, 1 },
21793		{ "ZONE_DST", 0, 2 },
21794	{ "CIM_MEM_ZONE3_LEN", 0x7bbc, 0 },
21795		{ "MEM_ZONE_LEN", 4, 28 },
21796	{ "CIM_MEM_ZONE4_VA", 0x7bc0, 0 },
21797		{ "MEM_ZONE_VA", 4, 28 },
21798	{ "CIM_MEM_ZONE4_BA", 0x7bc4, 0 },
21799		{ "MEM_ZONE_BA", 6, 26 },
21800		{ "PBT_enable", 5, 1 },
21801		{ "ZONE_DST", 0, 2 },
21802	{ "CIM_MEM_ZONE4_LEN", 0x7bc8, 0 },
21803		{ "MEM_ZONE_LEN", 4, 28 },
21804	{ "CIM_MEM_ZONE5_VA", 0x7bcc, 0 },
21805		{ "MEM_ZONE_VA", 4, 28 },
21806	{ "CIM_MEM_ZONE5_BA", 0x7bd0, 0 },
21807		{ "MEM_ZONE_BA", 6, 26 },
21808		{ "PBT_enable", 5, 1 },
21809		{ "ZONE_DST", 0, 2 },
21810	{ "CIM_MEM_ZONE5_LEN", 0x7bd4, 0 },
21811		{ "MEM_ZONE_LEN", 4, 28 },
21812	{ "CIM_MEM_ZONE6_VA", 0x7bd8, 0 },
21813		{ "MEM_ZONE_VA", 4, 28 },
21814	{ "CIM_MEM_ZONE6_BA", 0x7bdc, 0 },
21815		{ "MEM_ZONE_BA", 6, 26 },
21816		{ "PBT_enable", 5, 1 },
21817		{ "ZONE_DST", 0, 2 },
21818	{ "CIM_MEM_ZONE6_LEN", 0x7be0, 0 },
21819		{ "MEM_ZONE_LEN", 4, 28 },
21820	{ "CIM_MEM_ZONE7_VA", 0x7be4, 0 },
21821		{ "MEM_ZONE_VA", 4, 28 },
21822	{ "CIM_MEM_ZONE7_BA", 0x7be8, 0 },
21823		{ "MEM_ZONE_BA", 6, 26 },
21824		{ "PBT_enable", 5, 1 },
21825		{ "ZONE_DST", 0, 2 },
21826	{ "CIM_MEM_ZONE7_LEN", 0x7bec, 0 },
21827		{ "MEM_ZONE_LEN", 4, 28 },
21828	{ "CIM_GLB_TIMER_CTL", 0x7bf4, 0 },
21829		{ "Timer1En", 4, 1 },
21830		{ "Timer0En", 3, 1 },
21831		{ "TimerEn", 1, 1 },
21832	{ "CIM_GLB_TIMER", 0x7bf8, 0 },
21833	{ "CIM_GLB_TIMER_TICK", 0x7bfc, 0 },
21834	{ "CIM_TIMER0", 0x7c00, 0 },
21835	{ "CIM_TIMER1", 0x7c04, 0 },
21836	{ "CIM_DEBUG_ADDR_TIMEOUT", 0x7c08, 0 },
21837		{ "DAddrTimeOut", 2, 30 },
21838	{ "CIM_DEBUG_ADDR_ILLEGAL", 0x7c0c, 0 },
21839		{ "DAddrIllegal", 2, 30 },
21840	{ "CIM_DEBUG_PIF_CAUSE_MASK", 0x7c10, 0 },
21841	{ "CIM_DEBUG_PIF_UPACC_CAUSE_MASK", 0x7c14, 0 },
21842	{ "CIM_DEBUG_UP_CAUSE_MASK", 0x7c18, 0 },
21843	{ "CIM_DEBUG_UP_UPACC_CAUSE_MASK", 0x7c1c, 0 },
21844	{ "CIM_PERR_INJECT", 0x7c20, 0 },
21845		{ "MemSel", 1, 5 },
21846		{ "InjectDataErr", 0, 1 },
21847	{ "CIM_PERR_ENABLE", 0x7c24, 0 },
21848	{ "CIM_EEPROM_BUSY_BIT", 0x7c28, 0 },
21849	{ "CIM_MA_TIMER_EN", 0x7c2c, 0 },
21850	{ "CIM_UP_PO_SINGLE_OUTSTANDING", 0x7c30, 0 },
21851	{ "CIM_CIM_DEBUG_SPARE", 0x7c34, 0 },
21852	{ "CIM_UP_OPERATION_FREQ", 0x7c38, 0 },
21853	{ "CIM_CIM_IBQ_ERR_CODE", 0x7c3c, 0 },
21854		{ "CIM_ULP_TX_PKT_ERR_CODE", 16, 8 },
21855		{ "CIM_SGE1_PKT_ERR_CODE", 8, 8 },
21856		{ "CIM_SGE0_PKT_ERR_CODE", 0, 8 },
21857	{ "CIM_IBQ_DBG_WAIT_COUNTER", 0x7c40, 0 },
21858	{ "CIM_PIO_UP_MST_CFG_SEL", 0x7c44, 0 },
21859	{ "CIM_CGEN", 0x7c48, 0 },
21860	{ "CIM_QUEUE_FEATURE_DISABLE", 0x7c4c, 0 },
21861		{ "obq_throuttle_on_eop", 4, 1 },
21862		{ "obq_read_ctl_perf_mode_disable", 3, 1 },
21863		{ "obq_wait_for_eop_flush_disable", 2, 1 },
21864		{ "ibq_rra_dsbl", 1, 1 },
21865		{ "ibq_skid_fifo_eop_flsh_dsbl", 0, 1 },
21866	{ "CIM_CGEN_GLOBAL", 0x7c50, 0 },
21867	{ "CIM_DPSLP_EN", 0x7c54, 0 },
21868	{ "CIM_PF_MAILBOX_DATA", 0x1e240, 0 },
21869	{ "CIM_PF_MAILBOX_DATA", 0x1e244, 0 },
21870	{ "CIM_PF_MAILBOX_DATA", 0x1e248, 0 },
21871	{ "CIM_PF_MAILBOX_DATA", 0x1e24c, 0 },
21872	{ "CIM_PF_MAILBOX_DATA", 0x1e250, 0 },
21873	{ "CIM_PF_MAILBOX_DATA", 0x1e254, 0 },
21874	{ "CIM_PF_MAILBOX_DATA", 0x1e258, 0 },
21875	{ "CIM_PF_MAILBOX_DATA", 0x1e25c, 0 },
21876	{ "CIM_PF_MAILBOX_DATA", 0x1e260, 0 },
21877	{ "CIM_PF_MAILBOX_DATA", 0x1e264, 0 },
21878	{ "CIM_PF_MAILBOX_DATA", 0x1e268, 0 },
21879	{ "CIM_PF_MAILBOX_DATA", 0x1e26c, 0 },
21880	{ "CIM_PF_MAILBOX_DATA", 0x1e270, 0 },
21881	{ "CIM_PF_MAILBOX_DATA", 0x1e274, 0 },
21882	{ "CIM_PF_MAILBOX_DATA", 0x1e278, 0 },
21883	{ "CIM_PF_MAILBOX_DATA", 0x1e27c, 0 },
21884	{ "CIM_PF_MAILBOX_CTRL", 0x1e280, 0 },
21885		{ "MBGeneric", 4, 28 },
21886		{ "MBMsgValid", 3, 1 },
21887		{ "MBIntReq", 2, 1 },
21888		{ "MBOwner", 0, 2 },
21889	{ "CIM_PF_MAILBOX_ACC_STATUS", 0x1e284, 0 },
21890		{ "MBWrBusy", 31, 1 },
21891	{ "CIM_PF_HOST_INT_ENABLE", 0x1e288, 0 },
21892		{ "MBMsgRdyIntEn", 19, 1 },
21893	{ "CIM_PF_HOST_INT_CAUSE", 0x1e28c, 0 },
21894		{ "MBMsgRdyInt", 19, 1 },
21895	{ "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1e290, 0 },
21896		{ "MBGeneric", 4, 28 },
21897		{ "MBMsgValid", 3, 1 },
21898		{ "MBIntReq", 2, 1 },
21899		{ "MBOwner", 0, 2 },
21900	{ "CIM_PF_MAILBOX_DATA", 0x1e640, 0 },
21901	{ "CIM_PF_MAILBOX_DATA", 0x1e644, 0 },
21902	{ "CIM_PF_MAILBOX_DATA", 0x1e648, 0 },
21903	{ "CIM_PF_MAILBOX_DATA", 0x1e64c, 0 },
21904	{ "CIM_PF_MAILBOX_DATA", 0x1e650, 0 },
21905	{ "CIM_PF_MAILBOX_DATA", 0x1e654, 0 },
21906	{ "CIM_PF_MAILBOX_DATA", 0x1e658, 0 },
21907	{ "CIM_PF_MAILBOX_DATA", 0x1e65c, 0 },
21908	{ "CIM_PF_MAILBOX_DATA", 0x1e660, 0 },
21909	{ "CIM_PF_MAILBOX_DATA", 0x1e664, 0 },
21910	{ "CIM_PF_MAILBOX_DATA", 0x1e668, 0 },
21911	{ "CIM_PF_MAILBOX_DATA", 0x1e66c, 0 },
21912	{ "CIM_PF_MAILBOX_DATA", 0x1e670, 0 },
21913	{ "CIM_PF_MAILBOX_DATA", 0x1e674, 0 },
21914	{ "CIM_PF_MAILBOX_DATA", 0x1e678, 0 },
21915	{ "CIM_PF_MAILBOX_DATA", 0x1e67c, 0 },
21916	{ "CIM_PF_MAILBOX_CTRL", 0x1e680, 0 },
21917		{ "MBGeneric", 4, 28 },
21918		{ "MBMsgValid", 3, 1 },
21919		{ "MBIntReq", 2, 1 },
21920		{ "MBOwner", 0, 2 },
21921	{ "CIM_PF_MAILBOX_ACC_STATUS", 0x1e684, 0 },
21922		{ "MBWrBusy", 31, 1 },
21923	{ "CIM_PF_HOST_INT_ENABLE", 0x1e688, 0 },
21924		{ "MBMsgRdyIntEn", 19, 1 },
21925	{ "CIM_PF_HOST_INT_CAUSE", 0x1e68c, 0 },
21926		{ "MBMsgRdyInt", 19, 1 },
21927	{ "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1e690, 0 },
21928		{ "MBGeneric", 4, 28 },
21929		{ "MBMsgValid", 3, 1 },
21930		{ "MBIntReq", 2, 1 },
21931		{ "MBOwner", 0, 2 },
21932	{ "CIM_PF_MAILBOX_DATA", 0x1ea40, 0 },
21933	{ "CIM_PF_MAILBOX_DATA", 0x1ea44, 0 },
21934	{ "CIM_PF_MAILBOX_DATA", 0x1ea48, 0 },
21935	{ "CIM_PF_MAILBOX_DATA", 0x1ea4c, 0 },
21936	{ "CIM_PF_MAILBOX_DATA", 0x1ea50, 0 },
21937	{ "CIM_PF_MAILBOX_DATA", 0x1ea54, 0 },
21938	{ "CIM_PF_MAILBOX_DATA", 0x1ea58, 0 },
21939	{ "CIM_PF_MAILBOX_DATA", 0x1ea5c, 0 },
21940	{ "CIM_PF_MAILBOX_DATA", 0x1ea60, 0 },
21941	{ "CIM_PF_MAILBOX_DATA", 0x1ea64, 0 },
21942	{ "CIM_PF_MAILBOX_DATA", 0x1ea68, 0 },
21943	{ "CIM_PF_MAILBOX_DATA", 0x1ea6c, 0 },
21944	{ "CIM_PF_MAILBOX_DATA", 0x1ea70, 0 },
21945	{ "CIM_PF_MAILBOX_DATA", 0x1ea74, 0 },
21946	{ "CIM_PF_MAILBOX_DATA", 0x1ea78, 0 },
21947	{ "CIM_PF_MAILBOX_DATA", 0x1ea7c, 0 },
21948	{ "CIM_PF_MAILBOX_CTRL", 0x1ea80, 0 },
21949		{ "MBGeneric", 4, 28 },
21950		{ "MBMsgValid", 3, 1 },
21951		{ "MBIntReq", 2, 1 },
21952		{ "MBOwner", 0, 2 },
21953	{ "CIM_PF_MAILBOX_ACC_STATUS", 0x1ea84, 0 },
21954		{ "MBWrBusy", 31, 1 },
21955	{ "CIM_PF_HOST_INT_ENABLE", 0x1ea88, 0 },
21956		{ "MBMsgRdyIntEn", 19, 1 },
21957	{ "CIM_PF_HOST_INT_CAUSE", 0x1ea8c, 0 },
21958		{ "MBMsgRdyInt", 19, 1 },
21959	{ "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1ea90, 0 },
21960		{ "MBGeneric", 4, 28 },
21961		{ "MBMsgValid", 3, 1 },
21962		{ "MBIntReq", 2, 1 },
21963		{ "MBOwner", 0, 2 },
21964	{ "CIM_PF_MAILBOX_DATA", 0x1ee40, 0 },
21965	{ "CIM_PF_MAILBOX_DATA", 0x1ee44, 0 },
21966	{ "CIM_PF_MAILBOX_DATA", 0x1ee48, 0 },
21967	{ "CIM_PF_MAILBOX_DATA", 0x1ee4c, 0 },
21968	{ "CIM_PF_MAILBOX_DATA", 0x1ee50, 0 },
21969	{ "CIM_PF_MAILBOX_DATA", 0x1ee54, 0 },
21970	{ "CIM_PF_MAILBOX_DATA", 0x1ee58, 0 },
21971	{ "CIM_PF_MAILBOX_DATA", 0x1ee5c, 0 },
21972	{ "CIM_PF_MAILBOX_DATA", 0x1ee60, 0 },
21973	{ "CIM_PF_MAILBOX_DATA", 0x1ee64, 0 },
21974	{ "CIM_PF_MAILBOX_DATA", 0x1ee68, 0 },
21975	{ "CIM_PF_MAILBOX_DATA", 0x1ee6c, 0 },
21976	{ "CIM_PF_MAILBOX_DATA", 0x1ee70, 0 },
21977	{ "CIM_PF_MAILBOX_DATA", 0x1ee74, 0 },
21978	{ "CIM_PF_MAILBOX_DATA", 0x1ee78, 0 },
21979	{ "CIM_PF_MAILBOX_DATA", 0x1ee7c, 0 },
21980	{ "CIM_PF_MAILBOX_CTRL", 0x1ee80, 0 },
21981		{ "MBGeneric", 4, 28 },
21982		{ "MBMsgValid", 3, 1 },
21983		{ "MBIntReq", 2, 1 },
21984		{ "MBOwner", 0, 2 },
21985	{ "CIM_PF_MAILBOX_ACC_STATUS", 0x1ee84, 0 },
21986		{ "MBWrBusy", 31, 1 },
21987	{ "CIM_PF_HOST_INT_ENABLE", 0x1ee88, 0 },
21988		{ "MBMsgRdyIntEn", 19, 1 },
21989	{ "CIM_PF_HOST_INT_CAUSE", 0x1ee8c, 0 },
21990		{ "MBMsgRdyInt", 19, 1 },
21991	{ "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1ee90, 0 },
21992		{ "MBGeneric", 4, 28 },
21993		{ "MBMsgValid", 3, 1 },
21994		{ "MBIntReq", 2, 1 },
21995		{ "MBOwner", 0, 2 },
21996	{ "CIM_PF_MAILBOX_DATA", 0x1f240, 0 },
21997	{ "CIM_PF_MAILBOX_DATA", 0x1f244, 0 },
21998	{ "CIM_PF_MAILBOX_DATA", 0x1f248, 0 },
21999	{ "CIM_PF_MAILBOX_DATA", 0x1f24c, 0 },
22000	{ "CIM_PF_MAILBOX_DATA", 0x1f250, 0 },
22001	{ "CIM_PF_MAILBOX_DATA", 0x1f254, 0 },
22002	{ "CIM_PF_MAILBOX_DATA", 0x1f258, 0 },
22003	{ "CIM_PF_MAILBOX_DATA", 0x1f25c, 0 },
22004	{ "CIM_PF_MAILBOX_DATA", 0x1f260, 0 },
22005	{ "CIM_PF_MAILBOX_DATA", 0x1f264, 0 },
22006	{ "CIM_PF_MAILBOX_DATA", 0x1f268, 0 },
22007	{ "CIM_PF_MAILBOX_DATA", 0x1f26c, 0 },
22008	{ "CIM_PF_MAILBOX_DATA", 0x1f270, 0 },
22009	{ "CIM_PF_MAILBOX_DATA", 0x1f274, 0 },
22010	{ "CIM_PF_MAILBOX_DATA", 0x1f278, 0 },
22011	{ "CIM_PF_MAILBOX_DATA", 0x1f27c, 0 },
22012	{ "CIM_PF_MAILBOX_CTRL", 0x1f280, 0 },
22013		{ "MBGeneric", 4, 28 },
22014		{ "MBMsgValid", 3, 1 },
22015		{ "MBIntReq", 2, 1 },
22016		{ "MBOwner", 0, 2 },
22017	{ "CIM_PF_MAILBOX_ACC_STATUS", 0x1f284, 0 },
22018		{ "MBWrBusy", 31, 1 },
22019	{ "CIM_PF_HOST_INT_ENABLE", 0x1f288, 0 },
22020		{ "MBMsgRdyIntEn", 19, 1 },
22021	{ "CIM_PF_HOST_INT_CAUSE", 0x1f28c, 0 },
22022		{ "MBMsgRdyInt", 19, 1 },
22023	{ "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1f290, 0 },
22024		{ "MBGeneric", 4, 28 },
22025		{ "MBMsgValid", 3, 1 },
22026		{ "MBIntReq", 2, 1 },
22027		{ "MBOwner", 0, 2 },
22028	{ "CIM_PF_MAILBOX_DATA", 0x1f640, 0 },
22029	{ "CIM_PF_MAILBOX_DATA", 0x1f644, 0 },
22030	{ "CIM_PF_MAILBOX_DATA", 0x1f648, 0 },
22031	{ "CIM_PF_MAILBOX_DATA", 0x1f64c, 0 },
22032	{ "CIM_PF_MAILBOX_DATA", 0x1f650, 0 },
22033	{ "CIM_PF_MAILBOX_DATA", 0x1f654, 0 },
22034	{ "CIM_PF_MAILBOX_DATA", 0x1f658, 0 },
22035	{ "CIM_PF_MAILBOX_DATA", 0x1f65c, 0 },
22036	{ "CIM_PF_MAILBOX_DATA", 0x1f660, 0 },
22037	{ "CIM_PF_MAILBOX_DATA", 0x1f664, 0 },
22038	{ "CIM_PF_MAILBOX_DATA", 0x1f668, 0 },
22039	{ "CIM_PF_MAILBOX_DATA", 0x1f66c, 0 },
22040	{ "CIM_PF_MAILBOX_DATA", 0x1f670, 0 },
22041	{ "CIM_PF_MAILBOX_DATA", 0x1f674, 0 },
22042	{ "CIM_PF_MAILBOX_DATA", 0x1f678, 0 },
22043	{ "CIM_PF_MAILBOX_DATA", 0x1f67c, 0 },
22044	{ "CIM_PF_MAILBOX_CTRL", 0x1f680, 0 },
22045		{ "MBGeneric", 4, 28 },
22046		{ "MBMsgValid", 3, 1 },
22047		{ "MBIntReq", 2, 1 },
22048		{ "MBOwner", 0, 2 },
22049	{ "CIM_PF_MAILBOX_ACC_STATUS", 0x1f684, 0 },
22050		{ "MBWrBusy", 31, 1 },
22051	{ "CIM_PF_HOST_INT_ENABLE", 0x1f688, 0 },
22052		{ "MBMsgRdyIntEn", 19, 1 },
22053	{ "CIM_PF_HOST_INT_CAUSE", 0x1f68c, 0 },
22054		{ "MBMsgRdyInt", 19, 1 },
22055	{ "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1f690, 0 },
22056		{ "MBGeneric", 4, 28 },
22057		{ "MBMsgValid", 3, 1 },
22058		{ "MBIntReq", 2, 1 },
22059		{ "MBOwner", 0, 2 },
22060	{ "CIM_PF_MAILBOX_DATA", 0x1fa40, 0 },
22061	{ "CIM_PF_MAILBOX_DATA", 0x1fa44, 0 },
22062	{ "CIM_PF_MAILBOX_DATA", 0x1fa48, 0 },
22063	{ "CIM_PF_MAILBOX_DATA", 0x1fa4c, 0 },
22064	{ "CIM_PF_MAILBOX_DATA", 0x1fa50, 0 },
22065	{ "CIM_PF_MAILBOX_DATA", 0x1fa54, 0 },
22066	{ "CIM_PF_MAILBOX_DATA", 0x1fa58, 0 },
22067	{ "CIM_PF_MAILBOX_DATA", 0x1fa5c, 0 },
22068	{ "CIM_PF_MAILBOX_DATA", 0x1fa60, 0 },
22069	{ "CIM_PF_MAILBOX_DATA", 0x1fa64, 0 },
22070	{ "CIM_PF_MAILBOX_DATA", 0x1fa68, 0 },
22071	{ "CIM_PF_MAILBOX_DATA", 0x1fa6c, 0 },
22072	{ "CIM_PF_MAILBOX_DATA", 0x1fa70, 0 },
22073	{ "CIM_PF_MAILBOX_DATA", 0x1fa74, 0 },
22074	{ "CIM_PF_MAILBOX_DATA", 0x1fa78, 0 },
22075	{ "CIM_PF_MAILBOX_DATA", 0x1fa7c, 0 },
22076	{ "CIM_PF_MAILBOX_CTRL", 0x1fa80, 0 },
22077		{ "MBGeneric", 4, 28 },
22078		{ "MBMsgValid", 3, 1 },
22079		{ "MBIntReq", 2, 1 },
22080		{ "MBOwner", 0, 2 },
22081	{ "CIM_PF_MAILBOX_ACC_STATUS", 0x1fa84, 0 },
22082		{ "MBWrBusy", 31, 1 },
22083	{ "CIM_PF_HOST_INT_ENABLE", 0x1fa88, 0 },
22084		{ "MBMsgRdyIntEn", 19, 1 },
22085	{ "CIM_PF_HOST_INT_CAUSE", 0x1fa8c, 0 },
22086		{ "MBMsgRdyInt", 19, 1 },
22087	{ "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1fa90, 0 },
22088		{ "MBGeneric", 4, 28 },
22089		{ "MBMsgValid", 3, 1 },
22090		{ "MBIntReq", 2, 1 },
22091		{ "MBOwner", 0, 2 },
22092	{ "CIM_PF_MAILBOX_DATA", 0x1fe40, 0 },
22093	{ "CIM_PF_MAILBOX_DATA", 0x1fe44, 0 },
22094	{ "CIM_PF_MAILBOX_DATA", 0x1fe48, 0 },
22095	{ "CIM_PF_MAILBOX_DATA", 0x1fe4c, 0 },
22096	{ "CIM_PF_MAILBOX_DATA", 0x1fe50, 0 },
22097	{ "CIM_PF_MAILBOX_DATA", 0x1fe54, 0 },
22098	{ "CIM_PF_MAILBOX_DATA", 0x1fe58, 0 },
22099	{ "CIM_PF_MAILBOX_DATA", 0x1fe5c, 0 },
22100	{ "CIM_PF_MAILBOX_DATA", 0x1fe60, 0 },
22101	{ "CIM_PF_MAILBOX_DATA", 0x1fe64, 0 },
22102	{ "CIM_PF_MAILBOX_DATA", 0x1fe68, 0 },
22103	{ "CIM_PF_MAILBOX_DATA", 0x1fe6c, 0 },
22104	{ "CIM_PF_MAILBOX_DATA", 0x1fe70, 0 },
22105	{ "CIM_PF_MAILBOX_DATA", 0x1fe74, 0 },
22106	{ "CIM_PF_MAILBOX_DATA", 0x1fe78, 0 },
22107	{ "CIM_PF_MAILBOX_DATA", 0x1fe7c, 0 },
22108	{ "CIM_PF_MAILBOX_CTRL", 0x1fe80, 0 },
22109		{ "MBGeneric", 4, 28 },
22110		{ "MBMsgValid", 3, 1 },
22111		{ "MBIntReq", 2, 1 },
22112		{ "MBOwner", 0, 2 },
22113	{ "CIM_PF_MAILBOX_ACC_STATUS", 0x1fe84, 0 },
22114		{ "MBWrBusy", 31, 1 },
22115	{ "CIM_PF_HOST_INT_ENABLE", 0x1fe88, 0 },
22116		{ "MBMsgRdyIntEn", 19, 1 },
22117	{ "CIM_PF_HOST_INT_CAUSE", 0x1fe8c, 0 },
22118		{ "MBMsgRdyInt", 19, 1 },
22119	{ "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1fe90, 0 },
22120		{ "MBGeneric", 4, 28 },
22121		{ "MBMsgValid", 3, 1 },
22122		{ "MBIntReq", 2, 1 },
22123		{ "MBOwner", 0, 2 },
22124	{ NULL }
22125};
22126
22127struct reg_info t5_tp_regs[] = {
22128	{ "TP_IN_CONFIG", 0x7d00, 0 },
22129		{ "VLANExtEnPort3", 31, 1 },
22130		{ "VLANExtEnPort2", 30, 1 },
22131		{ "VLANExtEnPort1", 29, 1 },
22132		{ "VLANExtEnPort0", 28, 1 },
22133		{ "TcpOptParserDisCh3", 27, 1 },
22134		{ "TcpOptParserDisCh2", 26, 1 },
22135		{ "TcpOptParserDisCh1", 25, 1 },
22136		{ "TcpOptParserDisCh0", 24, 1 },
22137		{ "CrcPassPrt3", 23, 1 },
22138		{ "CrcPassPrt2", 22, 1 },
22139		{ "CrcPassPrt1", 21, 1 },
22140		{ "CrcPassPrt0", 20, 1 },
22141		{ "VepaMode", 19, 1 },
22142		{ "FipUpEn", 18, 1 },
22143		{ "FcoeUpEn", 17, 1 },
22144		{ "FcoeEnable", 16, 1 },
22145		{ "IPv6Enable", 15, 1 },
22146		{ "NICMode", 14, 1 },
22147		{ "VnTagDefaultVal", 13, 1 },
22148		{ "ECheckUDPLen", 12, 1 },
22149		{ "EReportUdpHdrLen", 11, 1 },
22150		{ "FcoeFPMA", 10, 1 },
22151		{ "VnTagEnable", 9, 1 },
22152		{ "VnTagEthEnable", 8, 1 },
22153		{ "CChecksumCheckIP", 7, 1 },
22154		{ "CChecksumCheckUDP", 6, 1 },
22155		{ "CChecksumCheckTCP", 5, 1 },
22156		{ "CTag", 4, 1 },
22157		{ "CDemux", 3, 1 },
22158		{ "EthUpEn", 2, 1 },
22159		{ "CEthernet", 1, 1 },
22160		{ "CTunnel", 0, 1 },
22161	{ "TP_OUT_CONFIG", 0x7d04, 0 },
22162		{ "PortQfcEn", 28, 4 },
22163		{ "EPktDistChn3", 23, 1 },
22164		{ "EPktDistChn2", 22, 1 },
22165		{ "EPktDistChn1", 21, 1 },
22166		{ "EPktDistChn0", 20, 1 },
22167		{ "TtlMode", 19, 1 },
22168		{ "EQfcDmac", 18, 1 },
22169		{ "ELpbkIncMpsStat", 17, 1 },
22170		{ "IPIDSplitMode", 16, 1 },
22171		{ "EChecksumInsertTCP", 11, 1 },
22172		{ "EChecksumInsertIP", 10, 1 },
22173		{ "EVnTagEn", 9, 1 },
22174		{ "ECPL", 8, 1 },
22175		{ "EPriority", 7, 1 },
22176		{ "EEthernet", 6, 1 },
22177		{ "CChecksumInsertTCP", 5, 1 },
22178		{ "CChecksumInsertIP", 4, 1 },
22179		{ "CCPL", 2, 1 },
22180		{ "CEthernet", 0, 1 },
22181	{ "TP_GLOBAL_CONFIG", 0x7d08, 0 },
22182		{ "SYNCookieParams", 26, 6 },
22183		{ "RXFlowControlDisable", 25, 1 },
22184		{ "TXPacingEnable", 24, 1 },
22185		{ "AttackFilterEnable", 23, 1 },
22186		{ "SYNCookieNoOptions", 22, 1 },
22187		{ "ProtectedMode", 21, 1 },
22188		{ "PingDrop", 20, 1 },
22189		{ "FragmentDrop", 19, 1 },
22190		{ "FiveTupleLookup", 17, 2 },
22191		{ "OfdMpsStats", 16, 1 },
22192		{ "DontFragment", 15, 1 },
22193		{ "IPIdentSplit", 14, 1 },
22194		{ "RssSynSteerEnable", 12, 1 },
22195		{ "IssFromCplEnable", 11, 1 },
22196		{ "RssLoopbackEnable", 10, 1 },
22197		{ "TCAMServerUse", 8, 2 },
22198		{ "IPTTL", 0, 8 },
22199	{ "TP_DB_CONFIG", 0x7d0c, 0 },
22200		{ "DBMaxOpCnt", 24, 8 },
22201		{ "CxMaxOpCntDisable", 23, 1 },
22202		{ "CxMaxOpCnt", 16, 7 },
22203		{ "TxMaxOpCntDisable", 15, 1 },
22204		{ "TxMaxOpCnt", 8, 7 },
22205		{ "RxMaxOpCntDisable", 7, 1 },
22206		{ "RxMaxOpCnt", 0, 7 },
22207	{ "TP_CMM_TCB_BASE", 0x7d10, 0 },
22208	{ "TP_CMM_MM_BASE", 0x7d14, 0 },
22209	{ "TP_CMM_TIMER_BASE", 0x7d18, 0 },
22210	{ "TP_CMM_MM_FLST_SIZE", 0x7d1c, 0 },
22211		{ "RxPoolSize", 16, 16 },
22212		{ "TxPoolSize", 0, 16 },
22213	{ "TP_PMM_TX_BASE", 0x7d20, 0 },
22214	{ "TP_PMM_DEFRAG_BASE", 0x7d24, 0 },
22215	{ "TP_PMM_RX_BASE", 0x7d28, 0 },
22216	{ "TP_PMM_RX_PAGE_SIZE", 0x7d2c, 0 },
22217	{ "TP_PMM_RX_MAX_PAGE", 0x7d30, 0 },
22218		{ "PMRxNumChn", 31, 1 },
22219		{ "PMRxMaxPage", 0, 21 },
22220	{ "TP_PMM_TX_PAGE_SIZE", 0x7d34, 0 },
22221	{ "TP_PMM_TX_MAX_PAGE", 0x7d38, 0 },
22222		{ "PMTxNumChn", 30, 2 },
22223		{ "PMTxMaxPage", 0, 21 },
22224	{ "TP_TCP_OPTIONS", 0x7d40, 0 },
22225		{ "MTUDefault", 16, 16 },
22226		{ "MTUEnable", 10, 1 },
22227		{ "SACKTx", 9, 1 },
22228		{ "SACKRx", 8, 1 },
22229		{ "SACKMode", 4, 2 },
22230		{ "WindowScaleMode", 2, 2 },
22231		{ "TimestampsMode", 0, 2 },
22232	{ "TP_DACK_CONFIG", 0x7d44, 0 },
22233		{ "AutoState3", 30, 2 },
22234		{ "AutoState2", 28, 2 },
22235		{ "AutoState1", 26, 2 },
22236		{ "ByteThreshold", 8, 18 },
22237		{ "MSSThreshold", 4, 3 },
22238		{ "AutoCareful", 2, 1 },
22239		{ "AutoEnable", 1, 1 },
22240		{ "Mode", 0, 1 },
22241	{ "TP_PC_CONFIG", 0x7d48, 0 },
22242		{ "CMCacheDisable", 31, 1 },
22243		{ "EnableOcspiFull", 30, 1 },
22244		{ "EnableFLMErrorDDP", 29, 1 },
22245		{ "LockTid", 28, 1 },
22246		{ "DisableInvPend", 27, 1 },
22247		{ "EnableFilterCount", 26, 1 },
22248		{ "RddpCongEn", 25, 1 },
22249		{ "EnableOnFlyPDU", 24, 1 },
22250		{ "EnableMinRcvWnd", 23, 1 },
22251		{ "EnableMaxRcvWnd", 22, 1 },
22252		{ "TxDataAckRateEnable", 21, 1 },
22253		{ "TxDeferEnable", 20, 1 },
22254		{ "RxCongestionMode", 19, 1 },
22255		{ "HearbeatOnceDACK", 18, 1 },
22256		{ "HearbeatOnceHeap", 17, 1 },
22257		{ "HearbeatDACK", 16, 1 },
22258		{ "TxCongestionMode", 15, 1 },
22259		{ "AcceptLatestRcvAdv", 14, 1 },
22260		{ "DisableSYNData", 13, 1 },
22261		{ "DisableWindowPSH", 12, 1 },
22262		{ "DisableFINOldData", 11, 1 },
22263		{ "EnableFLMError", 10, 1 },
22264		{ "EnableOptMtu", 9, 1 },
22265		{ "FilterPeerFIN", 8, 1 },
22266		{ "EnableFeedbackSend", 7, 1 },
22267		{ "EnableRDMAError", 6, 1 },
22268		{ "EnableFilterNat", 5, 1 },
22269		{ "DisableHeldFIN", 4, 1 },
22270		{ "EnableOfdoVLAN", 3, 1 },
22271		{ "DisableTimeWait", 2, 1 },
22272		{ "EnableVlanCheck", 1, 1 },
22273		{ "TxDataAckPageEnable", 0, 1 },
22274	{ "TP_PC_CONFIG2", 0x7d4c, 0 },
22275		{ "EnableMtuVfMode", 31, 1 },
22276		{ "EnableMibVfMode", 30, 1 },
22277		{ "DisableLbkCheck", 29, 1 },
22278		{ "EnableUrgDdpOff", 28, 1 },
22279		{ "EnableFilterLpbk", 27, 1 },
22280		{ "DisableTblMmgr", 26, 1 },
22281		{ "CngRecSndNxt", 25, 1 },
22282		{ "EnableLbkChn", 24, 1 },
22283		{ "EnableLroEcn", 23, 1 },
22284		{ "EnablePcmdCheck", 22, 1 },
22285		{ "EnableELbkAFull", 21, 1 },
22286		{ "EnableCLbkAFull", 20, 1 },
22287		{ "EnableOespiFull", 19, 1 },
22288		{ "DisableHitCheck", 18, 1 },
22289		{ "EnableRssErrCheck", 17, 1 },
22290		{ "DisableNewPshFlag", 16, 1 },
22291		{ "EnableRddpRcvAdvClr", 15, 1 },
22292		{ "EnableFinDdpOff", 14, 1 },
22293		{ "EnableArpMiss", 13, 1 },
22294		{ "EnableRstPaws", 12, 1 },
22295		{ "EnableIPv6RSS", 11, 1 },
22296		{ "EnableNonOfdHybRss", 10, 1 },
22297		{ "EnableUDP4TupRss", 9, 1 },
22298		{ "EnableRxPktTmstpRss", 8, 1 },
22299		{ "EnableEPCMDAFull", 7, 1 },
22300		{ "EnableCPCMDAFull", 6, 1 },
22301		{ "EnableEHdrAFull", 5, 1 },
22302		{ "EnableCHdrAFull", 4, 1 },
22303		{ "EnableEMacAFull", 3, 1 },
22304		{ "EnableNonOfdTidRss", 2, 1 },
22305		{ "EnableNonOfdTcbRss", 1, 1 },
22306		{ "EnableTnlOfdClosed", 0, 1 },
22307	{ "TP_TCP_BACKOFF_REG0", 0x7d50, 0 },
22308		{ "TimerBackoffIndex3", 24, 8 },
22309		{ "TimerBackoffIndex2", 16, 8 },
22310		{ "TimerBackoffIndex1", 8, 8 },
22311		{ "TimerBackoffIndex0", 0, 8 },
22312	{ "TP_TCP_BACKOFF_REG1", 0x7d54, 0 },
22313		{ "TimerBackoffIndex7", 24, 8 },
22314		{ "TimerBackoffIndex6", 16, 8 },
22315		{ "TimerBackoffIndex5", 8, 8 },
22316		{ "TimerBackoffIndex4", 0, 8 },
22317	{ "TP_TCP_BACKOFF_REG2", 0x7d58, 0 },
22318		{ "TimerBackoffIndex11", 24, 8 },
22319		{ "TimerBackoffIndex10", 16, 8 },
22320		{ "TimerBackoffIndex9", 8, 8 },
22321		{ "TimerBackoffIndex8", 0, 8 },
22322	{ "TP_TCP_BACKOFF_REG3", 0x7d5c, 0 },
22323		{ "TimerBackoffIndex15", 24, 8 },
22324		{ "TimerBackoffIndex14", 16, 8 },
22325		{ "TimerBackoffIndex13", 8, 8 },
22326		{ "TimerBackoffIndex12", 0, 8 },
22327	{ "TP_PARA_REG0", 0x7d60, 0 },
22328		{ "LimTxThresh", 28, 4 },
22329		{ "InitCwndIdle", 27, 1 },
22330		{ "InitCwnd", 24, 3 },
22331		{ "DupAckThresh", 20, 4 },
22332		{ "ChnErrEnable", 14, 1 },
22333		{ "SetTimeEnable", 13, 1 },
22334		{ "CplErrEnable", 12, 1 },
22335		{ "FastTnlCnt", 11, 1 },
22336		{ "FastTblCnt", 10, 1 },
22337		{ "TpTcamKey", 9, 1 },
22338		{ "SwsMode", 8, 1 },
22339		{ "TsmpMode", 6, 2 },
22340		{ "ByteCountLimit", 4, 2 },
22341		{ "SwsShove", 3, 1 },
22342		{ "TblTimer", 2, 1 },
22343		{ "RxtPace", 1, 1 },
22344		{ "SwsTimer", 0, 1 },
22345	{ "TP_PARA_REG1", 0x7d64, 0 },
22346		{ "InitRwnd", 16, 16 },
22347		{ "InitialSSThresh", 0, 16 },
22348	{ "TP_PARA_REG2", 0x7d68, 0 },
22349		{ "MaxRxData", 16, 16 },
22350		{ "RxCoalesceSize", 0, 16 },
22351	{ "TP_PARA_REG3", 0x7d6c, 0 },
22352		{ "EnableTnlCngLpbk", 31, 1 },
22353		{ "EnableTnlCngFifo", 30, 1 },
22354		{ "EnableTnlCngHdr", 29, 1 },
22355		{ "EnableTnlCngSge", 28, 1 },
22356		{ "RxMacCheck", 27, 1 },
22357		{ "RxSynFilter", 26, 1 },
22358		{ "CngCtrlECN", 25, 1 },
22359		{ "RxDdpOffInit", 24, 1 },
22360		{ "TunnelCngDrop3", 23, 1 },
22361		{ "TunnelCngDrop2", 22, 1 },
22362		{ "TunnelCngDrop1", 21, 1 },
22363		{ "TunnelCngDrop0", 20, 1 },
22364		{ "TxDataAckIdx", 16, 4 },
22365		{ "RxFragEnable", 12, 3 },
22366		{ "TxPaceFixedStrict", 11, 1 },
22367		{ "TxPaceAutoStrict", 10, 1 },
22368		{ "TxPaceFixed", 9, 1 },
22369		{ "TxPaceAuto", 8, 1 },
22370		{ "RxChnTunnel", 7, 1 },
22371		{ "RxUrgTunnel", 6, 1 },
22372		{ "RxUrgMode", 5, 1 },
22373		{ "TxUrgMode", 4, 1 },
22374		{ "CngCtrlMode", 2, 2 },
22375		{ "RxCoalesceEnable", 1, 1 },
22376		{ "RxCoalescePshEn", 0, 1 },
22377	{ "TP_PARA_REG4", 0x7d70, 0 },
22378		{ "IdleCwndHighSpeed", 28, 1 },
22379		{ "RxmtCwndHighSpeed", 27, 1 },
22380		{ "OverdriveHighSpeed", 25, 2 },
22381		{ "ByteCountHighSpeed", 24, 1 },
22382		{ "IdleCwndNewReno", 20, 1 },
22383		{ "RxmtCwndNewReno", 19, 1 },
22384		{ "OverdriveNewReno", 17, 2 },
22385		{ "ByteCountNewReno", 16, 1 },
22386		{ "IdleCwndTahoe", 12, 1 },
22387		{ "RxmtCwndTahoe", 11, 1 },
22388		{ "OverdriveTahoe", 9, 2 },
22389		{ "ByteCountTahoe", 8, 1 },
22390		{ "IdleCwndReno", 4, 1 },
22391		{ "RxmtCwndReno", 3, 1 },
22392		{ "OverdriveReno", 1, 2 },
22393		{ "ByteCountReno", 0, 1 },
22394	{ "TP_PARA_REG5", 0x7d74, 0 },
22395		{ "IndicateSize", 16, 16 },
22396		{ "MaxProxySize", 12, 4 },
22397		{ "EnableReadPdu", 11, 1 },
22398		{ "EnableReadAhead", 10, 1 },
22399		{ "EmptyRqEnable", 9, 1 },
22400		{ "SchdEnable", 8, 1 },
22401		{ "EnableXoffPdu", 7, 1 },
22402		{ "EnableNewFar", 6, 1 },
22403		{ "EnableFragCheck", 5, 1 },
22404		{ "RearmDdpOffset", 4, 1 },
22405		{ "ResetDdpOffset", 3, 1 },
22406		{ "OnFlyDDPEnable", 2, 1 },
22407		{ "DackTimerSpin", 1, 1 },
22408		{ "PushTimerEnable", 0, 1 },
22409	{ "TP_PARA_REG6", 0x7d78, 0 },
22410		{ "TxPDUSizeAdj", 24, 8 },
22411		{ "DisablePDUAck", 20, 1 },
22412		{ "EnableCSav", 19, 1 },
22413		{ "EnableDeferPDU", 18, 1 },
22414		{ "EnableFlush", 17, 1 },
22415		{ "EnableBytePersist", 16, 1 },
22416		{ "DisableTmoCng", 15, 1 },
22417		{ "EnableReadAhead", 14, 1 },
22418		{ "AllowExeption", 13, 1 },
22419		{ "EnableDeferACK", 12, 1 },
22420		{ "EnableESnd", 11, 1 },
22421		{ "EnableCSnd", 10, 1 },
22422		{ "EnablePDUE", 9, 1 },
22423		{ "EnablePDUC", 8, 1 },
22424		{ "EnableBUFI", 7, 1 },
22425		{ "EnableBUFE", 6, 1 },
22426		{ "EnableDefer", 5, 1 },
22427		{ "EnableClearRxmtOos", 4, 1 },
22428		{ "DisablePDUCng", 3, 1 },
22429		{ "DisablePDUTimeout", 2, 1 },
22430		{ "DisablePDURxmt", 1, 1 },
22431		{ "DisablePDUxmt", 0, 1 },
22432	{ "TP_PARA_REG7", 0x7d7c, 0 },
22433		{ "PMMaxXferLen1", 16, 16 },
22434		{ "PMMaxXferLen0", 0, 16 },
22435	{ "TP_ENG_CONFIG", 0x7d80, 0 },
22436		{ "TableLatencyDone", 28, 4 },
22437		{ "TableLatencyStart", 24, 4 },
22438		{ "EngineLatencyDelta", 16, 4 },
22439		{ "EngineLatencyMmgr", 12, 4 },
22440		{ "EngineLatencyWireIp6", 8, 4 },
22441		{ "EngineLatencyWire", 4, 4 },
22442		{ "EngineLatencyBase", 0, 4 },
22443	{ "TP_ERR_CONFIG", 0x7d8c, 0 },
22444		{ "TnlErrorFPMA", 31, 1 },
22445		{ "TnlErrorPing", 30, 1 },
22446		{ "TnlErrorCsum", 29, 1 },
22447		{ "TnlErrorCsumIP", 28, 1 },
22448		{ "TnlErrorTcpOpt", 25, 1 },
22449		{ "TnlErrorPktLen", 24, 1 },
22450		{ "TnlErrorTcpHdrLen", 23, 1 },
22451		{ "TnlErrorIpHdrLen", 22, 1 },
22452		{ "TnlErrorEthHdrLen", 21, 1 },
22453		{ "TnlErrorAttack", 20, 1 },
22454		{ "TnlErrorFrag", 19, 1 },
22455		{ "TnlErrorIpVer", 18, 1 },
22456		{ "TnlErrorMac", 17, 1 },
22457		{ "TnlErrorAny", 16, 1 },
22458		{ "DropErrorFPMA", 15, 1 },
22459		{ "DropErrorPing", 14, 1 },
22460		{ "DropErrorCsum", 13, 1 },
22461		{ "DropErrorCsumIP", 12, 1 },
22462		{ "DropErrorTcpOpt", 9, 1 },
22463		{ "DropErrorPktLen", 8, 1 },
22464		{ "DropErrorTcpHdrLen", 7, 1 },
22465		{ "DropErrorIpHdrLen", 6, 1 },
22466		{ "DropErrorEthHdrLen", 5, 1 },
22467		{ "DropErrorAttack", 4, 1 },
22468		{ "DropErrorFrag", 3, 1 },
22469		{ "DropErrorIpVer", 2, 1 },
22470		{ "DropErrorMac", 1, 1 },
22471		{ "DropErrorAny", 0, 1 },
22472	{ "TP_TIMER_RESOLUTION", 0x7d90, 0 },
22473		{ "TimerResolution", 16, 8 },
22474		{ "TimestampResolution", 8, 8 },
22475		{ "DelayedACKResolution", 0, 8 },
22476	{ "TP_MSL", 0x7d94, 0 },
22477	{ "TP_RXT_MIN", 0x7d98, 0 },
22478	{ "TP_RXT_MAX", 0x7d9c, 0 },
22479	{ "TP_PERS_MIN", 0x7da0, 0 },
22480	{ "TP_PERS_MAX", 0x7da4, 0 },
22481	{ "TP_KEEP_IDLE", 0x7da8, 0 },
22482	{ "TP_KEEP_INTVL", 0x7dac, 0 },
22483	{ "TP_INIT_SRTT", 0x7db0, 0 },
22484		{ "MaxRtt", 16, 16 },
22485		{ "InitSrtt", 0, 16 },
22486	{ "TP_DACK_TIMER", 0x7db4, 0 },
22487	{ "TP_FINWAIT2_TIMER", 0x7db8, 0 },
22488	{ "TP_FAST_FINWAIT2_TIMER", 0x7dbc, 0 },
22489	{ "TP_SHIFT_CNT", 0x7dc0, 0 },
22490		{ "SynShiftMax", 24, 8 },
22491		{ "RxtShiftMaxR1", 20, 4 },
22492		{ "RxtShiftMaxR2", 16, 4 },
22493		{ "PerShiftBackoffMax", 12, 4 },
22494		{ "PerShiftMax", 8, 4 },
22495		{ "KeepaliveMaxR1", 4, 4 },
22496		{ "KeepaliveMaxR2", 0, 4 },
22497	{ "TP_TM_CONFIG", 0x7dc4, 0 },
22498	{ "TP_TIME_LO", 0x7dc8, 0 },
22499	{ "TP_TIME_HI", 0x7dcc, 0 },
22500	{ "TP_PORT_MTU_0", 0x7dd0, 0 },
22501		{ "Port1MTUValue", 16, 16 },
22502		{ "Port0MTUValue", 0, 16 },
22503	{ "TP_PORT_MTU_1", 0x7dd4, 0 },
22504		{ "Port3MTUValue", 16, 16 },
22505		{ "Port2MTUValue", 0, 16 },
22506	{ "TP_PACE_TABLE", 0x7dd8, 0 },
22507	{ "TP_CCTRL_TABLE", 0x7ddc, 0 },
22508		{ "RowIndex", 16, 16 },
22509		{ "RowValue", 0, 16 },
22510	{ "TP_MTU_TABLE", 0x7de4, 0 },
22511		{ "MTUIndex", 24, 8 },
22512		{ "MTUWidth", 16, 4 },
22513		{ "MTUValue", 0, 14 },
22514	{ "TP_ULP_TABLE", 0x7de8, 0 },
22515		{ "ULPType7Field", 28, 4 },
22516		{ "ULPType6Field", 24, 4 },
22517		{ "ULPType5Field", 20, 4 },
22518		{ "ULPType4Field", 16, 4 },
22519		{ "ULPType3Field", 12, 4 },
22520		{ "ULPType2Field", 8, 4 },
22521		{ "ULPType1Field", 4, 4 },
22522		{ "ULPType0Field", 0, 4 },
22523	{ "TP_RSS_LKP_TABLE", 0x7dec, 0 },
22524		{ "LkpTblRowVld", 31, 1 },
22525		{ "LkpTblRowIdx", 20, 10 },
22526		{ "LkpTblQueue1", 10, 10 },
22527		{ "LkpTblQueue0", 0, 10 },
22528	{ "TP_RSS_CONFIG", 0x7df0, 0 },
22529		{ "TNL4tupEnIpv6", 31, 1 },
22530		{ "TNL2tupEnIpv6", 30, 1 },
22531		{ "TNL4tupEnIpv4", 29, 1 },
22532		{ "TNL2tupEnIpv4", 28, 1 },
22533		{ "TNLTcpSel", 27, 1 },
22534		{ "TNLIp6Sel", 26, 1 },
22535		{ "TNLVrtSel", 25, 1 },
22536		{ "TNLMapEn", 24, 1 },
22537		{ "TNLFcoeMode", 23, 1 },
22538		{ "TNLFcoeEn", 21, 1 },
22539		{ "HashXor", 20, 1 },
22540		{ "OFDHashSave", 19, 1 },
22541		{ "OFDVrtSel", 18, 1 },
22542		{ "OFDMapEn", 17, 1 },
22543		{ "OFDLkpEn", 16, 1 },
22544		{ "SYN4tupEnIpv6", 15, 1 },
22545		{ "SYN2tupEnIpv6", 14, 1 },
22546		{ "SYN4tupEnIpv4", 13, 1 },
22547		{ "SYN2tupEnIpv4", 12, 1 },
22548		{ "SYNIp6Sel", 11, 1 },
22549		{ "SYNVrtSel", 10, 1 },
22550		{ "SYNMapEn", 9, 1 },
22551		{ "SYNLkpEn", 8, 1 },
22552		{ "ChannelEnable", 7, 1 },
22553		{ "PortEnable", 6, 1 },
22554		{ "TNLAllLookup", 5, 1 },
22555		{ "VirtEnable", 4, 1 },
22556		{ "CongestionEnable", 3, 1 },
22557		{ "HashToeplitz", 2, 1 },
22558		{ "UdpEnable", 1, 1 },
22559		{ "Disable", 0, 1 },
22560	{ "TP_RSS_CONFIG_TNL", 0x7df4, 0 },
22561		{ "MaskSize", 28, 4 },
22562		{ "MaskFilter", 16, 11 },
22563		{ "UseWireCh", 0, 1 },
22564	{ "TP_RSS_CONFIG_OFD", 0x7df8, 0 },
22565		{ "MaskSize", 28, 4 },
22566		{ "RRCPLMapEn", 20, 1 },
22567		{ "RRCPLQueWidth", 16, 4 },
22568		{ "FrmwrQueMask", 12, 4 },
22569	{ "TP_RSS_CONFIG_SYN", 0x7dfc, 0 },
22570		{ "MaskSize", 28, 4 },
22571		{ "UseWireCh", 0, 1 },
22572	{ "TP_RSS_CONFIG_VRT", 0x7e00, 0 },
22573		{ "VfRdRg", 25, 1 },
22574		{ "VfRdEn", 24, 1 },
22575		{ "VfPerrEn", 23, 1 },
22576		{ "KeyPerrEn", 22, 1 },
22577		{ "VfVlanEn", 21, 1 },
22578		{ "VfFwEn", 20, 1 },
22579		{ "HashDelay", 16, 4 },
22580		{ "VfWrAddr", 8, 7 },
22581		{ "KeyMode", 6, 2 },
22582		{ "VfWrEn", 5, 1 },
22583		{ "KeyWrEn", 4, 1 },
22584		{ "KeyWrAddr", 0, 4 },
22585	{ "TP_RSS_CONFIG_CNG", 0x7e04, 0 },
22586		{ "ChnCount3", 31, 1 },
22587		{ "ChnCount2", 30, 1 },
22588		{ "ChnCount1", 29, 1 },
22589		{ "ChnCount0", 28, 1 },
22590		{ "ChnUndFlow3", 27, 1 },
22591		{ "ChnUndFlow2", 26, 1 },
22592		{ "ChnUndFlow1", 25, 1 },
22593		{ "ChnUndFlow0", 24, 1 },
22594		{ "ChnOvrFlow3", 23, 1 },
22595		{ "ChnOvrFlow2", 22, 1 },
22596		{ "ChnOvrFlow1", 21, 1 },
22597		{ "ChnOvrFlow0", 20, 1 },
22598		{ "RstChn3", 19, 1 },
22599		{ "RstChn2", 18, 1 },
22600		{ "RstChn1", 17, 1 },
22601		{ "RstChn0", 16, 1 },
22602		{ "UpdVld", 15, 1 },
22603		{ "Xoff", 14, 1 },
22604		{ "UpdChn3", 13, 1 },
22605		{ "UpdChn2", 12, 1 },
22606		{ "UpdChn1", 11, 1 },
22607		{ "UpdChn0", 10, 1 },
22608		{ "Queue", 0, 10 },
22609	{ "TP_LA_TABLE_0", 0x7e10, 0 },
22610		{ "VirtPort1Table", 16, 16 },
22611		{ "VirtPort0Table", 0, 16 },
22612	{ "TP_LA_TABLE_1", 0x7e14, 0 },
22613		{ "VirtPort3Table", 16, 16 },
22614		{ "VirtPort2Table", 0, 16 },
22615	{ "TP_TM_PIO_ADDR", 0x7e18, 0 },
22616	{ "TP_TM_PIO_DATA", 0x7e1c, 0 },
22617	{ "TP_MOD_CONFIG", 0x7e24, 0 },
22618		{ "RxChannelWeight1", 24, 8 },
22619		{ "RXChannelWeight0", 16, 8 },
22620		{ "TimerMode", 8, 8 },
22621		{ "TxChannelXoffEn", 0, 4 },
22622	{ "TP_TX_MOD_QUEUE_REQ_MAP", 0x7e28, 0 },
22623		{ "RX_MOD_WEIGHT", 24, 8 },
22624		{ "TX_MOD_WEIGHT", 16, 8 },
22625		{ "TX_MOD_QUEUE_REQ_MAP", 0, 16 },
22626	{ "TP_TX_MOD_QUEUE_WEIGHT1", 0x7e2c, 0 },
22627		{ "TP_TX_MOD_QUEUE_WEIGHT7", 24, 8 },
22628		{ "TP_TX_MOD_QUEUE_WEIGHT6", 16, 8 },
22629		{ "TP_TX_MOD_QUEUE_WEIGHT5", 8, 8 },
22630		{ "TP_TX_MOD_QUEUE_WEIGHT4", 0, 8 },
22631	{ "TP_TX_MOD_QUEUE_WEIGHT0", 0x7e30, 0 },
22632		{ "TP_TX_MOD_QUEUE_WEIGHT3", 24, 8 },
22633		{ "TP_TX_MOD_QUEUE_WEIGHT2", 16, 8 },
22634		{ "TP_TX_MOD_QUEUE_WEIGHT1", 8, 8 },
22635		{ "TP_TX_MOD_QUEUE_WEIGHT0", 0, 8 },
22636	{ "TP_TX_MOD_CHANNEL_WEIGHT", 0x7e34, 0 },
22637		{ "CH3", 24, 8 },
22638		{ "CH2", 16, 8 },
22639		{ "CH1", 8, 8 },
22640		{ "CH0", 0, 8 },
22641	{ "TP_MOD_RATE_LIMIT", 0x7e38, 0 },
22642		{ "RX_MOD_RATE_LIMIT_INC", 24, 8 },
22643		{ "RX_MOD_RATE_LIMIT_TICK", 16, 8 },
22644		{ "TX_MOD_RATE_LIMIT_INC", 8, 8 },
22645		{ "TX_MOD_RATE_LIMIT_TICK", 0, 8 },
22646	{ "TP_PIO_ADDR", 0x7e40, 0 },
22647	{ "TP_PIO_DATA", 0x7e44, 0 },
22648	{ "TP_RESET", 0x7e4c, 0 },
22649		{ "FlstInitEnable", 1, 1 },
22650		{ "TPReset", 0, 1 },
22651	{ "TP_MIB_INDEX", 0x7e50, 0 },
22652	{ "TP_MIB_DATA", 0x7e54, 0 },
22653	{ "TP_SYNC_TIME_HI", 0x7e58, 0 },
22654	{ "TP_SYNC_TIME_LO", 0x7e5c, 0 },
22655	{ "TP_CMM_MM_RX_FLST_BASE", 0x7e60, 0 },
22656	{ "TP_CMM_MM_TX_FLST_BASE", 0x7e64, 0 },
22657	{ "TP_CMM_MM_PS_FLST_BASE", 0x7e68, 0 },
22658	{ "TP_CMM_MM_MAX_PSTRUCT", 0x7e6c, 0 },
22659	{ "TP_INT_ENABLE", 0x7e70, 0 },
22660		{ "FlmTxFlstEmpty", 30, 1 },
22661		{ "RssLkpPerr", 29, 1 },
22662		{ "FlmPerrSet", 28, 1 },
22663		{ "ProtocolSramPerr", 27, 1 },
22664		{ "ArpLutPerr", 26, 1 },
22665		{ "CmRcfOpPerr", 25, 1 },
22666		{ "CmCachePerr", 24, 1 },
22667		{ "CmRcfDataPerr", 23, 1 },
22668		{ "DbL2tLutPerr", 22, 1 },
22669		{ "DbTxTidPerr", 21, 1 },
22670		{ "DbExtPerr", 20, 1 },
22671		{ "DbOpPerr", 19, 1 },
22672		{ "TmCachePerr", 18, 1 },
22673		{ "ETpOutCplFifoPerr", 17, 1 },
22674		{ "ETpOutTcpFifoPerr", 16, 1 },
22675		{ "ETpOutIpFifoPerr", 15, 1 },
22676		{ "ETpOutEthFifoPerr", 14, 1 },
22677		{ "ETpInCplFifoPerr", 13, 1 },
22678		{ "ETpInTcpOptFifoPerr", 12, 1 },
22679		{ "ETpInTcpFifoPerr", 11, 1 },
22680		{ "ETpInIpFifoPerr", 10, 1 },
22681		{ "ETpInEthFifoPerr", 9, 1 },
22682		{ "CTpOutCplFifoPerr", 8, 1 },
22683		{ "CTpOutPldFifoPerr", 7, 1 },
22684		{ "CTpOutIpFifoPerr", 6, 1 },
22685		{ "CTpOutEthFifoPerr", 5, 1 },
22686		{ "CTpInCplFifoPerr", 4, 1 },
22687		{ "CTpInTcpOpFifoPerr", 3, 1 },
22688		{ "PduFbkFifoPerr", 2, 1 },
22689		{ "CmOpExtFifoPerr", 1, 1 },
22690		{ "DelInvFifoPerr", 0, 1 },
22691	{ "TP_INT_CAUSE", 0x7e74, 0 },
22692		{ "FlmTxFlstEmpty", 30, 1 },
22693		{ "RssLkpPerr", 29, 1 },
22694		{ "FlmPerrSet", 28, 1 },
22695		{ "ProtocolSramPerr", 27, 1 },
22696		{ "ArpLutPerr", 26, 1 },
22697		{ "CmRcfOpPerr", 25, 1 },
22698		{ "CmCachePerr", 24, 1 },
22699		{ "CmRcfDataPerr", 23, 1 },
22700		{ "DbL2tLutPerr", 22, 1 },
22701		{ "DbTxTidPerr", 21, 1 },
22702		{ "DbExtPerr", 20, 1 },
22703		{ "DbOpPerr", 19, 1 },
22704		{ "TmCachePerr", 18, 1 },
22705		{ "ETpOutCplFifoPerr", 17, 1 },
22706		{ "ETpOutTcpFifoPerr", 16, 1 },
22707		{ "ETpOutIpFifoPerr", 15, 1 },
22708		{ "ETpOutEthFifoPerr", 14, 1 },
22709		{ "ETpInCplFifoPerr", 13, 1 },
22710		{ "ETpInTcpOptFifoPerr", 12, 1 },
22711		{ "ETpInTcpFifoPerr", 11, 1 },
22712		{ "ETpInIpFifoPerr", 10, 1 },
22713		{ "ETpInEthFifoPerr", 9, 1 },
22714		{ "CTpOutCplFifoPerr", 8, 1 },
22715		{ "CTpOutPldFifoPerr", 7, 1 },
22716		{ "CTpOutIpFifoPerr", 6, 1 },
22717		{ "CTpOutEthFifoPerr", 5, 1 },
22718		{ "CTpInCplFifoPerr", 4, 1 },
22719		{ "CTpInTcpOpFifoPerr", 3, 1 },
22720		{ "PduFbkFifoPerr", 2, 1 },
22721		{ "CmOpExtFifoPerr", 1, 1 },
22722		{ "DelInvFifoPerr", 0, 1 },
22723	{ "TP_PER_ENABLE", 0x7e78, 0 },
22724		{ "FlmTxFlstEmpty", 30, 1 },
22725		{ "RssLkpPerr", 29, 1 },
22726		{ "FlmPerrSet", 28, 1 },
22727		{ "ProtocolSramPerr", 27, 1 },
22728		{ "ArpLutPerr", 26, 1 },
22729		{ "CmRcfOpPerr", 25, 1 },
22730		{ "CmCachePerr", 24, 1 },
22731		{ "CmRcfDataPerr", 23, 1 },
22732		{ "DbL2tLutPerr", 22, 1 },
22733		{ "DbTxTidPerr", 21, 1 },
22734		{ "DbExtPerr", 20, 1 },
22735		{ "DbOpPerr", 19, 1 },
22736		{ "TmCachePerr", 18, 1 },
22737		{ "ETpOutCplFifoPerr", 17, 1 },
22738		{ "ETpOutTcpFifoPerr", 16, 1 },
22739		{ "ETpOutIpFifoPerr", 15, 1 },
22740		{ "ETpOutEthFifoPerr", 14, 1 },
22741		{ "ETpInCplFifoPerr", 13, 1 },
22742		{ "ETpInTcpOptFifoPerr", 12, 1 },
22743		{ "ETpInTcpFifoPerr", 11, 1 },
22744		{ "ETpInIpFifoPerr", 10, 1 },
22745		{ "ETpInEthFifoPerr", 9, 1 },
22746		{ "CTpOutCplFifoPerr", 8, 1 },
22747		{ "CTpOutPldFifoPerr", 7, 1 },
22748		{ "CTpOutIpFifoPerr", 6, 1 },
22749		{ "CTpOutEthFifoPerr", 5, 1 },
22750		{ "CTpInCplFifoPerr", 4, 1 },
22751		{ "CTpInTcpOpFifoPerr", 3, 1 },
22752		{ "PduFbkFifoPerr", 2, 1 },
22753		{ "CmOpExtFifoPerr", 1, 1 },
22754		{ "DelInvFifoPerr", 0, 1 },
22755	{ "TP_FLM_FREE_PS_CNT", 0x7e80, 0 },
22756	{ "TP_FLM_FREE_RX_CNT", 0x7e84, 0 },
22757		{ "FreeRxPageChn", 28, 1 },
22758		{ "FreeRxPageCount", 0, 21 },
22759	{ "TP_FLM_FREE_TX_CNT", 0x7e88, 0 },
22760		{ "FreeTxPageChn", 28, 2 },
22761		{ "FreeTxPageCount", 0, 21 },
22762	{ "TP_TM_HEAP_PUSH_CNT", 0x7e8c, 0 },
22763	{ "TP_TM_HEAP_POP_CNT", 0x7e90, 0 },
22764	{ "TP_TM_DACK_PUSH_CNT", 0x7e94, 0 },
22765	{ "TP_TM_DACK_POP_CNT", 0x7e98, 0 },
22766	{ "TP_TM_MOD_PUSH_CNT", 0x7e9c, 0 },
22767	{ "TP_MOD_POP_CNT", 0x7ea0, 0 },
22768	{ "TP_TIMER_SEPARATOR", 0x7ea4, 0 },
22769		{ "TimerSeparator", 16, 16 },
22770		{ "DisableTimeFreeze", 0, 1 },
22771	{ "TP_STAMP_TIME", 0x7ea8, 0 },
22772	{ "TP_DEBUG_FLAGS", 0x7eac, 0 },
22773		{ "RxTimerCompBuffer", 27, 1 },
22774		{ "RxTimerDackFirst", 26, 1 },
22775		{ "RxTimerDack", 25, 1 },
22776		{ "RxTimerHeartbeat", 24, 1 },
22777		{ "RxPawsDrop", 23, 1 },
22778		{ "RxUrgDataDrop", 22, 1 },
22779		{ "RxFutureData", 21, 1 },
22780		{ "RxRcvRxmData", 20, 1 },
22781		{ "RxRcvOooDataFin", 19, 1 },
22782		{ "RxRcvOooData", 18, 1 },
22783		{ "RxRcvWndZero", 17, 1 },
22784		{ "RxRcvWndLtMss", 16, 1 },
22785		{ "TxDfrFast", 13, 1 },
22786		{ "TxRxmMisc", 12, 1 },
22787		{ "TxDupAckInc", 11, 1 },
22788		{ "TxRxmUrg", 10, 1 },
22789		{ "TxRxmFin", 9, 1 },
22790		{ "TxRxmSyn", 8, 1 },
22791		{ "TxRxmNewReno", 7, 1 },
22792		{ "TxRxmFast", 6, 1 },
22793		{ "TxRxmTimer", 5, 1 },
22794		{ "TxRxmTimerKeepalive", 4, 1 },
22795		{ "TxRxmTimerPersist", 3, 1 },
22796		{ "TxRcvAdvShrunk", 2, 1 },
22797		{ "TxRcvAdvZero", 1, 1 },
22798		{ "TxRcvAdvLtMss", 0, 1 },
22799	{ "TP_RX_SCHED", 0x7eb0, 0 },
22800		{ "CommitReset1", 31, 1 },
22801		{ "CommitReset0", 30, 1 },
22802		{ "ForceCong1", 29, 1 },
22803		{ "ForceCong0", 28, 1 },
22804		{ "EnableLpbkFull1", 26, 2 },
22805		{ "EnableLpbkFull0", 24, 2 },
22806		{ "EnableFifoFull1", 22, 2 },
22807		{ "EnablePcmdFull1", 20, 2 },
22808		{ "EnableHdrFull1", 18, 2 },
22809		{ "EnableFifoFull0", 16, 2 },
22810		{ "EnablePcmdFull0", 14, 2 },
22811		{ "EnableHdrFull0", 12, 2 },
22812	{ "TP_TX_SCHED", 0x7eb4, 0 },
22813		{ "CommitReset3", 31, 1 },
22814		{ "CommitReset2", 30, 1 },
22815		{ "CommitReset1", 29, 1 },
22816		{ "CommitReset0", 28, 1 },
22817		{ "ForceCong3", 27, 1 },
22818		{ "ForceCong2", 26, 1 },
22819		{ "ForceCong1", 25, 1 },
22820		{ "ForceCong0", 24, 1 },
22821		{ "CommitLimit3", 18, 6 },
22822		{ "CommitLimit2", 12, 6 },
22823		{ "CommitLimit1", 6, 6 },
22824		{ "CommitLimit0", 0, 6 },
22825	{ "TP_FX_SCHED", 0x7eb8, 0 },
22826		{ "TxChnXoff3", 19, 1 },
22827		{ "TxChnXoff2", 18, 1 },
22828		{ "TxChnXoff1", 17, 1 },
22829		{ "TxChnXoff0", 16, 1 },
22830		{ "TxModXoff7", 15, 1 },
22831		{ "TxModXoff6", 14, 1 },
22832		{ "TxModXoff5", 13, 1 },
22833		{ "TxModXoff4", 12, 1 },
22834		{ "TxModXoff3", 11, 1 },
22835		{ "TxModXoff2", 10, 1 },
22836		{ "TxModXoff1", 9, 1 },
22837		{ "TxModXoff0", 8, 1 },
22838		{ "RxChnXoff3", 7, 1 },
22839		{ "RxChnXoff2", 6, 1 },
22840		{ "RxChnXoff1", 5, 1 },
22841		{ "RxChnXoff0", 4, 1 },
22842		{ "RxModXoff1", 1, 1 },
22843		{ "RxModXoff0", 0, 1 },
22844	{ "TP_TX_ORATE", 0x7ebc, 0 },
22845		{ "OfdRate3", 24, 8 },
22846		{ "OfdRate2", 16, 8 },
22847		{ "OfdRate1", 8, 8 },
22848		{ "OfdRate0", 0, 8 },
22849	{ "TP_IX_SCHED0", 0x7ec0, 0 },
22850	{ "TP_IX_SCHED1", 0x7ec4, 0 },
22851	{ "TP_IX_SCHED2", 0x7ec8, 0 },
22852	{ "TP_IX_SCHED3", 0x7ecc, 0 },
22853	{ "TP_TX_TRATE", 0x7ed0, 0 },
22854		{ "TnlRate3", 24, 8 },
22855		{ "TnlRate2", 16, 8 },
22856		{ "TnlRate1", 8, 8 },
22857		{ "TnlRate0", 0, 8 },
22858	{ "TP_DBG_LA_CONFIG", 0x7ed4, 0 },
22859		{ "DbgLaOpcEnable", 24, 8 },
22860		{ "DbgLaWhlf", 23, 1 },
22861		{ "DbgLaWptr", 16, 7 },
22862		{ "DbgLaMode", 14, 2 },
22863		{ "DbgLaFatalFreeze", 13, 1 },
22864		{ "DbgLaEnable", 12, 1 },
22865		{ "DbgLaRptr", 0, 7 },
22866	{ "TP_DBG_LA_DATAL", 0x7ed8, 0 },
22867	{ "TP_DBG_LA_DATAH", 0x7edc, 0 },
22868	{ "TP_PROTOCOL_CNTRL", 0x7ee8, 0 },
22869		{ "WriteEnable", 31, 1 },
22870		{ "TcamEnable", 10, 1 },
22871		{ "BlockSelect", 8, 2 },
22872		{ "LineAddress", 1, 7 },
22873		{ "RequestDone", 0, 1 },
22874	{ "TP_PROTOCOL_DATA0", 0x7eec, 0 },
22875	{ "TP_PROTOCOL_DATA1", 0x7ef0, 0 },
22876	{ "TP_PROTOCOL_DATA2", 0x7ef4, 0 },
22877	{ "TP_PROTOCOL_DATA3", 0x7ef8, 0 },
22878	{ "TP_PROTOCOL_DATA4", 0x7efc, 0 },
22879	{ NULL }
22880};
22881
22882struct reg_info t5_ulp_tx_regs[] = {
22883	{ "ULP_TX_CONFIG", 0x8dc0, 0 },
22884		{ "PHYS_ADDR_RESP_EN", 6, 1 },
22885		{ "ENDIANESS_CHANGE", 5, 1 },
22886		{ "ERR_RTAG_EN", 4, 1 },
22887		{ "TSO_ETHLEN_EN", 3, 1 },
22888		{ "emsg_more_info", 2, 1 },
22889		{ "LOSDR", 1, 1 },
22890		{ "extra_tag_insertion_enable", 0, 1 },
22891	{ "ULP_TX_PERR_INJECT", 0x8dc4, 0 },
22892		{ "MemSel", 1, 5 },
22893		{ "InjectDataErr", 0, 1 },
22894	{ "ULP_TX_INT_ENABLE", 0x8dc8, 0 },
22895		{ "Pbl_bound_err_ch3", 31, 1 },
22896		{ "Pbl_bound_err_ch2", 30, 1 },
22897		{ "Pbl_bound_err_ch1", 29, 1 },
22898		{ "Pbl_bound_err_ch0", 28, 1 },
22899		{ "sge2ulp_fifo_perr_set3", 27, 1 },
22900		{ "sge2ulp_fifo_perr_set2", 26, 1 },
22901		{ "sge2ulp_fifo_perr_set1", 25, 1 },
22902		{ "sge2ulp_fifo_perr_set0", 24, 1 },
22903		{ "cim2ulp_fifo_perr_set3", 23, 1 },
22904		{ "cim2ulp_fifo_perr_set2", 22, 1 },
22905		{ "cim2ulp_fifo_perr_set1", 21, 1 },
22906		{ "cim2ulp_fifo_perr_set0", 20, 1 },
22907		{ "CQE_fifo_perr_set3", 19, 1 },
22908		{ "CQE_fifo_perr_set2", 18, 1 },
22909		{ "CQE_fifo_perr_set1", 17, 1 },
22910		{ "CQE_fifo_perr_set0", 16, 1 },
22911		{ "pbl_fifo_perr_set3", 15, 1 },
22912		{ "pbl_fifo_perr_set2", 14, 1 },
22913		{ "pbl_fifo_perr_set1", 13, 1 },
22914		{ "pbl_fifo_perr_set0", 12, 1 },
22915		{ "cmd_fifo_perr_set3", 11, 1 },
22916		{ "cmd_fifo_perr_set2", 10, 1 },
22917		{ "cmd_fifo_perr_set1", 9, 1 },
22918		{ "cmd_fifo_perr_set0", 8, 1 },
22919		{ "lso_hdr_sram_perr_set3", 7, 1 },
22920		{ "lso_hdr_sram_perr_set2", 6, 1 },
22921		{ "lso_hdr_sram_perr_set1", 5, 1 },
22922		{ "lso_hdr_sram_perr_set0", 4, 1 },
22923	{ "ULP_TX_INT_CAUSE", 0x8dcc, 0 },
22924		{ "Pbl_bound_err_ch3", 31, 1 },
22925		{ "Pbl_bound_err_ch2", 30, 1 },
22926		{ "Pbl_bound_err_ch1", 29, 1 },
22927		{ "Pbl_bound_err_ch0", 28, 1 },
22928		{ "sge2ulp_fifo_perr_set3", 27, 1 },
22929		{ "sge2ulp_fifo_perr_set2", 26, 1 },
22930		{ "sge2ulp_fifo_perr_set1", 25, 1 },
22931		{ "sge2ulp_fifo_perr_set0", 24, 1 },
22932		{ "cim2ulp_fifo_perr_set3", 23, 1 },
22933		{ "cim2ulp_fifo_perr_set2", 22, 1 },
22934		{ "cim2ulp_fifo_perr_set1", 21, 1 },
22935		{ "cim2ulp_fifo_perr_set0", 20, 1 },
22936		{ "CQE_fifo_perr_set3", 19, 1 },
22937		{ "CQE_fifo_perr_set2", 18, 1 },
22938		{ "CQE_fifo_perr_set1", 17, 1 },
22939		{ "CQE_fifo_perr_set0", 16, 1 },
22940		{ "pbl_fifo_perr_set3", 15, 1 },
22941		{ "pbl_fifo_perr_set2", 14, 1 },
22942		{ "pbl_fifo_perr_set1", 13, 1 },
22943		{ "pbl_fifo_perr_set0", 12, 1 },
22944		{ "cmd_fifo_perr_set3", 11, 1 },
22945		{ "cmd_fifo_perr_set2", 10, 1 },
22946		{ "cmd_fifo_perr_set1", 9, 1 },
22947		{ "cmd_fifo_perr_set0", 8, 1 },
22948		{ "lso_hdr_sram_perr_set3", 7, 1 },
22949		{ "lso_hdr_sram_perr_set2", 6, 1 },
22950		{ "lso_hdr_sram_perr_set1", 5, 1 },
22951		{ "lso_hdr_sram_perr_set0", 4, 1 },
22952	{ "ULP_TX_PERR_ENABLE", 0x8dd0, 0 },
22953		{ "sge2ulp_fifo_perr_set3", 27, 1 },
22954		{ "sge2ulp_fifo_perr_set2", 26, 1 },
22955		{ "sge2ulp_fifo_perr_set1", 25, 1 },
22956		{ "sge2ulp_fifo_perr_set0", 24, 1 },
22957		{ "cim2ulp_fifo_perr_set3", 23, 1 },
22958		{ "cim2ulp_fifo_perr_set2", 22, 1 },
22959		{ "cim2ulp_fifo_perr_set1", 21, 1 },
22960		{ "cim2ulp_fifo_perr_set0", 20, 1 },
22961		{ "CQE_fifo_perr_set3", 19, 1 },
22962		{ "CQE_fifo_perr_set2", 18, 1 },
22963		{ "CQE_fifo_perr_set1", 17, 1 },
22964		{ "CQE_fifo_perr_set0", 16, 1 },
22965		{ "pbl_fifo_perr_set3", 15, 1 },
22966		{ "pbl_fifo_perr_set2", 14, 1 },
22967		{ "pbl_fifo_perr_set1", 13, 1 },
22968		{ "pbl_fifo_perr_set0", 12, 1 },
22969		{ "cmd_fifo_perr_set3", 11, 1 },
22970		{ "cmd_fifo_perr_set2", 10, 1 },
22971		{ "cmd_fifo_perr_set1", 9, 1 },
22972		{ "cmd_fifo_perr_set0", 8, 1 },
22973		{ "lso_hdr_sram_perr_set3", 7, 1 },
22974		{ "lso_hdr_sram_perr_set2", 6, 1 },
22975		{ "lso_hdr_sram_perr_set1", 5, 1 },
22976		{ "lso_hdr_sram_perr_set0", 4, 1 },
22977	{ "ULP_TX_TPT_LLIMIT", 0x8dd4, 0 },
22978	{ "ULP_TX_TPT_ULIMIT", 0x8dd8, 0 },
22979	{ "ULP_TX_PBL_LLIMIT", 0x8ddc, 0 },
22980	{ "ULP_TX_PBL_ULIMIT", 0x8de0, 0 },
22981	{ "ULP_TX_CPL_PACK_SIZE1", 0x8df8, 0 },
22982		{ "Ch3Size1", 24, 8 },
22983		{ "Ch2Size1", 16, 8 },
22984		{ "Ch1Size1", 8, 8 },
22985		{ "Ch0Size1", 0, 8 },
22986	{ "ULP_TX_CPL_PACK_SIZE2", 0x8dfc, 0 },
22987		{ "Ch3Size2", 24, 8 },
22988		{ "Ch2Size2", 16, 8 },
22989		{ "Ch1Size2", 8, 8 },
22990		{ "Ch0Size2", 0, 8 },
22991	{ "ULP_TX_ERR_MSG2CIM", 0x8e00, 0 },
22992	{ "ULP_TX_ERR_TABLE_BASE", 0x8e04, 0 },
22993	{ "ULP_TX_ERR_CNT_CH0", 0x8e10, 0 },
22994	{ "ULP_TX_ERR_CNT_CH1", 0x8e14, 0 },
22995	{ "ULP_TX_ERR_CNT_CH2", 0x8e18, 0 },
22996	{ "ULP_TX_ERR_CNT_CH3", 0x8e1c, 0 },
22997	{ "ULP_TX_FC_SOF", 0x8e20, 0 },
22998		{ "SOF_FS3", 24, 8 },
22999		{ "SOF_FS2", 16, 8 },
23000		{ "SOF_3", 8, 8 },
23001		{ "SOF_2", 0, 8 },
23002	{ "ULP_TX_FC_EOF", 0x8e24, 0 },
23003		{ "EOF_LS3", 24, 8 },
23004		{ "EOF_LS2", 16, 8 },
23005		{ "EOF_3", 8, 8 },
23006		{ "EOF_2", 0, 8 },
23007	{ "ULP_TX_CGEN_GLOBAL", 0x8e28, 0 },
23008	{ "ULP_TX_CGEN", 0x8e2c, 0 },
23009		{ "ULP_TX_CGEN_Storage", 8, 4 },
23010		{ "ULP_TX_CGEN_RDMA", 4, 4 },
23011		{ "ULP_TX_CGEN_Channel", 0, 4 },
23012	{ "ULP_TX_MEM_CFG", 0x8e30, 0 },
23013	{ "ULP_TX_PERR_INJECT_2", 0x8e34, 0 },
23014		{ "MemSel", 1, 3 },
23015		{ "InjectDataErr", 0, 1 },
23016	{ "ULP_TX_INT_ENABLE_2", 0x8e7c, 0 },
23017		{ "smarbt2ulp_data_perr_set", 12, 1 },
23018		{ "ulp2tp_data_perr_set", 11, 1 },
23019		{ "ma2ulp_data_perr_set", 10, 1 },
23020		{ "sge2ulp_data_perr_set", 9, 1 },
23021		{ "cim2ulp_data_perr_set", 8, 1 },
23022		{ "fso_hdr_sram_perr_set3", 7, 1 },
23023		{ "fso_hdr_sram_perr_set2", 6, 1 },
23024		{ "fso_hdr_sram_perr_set1", 5, 1 },
23025		{ "fso_hdr_sram_perr_set0", 4, 1 },
23026		{ "t10_pi_sram_perr_set3", 3, 1 },
23027		{ "t10_pi_sram_perr_set2", 2, 1 },
23028		{ "t10_pi_sram_perr_set1", 1, 1 },
23029		{ "t10_pi_sram_perr_set0", 0, 1 },
23030	{ "ULP_TX_INT_CAUSE_2", 0x8e80, 0 },
23031		{ "smarbt2ulp_data_perr_set", 12, 1 },
23032		{ "ulp2tp_data_perr_set", 11, 1 },
23033		{ "ma2ulp_data_perr_set", 10, 1 },
23034		{ "sge2ulp_data_perr_set", 9, 1 },
23035		{ "cim2ulp_data_perr_set", 8, 1 },
23036		{ "fso_hdr_sram_perr_set3", 7, 1 },
23037		{ "fso_hdr_sram_perr_set2", 6, 1 },
23038		{ "fso_hdr_sram_perr_set1", 5, 1 },
23039		{ "fso_hdr_sram_perr_set0", 4, 1 },
23040		{ "t10_pi_sram_perr_set3", 3, 1 },
23041		{ "t10_pi_sram_perr_set2", 2, 1 },
23042		{ "t10_pi_sram_perr_set1", 1, 1 },
23043		{ "t10_pi_sram_perr_set0", 0, 1 },
23044	{ "ULP_TX_PERR_ENABLE_2", 0x8e84, 0 },
23045		{ "smarbt2ulp_data_perr_set", 12, 1 },
23046		{ "ulp2tp_data_perr_set", 11, 1 },
23047		{ "ma2ulp_data_perr_set", 10, 1 },
23048		{ "sge2ulp_data_perr_set", 9, 1 },
23049		{ "cim2ulp_data_perr_set", 8, 1 },
23050		{ "fso_hdr_sram_perr_set3", 7, 1 },
23051		{ "fso_hdr_sram_perr_set2", 6, 1 },
23052		{ "fso_hdr_sram_perr_set1", 5, 1 },
23053		{ "fso_hdr_sram_perr_set0", 4, 1 },
23054		{ "t10_pi_sram_perr_set3", 3, 1 },
23055		{ "t10_pi_sram_perr_set2", 2, 1 },
23056		{ "t10_pi_sram_perr_set1", 1, 1 },
23057		{ "t10_pi_sram_perr_set0", 0, 1 },
23058	{ "ULP_TX_SE_CNT_ERR", 0x8ea0, 0 },
23059		{ "ERR_CH3", 12, 4 },
23060		{ "ERR_CH2", 8, 4 },
23061		{ "ERR_CH1", 4, 4 },
23062		{ "ERR_CH0", 0, 4 },
23063	{ "ULP_TX_SE_CNT_CLR", 0x8ea4, 0 },
23064		{ "CLR_DROP", 16, 4 },
23065		{ "CLR_CH3", 12, 4 },
23066		{ "CLR_CH2", 8, 4 },
23067		{ "CLR_CH1", 4, 4 },
23068		{ "CLR_CH0", 0, 4 },
23069	{ "ULP_TX_SE_CNT_CH0", 0x8ea8, 0 },
23070		{ "SOP_CNT_ULP2TP", 28, 4 },
23071		{ "EOP_CNT_ULP2TP", 24, 4 },
23072		{ "SOP_CNT_LSO_IN", 20, 4 },
23073		{ "EOP_CNT_LSO_IN", 16, 4 },
23074		{ "SOP_CNT_ALG_IN", 12, 4 },
23075		{ "EOP_CNT_ALG_IN", 8, 4 },
23076		{ "SOP_CNT_CIM2ULP", 4, 4 },
23077		{ "EOP_CNT_CIM2ULP", 0, 4 },
23078	{ "ULP_TX_SE_CNT_CH1", 0x8eac, 0 },
23079		{ "SOP_CNT_ULP2TP", 28, 4 },
23080		{ "EOP_CNT_ULP2TP", 24, 4 },
23081		{ "SOP_CNT_LSO_IN", 20, 4 },
23082		{ "EOP_CNT_LSO_IN", 16, 4 },
23083		{ "SOP_CNT_ALG_IN", 12, 4 },
23084		{ "EOP_CNT_ALG_IN", 8, 4 },
23085		{ "SOP_CNT_CIM2ULP", 4, 4 },
23086		{ "EOP_CNT_CIM2ULP", 0, 4 },
23087	{ "ULP_TX_SE_CNT_CH2", 0x8eb0, 0 },
23088		{ "SOP_CNT_ULP2TP", 28, 4 },
23089		{ "EOP_CNT_ULP2TP", 24, 4 },
23090		{ "SOP_CNT_LSO_IN", 20, 4 },
23091		{ "EOP_CNT_LSO_IN", 16, 4 },
23092		{ "SOP_CNT_ALG_IN", 12, 4 },
23093		{ "EOP_CNT_ALG_IN", 8, 4 },
23094		{ "SOP_CNT_CIM2ULP", 4, 4 },
23095		{ "EOP_CNT_CIM2ULP", 0, 4 },
23096	{ "ULP_TX_SE_CNT_CH3", 0x8eb4, 0 },
23097		{ "SOP_CNT_ULP2TP", 28, 4 },
23098		{ "EOP_CNT_ULP2TP", 24, 4 },
23099		{ "SOP_CNT_LSO_IN", 20, 4 },
23100		{ "EOP_CNT_LSO_IN", 16, 4 },
23101		{ "SOP_CNT_ALG_IN", 12, 4 },
23102		{ "EOP_CNT_ALG_IN", 8, 4 },
23103		{ "SOP_CNT_CIM2ULP", 4, 4 },
23104		{ "EOP_CNT_CIM2ULP", 0, 4 },
23105	{ "ULP_TX_DROP_CNT", 0x8eb8, 0 },
23106		{ "DROP_CH3", 12, 4 },
23107		{ "DROP_CH2", 8, 4 },
23108		{ "DROP_CH1", 4, 4 },
23109		{ "DROP_CH0", 0, 4 },
23110	{ "ULP_TX_FPGA_CMD_CTRL", 0x8e38, 0 },
23111		{ "channel_sel", 12, 2 },
23112		{ "intf_sel", 4, 4 },
23113		{ "num_flits", 1, 3 },
23114		{ "cmd_gen_en", 0, 1 },
23115	{ "ULP_TX_FPGA_CMD_0", 0x8e3c, 0 },
23116	{ "ULP_TX_FPGA_CMD_1", 0x8e40, 0 },
23117	{ "ULP_TX_FPGA_CMD_2", 0x8e44, 0 },
23118	{ "ULP_TX_FPGA_CMD_3", 0x8e48, 0 },
23119	{ "ULP_TX_FPGA_CMD_4", 0x8e4c, 0 },
23120	{ "ULP_TX_FPGA_CMD_5", 0x8e50, 0 },
23121	{ "ULP_TX_FPGA_CMD_6", 0x8e54, 0 },
23122	{ "ULP_TX_FPGA_CMD_7", 0x8e58, 0 },
23123	{ "ULP_TX_FPGA_CMD_8", 0x8e5c, 0 },
23124	{ "ULP_TX_FPGA_CMD_9", 0x8e60, 0 },
23125	{ "ULP_TX_FPGA_CMD_10", 0x8e64, 0 },
23126	{ "ULP_TX_FPGA_CMD_11", 0x8e68, 0 },
23127	{ "ULP_TX_FPGA_CMD_12", 0x8e6c, 0 },
23128	{ "ULP_TX_FPGA_CMD_13", 0x8e70, 0 },
23129	{ "ULP_TX_FPGA_CMD_14", 0x8e74, 0 },
23130	{ "ULP_TX_FPGA_CMD_15", 0x8e78, 0 },
23131	{ "ULP_TX_ASIC_DEBUG_CTRL", 0x8f70, 0 },
23132	{ "ULP_TX_ASIC_DEBUG_0", 0x8f74, 0 },
23133	{ "ULP_TX_ASIC_DEBUG_1", 0x8f78, 0 },
23134	{ "ULP_TX_ASIC_DEBUG_2", 0x8f7c, 0 },
23135	{ "ULP_TX_ASIC_DEBUG_3", 0x8f80, 0 },
23136	{ "ULP_TX_ASIC_DEBUG_4", 0x8f84, 0 },
23137	{ "ULP_TX_CSU_REVISION", 0x8ebc, 0 },
23138	{ "ULP_TX_LA_RDPTR_0", 0x8ec0, 0 },
23139	{ "ULP_TX_LA_RDDATA_0", 0x8ec4, 0 },
23140	{ "ULP_TX_LA_WRPTR_0", 0x8ec8, 0 },
23141	{ "ULP_TX_LA_RESERVED_0", 0x8ecc, 0 },
23142	{ "ULP_TX_LA_RDPTR_1", 0x8ed0, 0 },
23143	{ "ULP_TX_LA_RDDATA_1", 0x8ed4, 0 },
23144	{ "ULP_TX_LA_WRPTR_1", 0x8ed8, 0 },
23145	{ "ULP_TX_LA_RESERVED_1", 0x8edc, 0 },
23146	{ "ULP_TX_LA_RDPTR_2", 0x8ee0, 0 },
23147	{ "ULP_TX_LA_RDDATA_2", 0x8ee4, 0 },
23148	{ "ULP_TX_LA_WRPTR_2", 0x8ee8, 0 },
23149	{ "ULP_TX_LA_RESERVED_2", 0x8eec, 0 },
23150	{ "ULP_TX_LA_RDPTR_3", 0x8ef0, 0 },
23151	{ "ULP_TX_LA_RDDATA_3", 0x8ef4, 0 },
23152	{ "ULP_TX_LA_WRPTR_3", 0x8ef8, 0 },
23153	{ "ULP_TX_LA_RESERVED_3", 0x8efc, 0 },
23154	{ "ULP_TX_LA_RDPTR_4", 0x8f00, 0 },
23155	{ "ULP_TX_LA_RDDATA_4", 0x8f04, 0 },
23156	{ "ULP_TX_LA_WRPTR_4", 0x8f08, 0 },
23157	{ "ULP_TX_LA_RESERVED_4", 0x8f0c, 0 },
23158	{ "ULP_TX_LA_RDPTR_5", 0x8f10, 0 },
23159	{ "ULP_TX_LA_RDDATA_5", 0x8f14, 0 },
23160	{ "ULP_TX_LA_WRPTR_5", 0x8f18, 0 },
23161	{ "ULP_TX_LA_RESERVED_5", 0x8f1c, 0 },
23162	{ "ULP_TX_LA_RDPTR_6", 0x8f20, 0 },
23163	{ "ULP_TX_LA_RDDATA_6", 0x8f24, 0 },
23164	{ "ULP_TX_LA_WRPTR_6", 0x8f28, 0 },
23165	{ "ULP_TX_LA_RESERVED_6", 0x8f2c, 0 },
23166	{ "ULP_TX_LA_RDPTR_7", 0x8f30, 0 },
23167	{ "ULP_TX_LA_RDDATA_7", 0x8f34, 0 },
23168	{ "ULP_TX_LA_WRPTR_7", 0x8f38, 0 },
23169	{ "ULP_TX_LA_RESERVED_7", 0x8f3c, 0 },
23170	{ "ULP_TX_LA_RDPTR_8", 0x8f40, 0 },
23171	{ "ULP_TX_LA_RDDATA_8", 0x8f44, 0 },
23172	{ "ULP_TX_LA_WRPTR_8", 0x8f48, 0 },
23173	{ "ULP_TX_LA_RESERVED_8", 0x8f4c, 0 },
23174	{ "ULP_TX_LA_RDPTR_9", 0x8f50, 0 },
23175	{ "ULP_TX_LA_RDDATA_9", 0x8f54, 0 },
23176	{ "ULP_TX_LA_WRPTR_9", 0x8f58, 0 },
23177	{ "ULP_TX_LA_RESERVED_9", 0x8f5c, 0 },
23178	{ "ULP_TX_LA_RDPTR_10", 0x8f60, 0 },
23179	{ "ULP_TX_LA_RDDATA_10", 0x8f64, 0 },
23180	{ "ULP_TX_LA_WRPTR_10", 0x8f68, 0 },
23181	{ "ULP_TX_LA_RESERVED_10", 0x8f6c, 0 },
23182	{ NULL }
23183};
23184
23185struct reg_info t5_pm_rx_regs[] = {
23186	{ "PM_RX_CFG", 0x8fc0, 0 },
23187		{ "ch1_output", 27, 5 },
23188		{ "strobe1", 16, 1 },
23189		{ "ch1_input", 11, 5 },
23190		{ "ch2_input", 6, 5 },
23191		{ "ch3_input", 1, 5 },
23192		{ "strobe0", 0, 1 },
23193	{ "PM_RX_MODE", 0x8fc4, 0 },
23194		{ "use_bundle_len", 4, 1 },
23195		{ "stat_to_ch", 3, 1 },
23196		{ "stat_from_ch", 1, 2 },
23197		{ "prefetch_enable", 0, 1 },
23198	{ "PM_RX_STAT_CONFIG", 0x8fc8, 0 },
23199	{ "PM_RX_STAT_COUNT", 0x8fcc, 0 },
23200	{ "PM_RX_DBG_CTRL", 0x8fd0, 0 },
23201		{ "OspiWrBusy", 21, 2 },
23202		{ "IspiWrBusy", 17, 4 },
23203		{ "PMDbgAddr", 0, 17 },
23204	{ "PM_RX_DBG_DATA", 0x8fd4, 0 },
23205	{ "PM_RX_INT_ENABLE", 0x8fd8, 0 },
23206		{ "ospi_overflow1", 28, 1 },
23207		{ "ospi_overflow0", 27, 1 },
23208		{ "ma_intf_sdc_err", 26, 1 },
23209		{ "bundle_len_ParErr", 25, 1 },
23210		{ "bundle_len_ovfl", 24, 1 },
23211		{ "sdc_err", 23, 1 },
23212		{ "zero_e_cmd_error", 22, 1 },
23213		{ "iespi0_fifo2x_Rx_framing_error", 21, 1 },
23214		{ "iespi1_fifo2x_Rx_framing_error", 20, 1 },
23215		{ "iespi2_fifo2x_Rx_framing_error", 19, 1 },
23216		{ "iespi3_fifo2x_Rx_framing_error", 18, 1 },
23217		{ "iespi0_Rx_framing_error", 17, 1 },
23218		{ "iespi1_Rx_framing_error", 16, 1 },
23219		{ "iespi2_Rx_framing_error", 15, 1 },
23220		{ "iespi3_Rx_framing_error", 14, 1 },
23221		{ "iespi0_Tx_framing_error", 13, 1 },
23222		{ "iespi1_Tx_framing_error", 12, 1 },
23223		{ "iespi2_Tx_framing_error", 11, 1 },
23224		{ "iespi3_Tx_framing_error", 10, 1 },
23225		{ "ocspi0_Rx_framing_error", 9, 1 },
23226		{ "ocspi1_Rx_framing_error", 8, 1 },
23227		{ "ocspi0_Tx_framing_error", 7, 1 },
23228		{ "ocspi1_Tx_framing_error", 6, 1 },
23229		{ "ocspi0_ofifo2x_Tx_framing_error", 5, 1 },
23230		{ "ocspi1_ofifo2x_Tx_framing_error", 4, 1 },
23231		{ "ocspi_par_error", 3, 1 },
23232		{ "db_options_par_error", 2, 1 },
23233		{ "iespi_par_error", 1, 1 },
23234		{ "e_pcmd_par_error", 0, 1 },
23235	{ "PM_RX_INT_CAUSE", 0x8fdc, 0 },
23236		{ "ospi_overflow1", 28, 1 },
23237		{ "ospi_overflow0", 27, 1 },
23238		{ "ma_intf_sdc_err", 26, 1 },
23239		{ "bundle_len_ParErr", 25, 1 },
23240		{ "bundle_len_ovfl", 24, 1 },
23241		{ "sdc_err", 23, 1 },
23242		{ "zero_e_cmd_error", 22, 1 },
23243		{ "iespi0_fifo2x_Rx_framing_error", 21, 1 },
23244		{ "iespi1_fifo2x_Rx_framing_error", 20, 1 },
23245		{ "iespi2_fifo2x_Rx_framing_error", 19, 1 },
23246		{ "iespi3_fifo2x_Rx_framing_error", 18, 1 },
23247		{ "iespi0_Rx_framing_error", 17, 1 },
23248		{ "iespi1_Rx_framing_error", 16, 1 },
23249		{ "iespi2_Rx_framing_error", 15, 1 },
23250		{ "iespi3_Rx_framing_error", 14, 1 },
23251		{ "iespi0_Tx_framing_error", 13, 1 },
23252		{ "iespi1_Tx_framing_error", 12, 1 },
23253		{ "iespi2_Tx_framing_error", 11, 1 },
23254		{ "iespi3_Tx_framing_error", 10, 1 },
23255		{ "ocspi0_Rx_framing_error", 9, 1 },
23256		{ "ocspi1_Rx_framing_error", 8, 1 },
23257		{ "ocspi0_Tx_framing_error", 7, 1 },
23258		{ "ocspi1_Tx_framing_error", 6, 1 },
23259		{ "ocspi0_ofifo2x_Tx_framing_error", 5, 1 },
23260		{ "ocspi1_ofifo2x_Tx_framing_error", 4, 1 },
23261		{ "ocspi_par_error", 3, 1 },
23262		{ "db_options_par_error", 2, 1 },
23263		{ "iespi_par_error", 1, 1 },
23264		{ "e_pcmd_par_error", 0, 1 },
23265	{ NULL }
23266};
23267
23268struct reg_info t5_pm_tx_regs[] = {
23269	{ "PM_TX_CFG", 0x8fe0, 0 },
23270		{ "ch1_output", 27, 5 },
23271		{ "ch2_output", 22, 5 },
23272		{ "ch3_output", 17, 5 },
23273		{ "strobe1", 16, 1 },
23274		{ "ch1_input", 11, 5 },
23275		{ "ch2_input", 6, 5 },
23276		{ "ch3_input", 1, 5 },
23277		{ "strobe0", 0, 1 },
23278	{ "PM_TX_MODE", 0x8fe4, 0 },
23279		{ "cong_thresh3", 25, 7 },
23280		{ "cong_thresh2", 18, 7 },
23281		{ "cong_thresh1", 11, 7 },
23282		{ "cong_thresh0", 4, 7 },
23283		{ "use_bundle_len", 3, 1 },
23284		{ "stat_channel", 1, 2 },
23285		{ "prefetch_enable", 0, 1 },
23286	{ "PM_TX_STAT_CONFIG", 0x8fe8, 0 },
23287	{ "PM_TX_STAT_COUNT", 0x8fec, 0 },
23288	{ "PM_TX_DBG_CTRL", 0x8ff0, 0 },
23289		{ "OspiWrBusy", 21, 4 },
23290		{ "IspiWrBusy", 17, 4 },
23291		{ "PMDbgAddr", 0, 17 },
23292	{ "PM_TX_DBG_DATA", 0x8ff4, 0 },
23293	{ "PM_TX_INT_ENABLE", 0x8ff8, 0 },
23294		{ "pcmd_len_ovfl0", 31, 1 },
23295		{ "pcmd_len_ovfl1", 30, 1 },
23296		{ "pcmd_len_ovfl2", 29, 1 },
23297		{ "zero_c_cmd_error", 28, 1 },
23298		{ "icspi0_fifo2x_Rx_framing_error", 27, 1 },
23299		{ "icspi1_fifo2x_Rx_framing_error", 26, 1 },
23300		{ "icspi2_fifo2x_Rx_framing_error", 25, 1 },
23301		{ "icspi3_fifo2x_Rx_framing_error", 24, 1 },
23302		{ "icspi0_Rx_framing_error", 23, 1 },
23303		{ "icspi1_Rx_framing_error", 22, 1 },
23304		{ "icspi2_Rx_framing_error", 21, 1 },
23305		{ "icspi3_Rx_framing_error", 20, 1 },
23306		{ "icspi0_Tx_framing_error", 19, 1 },
23307		{ "icspi1_Tx_framing_error", 18, 1 },
23308		{ "icspi2_Tx_framing_error", 17, 1 },
23309		{ "icspi3_Tx_framing_error", 16, 1 },
23310		{ "oespi0_Rx_framing_error", 15, 1 },
23311		{ "oespi1_Rx_framing_error", 14, 1 },
23312		{ "oespi2_Rx_framing_error", 13, 1 },
23313		{ "oespi3_Rx_framing_error", 12, 1 },
23314		{ "oespi0_Tx_framing_error", 11, 1 },
23315		{ "oespi1_Tx_framing_error", 10, 1 },
23316		{ "oespi2_Tx_framing_error", 9, 1 },
23317		{ "oespi3_Tx_framing_error", 8, 1 },
23318		{ "oespi0_ofifo2x_Tx_framing_error", 7, 1 },
23319		{ "oespi1_ofifo2x_Tx_framing_error", 6, 1 },
23320		{ "oespi2_ofifo2x_Tx_framing_error", 5, 1 },
23321		{ "oespi3_ofifo2x_Tx_framing_error", 4, 1 },
23322		{ "oespi_par_error", 3, 1 },
23323		{ "db_options_par_error", 2, 1 },
23324		{ "icspi_par_error", 1, 1 },
23325		{ "c_pcmd_par_error", 0, 1 },
23326	{ "PM_TX_INT_CAUSE", 0x8ffc, 0 },
23327		{ "pcmd_len_ovfl0", 31, 1 },
23328		{ "pcmd_len_ovfl1", 30, 1 },
23329		{ "pcmd_len_ovfl2", 29, 1 },
23330		{ "zero_c_cmd_error", 28, 1 },
23331		{ "icspi0_fifo2x_Rx_framing_error", 27, 1 },
23332		{ "icspi1_fifo2x_Rx_framing_error", 26, 1 },
23333		{ "icspi2_fifo2x_Rx_framing_error", 25, 1 },
23334		{ "icspi3_fifo2x_Rx_framing_error", 24, 1 },
23335		{ "icspi0_Rx_framing_error", 23, 1 },
23336		{ "icspi1_Rx_framing_error", 22, 1 },
23337		{ "icspi2_Rx_framing_error", 21, 1 },
23338		{ "icspi3_Rx_framing_error", 20, 1 },
23339		{ "icspi0_Tx_framing_error", 19, 1 },
23340		{ "icspi1_Tx_framing_error", 18, 1 },
23341		{ "icspi2_Tx_framing_error", 17, 1 },
23342		{ "icspi3_Tx_framing_error", 16, 1 },
23343		{ "oespi0_Rx_framing_error", 15, 1 },
23344		{ "oespi1_Rx_framing_error", 14, 1 },
23345		{ "oespi2_Rx_framing_error", 13, 1 },
23346		{ "oespi3_Rx_framing_error", 12, 1 },
23347		{ "oespi0_Tx_framing_error", 11, 1 },
23348		{ "oespi1_Tx_framing_error", 10, 1 },
23349		{ "oespi2_Tx_framing_error", 9, 1 },
23350		{ "oespi3_Tx_framing_error", 8, 1 },
23351		{ "oespi0_ofifo2x_Tx_framing_error", 7, 1 },
23352		{ "oespi1_ofifo2x_Tx_framing_error", 6, 1 },
23353		{ "oespi2_ofifo2x_Tx_framing_error", 5, 1 },
23354		{ "oespi3_ofifo2x_Tx_framing_error", 4, 1 },
23355		{ "ospi_or_bundle_len_par_err", 3, 1 },
23356		{ "db_options_par_error", 2, 1 },
23357		{ "icspi_par_error", 1, 1 },
23358		{ "c_pcmd_par_error", 0, 1 },
23359	{ NULL }
23360};
23361
23362struct reg_info t5_mps_regs[] = {
23363	{ "MPS_CMN_CTL", 0x9000, 0 },
23364		{ "LpbkCrdtCtrl", 4, 1 },
23365		{ "Detect8023", 3, 1 },
23366		{ "VFDirectAccess", 2, 1 },
23367		{ "NumPorts", 0, 2 },
23368	{ "MPS_INT_ENABLE", 0x9004, 0 },
23369		{ "StatIntEnb", 5, 1 },
23370		{ "TxIntEnb", 4, 1 },
23371		{ "RxIntEnb", 3, 1 },
23372		{ "TrcIntEnb", 2, 1 },
23373		{ "ClsIntEnb", 1, 1 },
23374		{ "PLIntEnb", 0, 1 },
23375	{ "MPS_INT_CAUSE", 0x9008, 0 },
23376		{ "StatInt", 5, 1 },
23377		{ "TxInt", 4, 1 },
23378		{ "RxInt", 3, 1 },
23379		{ "TrcInt", 2, 1 },
23380		{ "ClsInt", 1, 1 },
23381		{ "PLInt", 0, 1 },
23382	{ "MPS_CGEN_GLOBAL", 0x900c, 0 },
23383	{ "MPS_VF_TX_CTL_31_0", 0x9010, 0 },
23384	{ "MPS_VF_TX_CTL_63_32", 0x9014, 0 },
23385	{ "MPS_VF_TX_CTL_95_64", 0x9018, 0 },
23386	{ "MPS_VF_TX_CTL_127_96", 0x901c, 0 },
23387	{ "MPS_VF_RX_CTL_31_0", 0x9020, 0 },
23388	{ "MPS_VF_RX_CTL_63_32", 0x9024, 0 },
23389	{ "MPS_VF_RX_CTL_95_64", 0x9028, 0 },
23390	{ "MPS_VF_RX_CTL_127_96", 0x902c, 0 },
23391	{ "MPS_TX_PAUSE_DURATION_BUF_GRP0", 0x9030, 0 },
23392	{ "MPS_TX_PAUSE_DURATION_BUF_GRP1", 0x9034, 0 },
23393	{ "MPS_TX_PAUSE_DURATION_BUF_GRP2", 0x9038, 0 },
23394	{ "MPS_TX_PAUSE_DURATION_BUF_GRP3", 0x903c, 0 },
23395	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP0", 0x9040, 0 },
23396	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP1", 0x9044, 0 },
23397	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP2", 0x9048, 0 },
23398	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP3", 0x904c, 0 },
23399	{ "MPS_TP_CSIDE_MUX_CTL_P0", 0x9050, 0 },
23400	{ "MPS_TP_CSIDE_MUX_CTL_P1", 0x9054, 0 },
23401	{ "MPS_WOL_CTL_MODE", 0x9058, 0 },
23402	{ "MPS_FPGA_DEBUG", 0x9060, 0 },
23403		{ "FPGA_PTP_PORT", 9, 2 },
23404		{ "LPBK_EN", 8, 1 },
23405		{ "CH_MAP3", 6, 2 },
23406		{ "CH_MAP2", 4, 2 },
23407		{ "CH_MAP1", 2, 2 },
23408		{ "CH_MAP0", 0, 2 },
23409	{ "MPS_DEBUG_CTL", 0x9068, 0 },
23410		{ "DbgModeCtl_H", 11, 1 },
23411		{ "DbgSel_H", 6, 5 },
23412		{ "DbgModeCtl_L", 5, 1 },
23413		{ "DbgSel_L", 0, 5 },
23414	{ "MPS_DEBUG_DATA_REG_L", 0x906c, 0 },
23415	{ "MPS_DEBUG_DATA_REG_H", 0x9070, 0 },
23416	{ "MPS_TOP_SPARE", 0x9074, 0 },
23417		{ "TopSpare", 8, 24 },
23418		{ "oVlanSelLpbk3", 7, 1 },
23419		{ "oVlanSelLpbk2", 6, 1 },
23420		{ "oVlanSelLpbk1", 5, 1 },
23421		{ "oVlanSelLpbk0", 4, 1 },
23422		{ "oVlanSelMac3", 3, 1 },
23423		{ "oVlanSelMac2", 2, 1 },
23424		{ "oVlanSelMac1", 1, 1 },
23425		{ "oVlanSelMac0", 0, 1 },
23426	{ "MPS_BUILD_REVISION", 0x9078, 0 },
23427	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH0", 0x907c, 0 },
23428	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH1", 0x9080, 0 },
23429	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH2", 0x9084, 0 },
23430	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH3", 0x9088, 0 },
23431	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH4", 0x908c, 0 },
23432	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH5", 0x9090, 0 },
23433	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH6", 0x9094, 0 },
23434	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH7", 0x9098, 0 },
23435	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH8", 0x909c, 0 },
23436	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH9", 0x90a0, 0 },
23437	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH10", 0x90a4, 0 },
23438	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH11", 0x90a8, 0 },
23439	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH12", 0x90ac, 0 },
23440	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH13", 0x90b0, 0 },
23441	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH14", 0x90b4, 0 },
23442	{ "MPS_TX_PAUSE_DURATION_BUF_GRP_TH15", 0x90b8, 0 },
23443	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH0", 0x90bc, 0 },
23444	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH1", 0x90c0, 0 },
23445	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH2", 0x90c4, 0 },
23446	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH3", 0x90c8, 0 },
23447	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH4", 0x90cc, 0 },
23448	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH5", 0x90d0, 0 },
23449	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH6", 0x90d4, 0 },
23450	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH7", 0x90d8, 0 },
23451	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH8", 0x90dc, 0 },
23452	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH9", 0x90e0, 0 },
23453	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH10", 0x90e4, 0 },
23454	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH11", 0x90e8, 0 },
23455	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH12", 0x90ec, 0 },
23456	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH13", 0x90f0, 0 },
23457	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH14", 0x90f4, 0 },
23458	{ "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH15", 0x90f8, 0 },
23459	{ "MPS_PORT_CTL", 0x30000, 0 },
23460		{ "LpbkEn", 31, 1 },
23461		{ "TxEn", 30, 1 },
23462		{ "RxEn", 29, 1 },
23463		{ "PPPEn", 28, 1 },
23464		{ "FCSStripEn", 27, 1 },
23465		{ "PPPAndPause", 26, 1 },
23466		{ "PrioPPPEnMap", 16, 8 },
23467	{ "MPS_PORT_PAUSE_CTL", 0x30004, 0 },
23468	{ "MPS_PORT_TX_PAUSE_CTL", 0x30008, 0 },
23469		{ "RegSendOff", 24, 8 },
23470		{ "RegSendOn", 16, 8 },
23471		{ "SgeSendEn", 8, 8 },
23472		{ "RxSendEn", 0, 8 },
23473	{ "MPS_PORT_TX_PAUSE_CTL2", 0x3000c, 0 },
23474	{ "MPS_PORT_RX_PAUSE_CTL", 0x30010, 0 },
23475		{ "RegHaltOn", 8, 8 },
23476		{ "RxHaltEn", 0, 8 },
23477	{ "MPS_PORT_TX_PAUSE_STATUS", 0x30014, 0 },
23478		{ "RegSending", 16, 8 },
23479		{ "SgeSending", 8, 8 },
23480		{ "RxSending", 0, 8 },
23481	{ "MPS_PORT_RX_PAUSE_STATUS", 0x30018, 0 },
23482		{ "RegHalted", 8, 8 },
23483		{ "RxHalted", 0, 8 },
23484	{ "MPS_PORT_TX_PAUSE_DEST_L", 0x3001c, 0 },
23485	{ "MPS_PORT_TX_PAUSE_DEST_H", 0x30020, 0 },
23486	{ "MPS_PORT_TX_PAUSE_SOURCE_L", 0x30024, 0 },
23487	{ "MPS_PORT_TX_PAUSE_SOURCE_H", 0x30028, 0 },
23488	{ "MPS_PORT_PRTY_BUFFER_GROUP_MAP", 0x3002c, 0 },
23489		{ "Prty7", 14, 2 },
23490		{ "Prty6", 12, 2 },
23491		{ "Prty5", 10, 2 },
23492		{ "Prty4", 8, 2 },
23493		{ "Prty3", 6, 2 },
23494		{ "Prty2", 4, 2 },
23495		{ "Prty1", 2, 2 },
23496		{ "Prty0", 0, 2 },
23497	{ "MPS_PORT_PRTY_BUFFER_GROUP_TH_MAP", 0x30030, 0 },
23498		{ "Prty7", 28, 4 },
23499		{ "Prty6", 24, 4 },
23500		{ "Prty5", 20, 4 },
23501		{ "Prty4", 16, 4 },
23502		{ "Prty3", 12, 4 },
23503		{ "Prty2", 8, 4 },
23504		{ "Prty1", 4, 4 },
23505		{ "Prty0", 0, 4 },
23506	{ "MPS_PORT_CTL", 0x34000, 0 },
23507		{ "LpbkEn", 31, 1 },
23508		{ "TxEn", 30, 1 },
23509		{ "RxEn", 29, 1 },
23510		{ "PPPEn", 28, 1 },
23511		{ "FCSStripEn", 27, 1 },
23512		{ "PPPAndPause", 26, 1 },
23513		{ "PrioPPPEnMap", 16, 8 },
23514	{ "MPS_PORT_PAUSE_CTL", 0x34004, 0 },
23515	{ "MPS_PORT_TX_PAUSE_CTL", 0x34008, 0 },
23516		{ "RegSendOff", 24, 8 },
23517		{ "RegSendOn", 16, 8 },
23518		{ "SgeSendEn", 8, 8 },
23519		{ "RxSendEn", 0, 8 },
23520	{ "MPS_PORT_TX_PAUSE_CTL2", 0x3400c, 0 },
23521	{ "MPS_PORT_RX_PAUSE_CTL", 0x34010, 0 },
23522		{ "RegHaltOn", 8, 8 },
23523		{ "RxHaltEn", 0, 8 },
23524	{ "MPS_PORT_TX_PAUSE_STATUS", 0x34014, 0 },
23525		{ "RegSending", 16, 8 },
23526		{ "SgeSending", 8, 8 },
23527		{ "RxSending", 0, 8 },
23528	{ "MPS_PORT_RX_PAUSE_STATUS", 0x34018, 0 },
23529		{ "RegHalted", 8, 8 },
23530		{ "RxHalted", 0, 8 },
23531	{ "MPS_PORT_TX_PAUSE_DEST_L", 0x3401c, 0 },
23532	{ "MPS_PORT_TX_PAUSE_DEST_H", 0x34020, 0 },
23533	{ "MPS_PORT_TX_PAUSE_SOURCE_L", 0x34024, 0 },
23534	{ "MPS_PORT_TX_PAUSE_SOURCE_H", 0x34028, 0 },
23535	{ "MPS_PORT_PRTY_BUFFER_GROUP_MAP", 0x3402c, 0 },
23536		{ "Prty7", 14, 2 },
23537		{ "Prty6", 12, 2 },
23538		{ "Prty5", 10, 2 },
23539		{ "Prty4", 8, 2 },
23540		{ "Prty3", 6, 2 },
23541		{ "Prty2", 4, 2 },
23542		{ "Prty1", 2, 2 },
23543		{ "Prty0", 0, 2 },
23544	{ "MPS_PORT_PRTY_BUFFER_GROUP_TH_MAP", 0x34030, 0 },
23545		{ "Prty7", 28, 4 },
23546		{ "Prty6", 24, 4 },
23547		{ "Prty5", 20, 4 },
23548		{ "Prty4", 16, 4 },
23549		{ "Prty3", 12, 4 },
23550		{ "Prty2", 8, 4 },
23551		{ "Prty1", 4, 4 },
23552		{ "Prty0", 0, 4 },
23553	{ "MPS_PORT_CTL", 0x38000, 0 },
23554		{ "LpbkEn", 31, 1 },
23555		{ "TxEn", 30, 1 },
23556		{ "RxEn", 29, 1 },
23557		{ "PPPEn", 28, 1 },
23558		{ "FCSStripEn", 27, 1 },
23559		{ "PPPAndPause", 26, 1 },
23560		{ "PrioPPPEnMap", 16, 8 },
23561	{ "MPS_PORT_PAUSE_CTL", 0x38004, 0 },
23562	{ "MPS_PORT_TX_PAUSE_CTL", 0x38008, 0 },
23563		{ "RegSendOff", 24, 8 },
23564		{ "RegSendOn", 16, 8 },
23565		{ "SgeSendEn", 8, 8 },
23566		{ "RxSendEn", 0, 8 },
23567	{ "MPS_PORT_TX_PAUSE_CTL2", 0x3800c, 0 },
23568	{ "MPS_PORT_RX_PAUSE_CTL", 0x38010, 0 },
23569		{ "RegHaltOn", 8, 8 },
23570		{ "RxHaltEn", 0, 8 },
23571	{ "MPS_PORT_TX_PAUSE_STATUS", 0x38014, 0 },
23572		{ "RegSending", 16, 8 },
23573		{ "SgeSending", 8, 8 },
23574		{ "RxSending", 0, 8 },
23575	{ "MPS_PORT_RX_PAUSE_STATUS", 0x38018, 0 },
23576		{ "RegHalted", 8, 8 },
23577		{ "RxHalted", 0, 8 },
23578	{ "MPS_PORT_TX_PAUSE_DEST_L", 0x3801c, 0 },
23579	{ "MPS_PORT_TX_PAUSE_DEST_H", 0x38020, 0 },
23580	{ "MPS_PORT_TX_PAUSE_SOURCE_L", 0x38024, 0 },
23581	{ "MPS_PORT_TX_PAUSE_SOURCE_H", 0x38028, 0 },
23582	{ "MPS_PORT_PRTY_BUFFER_GROUP_MAP", 0x3802c, 0 },
23583		{ "Prty7", 14, 2 },
23584		{ "Prty6", 12, 2 },
23585		{ "Prty5", 10, 2 },
23586		{ "Prty4", 8, 2 },
23587		{ "Prty3", 6, 2 },
23588		{ "Prty2", 4, 2 },
23589		{ "Prty1", 2, 2 },
23590		{ "Prty0", 0, 2 },
23591	{ "MPS_PORT_PRTY_BUFFER_GROUP_TH_MAP", 0x38030, 0 },
23592		{ "Prty7", 28, 4 },
23593		{ "Prty6", 24, 4 },
23594		{ "Prty5", 20, 4 },
23595		{ "Prty4", 16, 4 },
23596		{ "Prty3", 12, 4 },
23597		{ "Prty2", 8, 4 },
23598		{ "Prty1", 4, 4 },
23599		{ "Prty0", 0, 4 },
23600	{ "MPS_PORT_CTL", 0x3c000, 0 },
23601		{ "LpbkEn", 31, 1 },
23602		{ "TxEn", 30, 1 },
23603		{ "RxEn", 29, 1 },
23604		{ "PPPEn", 28, 1 },
23605		{ "FCSStripEn", 27, 1 },
23606		{ "PPPAndPause", 26, 1 },
23607		{ "PrioPPPEnMap", 16, 8 },
23608	{ "MPS_PORT_PAUSE_CTL", 0x3c004, 0 },
23609	{ "MPS_PORT_TX_PAUSE_CTL", 0x3c008, 0 },
23610		{ "RegSendOff", 24, 8 },
23611		{ "RegSendOn", 16, 8 },
23612		{ "SgeSendEn", 8, 8 },
23613		{ "RxSendEn", 0, 8 },
23614	{ "MPS_PORT_TX_PAUSE_CTL2", 0x3c00c, 0 },
23615	{ "MPS_PORT_RX_PAUSE_CTL", 0x3c010, 0 },
23616		{ "RegHaltOn", 8, 8 },
23617		{ "RxHaltEn", 0, 8 },
23618	{ "MPS_PORT_TX_PAUSE_STATUS", 0x3c014, 0 },
23619		{ "RegSending", 16, 8 },
23620		{ "SgeSending", 8, 8 },
23621		{ "RxSending", 0, 8 },
23622	{ "MPS_PORT_RX_PAUSE_STATUS", 0x3c018, 0 },
23623		{ "RegHalted", 8, 8 },
23624		{ "RxHalted", 0, 8 },
23625	{ "MPS_PORT_TX_PAUSE_DEST_L", 0x3c01c, 0 },
23626	{ "MPS_PORT_TX_PAUSE_DEST_H", 0x3c020, 0 },
23627	{ "MPS_PORT_TX_PAUSE_SOURCE_L", 0x3c024, 0 },
23628	{ "MPS_PORT_TX_PAUSE_SOURCE_H", 0x3c028, 0 },
23629	{ "MPS_PORT_PRTY_BUFFER_GROUP_MAP", 0x3c02c, 0 },
23630		{ "Prty7", 14, 2 },
23631		{ "Prty6", 12, 2 },
23632		{ "Prty5", 10, 2 },
23633		{ "Prty4", 8, 2 },
23634		{ "Prty3", 6, 2 },
23635		{ "Prty2", 4, 2 },
23636		{ "Prty1", 2, 2 },
23637		{ "Prty0", 0, 2 },
23638	{ "MPS_PORT_PRTY_BUFFER_GROUP_TH_MAP", 0x3c030, 0 },
23639		{ "Prty7", 28, 4 },
23640		{ "Prty6", 24, 4 },
23641		{ "Prty5", 20, 4 },
23642		{ "Prty4", 16, 4 },
23643		{ "Prty3", 12, 4 },
23644		{ "Prty2", 8, 4 },
23645		{ "Prty1", 4, 4 },
23646		{ "Prty0", 0, 4 },
23647	{ "MPS_PF_CTL", 0x1e2c0, 0 },
23648		{ "TxEn", 1, 1 },
23649		{ "RxEn", 0, 1 },
23650	{ "MPS_PF_CTL", 0x1e6c0, 0 },
23651		{ "TxEn", 1, 1 },
23652		{ "RxEn", 0, 1 },
23653	{ "MPS_PF_CTL", 0x1eac0, 0 },
23654		{ "TxEn", 1, 1 },
23655		{ "RxEn", 0, 1 },
23656	{ "MPS_PF_CTL", 0x1eec0, 0 },
23657		{ "TxEn", 1, 1 },
23658		{ "RxEn", 0, 1 },
23659	{ "MPS_PF_CTL", 0x1f2c0, 0 },
23660		{ "TxEn", 1, 1 },
23661		{ "RxEn", 0, 1 },
23662	{ "MPS_PF_CTL", 0x1f6c0, 0 },
23663		{ "TxEn", 1, 1 },
23664		{ "RxEn", 0, 1 },
23665	{ "MPS_PF_CTL", 0x1fac0, 0 },
23666		{ "TxEn", 1, 1 },
23667		{ "RxEn", 0, 1 },
23668	{ "MPS_PF_CTL", 0x1fec0, 0 },
23669		{ "TxEn", 1, 1 },
23670		{ "RxEn", 0, 1 },
23671	{ "MPS_RX_CTL", 0x11000, 0 },
23672		{ "FILT_VLAN_SEL", 17, 1 },
23673		{ "CBA_EN", 16, 1 },
23674		{ "BLK_SNDR", 12, 4 },
23675		{ "CMPRS", 8, 4 },
23676		{ "SNF", 0, 8 },
23677	{ "MPS_RX_PORT_MUX_CTL", 0x11004, 0 },
23678		{ "CTL_P3", 12, 4 },
23679		{ "CTL_P2", 8, 4 },
23680		{ "CTL_P1", 4, 4 },
23681		{ "CTL_P0", 0, 4 },
23682	{ "MPS_RX_PG_FL", 0x11008, 0 },
23683		{ "RST", 16, 1 },
23684		{ "CNT", 0, 16 },
23685	{ "MPS_RX_PKT_FL", 0x1100c, 0 },
23686		{ "RST", 16, 1 },
23687		{ "CNT", 0, 16 },
23688	{ "MPS_RX_PG_RSV0", 0x11010, 0 },
23689		{ "CLR_INTR", 31, 1 },
23690		{ "SET_INTR", 30, 1 },
23691		{ "USED", 16, 12 },
23692		{ "ALLOC", 0, 12 },
23693	{ "MPS_RX_PG_RSV1", 0x11014, 0 },
23694		{ "CLR_INTR", 31, 1 },
23695		{ "SET_INTR", 30, 1 },
23696		{ "USED", 16, 12 },
23697		{ "ALLOC", 0, 12 },
23698	{ "MPS_RX_PG_RSV2", 0x11018, 0 },
23699		{ "CLR_INTR", 31, 1 },
23700		{ "SET_INTR", 30, 1 },
23701		{ "USED", 16, 12 },
23702		{ "ALLOC", 0, 12 },
23703	{ "MPS_RX_PG_RSV3", 0x1101c, 0 },
23704		{ "CLR_INTR", 31, 1 },
23705		{ "SET_INTR", 30, 1 },
23706		{ "USED", 16, 12 },
23707		{ "ALLOC", 0, 12 },
23708	{ "MPS_RX_PG_RSV4", 0x11020, 0 },
23709		{ "CLR_INTR", 31, 1 },
23710		{ "SET_INTR", 30, 1 },
23711		{ "USED", 16, 12 },
23712		{ "ALLOC", 0, 12 },
23713	{ "MPS_RX_PG_RSV5", 0x11024, 0 },
23714		{ "CLR_INTR", 31, 1 },
23715		{ "SET_INTR", 30, 1 },
23716		{ "USED", 16, 12 },
23717		{ "ALLOC", 0, 12 },
23718	{ "MPS_RX_PG_RSV6", 0x11028, 0 },
23719		{ "CLR_INTR", 31, 1 },
23720		{ "SET_INTR", 30, 1 },
23721		{ "USED", 16, 12 },
23722		{ "ALLOC", 0, 12 },
23723	{ "MPS_RX_PG_RSV7", 0x1102c, 0 },
23724		{ "CLR_INTR", 31, 1 },
23725		{ "SET_INTR", 30, 1 },
23726		{ "USED", 16, 12 },
23727		{ "ALLOC", 0, 12 },
23728	{ "MPS_RX_PG_SHR_BG0", 0x11030, 0 },
23729		{ "EN", 31, 1 },
23730		{ "SEL", 30, 1 },
23731		{ "MAX", 16, 12 },
23732		{ "BORW", 0, 12 },
23733	{ "MPS_RX_PG_SHR_BG1", 0x11034, 0 },
23734		{ "EN", 31, 1 },
23735		{ "SEL", 30, 1 },
23736		{ "MAX", 16, 12 },
23737		{ "BORW", 0, 12 },
23738	{ "MPS_RX_PG_SHR_BG2", 0x11038, 0 },
23739		{ "EN", 31, 1 },
23740		{ "SEL", 30, 1 },
23741		{ "MAX", 16, 12 },
23742		{ "BORW", 0, 12 },
23743	{ "MPS_RX_PG_SHR_BG3", 0x1103c, 0 },
23744		{ "EN", 31, 1 },
23745		{ "SEL", 30, 1 },
23746		{ "MAX", 16, 12 },
23747		{ "BORW", 0, 12 },
23748	{ "MPS_RX_PG_SHR0", 0x11040, 0 },
23749		{ "QUOTA", 16, 12 },
23750		{ "USED", 0, 12 },
23751	{ "MPS_RX_PG_SHR1", 0x11044, 0 },
23752		{ "QUOTA", 16, 12 },
23753		{ "USED", 0, 12 },
23754	{ "MPS_RX_PG_HYST_BG0", 0x11048, 0 },
23755		{ "EN", 31, 1 },
23756		{ "TH", 0, 12 },
23757	{ "MPS_RX_PG_HYST_BG1", 0x1104c, 0 },
23758		{ "EN", 31, 1 },
23759		{ "TH", 0, 12 },
23760	{ "MPS_RX_PG_HYST_BG2", 0x11050, 0 },
23761		{ "EN", 31, 1 },
23762		{ "TH", 0, 12 },
23763	{ "MPS_RX_PG_HYST_BG3", 0x11054, 0 },
23764		{ "EN", 31, 1 },
23765		{ "TH", 0, 12 },
23766	{ "MPS_RX_OCH_CTL", 0x11058, 0 },
23767		{ "DROP_WT", 27, 5 },
23768		{ "TRUNC_WT", 22, 5 },
23769		{ "DRAIN", 13, 5 },
23770		{ "DROP", 8, 5 },
23771		{ "STOP", 0, 5 },
23772	{ "MPS_RX_LPBK_BP0", 0x1105c, 0 },
23773	{ "MPS_RX_LPBK_BP1", 0x11060, 0 },
23774	{ "MPS_RX_LPBK_BP2", 0x11064, 0 },
23775	{ "MPS_RX_LPBK_BP3", 0x11068, 0 },
23776	{ "MPS_RX_PORT_GAP", 0x1106c, 0 },
23777	{ "MPS_RX_PERR_INT_CAUSE", 0x11074, 0 },
23778		{ "FF", 23, 1 },
23779		{ "PGMO", 22, 1 },
23780		{ "PGME", 21, 1 },
23781		{ "CHMN", 20, 1 },
23782		{ "RPLC", 19, 1 },
23783		{ "ATRB", 18, 1 },
23784		{ "PSMX", 17, 1 },
23785		{ "PGLL", 16, 1 },
23786		{ "PGFL", 15, 1 },
23787		{ "PKTQ", 14, 1 },
23788		{ "PKFL", 13, 1 },
23789		{ "PPM3", 12, 1 },
23790		{ "PPM2", 11, 1 },
23791		{ "PPM1", 10, 1 },
23792		{ "PPM0", 9, 1 },
23793		{ "SPMX", 8, 1 },
23794		{ "CDL3", 7, 1 },
23795		{ "CDL2", 6, 1 },
23796		{ "CDL1", 5, 1 },
23797		{ "CDL0", 4, 1 },
23798		{ "CDM3", 3, 1 },
23799		{ "CDM2", 2, 1 },
23800		{ "CDM1", 1, 1 },
23801		{ "CDM0", 0, 1 },
23802	{ "MPS_RX_PERR_INT_ENABLE", 0x11078, 0 },
23803		{ "FF", 23, 1 },
23804		{ "PGMO", 22, 1 },
23805		{ "PGME", 21, 1 },
23806		{ "CHMN", 20, 1 },
23807		{ "RPLC", 19, 1 },
23808		{ "ATRB", 18, 1 },
23809		{ "PSMX", 17, 1 },
23810		{ "PGLL", 16, 1 },
23811		{ "PGFL", 15, 1 },
23812		{ "PKTQ", 14, 1 },
23813		{ "PKFL", 13, 1 },
23814		{ "PPM3", 12, 1 },
23815		{ "PPM2", 11, 1 },
23816		{ "PPM1", 10, 1 },
23817		{ "PPM0", 9, 1 },
23818		{ "SPMX", 8, 1 },
23819		{ "CDL3", 7, 1 },
23820		{ "CDL2", 6, 1 },
23821		{ "CDL1", 5, 1 },
23822		{ "CDL0", 4, 1 },
23823		{ "CDM3", 3, 1 },
23824		{ "CDM2", 2, 1 },
23825		{ "CDM1", 1, 1 },
23826		{ "CDM0", 0, 1 },
23827	{ "MPS_RX_PERR_ENABLE", 0x1107c, 0 },
23828		{ "FF", 23, 1 },
23829		{ "PGMO", 22, 1 },
23830		{ "PGME", 21, 1 },
23831		{ "CHMN", 20, 1 },
23832		{ "RPLC", 19, 1 },
23833		{ "ATRB", 18, 1 },
23834		{ "PSMX", 17, 1 },
23835		{ "PGLL", 16, 1 },
23836		{ "PGFL", 15, 1 },
23837		{ "PKTQ", 14, 1 },
23838		{ "PKFL", 13, 1 },
23839		{ "PPM3", 12, 1 },
23840		{ "PPM2", 11, 1 },
23841		{ "PPM1", 10, 1 },
23842		{ "PPM0", 9, 1 },
23843		{ "SPMX", 8, 1 },
23844		{ "CDL3", 7, 1 },
23845		{ "CDL2", 6, 1 },
23846		{ "CDL1", 5, 1 },
23847		{ "CDL0", 4, 1 },
23848		{ "CDM3", 3, 1 },
23849		{ "CDM2", 2, 1 },
23850		{ "CDM1", 1, 1 },
23851		{ "CDM0", 0, 1 },
23852	{ "MPS_RX_PERR_INJECT", 0x11080, 0 },
23853		{ "MemSel", 1, 5 },
23854		{ "InjectDataErr", 0, 1 },
23855	{ "MPS_RX_FUNC_INT_CAUSE", 0x11084, 0 },
23856		{ "MTU_ERR_INT3", 19, 1 },
23857		{ "MTU_ERR_INT2", 18, 1 },
23858		{ "MTU_ERR_INT1", 17, 1 },
23859		{ "MTU_ERR_INT0", 16, 1 },
23860		{ "SE_CNT_ERR_INT", 15, 1 },
23861		{ "FRM_ERR_INT", 14, 1 },
23862		{ "LEN_ERR_INT", 13, 1 },
23863		{ "INT_ERR_INT", 8, 5 },
23864		{ "PG_TH_INT7", 7, 1 },
23865		{ "PG_TH_INT6", 6, 1 },
23866		{ "PG_TH_INT5", 5, 1 },
23867		{ "PG_TH_INT4", 4, 1 },
23868		{ "PG_TH_INT3", 3, 1 },
23869		{ "PG_TH_INT2", 2, 1 },
23870		{ "PG_TH_INT1", 1, 1 },
23871		{ "PG_TH_INT0", 0, 1 },
23872	{ "MPS_RX_FUNC_INT_ENABLE", 0x11088, 0 },
23873		{ "MTU_ERR_INT3", 19, 1 },
23874		{ "MTU_ERR_INT2", 18, 1 },
23875		{ "MTU_ERR_INT1", 17, 1 },
23876		{ "MTU_ERR_INT0", 16, 1 },
23877		{ "SE_CNT_ERR_INT", 15, 1 },
23878		{ "FRM_ERR_INT", 14, 1 },
23879		{ "LEN_ERR_INT", 13, 1 },
23880		{ "INT_ERR_INT", 8, 5 },
23881		{ "PG_TH_INT7", 7, 1 },
23882		{ "PG_TH_INT6", 6, 1 },
23883		{ "PG_TH_INT5", 5, 1 },
23884		{ "PG_TH_INT4", 4, 1 },
23885		{ "PG_TH_INT3", 3, 1 },
23886		{ "PG_TH_INT2", 2, 1 },
23887		{ "PG_TH_INT1", 1, 1 },
23888		{ "PG_TH_INT0", 0, 1 },
23889	{ "MPS_RX_PPP_ATRB", 0x1109c, 0 },
23890		{ "ETYPE", 16, 16 },
23891		{ "OPCODE", 0, 16 },
23892	{ "MPS_RX_QFC0_ATRB", 0x110a0, 0 },
23893		{ "ETYPE", 16, 16 },
23894		{ "DA", 0, 16 },
23895	{ "MPS_RX_QFC1_ATRB", 0x110a4, 0 },
23896	{ "MPS_RX_PT_ARB0", 0x110a8, 0 },
23897		{ "LPBK_WT", 16, 14 },
23898		{ "MAC_WT", 0, 14 },
23899	{ "MPS_RX_PT_ARB1", 0x110ac, 0 },
23900		{ "LPBK_WT", 16, 14 },
23901		{ "MAC_WT", 0, 14 },
23902	{ "MPS_RX_PT_ARB2", 0x110b0, 0 },
23903		{ "LPBK_WT", 16, 14 },
23904		{ "MAC_WT", 0, 14 },
23905	{ "MPS_RX_PT_ARB3", 0x110b4, 0 },
23906		{ "LPBK_WT", 16, 14 },
23907		{ "MAC_WT", 0, 14 },
23908	{ "MPS_RX_PT_ARB4", 0x110b8, 0 },
23909		{ "LPBK_WT", 16, 14 },
23910		{ "MAC_WT", 0, 14 },
23911	{ "MPS_PF_OUT_EN", 0x110bc, 0 },
23912	{ "MPS_BMC_MTU", 0x110c0, 0 },
23913	{ "MPS_BMC_PKT_CNT", 0x110c4, 0 },
23914	{ "MPS_BMC_BYTE_CNT", 0x110c8, 0 },
23915	{ "MPS_PFVF_ATRB_CTL", 0x110cc, 0 },
23916		{ "RD_WRN", 31, 1 },
23917		{ "PFVF", 0, 8 },
23918	{ "MPS_PFVF_ATRB", 0x110d0, 0 },
23919		{ "PF", 28, 3 },
23920		{ "OFF", 18, 1 },
23921		{ "NV_DROP", 17, 1 },
23922		{ "MODE", 16, 1 },
23923		{ "MTU", 0, 14 },
23924	{ "MPS_PFVF_ATRB_FLTR0", 0x110d4, 0 },
23925		{ "VLAN_EN", 16, 1 },
23926		{ "VLAN_ID", 0, 12 },
23927	{ "MPS_PFVF_ATRB_FLTR1", 0x110d8, 0 },
23928		{ "VLAN_EN", 16, 1 },
23929		{ "VLAN_ID", 0, 12 },
23930	{ "MPS_PFVF_ATRB_FLTR2", 0x110dc, 0 },
23931		{ "VLAN_EN", 16, 1 },
23932		{ "VLAN_ID", 0, 12 },
23933	{ "MPS_PFVF_ATRB_FLTR3", 0x110e0, 0 },
23934		{ "VLAN_EN", 16, 1 },
23935		{ "VLAN_ID", 0, 12 },
23936	{ "MPS_PFVF_ATRB_FLTR4", 0x110e4, 0 },
23937		{ "VLAN_EN", 16, 1 },
23938		{ "VLAN_ID", 0, 12 },
23939	{ "MPS_PFVF_ATRB_FLTR5", 0x110e8, 0 },
23940		{ "VLAN_EN", 16, 1 },
23941		{ "VLAN_ID", 0, 12 },
23942	{ "MPS_PFVF_ATRB_FLTR6", 0x110ec, 0 },
23943		{ "VLAN_EN", 16, 1 },
23944		{ "VLAN_ID", 0, 12 },
23945	{ "MPS_PFVF_ATRB_FLTR7", 0x110f0, 0 },
23946		{ "VLAN_EN", 16, 1 },
23947		{ "VLAN_ID", 0, 12 },
23948	{ "MPS_PFVF_ATRB_FLTR8", 0x110f4, 0 },
23949		{ "VLAN_EN", 16, 1 },
23950		{ "VLAN_ID", 0, 12 },
23951	{ "MPS_PFVF_ATRB_FLTR9", 0x110f8, 0 },
23952		{ "VLAN_EN", 16, 1 },
23953		{ "VLAN_ID", 0, 12 },
23954	{ "MPS_PFVF_ATRB_FLTR10", 0x110fc, 0 },
23955		{ "VLAN_EN", 16, 1 },
23956		{ "VLAN_ID", 0, 12 },
23957	{ "MPS_PFVF_ATRB_FLTR11", 0x11100, 0 },
23958		{ "VLAN_EN", 16, 1 },
23959		{ "VLAN_ID", 0, 12 },
23960	{ "MPS_PFVF_ATRB_FLTR12", 0x11104, 0 },
23961		{ "VLAN_EN", 16, 1 },
23962		{ "VLAN_ID", 0, 12 },
23963	{ "MPS_PFVF_ATRB_FLTR13", 0x11108, 0 },
23964		{ "VLAN_EN", 16, 1 },
23965		{ "VLAN_ID", 0, 12 },
23966	{ "MPS_PFVF_ATRB_FLTR14", 0x1110c, 0 },
23967		{ "VLAN_EN", 16, 1 },
23968		{ "VLAN_ID", 0, 12 },
23969	{ "MPS_PFVF_ATRB_FLTR15", 0x11110, 0 },
23970		{ "VLAN_EN", 16, 1 },
23971		{ "VLAN_ID", 0, 12 },
23972	{ "MPS_RPLC_MAP_CTL", 0x11114, 0 },
23973		{ "RD_WRN", 31, 1 },
23974		{ "ADDR", 0, 10 },
23975	{ "MPS_PF_RPLCT_MAP", 0x11118, 0 },
23976	{ "MPS_VF_RPLCT_MAP0", 0x1111c, 0 },
23977	{ "MPS_VF_RPLCT_MAP1", 0x11120, 0 },
23978	{ "MPS_VF_RPLCT_MAP2", 0x11124, 0 },
23979	{ "MPS_VF_RPLCT_MAP3", 0x11128, 0 },
23980	{ "MPS_MEM_DBG_CTL", 0x1112c, 0 },
23981		{ "PKD", 17, 1 },
23982		{ "PGD", 16, 1 },
23983		{ "ADDR", 0, 16 },
23984	{ "MPS_PKD_MEM_DATA0", 0x11130, 0 },
23985	{ "MPS_PKD_MEM_DATA1", 0x11134, 0 },
23986	{ "MPS_PKD_MEM_DATA2", 0x11138, 0 },
23987	{ "MPS_PGD_MEM_DATA", 0x1113c, 0 },
23988	{ "MPS_RX_SE_CNT_ERR", 0x11140, 0 },
23989	{ "MPS_RX_SE_CNT_CLR", 0x11144, 0 },
23990	{ "MPS_RX_SE_CNT_IN0", 0x11148, 0 },
23991		{ "SOP_CNT_PM", 24, 8 },
23992		{ "EOP_CNT_PM", 16, 8 },
23993		{ "SOP_CNT_IN", 8, 8 },
23994		{ "EOP_CNT_IN", 0, 8 },
23995	{ "MPS_RX_SE_CNT_IN1", 0x1114c, 0 },
23996		{ "SOP_CNT_PM", 24, 8 },
23997		{ "EOP_CNT_PM", 16, 8 },
23998		{ "SOP_CNT_IN", 8, 8 },
23999		{ "EOP_CNT_IN", 0, 8 },
24000	{ "MPS_RX_SE_CNT_IN2", 0x11150, 0 },
24001		{ "SOP_CNT_PM", 24, 8 },
24002		{ "EOP_CNT_PM", 16, 8 },
24003		{ "SOP_CNT_IN", 8, 8 },
24004		{ "EOP_CNT_IN", 0, 8 },
24005	{ "MPS_RX_SE_CNT_IN3", 0x11154, 0 },
24006		{ "SOP_CNT_PM", 24, 8 },
24007		{ "EOP_CNT_PM", 16, 8 },
24008		{ "SOP_CNT_IN", 8, 8 },
24009		{ "EOP_CNT_IN", 0, 8 },
24010	{ "MPS_RX_SE_CNT_IN4", 0x11158, 0 },
24011		{ "SOP_CNT_PM", 24, 8 },
24012		{ "EOP_CNT_PM", 16, 8 },
24013		{ "SOP_CNT_IN", 8, 8 },
24014		{ "EOP_CNT_IN", 0, 8 },
24015	{ "MPS_RX_SE_CNT_IN5", 0x1115c, 0 },
24016		{ "SOP_CNT_PM", 24, 8 },
24017		{ "EOP_CNT_PM", 16, 8 },
24018		{ "SOP_CNT_IN", 8, 8 },
24019		{ "EOP_CNT_IN", 0, 8 },
24020	{ "MPS_RX_SE_CNT_IN6", 0x11160, 0 },
24021		{ "SOP_CNT_PM", 24, 8 },
24022		{ "EOP_CNT_PM", 16, 8 },
24023		{ "SOP_CNT_IN", 8, 8 },
24024		{ "EOP_CNT_IN", 0, 8 },
24025	{ "MPS_RX_SE_CNT_IN7", 0x11164, 0 },
24026		{ "SOP_CNT_PM", 24, 8 },
24027		{ "EOP_CNT_PM", 16, 8 },
24028		{ "SOP_CNT_IN", 8, 8 },
24029		{ "EOP_CNT_IN", 0, 8 },
24030	{ "MPS_RX_SE_CNT_OUT01", 0x11168, 0 },
24031		{ "SOP_CNT_1", 24, 8 },
24032		{ "EOP_CNT_1", 16, 8 },
24033		{ "SOP_CNT_0", 8, 8 },
24034		{ "EOP_CNT_0", 0, 8 },
24035	{ "MPS_RX_SE_CNT_OUT23", 0x1116c, 0 },
24036		{ "SOP_CNT_3", 24, 8 },
24037		{ "EOP_CNT_3", 16, 8 },
24038		{ "SOP_CNT_2", 8, 8 },
24039		{ "EOP_CNT_2", 0, 8 },
24040	{ "MPS_RX_SPI_ERR", 0x11170, 0 },
24041		{ "LEN_ERR", 21, 4 },
24042		{ "ERR", 0, 21 },
24043	{ "MPS_RX_IN_BUS_STATE", 0x11174, 0 },
24044		{ "ST3", 24, 8 },
24045		{ "ST2", 16, 8 },
24046		{ "ST1", 8, 8 },
24047		{ "ST0", 0, 8 },
24048	{ "MPS_RX_OUT_BUS_STATE", 0x11178, 0 },
24049		{ "ST_NCSI", 23, 9 },
24050		{ "ST_TP", 0, 23 },
24051	{ "MPS_RX_DBG_CTL", 0x1117c, 0 },
24052		{ "OUT_DBG_CHNL", 8, 3 },
24053		{ "DBG_PKD_QSEL", 7, 1 },
24054		{ "DBG_CDS_INV", 6, 1 },
24055		{ "IN_DBG_PORT", 3, 3 },
24056		{ "IN_DBG_CHNL", 0, 3 },
24057	{ "MPS_RX_SPARE", 0x11190, 0 },
24058	{ "MPS_RX_PTP_ETYPE", 0x11194, 0 },
24059		{ "PETYPE2", 16, 16 },
24060		{ "PETYPE1", 0, 16 },
24061	{ "MPS_RX_PTP_TCP", 0x11198, 0 },
24062		{ "PTCPORT2", 16, 16 },
24063		{ "PTCPORT1", 0, 16 },
24064	{ "MPS_RX_PTP_UDP", 0x1119c, 0 },
24065		{ "PUDPORT2", 16, 16 },
24066		{ "PUDPORT1", 0, 16 },
24067	{ "MPS_RX_PTP_CTL", 0x111a0, 0 },
24068		{ "MIN_PTP_SPACE", 24, 7 },
24069		{ "PUDP2EN", 20, 4 },
24070		{ "PUDP1EN", 16, 4 },
24071		{ "PTCP2EN", 12, 4 },
24072		{ "PTCP1EN", 8, 4 },
24073		{ "PETYPE2EN", 4, 4 },
24074		{ "PETYPE1EN", 0, 4 },
24075	{ "MPS_RX_PAUSE_GEN_TH_0_0", 0x111a4, 0 },
24076		{ "TH_HIGH", 16, 16 },
24077		{ "TH_LOW", 0, 16 },
24078	{ "MPS_RX_PAUSE_GEN_TH_0_1", 0x111a8, 0 },
24079		{ "TH_HIGH", 16, 16 },
24080		{ "TH_LOW", 0, 16 },
24081	{ "MPS_RX_PAUSE_GEN_TH_0_2", 0x111ac, 0 },
24082		{ "TH_HIGH", 16, 16 },
24083		{ "TH_LOW", 0, 16 },
24084	{ "MPS_RX_PAUSE_GEN_TH_0_3", 0x111b0, 0 },
24085		{ "TH_HIGH", 16, 16 },
24086		{ "TH_LOW", 0, 16 },
24087	{ "MPS_RX_PAUSE_GEN_TH_1_0", 0x111b4, 0 },
24088		{ "TH_HIGH", 16, 16 },
24089		{ "TH_LOW", 0, 16 },
24090	{ "MPS_RX_PAUSE_GEN_TH_1_1", 0x111b8, 0 },
24091		{ "TH_HIGH", 16, 16 },
24092		{ "TH_LOW", 0, 16 },
24093	{ "MPS_RX_PAUSE_GEN_TH_1_2", 0x111bc, 0 },
24094		{ "TH_HIGH", 16, 16 },
24095		{ "TH_LOW", 0, 16 },
24096	{ "MPS_RX_PAUSE_GEN_TH_1_3", 0x111c0, 0 },
24097		{ "TH_HIGH", 16, 16 },
24098		{ "TH_LOW", 0, 16 },
24099	{ "MPS_RX_PAUSE_GEN_TH_2_0", 0x111c4, 0 },
24100		{ "TH_HIGH", 16, 16 },
24101		{ "TH_LOW", 0, 16 },
24102	{ "MPS_RX_PAUSE_GEN_TH_2_1", 0x111c8, 0 },
24103		{ "TH_HIGH", 16, 16 },
24104		{ "TH_LOW", 0, 16 },
24105	{ "MPS_RX_PAUSE_GEN_TH_2_2", 0x111cc, 0 },
24106		{ "TH_HIGH", 16, 16 },
24107		{ "TH_LOW", 0, 16 },
24108	{ "MPS_RX_PAUSE_GEN_TH_2_3", 0x111d0, 0 },
24109		{ "TH_HIGH", 16, 16 },
24110		{ "TH_LOW", 0, 16 },
24111	{ "MPS_RX_PAUSE_GEN_TH_3_0", 0x111d4, 0 },
24112		{ "TH_HIGH", 16, 16 },
24113		{ "TH_LOW", 0, 16 },
24114	{ "MPS_RX_PAUSE_GEN_TH_3_1", 0x111d8, 0 },
24115		{ "TH_HIGH", 16, 16 },
24116		{ "TH_LOW", 0, 16 },
24117	{ "MPS_RX_PAUSE_GEN_TH_3_2", 0x111dc, 0 },
24118		{ "TH_HIGH", 16, 16 },
24119		{ "TH_LOW", 0, 16 },
24120	{ "MPS_RX_PAUSE_GEN_TH_3_3", 0x111e0, 0 },
24121		{ "TH_HIGH", 16, 16 },
24122		{ "TH_LOW", 0, 16 },
24123	{ "MPS_RX_MAC_CLS_DROP_CNT0", 0x111e4, 0 },
24124	{ "MPS_RX_MAC_CLS_DROP_CNT1", 0x111e8, 0 },
24125	{ "MPS_RX_MAC_CLS_DROP_CNT2", 0x111ec, 0 },
24126	{ "MPS_RX_MAC_CLS_DROP_CNT3", 0x111f0, 0 },
24127	{ "MPS_RX_LPBK_CLS_DROP_CNT0", 0x111f4, 0 },
24128	{ "MPS_RX_LPBK_CLS_DROP_CNT1", 0x111f8, 0 },
24129	{ "MPS_RX_LPBK_CLS_DROP_CNT2", 0x111fc, 0 },
24130	{ "MPS_RX_LPBK_CLS_DROP_CNT3", 0x11200, 0 },
24131	{ "MPS_RX_CGEN", 0x11204, 0 },
24132		{ "MPS_RX_CGEN_NCSI", 12, 1 },
24133		{ "MPS_RX_CGEN_OUT", 8, 4 },
24134		{ "MPS_RX_CGEN_LPBK_IN", 4, 4 },
24135		{ "MPS_RX_CGEN_MAC_IN", 0, 4 },
24136	{ "MPS_PORT_RX_CTL", 0x30100, 0 },
24137		{ "PTP_FWD_UP", 21, 1 },
24138		{ "NO_RPLCT_M", 20, 1 },
24139		{ "RPLCT_SEL_L", 18, 2 },
24140		{ "FLTR_VLAN_SEL", 17, 1 },
24141		{ "PRIO_VLAN_SEL", 16, 1 },
24142		{ "CHK_8023_LEN_M", 15, 1 },
24143		{ "CHK_8023_LEN_L", 14, 1 },
24144		{ "NIV_DROP", 13, 1 },
24145		{ "NOV_DROP", 12, 1 },
24146		{ "CLS_PRT", 11, 1 },
24147		{ "RX_QFC_EN", 10, 1 },
24148		{ "QFC_FWD_UP", 9, 1 },
24149		{ "PPP_FWD_UP", 8, 1 },
24150		{ "PAUSE_FWD_UP", 7, 1 },
24151		{ "LPBK_BP", 6, 1 },
24152		{ "PASS_NO_MATCH", 5, 1 },
24153		{ "IVLAN_EN", 4, 1 },
24154		{ "OVLAN_EN3", 3, 1 },
24155		{ "OVLAN_EN2", 2, 1 },
24156		{ "OVLAN_EN1", 1, 1 },
24157		{ "OVLAN_EN0", 0, 1 },
24158	{ "MPS_PORT_RX_MTU", 0x30104, 0 },
24159	{ "MPS_PORT_RX_PF_MAP", 0x30108, 0 },
24160	{ "MPS_PORT_RX_VF_MAP0", 0x3010c, 0 },
24161	{ "MPS_PORT_RX_VF_MAP1", 0x30110, 0 },
24162	{ "MPS_PORT_RX_VF_MAP2", 0x30114, 0 },
24163	{ "MPS_PORT_RX_VF_MAP3", 0x30118, 0 },
24164	{ "MPS_PORT_RX_IVLAN", 0x3011c, 0 },
24165	{ "MPS_PORT_RX_OVLAN0", 0x30120, 0 },
24166		{ "OVLAN_MASK", 16, 16 },
24167		{ "OVLAN_ETYPE", 0, 16 },
24168	{ "MPS_PORT_RX_OVLAN1", 0x30124, 0 },
24169		{ "OVLAN_MASK", 16, 16 },
24170		{ "OVLAN_ETYPE", 0, 16 },
24171	{ "MPS_PORT_RX_OVLAN2", 0x30128, 0 },
24172		{ "OVLAN_MASK", 16, 16 },
24173		{ "OVLAN_ETYPE", 0, 16 },
24174	{ "MPS_PORT_RX_OVLAN3", 0x3012c, 0 },
24175		{ "OVLAN_MASK", 16, 16 },
24176		{ "OVLAN_ETYPE", 0, 16 },
24177	{ "MPS_PORT_RX_RSS_HASH", 0x30130, 0 },
24178	{ "MPS_PORT_RX_RSS_CONTROL", 0x30134, 0 },
24179		{ "RSS_CTRL", 16, 8 },
24180		{ "QUE_NUM", 0, 16 },
24181	{ "MPS_PORT_RX_CTL1", 0x30138, 0 },
24182		{ "FIXED_PFVF_MAC", 13, 1 },
24183		{ "FIXED_PFVF_LPBK", 12, 1 },
24184		{ "FIXED_PFVF_LPBK_OV", 11, 1 },
24185		{ "FIXED_PF", 8, 3 },
24186		{ "FIXED_VF_VLD", 7, 1 },
24187		{ "FIXED_VF", 0, 7 },
24188	{ "MPS_PORT_RX_SPARE", 0x3013c, 0 },
24189	{ "MPS_PORT_RX_PTP_RSS_HASH", 0x30140, 0 },
24190	{ "MPS_PORT_RX_PTP_RSS_CONTROL", 0x30144, 0 },
24191		{ "RSS_CTRL", 16, 8 },
24192		{ "QUE_NUM", 0, 16 },
24193	{ "MPS_PORT_RX_CTL", 0x34100, 0 },
24194		{ "PTP_FWD_UP", 21, 1 },
24195		{ "NO_RPLCT_M", 20, 1 },
24196		{ "RPLCT_SEL_L", 18, 2 },
24197		{ "FLTR_VLAN_SEL", 17, 1 },
24198		{ "PRIO_VLAN_SEL", 16, 1 },
24199		{ "CHK_8023_LEN_M", 15, 1 },
24200		{ "CHK_8023_LEN_L", 14, 1 },
24201		{ "NIV_DROP", 13, 1 },
24202		{ "NOV_DROP", 12, 1 },
24203		{ "CLS_PRT", 11, 1 },
24204		{ "RX_QFC_EN", 10, 1 },
24205		{ "QFC_FWD_UP", 9, 1 },
24206		{ "PPP_FWD_UP", 8, 1 },
24207		{ "PAUSE_FWD_UP", 7, 1 },
24208		{ "LPBK_BP", 6, 1 },
24209		{ "PASS_NO_MATCH", 5, 1 },
24210		{ "IVLAN_EN", 4, 1 },
24211		{ "OVLAN_EN3", 3, 1 },
24212		{ "OVLAN_EN2", 2, 1 },
24213		{ "OVLAN_EN1", 1, 1 },
24214		{ "OVLAN_EN0", 0, 1 },
24215	{ "MPS_PORT_RX_MTU", 0x34104, 0 },
24216	{ "MPS_PORT_RX_PF_MAP", 0x34108, 0 },
24217	{ "MPS_PORT_RX_VF_MAP0", 0x3410c, 0 },
24218	{ "MPS_PORT_RX_VF_MAP1", 0x34110, 0 },
24219	{ "MPS_PORT_RX_VF_MAP2", 0x34114, 0 },
24220	{ "MPS_PORT_RX_VF_MAP3", 0x34118, 0 },
24221	{ "MPS_PORT_RX_IVLAN", 0x3411c, 0 },
24222	{ "MPS_PORT_RX_OVLAN0", 0x34120, 0 },
24223		{ "OVLAN_MASK", 16, 16 },
24224		{ "OVLAN_ETYPE", 0, 16 },
24225	{ "MPS_PORT_RX_OVLAN1", 0x34124, 0 },
24226		{ "OVLAN_MASK", 16, 16 },
24227		{ "OVLAN_ETYPE", 0, 16 },
24228	{ "MPS_PORT_RX_OVLAN2", 0x34128, 0 },
24229		{ "OVLAN_MASK", 16, 16 },
24230		{ "OVLAN_ETYPE", 0, 16 },
24231	{ "MPS_PORT_RX_OVLAN3", 0x3412c, 0 },
24232		{ "OVLAN_MASK", 16, 16 },
24233		{ "OVLAN_ETYPE", 0, 16 },
24234	{ "MPS_PORT_RX_RSS_HASH", 0x34130, 0 },
24235	{ "MPS_PORT_RX_RSS_CONTROL", 0x34134, 0 },
24236		{ "RSS_CTRL", 16, 8 },
24237		{ "QUE_NUM", 0, 16 },
24238	{ "MPS_PORT_RX_CTL1", 0x34138, 0 },
24239		{ "FIXED_PFVF_MAC", 13, 1 },
24240		{ "FIXED_PFVF_LPBK", 12, 1 },
24241		{ "FIXED_PFVF_LPBK_OV", 11, 1 },
24242		{ "FIXED_PF", 8, 3 },
24243		{ "FIXED_VF_VLD", 7, 1 },
24244		{ "FIXED_VF", 0, 7 },
24245	{ "MPS_PORT_RX_SPARE", 0x3413c, 0 },
24246	{ "MPS_PORT_RX_PTP_RSS_HASH", 0x34140, 0 },
24247	{ "MPS_PORT_RX_PTP_RSS_CONTROL", 0x34144, 0 },
24248		{ "RSS_CTRL", 16, 8 },
24249		{ "QUE_NUM", 0, 16 },
24250	{ "MPS_PORT_RX_CTL", 0x38100, 0 },
24251		{ "PTP_FWD_UP", 21, 1 },
24252		{ "NO_RPLCT_M", 20, 1 },
24253		{ "RPLCT_SEL_L", 18, 2 },
24254		{ "FLTR_VLAN_SEL", 17, 1 },
24255		{ "PRIO_VLAN_SEL", 16, 1 },
24256		{ "CHK_8023_LEN_M", 15, 1 },
24257		{ "CHK_8023_LEN_L", 14, 1 },
24258		{ "NIV_DROP", 13, 1 },
24259		{ "NOV_DROP", 12, 1 },
24260		{ "CLS_PRT", 11, 1 },
24261		{ "RX_QFC_EN", 10, 1 },
24262		{ "QFC_FWD_UP", 9, 1 },
24263		{ "PPP_FWD_UP", 8, 1 },
24264		{ "PAUSE_FWD_UP", 7, 1 },
24265		{ "LPBK_BP", 6, 1 },
24266		{ "PASS_NO_MATCH", 5, 1 },
24267		{ "IVLAN_EN", 4, 1 },
24268		{ "OVLAN_EN3", 3, 1 },
24269		{ "OVLAN_EN2", 2, 1 },
24270		{ "OVLAN_EN1", 1, 1 },
24271		{ "OVLAN_EN0", 0, 1 },
24272	{ "MPS_PORT_RX_MTU", 0x38104, 0 },
24273	{ "MPS_PORT_RX_PF_MAP", 0x38108, 0 },
24274	{ "MPS_PORT_RX_VF_MAP0", 0x3810c, 0 },
24275	{ "MPS_PORT_RX_VF_MAP1", 0x38110, 0 },
24276	{ "MPS_PORT_RX_VF_MAP2", 0x38114, 0 },
24277	{ "MPS_PORT_RX_VF_MAP3", 0x38118, 0 },
24278	{ "MPS_PORT_RX_IVLAN", 0x3811c, 0 },
24279	{ "MPS_PORT_RX_OVLAN0", 0x38120, 0 },
24280		{ "OVLAN_MASK", 16, 16 },
24281		{ "OVLAN_ETYPE", 0, 16 },
24282	{ "MPS_PORT_RX_OVLAN1", 0x38124, 0 },
24283		{ "OVLAN_MASK", 16, 16 },
24284		{ "OVLAN_ETYPE", 0, 16 },
24285	{ "MPS_PORT_RX_OVLAN2", 0x38128, 0 },
24286		{ "OVLAN_MASK", 16, 16 },
24287		{ "OVLAN_ETYPE", 0, 16 },
24288	{ "MPS_PORT_RX_OVLAN3", 0x3812c, 0 },
24289		{ "OVLAN_MASK", 16, 16 },
24290		{ "OVLAN_ETYPE", 0, 16 },
24291	{ "MPS_PORT_RX_RSS_HASH", 0x38130, 0 },
24292	{ "MPS_PORT_RX_RSS_CONTROL", 0x38134, 0 },
24293		{ "RSS_CTRL", 16, 8 },
24294		{ "QUE_NUM", 0, 16 },
24295	{ "MPS_PORT_RX_CTL1", 0x38138, 0 },
24296		{ "FIXED_PFVF_MAC", 13, 1 },
24297		{ "FIXED_PFVF_LPBK", 12, 1 },
24298		{ "FIXED_PFVF_LPBK_OV", 11, 1 },
24299		{ "FIXED_PF", 8, 3 },
24300		{ "FIXED_VF_VLD", 7, 1 },
24301		{ "FIXED_VF", 0, 7 },
24302	{ "MPS_PORT_RX_SPARE", 0x3813c, 0 },
24303	{ "MPS_PORT_RX_PTP_RSS_HASH", 0x38140, 0 },
24304	{ "MPS_PORT_RX_PTP_RSS_CONTROL", 0x38144, 0 },
24305		{ "RSS_CTRL", 16, 8 },
24306		{ "QUE_NUM", 0, 16 },
24307	{ "MPS_PORT_RX_CTL", 0x3c100, 0 },
24308		{ "PTP_FWD_UP", 21, 1 },
24309		{ "NO_RPLCT_M", 20, 1 },
24310		{ "RPLCT_SEL_L", 18, 2 },
24311		{ "FLTR_VLAN_SEL", 17, 1 },
24312		{ "PRIO_VLAN_SEL", 16, 1 },
24313		{ "CHK_8023_LEN_M", 15, 1 },
24314		{ "CHK_8023_LEN_L", 14, 1 },
24315		{ "NIV_DROP", 13, 1 },
24316		{ "NOV_DROP", 12, 1 },
24317		{ "CLS_PRT", 11, 1 },
24318		{ "RX_QFC_EN", 10, 1 },
24319		{ "QFC_FWD_UP", 9, 1 },
24320		{ "PPP_FWD_UP", 8, 1 },
24321		{ "PAUSE_FWD_UP", 7, 1 },
24322		{ "LPBK_BP", 6, 1 },
24323		{ "PASS_NO_MATCH", 5, 1 },
24324		{ "IVLAN_EN", 4, 1 },
24325		{ "OVLAN_EN3", 3, 1 },
24326		{ "OVLAN_EN2", 2, 1 },
24327		{ "OVLAN_EN1", 1, 1 },
24328		{ "OVLAN_EN0", 0, 1 },
24329	{ "MPS_PORT_RX_MTU", 0x3c104, 0 },
24330	{ "MPS_PORT_RX_PF_MAP", 0x3c108, 0 },
24331	{ "MPS_PORT_RX_VF_MAP0", 0x3c10c, 0 },
24332	{ "MPS_PORT_RX_VF_MAP1", 0x3c110, 0 },
24333	{ "MPS_PORT_RX_VF_MAP2", 0x3c114, 0 },
24334	{ "MPS_PORT_RX_VF_MAP3", 0x3c118, 0 },
24335	{ "MPS_PORT_RX_IVLAN", 0x3c11c, 0 },
24336	{ "MPS_PORT_RX_OVLAN0", 0x3c120, 0 },
24337		{ "OVLAN_MASK", 16, 16 },
24338		{ "OVLAN_ETYPE", 0, 16 },
24339	{ "MPS_PORT_RX_OVLAN1", 0x3c124, 0 },
24340		{ "OVLAN_MASK", 16, 16 },
24341		{ "OVLAN_ETYPE", 0, 16 },
24342	{ "MPS_PORT_RX_OVLAN2", 0x3c128, 0 },
24343		{ "OVLAN_MASK", 16, 16 },
24344		{ "OVLAN_ETYPE", 0, 16 },
24345	{ "MPS_PORT_RX_OVLAN3", 0x3c12c, 0 },
24346		{ "OVLAN_MASK", 16, 16 },
24347		{ "OVLAN_ETYPE", 0, 16 },
24348	{ "MPS_PORT_RX_RSS_HASH", 0x3c130, 0 },
24349	{ "MPS_PORT_RX_RSS_CONTROL", 0x3c134, 0 },
24350		{ "RSS_CTRL", 16, 8 },
24351		{ "QUE_NUM", 0, 16 },
24352	{ "MPS_PORT_RX_CTL1", 0x3c138, 0 },
24353		{ "FIXED_PFVF_MAC", 13, 1 },
24354		{ "FIXED_PFVF_LPBK", 12, 1 },
24355		{ "FIXED_PFVF_LPBK_OV", 11, 1 },
24356		{ "FIXED_PF", 8, 3 },
24357		{ "FIXED_VF_VLD", 7, 1 },
24358		{ "FIXED_VF", 0, 7 },
24359	{ "MPS_PORT_RX_SPARE", 0x3c13c, 0 },
24360	{ "MPS_PORT_RX_PTP_RSS_HASH", 0x3c140, 0 },
24361	{ "MPS_PORT_RX_PTP_RSS_CONTROL", 0x3c144, 0 },
24362		{ "RSS_CTRL", 16, 8 },
24363		{ "QUE_NUM", 0, 16 },
24364	{ "MPS_TX_PRTY_SEL", 0x9400, 0 },
24365		{ "Ch4_Prty", 20, 3 },
24366		{ "Ch3_Prty", 16, 3 },
24367		{ "Ch2_Prty", 12, 3 },
24368		{ "Ch1_Prty", 8, 3 },
24369		{ "Ch0_Prty", 4, 3 },
24370		{ "TP_Source", 2, 2 },
24371		{ "NCSI_Source", 0, 2 },
24372	{ "MPS_TX_INT_ENABLE", 0x9404, 0 },
24373		{ "PortErr", 16, 1 },
24374		{ "FRMERR", 15, 1 },
24375		{ "SECNTERR", 14, 1 },
24376		{ "BUBBLE", 13, 1 },
24377		{ "TxDescFifo", 9, 4 },
24378		{ "TxDataFifo", 5, 4 },
24379		{ "Ncsi", 4, 1 },
24380		{ "TP", 0, 4 },
24381	{ "MPS_TX_INT_CAUSE", 0x9408, 0 },
24382		{ "PortErr", 16, 1 },
24383		{ "FRMERR", 15, 1 },
24384		{ "SECNTERR", 14, 1 },
24385		{ "BUBBLE", 13, 1 },
24386		{ "TxDescFifo", 9, 4 },
24387		{ "TxDataFifo", 5, 4 },
24388		{ "Ncsi", 4, 1 },
24389		{ "TP", 0, 4 },
24390	{ "MPS_TX_PERR_ENABLE", 0x9410, 0 },
24391		{ "TxDescFifo", 9, 4 },
24392		{ "TxDataFifo", 5, 4 },
24393		{ "Ncsi", 4, 1 },
24394		{ "TP", 0, 4 },
24395	{ "MPS_TX_PERR_INJECT", 0x9414, 0 },
24396		{ "MemSel", 1, 5 },
24397		{ "InjectDataErr", 0, 1 },
24398	{ "MPS_TX_SE_CNT_TP01", 0x9418, 0 },
24399		{ "SOP_CNT_1", 24, 8 },
24400		{ "EOP_CNT_1", 16, 8 },
24401		{ "SOP_CNT_0", 8, 8 },
24402		{ "EOP_CNT_0", 0, 8 },
24403	{ "MPS_TX_SE_CNT_TP23", 0x941c, 0 },
24404		{ "SOP_CNT_3", 24, 8 },
24405		{ "EOP_CNT_3", 16, 8 },
24406		{ "SOP_CNT_2", 8, 8 },
24407		{ "EOP_CNT_2", 0, 8 },
24408	{ "MPS_TX_SE_CNT_MAC01", 0x9420, 0 },
24409		{ "SOP_CNT_1", 24, 8 },
24410		{ "EOP_CNT_1", 16, 8 },
24411		{ "SOP_CNT_0", 8, 8 },
24412		{ "EOP_CNT_0", 0, 8 },
24413	{ "MPS_TX_SE_CNT_MAC23", 0x9424, 0 },
24414		{ "SOP_CNT_3", 24, 8 },
24415		{ "EOP_CNT_3", 16, 8 },
24416		{ "SOP_CNT_2", 8, 8 },
24417		{ "EOP_CNT_2", 0, 8 },
24418	{ "MPS_TX_SECNT_SPI_BUBBLE_ERR", 0x9428, 0 },
24419		{ "Bubble", 16, 8 },
24420		{ "Spi", 8, 8 },
24421		{ "SeCnt", 0, 8 },
24422	{ "MPS_TX_SECNT_BUBBLE_CLR", 0x942c, 0 },
24423		{ "NcsiSeCnt", 20, 1 },
24424		{ "LpbkSeCnt", 16, 4 },
24425		{ "Bubble", 8, 8 },
24426		{ "SeCnt", 0, 8 },
24427	{ "MPS_TX_PORT_ERR", 0x9430, 0 },
24428		{ "Lpbkpt3", 7, 1 },
24429		{ "Lpbkpt2", 6, 1 },
24430		{ "Lpbkpt1", 5, 1 },
24431		{ "Lpbkpt0", 4, 1 },
24432		{ "pt3", 3, 1 },
24433		{ "pt2", 2, 1 },
24434		{ "pt1", 1, 1 },
24435		{ "pt0", 0, 1 },
24436	{ "MPS_TX_LPBK_DROP_BP_CTL_CH0", 0x9434, 0 },
24437		{ "BpEn", 1, 1 },
24438		{ "DropEn", 0, 1 },
24439	{ "MPS_TX_LPBK_DROP_BP_CTL_CH1", 0x9438, 0 },
24440		{ "BpEn", 1, 1 },
24441		{ "DropEn", 0, 1 },
24442	{ "MPS_TX_LPBK_DROP_BP_CTL_CH2", 0x943c, 0 },
24443		{ "BpEn", 1, 1 },
24444		{ "DropEn", 0, 1 },
24445	{ "MPS_TX_LPBK_DROP_BP_CTL_CH3", 0x9440, 0 },
24446		{ "BpEn", 1, 1 },
24447		{ "DropEn", 0, 1 },
24448	{ "MPS_TX_DEBUG_REG_TP2TX_10", 0x9444, 0 },
24449		{ "SOPCh1", 31, 1 },
24450		{ "EOPCh1", 30, 1 },
24451		{ "SizeCh1", 26, 4 },
24452		{ "ErrCh1", 25, 1 },
24453		{ "FullCh1", 24, 1 },
24454		{ "ValidCh1", 23, 1 },
24455		{ "DataCh1", 16, 7 },
24456		{ "SOPCh0", 15, 1 },
24457		{ "EOPCh0", 14, 1 },
24458		{ "SizeCh0", 10, 4 },
24459		{ "ErrCh0", 9, 1 },
24460		{ "FullCh0", 8, 1 },
24461		{ "ValidCh0", 7, 1 },
24462		{ "DataCh0", 0, 7 },
24463	{ "MPS_TX_DEBUG_REG_TP2TX_32", 0x9448, 0 },
24464		{ "SOPCh3", 31, 1 },
24465		{ "EOPCh3", 30, 1 },
24466		{ "SizeCh3", 26, 4 },
24467		{ "ErrCh3", 25, 1 },
24468		{ "FullCh3", 24, 1 },
24469		{ "ValidCh3", 23, 1 },
24470		{ "DataCh3", 16, 7 },
24471		{ "SOPCh2", 15, 1 },
24472		{ "EOPCh2", 14, 1 },
24473		{ "SizeCh2", 10, 4 },
24474		{ "ErrCh2", 9, 1 },
24475		{ "FullCh2", 8, 1 },
24476		{ "ValidCh2", 7, 1 },
24477		{ "DataCh2", 0, 7 },
24478	{ "MPS_TX_DEBUG_REG_TX2MAC_10", 0x944c, 0 },
24479		{ "SOPPt1", 31, 1 },
24480		{ "EOPPt1", 30, 1 },
24481		{ "SizePt1", 26, 4 },
24482		{ "ErrPt1", 25, 1 },
24483		{ "FullPt1", 24, 1 },
24484		{ "ValidPt1", 23, 1 },
24485		{ "DataPt1", 16, 7 },
24486		{ "SOPPt0", 15, 1 },
24487		{ "EOPPt0", 14, 1 },
24488		{ "SizePt0", 10, 4 },
24489		{ "ErrPt0", 9, 1 },
24490		{ "FullPt0", 8, 1 },
24491		{ "ValidPt0", 7, 1 },
24492		{ "DataPt0", 0, 7 },
24493	{ "MPS_TX_DEBUG_REG_TX2MAC_32", 0x9450, 0 },
24494		{ "SOPPt3", 31, 1 },
24495		{ "EOPPt3", 30, 1 },
24496		{ "SizePt3", 26, 4 },
24497		{ "ErrPt3", 25, 1 },
24498		{ "FullPt3", 24, 1 },
24499		{ "ValidPt3", 23, 1 },
24500		{ "DataPt3", 16, 7 },
24501		{ "SOPPt2", 15, 1 },
24502		{ "EOPPt2", 14, 1 },
24503		{ "SizePt2", 10, 4 },
24504		{ "ErrPt2", 9, 1 },
24505		{ "FullPt2", 8, 1 },
24506		{ "ValidPt2", 7, 1 },
24507		{ "DataPt2", 0, 7 },
24508	{ "MPS_TX_SGE_CH_PAUSE_IGNR", 0x9454, 0 },
24509	{ "MPS_TX_DEBUG_SUBPART_SEL", 0x9458, 0 },
24510		{ "SubPrtH", 11, 5 },
24511		{ "PortH", 8, 3 },
24512		{ "SubPrtL", 3, 5 },
24513		{ "PortL", 0, 3 },
24514	{ "MPS_TX_PAD_CTL", 0x945c, 0 },
24515		{ "LpbkPadEnPt3", 7, 1 },
24516		{ "LpbkPadEnPt2", 6, 1 },
24517		{ "LpbkPadEnPt1", 5, 1 },
24518		{ "LpbkPadEnPt0", 4, 1 },
24519		{ "MacPadEnPt3", 3, 1 },
24520		{ "MacPadEnPt2", 2, 1 },
24521		{ "MacPadEnPt1", 1, 1 },
24522		{ "MacPadEnPt0", 0, 1 },
24523	{ "MPS_TX_PFVF_PORT_DROP_TP", 0x9460, 0 },
24524		{ "TP2MPS_Ch3", 24, 8 },
24525		{ "TP2MPS_Ch2", 16, 8 },
24526		{ "TP2MPS_Ch1", 8, 8 },
24527		{ "TP2MPS_Ch0", 0, 8 },
24528	{ "MPS_TX_PFVF_PORT_DROP_NCSI", 0x9464, 0 },
24529	{ "MPS_TX_PFVF_PORT_DROP_CTL", 0x9468, 0 },
24530		{ "PFNOVFDROP", 5, 1 },
24531		{ "NCSI_Ch4_CLR", 4, 1 },
24532		{ "TP2MPS_Ch3_CLR", 3, 1 },
24533		{ "TP2MPS_Ch2_CLR", 2, 1 },
24534		{ "TP2MPS_Ch1_CLR", 1, 1 },
24535		{ "TP2MPS_Ch0_CLR", 0, 1 },
24536	{ "MPS_TX_CGEN", 0x946c, 0 },
24537		{ "TxOutLpbk3_CGEN", 31, 1 },
24538		{ "TxOutLpbk2_CGEN", 30, 1 },
24539		{ "TxOutLpbk1_CGEN", 29, 1 },
24540		{ "TxOutLpbk0_CGEN", 28, 1 },
24541		{ "TxOutMAC3_CGEN", 27, 1 },
24542		{ "TxOutMAC2_CGEN", 26, 1 },
24543		{ "TxOutMAC1_CGEN", 25, 1 },
24544		{ "TxOutMAC0_CGEN", 24, 1 },
24545		{ "TxSchLpbk3_CGEN", 23, 1 },
24546		{ "TxSchLpbk2_CGEN", 22, 1 },
24547		{ "TxSchLpbk1_CGEN", 21, 1 },
24548		{ "TxSchLpbk0_CGEN", 20, 1 },
24549		{ "TxSchMAC3_CGEN", 19, 1 },
24550		{ "TxSchMAC2_CGEN", 18, 1 },
24551		{ "TxSchMAC1_CGEN", 17, 1 },
24552		{ "TxSchMAC0_CGEN", 16, 1 },
24553		{ "TxInCh4_CGEN", 15, 1 },
24554		{ "TxInCh3_CGEN", 14, 1 },
24555		{ "TxInCh2_CGEN", 13, 1 },
24556		{ "TxInCh1_CGEN", 12, 1 },
24557		{ "TxInCh0_CGEN", 11, 1 },
24558	{ "MPS_TX_CGEN_DYNAMIC", 0x9470, 0 },
24559		{ "TxOutLpbk3_CGEN", 31, 1 },
24560		{ "TxOutLpbk2_CGEN", 30, 1 },
24561		{ "TxOutLpbk1_CGEN", 29, 1 },
24562		{ "TxOutLpbk0_CGEN", 28, 1 },
24563		{ "TxOutMAC3_CGEN", 27, 1 },
24564		{ "TxOutMAC2_CGEN", 26, 1 },
24565		{ "TxOutMAC1_CGEN", 25, 1 },
24566		{ "TxOutMAC0_CGEN", 24, 1 },
24567		{ "TxSchLpbk3_CGEN", 23, 1 },
24568		{ "TxSchLpbk2_CGEN", 22, 1 },
24569		{ "TxSchLpbk1_CGEN", 21, 1 },
24570		{ "TxSchLpbk0_CGEN", 20, 1 },
24571		{ "TxSchMAC3_CGEN", 19, 1 },
24572		{ "TxSchMAC2_CGEN", 18, 1 },
24573		{ "TxSchMAC1_CGEN", 17, 1 },
24574		{ "TxSchMAC0_CGEN", 16, 1 },
24575		{ "TxInCh4_CGEN", 15, 1 },
24576		{ "TxInCh3_CGEN", 14, 1 },
24577		{ "TxInCh2_CGEN", 13, 1 },
24578		{ "TxInCh1_CGEN", 12, 1 },
24579		{ "TxInCh0_CGEN", 11, 1 },
24580	{ "MPS_PF_TX_QINQ_VLAN", 0x1e2e0, 0 },
24581		{ "ProtocolID", 16, 16 },
24582		{ "Priority", 13, 3 },
24583		{ "CFI", 12, 1 },
24584		{ "Tag", 0, 12 },
24585	{ "MPS_PF_TX_QINQ_VLAN", 0x1e6e0, 0 },
24586		{ "ProtocolID", 16, 16 },
24587		{ "Priority", 13, 3 },
24588		{ "CFI", 12, 1 },
24589		{ "Tag", 0, 12 },
24590	{ "MPS_PF_TX_QINQ_VLAN", 0x1eae0, 0 },
24591		{ "ProtocolID", 16, 16 },
24592		{ "Priority", 13, 3 },
24593		{ "CFI", 12, 1 },
24594		{ "Tag", 0, 12 },
24595	{ "MPS_PF_TX_QINQ_VLAN", 0x1eee0, 0 },
24596		{ "ProtocolID", 16, 16 },
24597		{ "Priority", 13, 3 },
24598		{ "CFI", 12, 1 },
24599		{ "Tag", 0, 12 },
24600	{ "MPS_PF_TX_QINQ_VLAN", 0x1f2e0, 0 },
24601		{ "ProtocolID", 16, 16 },
24602		{ "Priority", 13, 3 },
24603		{ "CFI", 12, 1 },
24604		{ "Tag", 0, 12 },
24605	{ "MPS_PF_TX_QINQ_VLAN", 0x1f6e0, 0 },
24606		{ "ProtocolID", 16, 16 },
24607		{ "Priority", 13, 3 },
24608		{ "CFI", 12, 1 },
24609		{ "Tag", 0, 12 },
24610	{ "MPS_PF_TX_QINQ_VLAN", 0x1fae0, 0 },
24611		{ "ProtocolID", 16, 16 },
24612		{ "Priority", 13, 3 },
24613		{ "CFI", 12, 1 },
24614		{ "Tag", 0, 12 },
24615	{ "MPS_PF_TX_QINQ_VLAN", 0x1fee0, 0 },
24616		{ "ProtocolID", 16, 16 },
24617		{ "Priority", 13, 3 },
24618		{ "CFI", 12, 1 },
24619		{ "Tag", 0, 12 },
24620	{ "MPS_PORT_TX_MAC_RELOAD_CH0", 0x30190, 0 },
24621	{ "MPS_PORT_TX_MAC_RELOAD_CH1", 0x30194, 0 },
24622	{ "MPS_PORT_TX_MAC_RELOAD_CH2", 0x30198, 0 },
24623	{ "MPS_PORT_TX_MAC_RELOAD_CH3", 0x3019c, 0 },
24624	{ "MPS_PORT_TX_MAC_RELOAD_CH4", 0x301a0, 0 },
24625	{ "MPS_PORT_TX_LPBK_RELOAD_CH0", 0x301a8, 0 },
24626	{ "MPS_PORT_TX_LPBK_RELOAD_CH1", 0x301ac, 0 },
24627	{ "MPS_PORT_TX_LPBK_RELOAD_CH2", 0x301b0, 0 },
24628	{ "MPS_PORT_TX_LPBK_RELOAD_CH3", 0x301b4, 0 },
24629	{ "MPS_PORT_TX_LPBK_RELOAD_CH4", 0x301b8, 0 },
24630	{ "MPS_PORT_TX_FIFO_CTL", 0x301c4, 0 },
24631		{ "FifoTh", 5, 9 },
24632		{ "FifoEn", 4, 1 },
24633		{ "MaxPktCnt", 0, 4 },
24634	{ "MPS_PORT_FPGA_PAUSE_CTL", 0x301c8, 0 },
24635	{ "MPS_PORT_TX_PAUSE_PENDING_STATUS", 0x301d0, 0 },
24636		{ "off_pending", 8, 8 },
24637		{ "on_pending", 0, 8 },
24638	{ "MPS_PORT_TX_MAC_RELOAD_CH0", 0x34190, 0 },
24639	{ "MPS_PORT_TX_MAC_RELOAD_CH1", 0x34194, 0 },
24640	{ "MPS_PORT_TX_MAC_RELOAD_CH2", 0x34198, 0 },
24641	{ "MPS_PORT_TX_MAC_RELOAD_CH3", 0x3419c, 0 },
24642	{ "MPS_PORT_TX_MAC_RELOAD_CH4", 0x341a0, 0 },
24643	{ "MPS_PORT_TX_LPBK_RELOAD_CH0", 0x341a8, 0 },
24644	{ "MPS_PORT_TX_LPBK_RELOAD_CH1", 0x341ac, 0 },
24645	{ "MPS_PORT_TX_LPBK_RELOAD_CH2", 0x341b0, 0 },
24646	{ "MPS_PORT_TX_LPBK_RELOAD_CH3", 0x341b4, 0 },
24647	{ "MPS_PORT_TX_LPBK_RELOAD_CH4", 0x341b8, 0 },
24648	{ "MPS_PORT_TX_FIFO_CTL", 0x341c4, 0 },
24649		{ "FifoTh", 5, 9 },
24650		{ "FifoEn", 4, 1 },
24651		{ "MaxPktCnt", 0, 4 },
24652	{ "MPS_PORT_FPGA_PAUSE_CTL", 0x341c8, 0 },
24653	{ "MPS_PORT_TX_PAUSE_PENDING_STATUS", 0x341d0, 0 },
24654		{ "off_pending", 8, 8 },
24655		{ "on_pending", 0, 8 },
24656	{ "MPS_PORT_TX_MAC_RELOAD_CH0", 0x38190, 0 },
24657	{ "MPS_PORT_TX_MAC_RELOAD_CH1", 0x38194, 0 },
24658	{ "MPS_PORT_TX_MAC_RELOAD_CH2", 0x38198, 0 },
24659	{ "MPS_PORT_TX_MAC_RELOAD_CH3", 0x3819c, 0 },
24660	{ "MPS_PORT_TX_MAC_RELOAD_CH4", 0x381a0, 0 },
24661	{ "MPS_PORT_TX_LPBK_RELOAD_CH0", 0x381a8, 0 },
24662	{ "MPS_PORT_TX_LPBK_RELOAD_CH1", 0x381ac, 0 },
24663	{ "MPS_PORT_TX_LPBK_RELOAD_CH2", 0x381b0, 0 },
24664	{ "MPS_PORT_TX_LPBK_RELOAD_CH3", 0x381b4, 0 },
24665	{ "MPS_PORT_TX_LPBK_RELOAD_CH4", 0x381b8, 0 },
24666	{ "MPS_PORT_TX_FIFO_CTL", 0x381c4, 0 },
24667		{ "FifoTh", 5, 9 },
24668		{ "FifoEn", 4, 1 },
24669		{ "MaxPktCnt", 0, 4 },
24670	{ "MPS_PORT_FPGA_PAUSE_CTL", 0x381c8, 0 },
24671	{ "MPS_PORT_TX_PAUSE_PENDING_STATUS", 0x381d0, 0 },
24672		{ "off_pending", 8, 8 },
24673		{ "on_pending", 0, 8 },
24674	{ "MPS_PORT_TX_MAC_RELOAD_CH0", 0x3c190, 0 },
24675	{ "MPS_PORT_TX_MAC_RELOAD_CH1", 0x3c194, 0 },
24676	{ "MPS_PORT_TX_MAC_RELOAD_CH2", 0x3c198, 0 },
24677	{ "MPS_PORT_TX_MAC_RELOAD_CH3", 0x3c19c, 0 },
24678	{ "MPS_PORT_TX_MAC_RELOAD_CH4", 0x3c1a0, 0 },
24679	{ "MPS_PORT_TX_LPBK_RELOAD_CH0", 0x3c1a8, 0 },
24680	{ "MPS_PORT_TX_LPBK_RELOAD_CH1", 0x3c1ac, 0 },
24681	{ "MPS_PORT_TX_LPBK_RELOAD_CH2", 0x3c1b0, 0 },
24682	{ "MPS_PORT_TX_LPBK_RELOAD_CH3", 0x3c1b4, 0 },
24683	{ "MPS_PORT_TX_LPBK_RELOAD_CH4", 0x3c1b8, 0 },
24684	{ "MPS_PORT_TX_FIFO_CTL", 0x3c1c4, 0 },
24685		{ "FifoTh", 5, 9 },
24686		{ "FifoEn", 4, 1 },
24687		{ "MaxPktCnt", 0, 4 },
24688	{ "MPS_PORT_FPGA_PAUSE_CTL", 0x3c1c8, 0 },
24689	{ "MPS_PORT_TX_PAUSE_PENDING_STATUS", 0x3c1d0, 0 },
24690		{ "off_pending", 8, 8 },
24691		{ "on_pending", 0, 8 },
24692	{ "MPS_TRC_CFG", 0x9800, 0 },
24693		{ "TrcMultiRSSFilter", 5, 1 },
24694		{ "TrcFifoEmpty", 4, 1 },
24695		{ "TrcIgnoreDropInput", 3, 1 },
24696		{ "TrcKeepDuplicates", 2, 1 },
24697		{ "TrcEn", 1, 1 },
24698		{ "TrcMultiFilter", 0, 1 },
24699	{ "MPS_TRC_FILTER0_RSS_HASH", 0x9804, 0 },
24700	{ "MPS_TRC_FILTER0_RSS_CONTROL", 0x9808, 0 },
24701		{ "RssControl", 16, 8 },
24702		{ "QueueNumber", 0, 16 },
24703	{ "MPS_TRC_FILTER1_RSS_HASH", 0x9ff0, 0 },
24704	{ "MPS_TRC_FILTER1_RSS_CONTROL", 0x9ff4, 0 },
24705		{ "RssControl", 16, 8 },
24706		{ "QueueNumber", 0, 16 },
24707	{ "MPS_TRC_FILTER2_RSS_HASH", 0x9ff8, 0 },
24708	{ "MPS_TRC_FILTER2_RSS_CONTROL", 0x9ffc, 0 },
24709		{ "RssControl", 16, 8 },
24710		{ "QueueNumber", 0, 16 },
24711	{ "MPS_TRC_FILTER3_RSS_HASH", 0xa000, 0 },
24712	{ "MPS_TRC_FILTER3_RSS_CONTROL", 0xa004, 0 },
24713		{ "RssControl", 16, 8 },
24714		{ "QueueNumber", 0, 16 },
24715	{ "MPS_TRC_RSS_HASH", 0xa008, 0 },
24716	{ "MPS_TRC_RSS_CONTROL", 0xa00c, 0 },
24717		{ "RssControl", 16, 8 },
24718		{ "QueueNumber", 0, 16 },
24719	{ "MPS_TRC_VF_OFF_FILTER_0", 0xa010, 0 },
24720		{ "TrcMPS2TP_MacOnly", 20, 1 },
24721		{ "TrcAllMPS2TP", 19, 1 },
24722		{ "TrcAllTP2MPS", 18, 1 },
24723		{ "TrcAllVf", 17, 1 },
24724		{ "OffEn", 16, 1 },
24725		{ "VfFiltEn", 15, 1 },
24726		{ "VfFiltMask", 8, 7 },
24727		{ "VfFiltValid", 7, 1 },
24728		{ "VfFiltData", 0, 7 },
24729	{ "MPS_TRC_VF_OFF_FILTER_1", 0xa014, 0 },
24730		{ "TrcMPS2TP_MacOnly", 20, 1 },
24731		{ "TrcAllMPS2TP", 19, 1 },
24732		{ "TrcAllTP2MPS", 18, 1 },
24733		{ "TrcAllVf", 17, 1 },
24734		{ "OffEn", 16, 1 },
24735		{ "VfFiltEn", 15, 1 },
24736		{ "VfFiltMask", 8, 7 },
24737		{ "VfFiltValid", 7, 1 },
24738		{ "VfFiltData", 0, 7 },
24739	{ "MPS_TRC_VF_OFF_FILTER_2", 0xa018, 0 },
24740		{ "TrcMPS2TP_MacOnly", 20, 1 },
24741		{ "TrcAllMPS2TP", 19, 1 },
24742		{ "TrcAllTP2MPS", 18, 1 },
24743		{ "TrcAllVf", 17, 1 },
24744		{ "OffEn", 16, 1 },
24745		{ "VfFiltEn", 15, 1 },
24746		{ "VfFiltMask", 8, 7 },
24747		{ "VfFiltValid", 7, 1 },
24748		{ "VfFiltData", 0, 7 },
24749	{ "MPS_TRC_VF_OFF_FILTER_3", 0xa01c, 0 },
24750		{ "TrcMPS2TP_MacOnly", 20, 1 },
24751		{ "TrcAllMPS2TP", 19, 1 },
24752		{ "TrcAllTP2MPS", 18, 1 },
24753		{ "TrcAllVf", 17, 1 },
24754		{ "OffEn", 16, 1 },
24755		{ "VfFiltEn", 15, 1 },
24756		{ "VfFiltMask", 8, 7 },
24757		{ "VfFiltValid", 7, 1 },
24758		{ "VfFiltData", 0, 7 },
24759	{ "MPS_TRC_CGEN", 0xa020, 0 },
24760	{ "MPS_TRC_FILTER_MATCH_CTL_A", 0x9810, 0 },
24761		{ "TfInsertActLen", 27, 1 },
24762		{ "TfInsertTimer", 26, 1 },
24763		{ "TfInvertMatch", 25, 1 },
24764		{ "TfPktTooLarge", 24, 1 },
24765		{ "TfEn", 23, 1 },
24766		{ "TfPort", 18, 5 },
24767		{ "TfDrop", 17, 1 },
24768		{ "TfSopEopErr", 16, 1 },
24769		{ "TfLength", 8, 5 },
24770		{ "TfOffset", 0, 5 },
24771	{ "MPS_TRC_FILTER_MATCH_CTL_A", 0x9814, 0 },
24772		{ "TfInsertActLen", 27, 1 },
24773		{ "TfInsertTimer", 26, 1 },
24774		{ "TfInvertMatch", 25, 1 },
24775		{ "TfPktTooLarge", 24, 1 },
24776		{ "TfEn", 23, 1 },
24777		{ "TfPort", 18, 5 },
24778		{ "TfDrop", 17, 1 },
24779		{ "TfSopEopErr", 16, 1 },
24780		{ "TfLength", 8, 5 },
24781		{ "TfOffset", 0, 5 },
24782	{ "MPS_TRC_FILTER_MATCH_CTL_A", 0x9818, 0 },
24783		{ "TfInsertActLen", 27, 1 },
24784		{ "TfInsertTimer", 26, 1 },
24785		{ "TfInvertMatch", 25, 1 },
24786		{ "TfPktTooLarge", 24, 1 },
24787		{ "TfEn", 23, 1 },
24788		{ "TfPort", 18, 5 },
24789		{ "TfDrop", 17, 1 },
24790		{ "TfSopEopErr", 16, 1 },
24791		{ "TfLength", 8, 5 },
24792		{ "TfOffset", 0, 5 },
24793	{ "MPS_TRC_FILTER_MATCH_CTL_A", 0x981c, 0 },
24794		{ "TfInsertActLen", 27, 1 },
24795		{ "TfInsertTimer", 26, 1 },
24796		{ "TfInvertMatch", 25, 1 },
24797		{ "TfPktTooLarge", 24, 1 },
24798		{ "TfEn", 23, 1 },
24799		{ "TfPort", 18, 5 },
24800		{ "TfDrop", 17, 1 },
24801		{ "TfSopEopErr", 16, 1 },
24802		{ "TfLength", 8, 5 },
24803		{ "TfOffset", 0, 5 },
24804	{ "MPS_TRC_FILTER_MATCH_CTL_B", 0x9820, 0 },
24805		{ "TfMinPktSize", 16, 9 },
24806		{ "TfCaptureMax", 0, 14 },
24807	{ "MPS_TRC_FILTER_MATCH_CTL_B", 0x9824, 0 },
24808		{ "TfMinPktSize", 16, 9 },
24809		{ "TfCaptureMax", 0, 14 },
24810	{ "MPS_TRC_FILTER_MATCH_CTL_B", 0x9828, 0 },
24811		{ "TfMinPktSize", 16, 9 },
24812		{ "TfCaptureMax", 0, 14 },
24813	{ "MPS_TRC_FILTER_MATCH_CTL_B", 0x982c, 0 },
24814		{ "TfMinPktSize", 16, 9 },
24815		{ "TfCaptureMax", 0, 14 },
24816	{ "MPS_TRC_FILTER_RUNT_CTL", 0x9830, 0 },
24817	{ "MPS_TRC_FILTER_RUNT_CTL", 0x9834, 0 },
24818	{ "MPS_TRC_FILTER_RUNT_CTL", 0x9838, 0 },
24819	{ "MPS_TRC_FILTER_RUNT_CTL", 0x983c, 0 },
24820	{ "MPS_TRC_FILTER_DROP", 0x9840, 0 },
24821		{ "TfDropInpCount", 16, 16 },
24822		{ "TfDropBufferCount", 0, 16 },
24823	{ "MPS_TRC_FILTER_DROP", 0x9844, 0 },
24824		{ "TfDropInpCount", 16, 16 },
24825		{ "TfDropBufferCount", 0, 16 },
24826	{ "MPS_TRC_FILTER_DROP", 0x9848, 0 },
24827		{ "TfDropInpCount", 16, 16 },
24828		{ "TfDropBufferCount", 0, 16 },
24829	{ "MPS_TRC_FILTER_DROP", 0x984c, 0 },
24830		{ "TfDropInpCount", 16, 16 },
24831		{ "TfDropBufferCount", 0, 16 },
24832	{ "MPS_TRC_PERR_INJECT", 0x9850, 0 },
24833		{ "MemSel", 1, 4 },
24834		{ "InjectDataErr", 0, 1 },
24835	{ "MPS_TRC_PERR_ENABLE", 0x9854, 0 },
24836		{ "MiscPerr", 8, 1 },
24837		{ "PktFifo", 4, 4 },
24838		{ "FiltMem", 0, 4 },
24839	{ "MPS_TRC_INT_ENABLE", 0x9858, 0 },
24840		{ "PLErrEnb", 9, 1 },
24841		{ "MiscPerr", 8, 1 },
24842		{ "PktFifo", 4, 4 },
24843		{ "FiltMem", 0, 4 },
24844	{ "MPS_TRC_INT_CAUSE", 0x985c, 0 },
24845		{ "PLErrEnb", 9, 1 },
24846		{ "MiscPerr", 8, 1 },
24847		{ "PktFifo", 4, 4 },
24848		{ "FiltMem", 0, 4 },
24849	{ "MPS_TRC_TIMESTAMP_L", 0x9860, 0 },
24850	{ "MPS_TRC_TIMESTAMP_H", 0x9864, 0 },
24851	{ "MPS_TRC_FILTER0_MATCH", 0x9c00, 0 },
24852	{ "MPS_TRC_FILTER0_MATCH", 0x9c04, 0 },
24853	{ "MPS_TRC_FILTER0_MATCH", 0x9c08, 0 },
24854	{ "MPS_TRC_FILTER0_MATCH", 0x9c0c, 0 },
24855	{ "MPS_TRC_FILTER0_MATCH", 0x9c10, 0 },
24856	{ "MPS_TRC_FILTER0_MATCH", 0x9c14, 0 },
24857	{ "MPS_TRC_FILTER0_MATCH", 0x9c18, 0 },
24858	{ "MPS_TRC_FILTER0_MATCH", 0x9c1c, 0 },
24859	{ "MPS_TRC_FILTER0_MATCH", 0x9c20, 0 },
24860	{ "MPS_TRC_FILTER0_MATCH", 0x9c24, 0 },
24861	{ "MPS_TRC_FILTER0_MATCH", 0x9c28, 0 },
24862	{ "MPS_TRC_FILTER0_MATCH", 0x9c2c, 0 },
24863	{ "MPS_TRC_FILTER0_MATCH", 0x9c30, 0 },
24864	{ "MPS_TRC_FILTER0_MATCH", 0x9c34, 0 },
24865	{ "MPS_TRC_FILTER0_MATCH", 0x9c38, 0 },
24866	{ "MPS_TRC_FILTER0_MATCH", 0x9c3c, 0 },
24867	{ "MPS_TRC_FILTER0_MATCH", 0x9c40, 0 },
24868	{ "MPS_TRC_FILTER0_MATCH", 0x9c44, 0 },
24869	{ "MPS_TRC_FILTER0_MATCH", 0x9c48, 0 },
24870	{ "MPS_TRC_FILTER0_MATCH", 0x9c4c, 0 },
24871	{ "MPS_TRC_FILTER0_MATCH", 0x9c50, 0 },
24872	{ "MPS_TRC_FILTER0_MATCH", 0x9c54, 0 },
24873	{ "MPS_TRC_FILTER0_MATCH", 0x9c58, 0 },
24874	{ "MPS_TRC_FILTER0_MATCH", 0x9c5c, 0 },
24875	{ "MPS_TRC_FILTER0_MATCH", 0x9c60, 0 },
24876	{ "MPS_TRC_FILTER0_MATCH", 0x9c64, 0 },
24877	{ "MPS_TRC_FILTER0_MATCH", 0x9c68, 0 },
24878	{ "MPS_TRC_FILTER0_MATCH", 0x9c6c, 0 },
24879	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9c80, 0 },
24880	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9c84, 0 },
24881	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9c88, 0 },
24882	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9c8c, 0 },
24883	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9c90, 0 },
24884	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9c94, 0 },
24885	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9c98, 0 },
24886	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9c9c, 0 },
24887	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9ca0, 0 },
24888	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9ca4, 0 },
24889	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9ca8, 0 },
24890	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cac, 0 },
24891	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cb0, 0 },
24892	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cb4, 0 },
24893	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cb8, 0 },
24894	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cbc, 0 },
24895	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cc0, 0 },
24896	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cc4, 0 },
24897	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cc8, 0 },
24898	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9ccc, 0 },
24899	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cd0, 0 },
24900	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cd4, 0 },
24901	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cd8, 0 },
24902	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cdc, 0 },
24903	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9ce0, 0 },
24904	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9ce4, 0 },
24905	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9ce8, 0 },
24906	{ "MPS_TRC_FILTER0_DONT_CARE", 0x9cec, 0 },
24907	{ "MPS_TRC_FILTER1_MATCH", 0x9d00, 0 },
24908	{ "MPS_TRC_FILTER1_MATCH", 0x9d04, 0 },
24909	{ "MPS_TRC_FILTER1_MATCH", 0x9d08, 0 },
24910	{ "MPS_TRC_FILTER1_MATCH", 0x9d0c, 0 },
24911	{ "MPS_TRC_FILTER1_MATCH", 0x9d10, 0 },
24912	{ "MPS_TRC_FILTER1_MATCH", 0x9d14, 0 },
24913	{ "MPS_TRC_FILTER1_MATCH", 0x9d18, 0 },
24914	{ "MPS_TRC_FILTER1_MATCH", 0x9d1c, 0 },
24915	{ "MPS_TRC_FILTER1_MATCH", 0x9d20, 0 },
24916	{ "MPS_TRC_FILTER1_MATCH", 0x9d24, 0 },
24917	{ "MPS_TRC_FILTER1_MATCH", 0x9d28, 0 },
24918	{ "MPS_TRC_FILTER1_MATCH", 0x9d2c, 0 },
24919	{ "MPS_TRC_FILTER1_MATCH", 0x9d30, 0 },
24920	{ "MPS_TRC_FILTER1_MATCH", 0x9d34, 0 },
24921	{ "MPS_TRC_FILTER1_MATCH", 0x9d38, 0 },
24922	{ "MPS_TRC_FILTER1_MATCH", 0x9d3c, 0 },
24923	{ "MPS_TRC_FILTER1_MATCH", 0x9d40, 0 },
24924	{ "MPS_TRC_FILTER1_MATCH", 0x9d44, 0 },
24925	{ "MPS_TRC_FILTER1_MATCH", 0x9d48, 0 },
24926	{ "MPS_TRC_FILTER1_MATCH", 0x9d4c, 0 },
24927	{ "MPS_TRC_FILTER1_MATCH", 0x9d50, 0 },
24928	{ "MPS_TRC_FILTER1_MATCH", 0x9d54, 0 },
24929	{ "MPS_TRC_FILTER1_MATCH", 0x9d58, 0 },
24930	{ "MPS_TRC_FILTER1_MATCH", 0x9d5c, 0 },
24931	{ "MPS_TRC_FILTER1_MATCH", 0x9d60, 0 },
24932	{ "MPS_TRC_FILTER1_MATCH", 0x9d64, 0 },
24933	{ "MPS_TRC_FILTER1_MATCH", 0x9d68, 0 },
24934	{ "MPS_TRC_FILTER1_MATCH", 0x9d6c, 0 },
24935	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9d80, 0 },
24936	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9d84, 0 },
24937	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9d88, 0 },
24938	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9d8c, 0 },
24939	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9d90, 0 },
24940	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9d94, 0 },
24941	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9d98, 0 },
24942	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9d9c, 0 },
24943	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9da0, 0 },
24944	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9da4, 0 },
24945	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9da8, 0 },
24946	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dac, 0 },
24947	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9db0, 0 },
24948	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9db4, 0 },
24949	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9db8, 0 },
24950	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dbc, 0 },
24951	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dc0, 0 },
24952	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dc4, 0 },
24953	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dc8, 0 },
24954	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dcc, 0 },
24955	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dd0, 0 },
24956	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dd4, 0 },
24957	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dd8, 0 },
24958	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9ddc, 0 },
24959	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9de0, 0 },
24960	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9de4, 0 },
24961	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9de8, 0 },
24962	{ "MPS_TRC_FILTER1_DONT_CARE", 0x9dec, 0 },
24963	{ "MPS_TRC_FILTER2_MATCH", 0x9e00, 0 },
24964	{ "MPS_TRC_FILTER2_MATCH", 0x9e04, 0 },
24965	{ "MPS_TRC_FILTER2_MATCH", 0x9e08, 0 },
24966	{ "MPS_TRC_FILTER2_MATCH", 0x9e0c, 0 },
24967	{ "MPS_TRC_FILTER2_MATCH", 0x9e10, 0 },
24968	{ "MPS_TRC_FILTER2_MATCH", 0x9e14, 0 },
24969	{ "MPS_TRC_FILTER2_MATCH", 0x9e18, 0 },
24970	{ "MPS_TRC_FILTER2_MATCH", 0x9e1c, 0 },
24971	{ "MPS_TRC_FILTER2_MATCH", 0x9e20, 0 },
24972	{ "MPS_TRC_FILTER2_MATCH", 0x9e24, 0 },
24973	{ "MPS_TRC_FILTER2_MATCH", 0x9e28, 0 },
24974	{ "MPS_TRC_FILTER2_MATCH", 0x9e2c, 0 },
24975	{ "MPS_TRC_FILTER2_MATCH", 0x9e30, 0 },
24976	{ "MPS_TRC_FILTER2_MATCH", 0x9e34, 0 },
24977	{ "MPS_TRC_FILTER2_MATCH", 0x9e38, 0 },
24978	{ "MPS_TRC_FILTER2_MATCH", 0x9e3c, 0 },
24979	{ "MPS_TRC_FILTER2_MATCH", 0x9e40, 0 },
24980	{ "MPS_TRC_FILTER2_MATCH", 0x9e44, 0 },
24981	{ "MPS_TRC_FILTER2_MATCH", 0x9e48, 0 },
24982	{ "MPS_TRC_FILTER2_MATCH", 0x9e4c, 0 },
24983	{ "MPS_TRC_FILTER2_MATCH", 0x9e50, 0 },
24984	{ "MPS_TRC_FILTER2_MATCH", 0x9e54, 0 },
24985	{ "MPS_TRC_FILTER2_MATCH", 0x9e58, 0 },
24986	{ "MPS_TRC_FILTER2_MATCH", 0x9e5c, 0 },
24987	{ "MPS_TRC_FILTER2_MATCH", 0x9e60, 0 },
24988	{ "MPS_TRC_FILTER2_MATCH", 0x9e64, 0 },
24989	{ "MPS_TRC_FILTER2_MATCH", 0x9e68, 0 },
24990	{ "MPS_TRC_FILTER2_MATCH", 0x9e6c, 0 },
24991	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9e80, 0 },
24992	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9e84, 0 },
24993	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9e88, 0 },
24994	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9e8c, 0 },
24995	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9e90, 0 },
24996	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9e94, 0 },
24997	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9e98, 0 },
24998	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9e9c, 0 },
24999	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ea0, 0 },
25000	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ea4, 0 },
25001	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ea8, 0 },
25002	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9eac, 0 },
25003	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9eb0, 0 },
25004	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9eb4, 0 },
25005	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9eb8, 0 },
25006	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ebc, 0 },
25007	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ec0, 0 },
25008	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ec4, 0 },
25009	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ec8, 0 },
25010	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ecc, 0 },
25011	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ed0, 0 },
25012	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ed4, 0 },
25013	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ed8, 0 },
25014	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9edc, 0 },
25015	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ee0, 0 },
25016	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ee4, 0 },
25017	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9ee8, 0 },
25018	{ "MPS_TRC_FILTER2_DONT_CARE", 0x9eec, 0 },
25019	{ "MPS_TRC_FILTER3_MATCH", 0x9f00, 0 },
25020	{ "MPS_TRC_FILTER3_MATCH", 0x9f04, 0 },
25021	{ "MPS_TRC_FILTER3_MATCH", 0x9f08, 0 },
25022	{ "MPS_TRC_FILTER3_MATCH", 0x9f0c, 0 },
25023	{ "MPS_TRC_FILTER3_MATCH", 0x9f10, 0 },
25024	{ "MPS_TRC_FILTER3_MATCH", 0x9f14, 0 },
25025	{ "MPS_TRC_FILTER3_MATCH", 0x9f18, 0 },
25026	{ "MPS_TRC_FILTER3_MATCH", 0x9f1c, 0 },
25027	{ "MPS_TRC_FILTER3_MATCH", 0x9f20, 0 },
25028	{ "MPS_TRC_FILTER3_MATCH", 0x9f24, 0 },
25029	{ "MPS_TRC_FILTER3_MATCH", 0x9f28, 0 },
25030	{ "MPS_TRC_FILTER3_MATCH", 0x9f2c, 0 },
25031	{ "MPS_TRC_FILTER3_MATCH", 0x9f30, 0 },
25032	{ "MPS_TRC_FILTER3_MATCH", 0x9f34, 0 },
25033	{ "MPS_TRC_FILTER3_MATCH", 0x9f38, 0 },
25034	{ "MPS_TRC_FILTER3_MATCH", 0x9f3c, 0 },
25035	{ "MPS_TRC_FILTER3_MATCH", 0x9f40, 0 },
25036	{ "MPS_TRC_FILTER3_MATCH", 0x9f44, 0 },
25037	{ "MPS_TRC_FILTER3_MATCH", 0x9f48, 0 },
25038	{ "MPS_TRC_FILTER3_MATCH", 0x9f4c, 0 },
25039	{ "MPS_TRC_FILTER3_MATCH", 0x9f50, 0 },
25040	{ "MPS_TRC_FILTER3_MATCH", 0x9f54, 0 },
25041	{ "MPS_TRC_FILTER3_MATCH", 0x9f58, 0 },
25042	{ "MPS_TRC_FILTER3_MATCH", 0x9f5c, 0 },
25043	{ "MPS_TRC_FILTER3_MATCH", 0x9f60, 0 },
25044	{ "MPS_TRC_FILTER3_MATCH", 0x9f64, 0 },
25045	{ "MPS_TRC_FILTER3_MATCH", 0x9f68, 0 },
25046	{ "MPS_TRC_FILTER3_MATCH", 0x9f6c, 0 },
25047	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9f80, 0 },
25048	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9f84, 0 },
25049	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9f88, 0 },
25050	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9f8c, 0 },
25051	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9f90, 0 },
25052	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9f94, 0 },
25053	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9f98, 0 },
25054	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9f9c, 0 },
25055	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fa0, 0 },
25056	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fa4, 0 },
25057	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fa8, 0 },
25058	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fac, 0 },
25059	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fb0, 0 },
25060	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fb4, 0 },
25061	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fb8, 0 },
25062	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fbc, 0 },
25063	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fc0, 0 },
25064	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fc4, 0 },
25065	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fc8, 0 },
25066	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fcc, 0 },
25067	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fd0, 0 },
25068	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fd4, 0 },
25069	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fd8, 0 },
25070	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fdc, 0 },
25071	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fe0, 0 },
25072	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fe4, 0 },
25073	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fe8, 0 },
25074	{ "MPS_TRC_FILTER3_DONT_CARE", 0x9fec, 0 },
25075	{ "MPS_STAT_CTL", 0x9600, 0 },
25076		{ "StatStopCtrl", 10, 1 },
25077		{ "StopStat", 9, 1 },
25078		{ "StatWriteCtrl", 8, 1 },
25079		{ "CountLbPF", 7, 1 },
25080		{ "CountLbVF", 6, 1 },
25081		{ "CountPauseMCRx", 5, 1 },
25082		{ "CountPauseStatRx", 4, 1 },
25083		{ "CountPauseMCTx", 3, 1 },
25084		{ "CountPauseStatTx", 2, 1 },
25085		{ "CountVFinPF", 1, 1 },
25086		{ "LpbkErrStat", 0, 1 },
25087	{ "MPS_STAT_INT_ENABLE", 0x9608, 0 },
25088	{ "MPS_STAT_INT_CAUSE", 0x960c, 0 },
25089	{ "MPS_STAT_PERR_INT_ENABLE_SRAM", 0x9610, 0 },
25090		{ "Rxbg", 27, 2 },
25091		{ "Rxpf", 22, 5 },
25092		{ "Txpf", 18, 4 },
25093		{ "Rxport", 11, 7 },
25094		{ "Lbport", 6, 5 },
25095		{ "Txport", 0, 6 },
25096	{ "MPS_STAT_PERR_INT_CAUSE_SRAM", 0x9614, 0 },
25097		{ "Rxbg", 27, 2 },
25098		{ "Rxpf", 22, 5 },
25099		{ "Txpf", 18, 4 },
25100		{ "Rxport", 11, 7 },
25101		{ "Lbport", 6, 5 },
25102		{ "Txport", 0, 6 },
25103	{ "MPS_STAT_PERR_ENABLE_SRAM", 0x9618, 0 },
25104		{ "Rxbg", 27, 2 },
25105		{ "Rxpf", 22, 5 },
25106		{ "Txpf", 18, 4 },
25107		{ "Rxport", 11, 7 },
25108		{ "Lbport", 6, 5 },
25109		{ "Txport", 0, 6 },
25110	{ "MPS_STAT_PERR_INT_ENABLE_TX_FIFO", 0x961c, 0 },
25111		{ "TxCh", 20, 4 },
25112		{ "Tx", 12, 8 },
25113		{ "Pause", 8, 4 },
25114		{ "Drop", 0, 8 },
25115	{ "MPS_STAT_PERR_INT_CAUSE_TX_FIFO", 0x9620, 0 },
25116		{ "TxCh", 20, 4 },
25117		{ "Tx", 12, 8 },
25118		{ "Pause", 8, 4 },
25119		{ "Drop", 0, 8 },
25120	{ "MPS_STAT_PERR_ENABLE_TX_FIFO", 0x9624, 0 },
25121		{ "TxCh", 20, 4 },
25122		{ "Tx", 12, 8 },
25123		{ "Pause", 8, 4 },
25124		{ "Drop", 0, 8 },
25125	{ "MPS_STAT_PERR_INT_ENABLE_RX_FIFO", 0x9628, 0 },
25126		{ "Pause", 20, 4 },
25127		{ "Lpbk", 16, 4 },
25128		{ "Nq", 8, 8 },
25129		{ "PV", 4, 4 },
25130		{ "Mac", 0, 4 },
25131	{ "MPS_STAT_PERR_INT_CAUSE_RX_FIFO", 0x962c, 0 },
25132		{ "Pause", 20, 4 },
25133		{ "Lpbk", 16, 4 },
25134		{ "Nq", 8, 8 },
25135		{ "PV", 4, 4 },
25136		{ "Mac", 0, 4 },
25137	{ "MPS_STAT_PERR_ENABLE_RX_FIFO", 0x9630, 0 },
25138		{ "Pause", 20, 4 },
25139		{ "Lpbk", 16, 4 },
25140		{ "Nq", 8, 8 },
25141		{ "PV", 4, 4 },
25142		{ "Mac", 0, 4 },
25143	{ "MPS_STAT_PERR_INJECT", 0x9634, 0 },
25144		{ "MemSel", 1, 7 },
25145		{ "InjectDataErr", 0, 1 },
25146	{ "MPS_STAT_DEBUG_SUB_SEL", 0x9638, 0 },
25147		{ "SubPrtH", 5, 5 },
25148		{ "SubPrtL", 0, 5 },
25149	{ "MPS_STAT_RX_BG_0_MAC_DROP_FRAME_L", 0x9640, 0 },
25150	{ "MPS_STAT_RX_BG_0_MAC_DROP_FRAME_H", 0x9644, 0 },
25151	{ "MPS_STAT_RX_BG_1_MAC_DROP_FRAME_L", 0x9648, 0 },
25152	{ "MPS_STAT_RX_BG_1_MAC_DROP_FRAME_H", 0x964c, 0 },
25153	{ "MPS_STAT_RX_BG_2_MAC_DROP_FRAME_L", 0x9650, 0 },
25154	{ "MPS_STAT_RX_BG_2_MAC_DROP_FRAME_H", 0x9654, 0 },
25155	{ "MPS_STAT_RX_BG_3_MAC_DROP_FRAME_L", 0x9658, 0 },
25156	{ "MPS_STAT_RX_BG_3_MAC_DROP_FRAME_H", 0x965c, 0 },
25157	{ "MPS_STAT_RX_BG_0_LB_DROP_FRAME_L", 0x9660, 0 },
25158	{ "MPS_STAT_RX_BG_0_LB_DROP_FRAME_H", 0x9664, 0 },
25159	{ "MPS_STAT_RX_BG_1_LB_DROP_FRAME_L", 0x9668, 0 },
25160	{ "MPS_STAT_RX_BG_1_LB_DROP_FRAME_H", 0x966c, 0 },
25161	{ "MPS_STAT_RX_BG_2_LB_DROP_FRAME_L", 0x9670, 0 },
25162	{ "MPS_STAT_RX_BG_2_LB_DROP_FRAME_H", 0x9674, 0 },
25163	{ "MPS_STAT_RX_BG_3_LB_DROP_FRAME_L", 0x9678, 0 },
25164	{ "MPS_STAT_RX_BG_3_LB_DROP_FRAME_H", 0x967c, 0 },
25165	{ "MPS_STAT_RX_BG_0_MAC_TRUNC_FRAME_L", 0x9680, 0 },
25166	{ "MPS_STAT_RX_BG_0_MAC_TRUNC_FRAME_H", 0x9684, 0 },
25167	{ "MPS_STAT_RX_BG_1_MAC_TRUNC_FRAME_L", 0x9688, 0 },
25168	{ "MPS_STAT_RX_BG_1_MAC_TRUNC_FRAME_H", 0x968c, 0 },
25169	{ "MPS_STAT_RX_BG_2_MAC_TRUNC_FRAME_L", 0x9690, 0 },
25170	{ "MPS_STAT_RX_BG_2_MAC_TRUNC_FRAME_H", 0x9694, 0 },
25171	{ "MPS_STAT_RX_BG_3_MAC_TRUNC_FRAME_L", 0x9698, 0 },
25172	{ "MPS_STAT_RX_BG_3_MAC_TRUNC_FRAME_H", 0x969c, 0 },
25173	{ "MPS_STAT_RX_BG_0_LB_TRUNC_FRAME_L", 0x96a0, 0 },
25174	{ "MPS_STAT_RX_BG_0_LB_TRUNC_FRAME_H", 0x96a4, 0 },
25175	{ "MPS_STAT_RX_BG_1_LB_TRUNC_FRAME_L", 0x96a8, 0 },
25176	{ "MPS_STAT_RX_BG_1_LB_TRUNC_FRAME_H", 0x96ac, 0 },
25177	{ "MPS_STAT_RX_BG_2_LB_TRUNC_FRAME_L", 0x96b0, 0 },
25178	{ "MPS_STAT_RX_BG_2_LB_TRUNC_FRAME_H", 0x96b4, 0 },
25179	{ "MPS_STAT_RX_BG_3_LB_TRUNC_FRAME_L", 0x96b8, 0 },
25180	{ "MPS_STAT_RX_BG_3_LB_TRUNC_FRAME_H", 0x96bc, 0 },
25181	{ "MPS_STAT_PERR_INT_ENABLE_SRAM1", 0x96c0, 0 },
25182		{ "Rxvf", 5, 3 },
25183		{ "Txvf", 0, 5 },
25184	{ "MPS_STAT_PERR_INT_CAUSE_SRAM1", 0x96c4, 0 },
25185		{ "Rxvf", 5, 3 },
25186		{ "Txvf", 0, 5 },
25187	{ "MPS_STAT_PERR_ENABLE_SRAM1", 0x96c8, 0 },
25188		{ "Rxvf", 5, 3 },
25189		{ "Txvf", 0, 5 },
25190	{ "MPS_STAT_STOP_UPD_BG", 0x96cc, 0 },
25191	{ "MPS_STAT_STOP_UPD_PORT", 0x96d0, 0 },
25192		{ "PtLpbk", 8, 4 },
25193		{ "PtTx", 4, 4 },
25194		{ "PtRx", 0, 4 },
25195	{ "MPS_STAT_STOP_UPD_PF", 0x96d4, 0 },
25196		{ "PFTx", 8, 8 },
25197		{ "PFRx", 0, 8 },
25198	{ "MPS_STAT_STOP_UPD_TX_VF_0_31", 0x96d8, 0 },
25199	{ "MPS_STAT_STOP_UPD_TX_VF_32_63", 0x96dc, 0 },
25200	{ "MPS_STAT_STOP_UPD_TX_VF_64_95", 0x96e0, 0 },
25201	{ "MPS_STAT_STOP_UPD_TX_VF_96_127", 0x96e4, 0 },
25202	{ "MPS_STAT_STOP_UPD_RX_VF_0_31", 0x96e8, 0 },
25203	{ "MPS_STAT_STOP_UPD_RX_VF_32_63", 0x96ec, 0 },
25204	{ "MPS_STAT_STOP_UPD_RX_VF_64_95", 0x96f0, 0 },
25205	{ "MPS_STAT_STOP_UPD_RX_VF_96_127", 0x96f4, 0 },
25206	{ "MPS_PORT_STAT_TX_PORT_BYTES_L", 0x30400, 0 },
25207	{ "MPS_PORT_STAT_TX_PORT_BYTES_H", 0x30404, 0 },
25208	{ "MPS_PORT_STAT_TX_PORT_FRAMES_L", 0x30408, 0 },
25209	{ "MPS_PORT_STAT_TX_PORT_FRAMES_H", 0x3040c, 0 },
25210	{ "MPS_PORT_STAT_TX_PORT_BCAST_L", 0x30410, 0 },
25211	{ "MPS_PORT_STAT_TX_PORT_BCAST_H", 0x30414, 0 },
25212	{ "MPS_PORT_STAT_TX_PORT_MCAST_L", 0x30418, 0 },
25213	{ "MPS_PORT_STAT_TX_PORT_MCAST_H", 0x3041c, 0 },
25214	{ "MPS_PORT_STAT_TX_PORT_UCAST_L", 0x30420, 0 },
25215	{ "MPS_PORT_STAT_TX_PORT_UCAST_H", 0x30424, 0 },
25216	{ "MPS_PORT_STAT_TX_PORT_ERROR_L", 0x30428, 0 },
25217	{ "MPS_PORT_STAT_TX_PORT_ERROR_H", 0x3042c, 0 },
25218	{ "MPS_PORT_STAT_TX_PORT_64B_L", 0x30430, 0 },
25219	{ "MPS_PORT_STAT_TX_PORT_64B_H", 0x30434, 0 },
25220	{ "MPS_PORT_STAT_TX_PORT_65B_127B_L", 0x30438, 0 },
25221	{ "MPS_PORT_STAT_TX_PORT_65B_127B_H", 0x3043c, 0 },
25222	{ "MPS_PORT_STAT_TX_PORT_128B_255B_L", 0x30440, 0 },
25223	{ "MPS_PORT_STAT_TX_PORT_128B_255B_H", 0x30444, 0 },
25224	{ "MPS_PORT_STAT_TX_PORT_256B_511B_L", 0x30448, 0 },
25225	{ "MPS_PORT_STAT_TX_PORT_256B_511B_H", 0x3044c, 0 },
25226	{ "MPS_PORT_STAT_TX_PORT_512B_1023B_L", 0x30450, 0 },
25227	{ "MPS_PORT_STAT_TX_PORT_512B_1023B_H", 0x30454, 0 },
25228	{ "MPS_PORT_STAT_TX_PORT_1024B_1518B_L", 0x30458, 0 },
25229	{ "MPS_PORT_STAT_TX_PORT_1024B_1518B_H", 0x3045c, 0 },
25230	{ "MPS_PORT_STAT_TX_PORT_1519B_MAX_L", 0x30460, 0 },
25231	{ "MPS_PORT_STAT_TX_PORT_1519B_MAX_H", 0x30464, 0 },
25232	{ "MPS_PORT_STAT_TX_PORT_DROP_L", 0x30468, 0 },
25233	{ "MPS_PORT_STAT_TX_PORT_DROP_H", 0x3046c, 0 },
25234	{ "MPS_PORT_STAT_TX_PORT_PAUSE_L", 0x30470, 0 },
25235	{ "MPS_PORT_STAT_TX_PORT_PAUSE_H", 0x30474, 0 },
25236	{ "MPS_PORT_STAT_TX_PORT_PPP0_L", 0x30478, 0 },
25237	{ "MPS_PORT_STAT_TX_PORT_PPP0_H", 0x3047c, 0 },
25238	{ "MPS_PORT_STAT_TX_PORT_PPP1_L", 0x30480, 0 },
25239	{ "MPS_PORT_STAT_TX_PORT_PPP1_H", 0x30484, 0 },
25240	{ "MPS_PORT_STAT_TX_PORT_PPP2_L", 0x30488, 0 },
25241	{ "MPS_PORT_STAT_TX_PORT_PPP2_H", 0x3048c, 0 },
25242	{ "MPS_PORT_STAT_TX_PORT_PPP3_L", 0x30490, 0 },
25243	{ "MPS_PORT_STAT_TX_PORT_PPP3_H", 0x30494, 0 },
25244	{ "MPS_PORT_STAT_TX_PORT_PPP4_L", 0x30498, 0 },
25245	{ "MPS_PORT_STAT_TX_PORT_PPP4_H", 0x3049c, 0 },
25246	{ "MPS_PORT_STAT_TX_PORT_PPP5_L", 0x304a0, 0 },
25247	{ "MPS_PORT_STAT_TX_PORT_PPP5_H", 0x304a4, 0 },
25248	{ "MPS_PORT_STAT_TX_PORT_PPP6_L", 0x304a8, 0 },
25249	{ "MPS_PORT_STAT_TX_PORT_PPP6_H", 0x304ac, 0 },
25250	{ "MPS_PORT_STAT_TX_PORT_PPP7_L", 0x304b0, 0 },
25251	{ "MPS_PORT_STAT_TX_PORT_PPP7_H", 0x304b4, 0 },
25252	{ "MPS_PORT_STAT_LB_PORT_BYTES_L", 0x304c0, 0 },
25253	{ "MPS_PORT_STAT_LB_PORT_BYTES_H", 0x304c4, 0 },
25254	{ "MPS_PORT_STAT_LB_PORT_FRAMES_L", 0x304c8, 0 },
25255	{ "MPS_PORT_STAT_LB_PORT_FRAMES_H", 0x304cc, 0 },
25256	{ "MPS_PORT_STAT_LB_PORT_BCAST_L", 0x304d0, 0 },
25257	{ "MPS_PORT_STAT_LB_PORT_BCAST_H", 0x304d4, 0 },
25258	{ "MPS_PORT_STAT_LB_PORT_MCAST_L", 0x304d8, 0 },
25259	{ "MPS_PORT_STAT_LB_PORT_MCAST_H", 0x304dc, 0 },
25260	{ "MPS_PORT_STAT_LB_PORT_UCAST_L", 0x304e0, 0 },
25261	{ "MPS_PORT_STAT_LB_PORT_UCAST_H", 0x304e4, 0 },
25262	{ "MPS_PORT_STAT_LB_PORT_ERROR_L", 0x304e8, 0 },
25263	{ "MPS_PORT_STAT_LB_PORT_ERROR_H", 0x304ec, 0 },
25264	{ "MPS_PORT_STAT_LB_PORT_64B_L", 0x304f0, 0 },
25265	{ "MPS_PORT_STAT_LB_PORT_64B_H", 0x304f4, 0 },
25266	{ "MPS_PORT_STAT_LB_PORT_65B_127B_L", 0x304f8, 0 },
25267	{ "MPS_PORT_STAT_LB_PORT_65B_127B_H", 0x304fc, 0 },
25268	{ "MPS_PORT_STAT_LB_PORT_128B_255B_L", 0x30500, 0 },
25269	{ "MPS_PORT_STAT_LB_PORT_128B_255B_H", 0x30504, 0 },
25270	{ "MPS_PORT_STAT_LB_PORT_256B_511B_L", 0x30508, 0 },
25271	{ "MPS_PORT_STAT_LB_PORT_256B_511B_H", 0x3050c, 0 },
25272	{ "MPS_PORT_STAT_LB_PORT_512B_1023B_L", 0x30510, 0 },
25273	{ "MPS_PORT_STAT_LB_PORT_512B_1023B_H", 0x30514, 0 },
25274	{ "MPS_PORT_STAT_LB_PORT_1024B_1518B_L", 0x30518, 0 },
25275	{ "MPS_PORT_STAT_LB_PORT_1024B_1518B_H", 0x3051c, 0 },
25276	{ "MPS_PORT_STAT_LB_PORT_1519B_MAX_L", 0x30520, 0 },
25277	{ "MPS_PORT_STAT_LB_PORT_1519B_MAX_H", 0x30524, 0 },
25278	{ "MPS_PORT_STAT_LB_PORT_DROP_FRAMES_L", 0x30528, 0 },
25279	{ "MPS_PORT_STAT_LB_PORT_DROP_FRAMES_H", 0x3052c, 0 },
25280	{ "MPS_PORT_STAT_RX_PORT_BYTES_L", 0x30540, 0 },
25281	{ "MPS_PORT_STAT_RX_PORT_BYTES_H", 0x30544, 0 },
25282	{ "MPS_PORT_STAT_RX_PORT_FRAMES_L", 0x30548, 0 },
25283	{ "MPS_PORT_STAT_RX_PORT_FRAMES_H", 0x3054c, 0 },
25284	{ "MPS_PORT_STAT_RX_PORT_BCAST_L", 0x30550, 0 },
25285	{ "MPS_PORT_STAT_RX_PORT_BCAST_H", 0x30554, 0 },
25286	{ "MPS_PORT_STAT_RX_PORT_MCAST_L", 0x30558, 0 },
25287	{ "MPS_PORT_STAT_RX_PORT_MCAST_H", 0x3055c, 0 },
25288	{ "MPS_PORT_STAT_RX_PORT_UCAST_L", 0x30560, 0 },
25289	{ "MPS_PORT_STAT_RX_PORT_UCAST_H", 0x30564, 0 },
25290	{ "MPS_PORT_STAT_RX_PORT_MTU_ERROR_L", 0x30568, 0 },
25291	{ "MPS_PORT_STAT_RX_PORT_MTU_ERROR_H", 0x3056c, 0 },
25292	{ "MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_L", 0x30570, 0 },
25293	{ "MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_H", 0x30574, 0 },
25294	{ "MPS_PORT_STAT_RX_PORT_CRC_ERROR_L", 0x30578, 0 },
25295	{ "MPS_PORT_STAT_RX_PORT_CRC_ERROR_H", 0x3057c, 0 },
25296	{ "MPS_PORT_STAT_RX_PORT_LEN_ERROR_L", 0x30580, 0 },
25297	{ "MPS_PORT_STAT_RX_PORT_LEN_ERROR_H", 0x30584, 0 },
25298	{ "MPS_PORT_STAT_RX_PORT_SYM_ERROR_L", 0x30588, 0 },
25299	{ "MPS_PORT_STAT_RX_PORT_SYM_ERROR_H", 0x3058c, 0 },
25300	{ "MPS_PORT_STAT_RX_PORT_64B_L", 0x30590, 0 },
25301	{ "MPS_PORT_STAT_RX_PORT_64B_H", 0x30594, 0 },
25302	{ "MPS_PORT_STAT_RX_PORT_65B_127B_L", 0x30598, 0 },
25303	{ "MPS_PORT_STAT_RX_PORT_65B_127B_H", 0x3059c, 0 },
25304	{ "MPS_PORT_STAT_RX_PORT_128B_255B_L", 0x305a0, 0 },
25305	{ "MPS_PORT_STAT_RX_PORT_128B_255B_H", 0x305a4, 0 },
25306	{ "MPS_PORT_STAT_RX_PORT_256B_511B_L", 0x305a8, 0 },
25307	{ "MPS_PORT_STAT_RX_PORT_256B_511B_H", 0x305ac, 0 },
25308	{ "MPS_PORT_STAT_RX_PORT_512B_1023B_L", 0x305b0, 0 },
25309	{ "MPS_PORT_STAT_RX_PORT_512B_1023B_H", 0x305b4, 0 },
25310	{ "MPS_PORT_STAT_RX_PORT_1024B_1518B_L", 0x305b8, 0 },
25311	{ "MPS_PORT_STAT_RX_PORT_1024B_1518B_H", 0x305bc, 0 },
25312	{ "MPS_PORT_STAT_RX_PORT_1519B_MAX_L", 0x305c0, 0 },
25313	{ "MPS_PORT_STAT_RX_PORT_1519B_MAX_H", 0x305c4, 0 },
25314	{ "MPS_PORT_STAT_RX_PORT_PAUSE_L", 0x305c8, 0 },
25315	{ "MPS_PORT_STAT_RX_PORT_PAUSE_H", 0x305cc, 0 },
25316	{ "MPS_PORT_STAT_RX_PORT_PPP0_L", 0x305d0, 0 },
25317	{ "MPS_PORT_STAT_RX_PORT_PPP0_H", 0x305d4, 0 },
25318	{ "MPS_PORT_STAT_RX_PORT_PPP1_L", 0x305d8, 0 },
25319	{ "MPS_PORT_STAT_RX_PORT_PPP1_H", 0x305dc, 0 },
25320	{ "MPS_PORT_STAT_RX_PORT_PPP2_L", 0x305e0, 0 },
25321	{ "MPS_PORT_STAT_RX_PORT_PPP2_H", 0x305e4, 0 },
25322	{ "MPS_PORT_STAT_RX_PORT_PPP3_L", 0x305e8, 0 },
25323	{ "MPS_PORT_STAT_RX_PORT_PPP3_H", 0x305ec, 0 },
25324	{ "MPS_PORT_STAT_RX_PORT_PPP4_L", 0x305f0, 0 },
25325	{ "MPS_PORT_STAT_RX_PORT_PPP4_H", 0x305f4, 0 },
25326	{ "MPS_PORT_STAT_RX_PORT_PPP5_L", 0x305f8, 0 },
25327	{ "MPS_PORT_STAT_RX_PORT_PPP5_H", 0x305fc, 0 },
25328	{ "MPS_PORT_STAT_RX_PORT_PPP6_L", 0x30600, 0 },
25329	{ "MPS_PORT_STAT_RX_PORT_PPP6_H", 0x30604, 0 },
25330	{ "MPS_PORT_STAT_RX_PORT_PPP7_L", 0x30608, 0 },
25331	{ "MPS_PORT_STAT_RX_PORT_PPP7_H", 0x3060c, 0 },
25332	{ "MPS_PORT_STAT_RX_PORT_LESS_64B_L", 0x30610, 0 },
25333	{ "MPS_PORT_STAT_RX_PORT_LESS_64B_H", 0x30614, 0 },
25334	{ "MPS_PORT_STAT_RX_PORT_MAC_ERROR_L", 0x30618, 0 },
25335	{ "MPS_PORT_STAT_RX_PORT_MAC_ERROR_H", 0x3061c, 0 },
25336	{ "MPS_PORT_STAT_TX_PORT_BYTES_L", 0x34400, 0 },
25337	{ "MPS_PORT_STAT_TX_PORT_BYTES_H", 0x34404, 0 },
25338	{ "MPS_PORT_STAT_TX_PORT_FRAMES_L", 0x34408, 0 },
25339	{ "MPS_PORT_STAT_TX_PORT_FRAMES_H", 0x3440c, 0 },
25340	{ "MPS_PORT_STAT_TX_PORT_BCAST_L", 0x34410, 0 },
25341	{ "MPS_PORT_STAT_TX_PORT_BCAST_H", 0x34414, 0 },
25342	{ "MPS_PORT_STAT_TX_PORT_MCAST_L", 0x34418, 0 },
25343	{ "MPS_PORT_STAT_TX_PORT_MCAST_H", 0x3441c, 0 },
25344	{ "MPS_PORT_STAT_TX_PORT_UCAST_L", 0x34420, 0 },
25345	{ "MPS_PORT_STAT_TX_PORT_UCAST_H", 0x34424, 0 },
25346	{ "MPS_PORT_STAT_TX_PORT_ERROR_L", 0x34428, 0 },
25347	{ "MPS_PORT_STAT_TX_PORT_ERROR_H", 0x3442c, 0 },
25348	{ "MPS_PORT_STAT_TX_PORT_64B_L", 0x34430, 0 },
25349	{ "MPS_PORT_STAT_TX_PORT_64B_H", 0x34434, 0 },
25350	{ "MPS_PORT_STAT_TX_PORT_65B_127B_L", 0x34438, 0 },
25351	{ "MPS_PORT_STAT_TX_PORT_65B_127B_H", 0x3443c, 0 },
25352	{ "MPS_PORT_STAT_TX_PORT_128B_255B_L", 0x34440, 0 },
25353	{ "MPS_PORT_STAT_TX_PORT_128B_255B_H", 0x34444, 0 },
25354	{ "MPS_PORT_STAT_TX_PORT_256B_511B_L", 0x34448, 0 },
25355	{ "MPS_PORT_STAT_TX_PORT_256B_511B_H", 0x3444c, 0 },
25356	{ "MPS_PORT_STAT_TX_PORT_512B_1023B_L", 0x34450, 0 },
25357	{ "MPS_PORT_STAT_TX_PORT_512B_1023B_H", 0x34454, 0 },
25358	{ "MPS_PORT_STAT_TX_PORT_1024B_1518B_L", 0x34458, 0 },
25359	{ "MPS_PORT_STAT_TX_PORT_1024B_1518B_H", 0x3445c, 0 },
25360	{ "MPS_PORT_STAT_TX_PORT_1519B_MAX_L", 0x34460, 0 },
25361	{ "MPS_PORT_STAT_TX_PORT_1519B_MAX_H", 0x34464, 0 },
25362	{ "MPS_PORT_STAT_TX_PORT_DROP_L", 0x34468, 0 },
25363	{ "MPS_PORT_STAT_TX_PORT_DROP_H", 0x3446c, 0 },
25364	{ "MPS_PORT_STAT_TX_PORT_PAUSE_L", 0x34470, 0 },
25365	{ "MPS_PORT_STAT_TX_PORT_PAUSE_H", 0x34474, 0 },
25366	{ "MPS_PORT_STAT_TX_PORT_PPP0_L", 0x34478, 0 },
25367	{ "MPS_PORT_STAT_TX_PORT_PPP0_H", 0x3447c, 0 },
25368	{ "MPS_PORT_STAT_TX_PORT_PPP1_L", 0x34480, 0 },
25369	{ "MPS_PORT_STAT_TX_PORT_PPP1_H", 0x34484, 0 },
25370	{ "MPS_PORT_STAT_TX_PORT_PPP2_L", 0x34488, 0 },
25371	{ "MPS_PORT_STAT_TX_PORT_PPP2_H", 0x3448c, 0 },
25372	{ "MPS_PORT_STAT_TX_PORT_PPP3_L", 0x34490, 0 },
25373	{ "MPS_PORT_STAT_TX_PORT_PPP3_H", 0x34494, 0 },
25374	{ "MPS_PORT_STAT_TX_PORT_PPP4_L", 0x34498, 0 },
25375	{ "MPS_PORT_STAT_TX_PORT_PPP4_H", 0x3449c, 0 },
25376	{ "MPS_PORT_STAT_TX_PORT_PPP5_L", 0x344a0, 0 },
25377	{ "MPS_PORT_STAT_TX_PORT_PPP5_H", 0x344a4, 0 },
25378	{ "MPS_PORT_STAT_TX_PORT_PPP6_L", 0x344a8, 0 },
25379	{ "MPS_PORT_STAT_TX_PORT_PPP6_H", 0x344ac, 0 },
25380	{ "MPS_PORT_STAT_TX_PORT_PPP7_L", 0x344b0, 0 },
25381	{ "MPS_PORT_STAT_TX_PORT_PPP7_H", 0x344b4, 0 },
25382	{ "MPS_PORT_STAT_LB_PORT_BYTES_L", 0x344c0, 0 },
25383	{ "MPS_PORT_STAT_LB_PORT_BYTES_H", 0x344c4, 0 },
25384	{ "MPS_PORT_STAT_LB_PORT_FRAMES_L", 0x344c8, 0 },
25385	{ "MPS_PORT_STAT_LB_PORT_FRAMES_H", 0x344cc, 0 },
25386	{ "MPS_PORT_STAT_LB_PORT_BCAST_L", 0x344d0, 0 },
25387	{ "MPS_PORT_STAT_LB_PORT_BCAST_H", 0x344d4, 0 },
25388	{ "MPS_PORT_STAT_LB_PORT_MCAST_L", 0x344d8, 0 },
25389	{ "MPS_PORT_STAT_LB_PORT_MCAST_H", 0x344dc, 0 },
25390	{ "MPS_PORT_STAT_LB_PORT_UCAST_L", 0x344e0, 0 },
25391	{ "MPS_PORT_STAT_LB_PORT_UCAST_H", 0x344e4, 0 },
25392	{ "MPS_PORT_STAT_LB_PORT_ERROR_L", 0x344e8, 0 },
25393	{ "MPS_PORT_STAT_LB_PORT_ERROR_H", 0x344ec, 0 },
25394	{ "MPS_PORT_STAT_LB_PORT_64B_L", 0x344f0, 0 },
25395	{ "MPS_PORT_STAT_LB_PORT_64B_H", 0x344f4, 0 },
25396	{ "MPS_PORT_STAT_LB_PORT_65B_127B_L", 0x344f8, 0 },
25397	{ "MPS_PORT_STAT_LB_PORT_65B_127B_H", 0x344fc, 0 },
25398	{ "MPS_PORT_STAT_LB_PORT_128B_255B_L", 0x34500, 0 },
25399	{ "MPS_PORT_STAT_LB_PORT_128B_255B_H", 0x34504, 0 },
25400	{ "MPS_PORT_STAT_LB_PORT_256B_511B_L", 0x34508, 0 },
25401	{ "MPS_PORT_STAT_LB_PORT_256B_511B_H", 0x3450c, 0 },
25402	{ "MPS_PORT_STAT_LB_PORT_512B_1023B_L", 0x34510, 0 },
25403	{ "MPS_PORT_STAT_LB_PORT_512B_1023B_H", 0x34514, 0 },
25404	{ "MPS_PORT_STAT_LB_PORT_1024B_1518B_L", 0x34518, 0 },
25405	{ "MPS_PORT_STAT_LB_PORT_1024B_1518B_H", 0x3451c, 0 },
25406	{ "MPS_PORT_STAT_LB_PORT_1519B_MAX_L", 0x34520, 0 },
25407	{ "MPS_PORT_STAT_LB_PORT_1519B_MAX_H", 0x34524, 0 },
25408	{ "MPS_PORT_STAT_LB_PORT_DROP_FRAMES_L", 0x34528, 0 },
25409	{ "MPS_PORT_STAT_LB_PORT_DROP_FRAMES_H", 0x3452c, 0 },
25410	{ "MPS_PORT_STAT_RX_PORT_BYTES_L", 0x34540, 0 },
25411	{ "MPS_PORT_STAT_RX_PORT_BYTES_H", 0x34544, 0 },
25412	{ "MPS_PORT_STAT_RX_PORT_FRAMES_L", 0x34548, 0 },
25413	{ "MPS_PORT_STAT_RX_PORT_FRAMES_H", 0x3454c, 0 },
25414	{ "MPS_PORT_STAT_RX_PORT_BCAST_L", 0x34550, 0 },
25415	{ "MPS_PORT_STAT_RX_PORT_BCAST_H", 0x34554, 0 },
25416	{ "MPS_PORT_STAT_RX_PORT_MCAST_L", 0x34558, 0 },
25417	{ "MPS_PORT_STAT_RX_PORT_MCAST_H", 0x3455c, 0 },
25418	{ "MPS_PORT_STAT_RX_PORT_UCAST_L", 0x34560, 0 },
25419	{ "MPS_PORT_STAT_RX_PORT_UCAST_H", 0x34564, 0 },
25420	{ "MPS_PORT_STAT_RX_PORT_MTU_ERROR_L", 0x34568, 0 },
25421	{ "MPS_PORT_STAT_RX_PORT_MTU_ERROR_H", 0x3456c, 0 },
25422	{ "MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_L", 0x34570, 0 },
25423	{ "MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_H", 0x34574, 0 },
25424	{ "MPS_PORT_STAT_RX_PORT_CRC_ERROR_L", 0x34578, 0 },
25425	{ "MPS_PORT_STAT_RX_PORT_CRC_ERROR_H", 0x3457c, 0 },
25426	{ "MPS_PORT_STAT_RX_PORT_LEN_ERROR_L", 0x34580, 0 },
25427	{ "MPS_PORT_STAT_RX_PORT_LEN_ERROR_H", 0x34584, 0 },
25428	{ "MPS_PORT_STAT_RX_PORT_SYM_ERROR_L", 0x34588, 0 },
25429	{ "MPS_PORT_STAT_RX_PORT_SYM_ERROR_H", 0x3458c, 0 },
25430	{ "MPS_PORT_STAT_RX_PORT_64B_L", 0x34590, 0 },
25431	{ "MPS_PORT_STAT_RX_PORT_64B_H", 0x34594, 0 },
25432	{ "MPS_PORT_STAT_RX_PORT_65B_127B_L", 0x34598, 0 },
25433	{ "MPS_PORT_STAT_RX_PORT_65B_127B_H", 0x3459c, 0 },
25434	{ "MPS_PORT_STAT_RX_PORT_128B_255B_L", 0x345a0, 0 },
25435	{ "MPS_PORT_STAT_RX_PORT_128B_255B_H", 0x345a4, 0 },
25436	{ "MPS_PORT_STAT_RX_PORT_256B_511B_L", 0x345a8, 0 },
25437	{ "MPS_PORT_STAT_RX_PORT_256B_511B_H", 0x345ac, 0 },
25438	{ "MPS_PORT_STAT_RX_PORT_512B_1023B_L", 0x345b0, 0 },
25439	{ "MPS_PORT_STAT_RX_PORT_512B_1023B_H", 0x345b4, 0 },
25440	{ "MPS_PORT_STAT_RX_PORT_1024B_1518B_L", 0x345b8, 0 },
25441	{ "MPS_PORT_STAT_RX_PORT_1024B_1518B_H", 0x345bc, 0 },
25442	{ "MPS_PORT_STAT_RX_PORT_1519B_MAX_L", 0x345c0, 0 },
25443	{ "MPS_PORT_STAT_RX_PORT_1519B_MAX_H", 0x345c4, 0 },
25444	{ "MPS_PORT_STAT_RX_PORT_PAUSE_L", 0x345c8, 0 },
25445	{ "MPS_PORT_STAT_RX_PORT_PAUSE_H", 0x345cc, 0 },
25446	{ "MPS_PORT_STAT_RX_PORT_PPP0_L", 0x345d0, 0 },
25447	{ "MPS_PORT_STAT_RX_PORT_PPP0_H", 0x345d4, 0 },
25448	{ "MPS_PORT_STAT_RX_PORT_PPP1_L", 0x345d8, 0 },
25449	{ "MPS_PORT_STAT_RX_PORT_PPP1_H", 0x345dc, 0 },
25450	{ "MPS_PORT_STAT_RX_PORT_PPP2_L", 0x345e0, 0 },
25451	{ "MPS_PORT_STAT_RX_PORT_PPP2_H", 0x345e4, 0 },
25452	{ "MPS_PORT_STAT_RX_PORT_PPP3_L", 0x345e8, 0 },
25453	{ "MPS_PORT_STAT_RX_PORT_PPP3_H", 0x345ec, 0 },
25454	{ "MPS_PORT_STAT_RX_PORT_PPP4_L", 0x345f0, 0 },
25455	{ "MPS_PORT_STAT_RX_PORT_PPP4_H", 0x345f4, 0 },
25456	{ "MPS_PORT_STAT_RX_PORT_PPP5_L", 0x345f8, 0 },
25457	{ "MPS_PORT_STAT_RX_PORT_PPP5_H", 0x345fc, 0 },
25458	{ "MPS_PORT_STAT_RX_PORT_PPP6_L", 0x34600, 0 },
25459	{ "MPS_PORT_STAT_RX_PORT_PPP6_H", 0x34604, 0 },
25460	{ "MPS_PORT_STAT_RX_PORT_PPP7_L", 0x34608, 0 },
25461	{ "MPS_PORT_STAT_RX_PORT_PPP7_H", 0x3460c, 0 },
25462	{ "MPS_PORT_STAT_RX_PORT_LESS_64B_L", 0x34610, 0 },
25463	{ "MPS_PORT_STAT_RX_PORT_LESS_64B_H", 0x34614, 0 },
25464	{ "MPS_PORT_STAT_RX_PORT_MAC_ERROR_L", 0x34618, 0 },
25465	{ "MPS_PORT_STAT_RX_PORT_MAC_ERROR_H", 0x3461c, 0 },
25466	{ "MPS_PORT_STAT_TX_PORT_BYTES_L", 0x38400, 0 },
25467	{ "MPS_PORT_STAT_TX_PORT_BYTES_H", 0x38404, 0 },
25468	{ "MPS_PORT_STAT_TX_PORT_FRAMES_L", 0x38408, 0 },
25469	{ "MPS_PORT_STAT_TX_PORT_FRAMES_H", 0x3840c, 0 },
25470	{ "MPS_PORT_STAT_TX_PORT_BCAST_L", 0x38410, 0 },
25471	{ "MPS_PORT_STAT_TX_PORT_BCAST_H", 0x38414, 0 },
25472	{ "MPS_PORT_STAT_TX_PORT_MCAST_L", 0x38418, 0 },
25473	{ "MPS_PORT_STAT_TX_PORT_MCAST_H", 0x3841c, 0 },
25474	{ "MPS_PORT_STAT_TX_PORT_UCAST_L", 0x38420, 0 },
25475	{ "MPS_PORT_STAT_TX_PORT_UCAST_H", 0x38424, 0 },
25476	{ "MPS_PORT_STAT_TX_PORT_ERROR_L", 0x38428, 0 },
25477	{ "MPS_PORT_STAT_TX_PORT_ERROR_H", 0x3842c, 0 },
25478	{ "MPS_PORT_STAT_TX_PORT_64B_L", 0x38430, 0 },
25479	{ "MPS_PORT_STAT_TX_PORT_64B_H", 0x38434, 0 },
25480	{ "MPS_PORT_STAT_TX_PORT_65B_127B_L", 0x38438, 0 },
25481	{ "MPS_PORT_STAT_TX_PORT_65B_127B_H", 0x3843c, 0 },
25482	{ "MPS_PORT_STAT_TX_PORT_128B_255B_L", 0x38440, 0 },
25483	{ "MPS_PORT_STAT_TX_PORT_128B_255B_H", 0x38444, 0 },
25484	{ "MPS_PORT_STAT_TX_PORT_256B_511B_L", 0x38448, 0 },
25485	{ "MPS_PORT_STAT_TX_PORT_256B_511B_H", 0x3844c, 0 },
25486	{ "MPS_PORT_STAT_TX_PORT_512B_1023B_L", 0x38450, 0 },
25487	{ "MPS_PORT_STAT_TX_PORT_512B_1023B_H", 0x38454, 0 },
25488	{ "MPS_PORT_STAT_TX_PORT_1024B_1518B_L", 0x38458, 0 },
25489	{ "MPS_PORT_STAT_TX_PORT_1024B_1518B_H", 0x3845c, 0 },
25490	{ "MPS_PORT_STAT_TX_PORT_1519B_MAX_L", 0x38460, 0 },
25491	{ "MPS_PORT_STAT_TX_PORT_1519B_MAX_H", 0x38464, 0 },
25492	{ "MPS_PORT_STAT_TX_PORT_DROP_L", 0x38468, 0 },
25493	{ "MPS_PORT_STAT_TX_PORT_DROP_H", 0x3846c, 0 },
25494	{ "MPS_PORT_STAT_TX_PORT_PAUSE_L", 0x38470, 0 },
25495	{ "MPS_PORT_STAT_TX_PORT_PAUSE_H", 0x38474, 0 },
25496	{ "MPS_PORT_STAT_TX_PORT_PPP0_L", 0x38478, 0 },
25497	{ "MPS_PORT_STAT_TX_PORT_PPP0_H", 0x3847c, 0 },
25498	{ "MPS_PORT_STAT_TX_PORT_PPP1_L", 0x38480, 0 },
25499	{ "MPS_PORT_STAT_TX_PORT_PPP1_H", 0x38484, 0 },
25500	{ "MPS_PORT_STAT_TX_PORT_PPP2_L", 0x38488, 0 },
25501	{ "MPS_PORT_STAT_TX_PORT_PPP2_H", 0x3848c, 0 },
25502	{ "MPS_PORT_STAT_TX_PORT_PPP3_L", 0x38490, 0 },
25503	{ "MPS_PORT_STAT_TX_PORT_PPP3_H", 0x38494, 0 },
25504	{ "MPS_PORT_STAT_TX_PORT_PPP4_L", 0x38498, 0 },
25505	{ "MPS_PORT_STAT_TX_PORT_PPP4_H", 0x3849c, 0 },
25506	{ "MPS_PORT_STAT_TX_PORT_PPP5_L", 0x384a0, 0 },
25507	{ "MPS_PORT_STAT_TX_PORT_PPP5_H", 0x384a4, 0 },
25508	{ "MPS_PORT_STAT_TX_PORT_PPP6_L", 0x384a8, 0 },
25509	{ "MPS_PORT_STAT_TX_PORT_PPP6_H", 0x384ac, 0 },
25510	{ "MPS_PORT_STAT_TX_PORT_PPP7_L", 0x384b0, 0 },
25511	{ "MPS_PORT_STAT_TX_PORT_PPP7_H", 0x384b4, 0 },
25512	{ "MPS_PORT_STAT_LB_PORT_BYTES_L", 0x384c0, 0 },
25513	{ "MPS_PORT_STAT_LB_PORT_BYTES_H", 0x384c4, 0 },
25514	{ "MPS_PORT_STAT_LB_PORT_FRAMES_L", 0x384c8, 0 },
25515	{ "MPS_PORT_STAT_LB_PORT_FRAMES_H", 0x384cc, 0 },
25516	{ "MPS_PORT_STAT_LB_PORT_BCAST_L", 0x384d0, 0 },
25517	{ "MPS_PORT_STAT_LB_PORT_BCAST_H", 0x384d4, 0 },
25518	{ "MPS_PORT_STAT_LB_PORT_MCAST_L", 0x384d8, 0 },
25519	{ "MPS_PORT_STAT_LB_PORT_MCAST_H", 0x384dc, 0 },
25520	{ "MPS_PORT_STAT_LB_PORT_UCAST_L", 0x384e0, 0 },
25521	{ "MPS_PORT_STAT_LB_PORT_UCAST_H", 0x384e4, 0 },
25522	{ "MPS_PORT_STAT_LB_PORT_ERROR_L", 0x384e8, 0 },
25523	{ "MPS_PORT_STAT_LB_PORT_ERROR_H", 0x384ec, 0 },
25524	{ "MPS_PORT_STAT_LB_PORT_64B_L", 0x384f0, 0 },
25525	{ "MPS_PORT_STAT_LB_PORT_64B_H", 0x384f4, 0 },
25526	{ "MPS_PORT_STAT_LB_PORT_65B_127B_L", 0x384f8, 0 },
25527	{ "MPS_PORT_STAT_LB_PORT_65B_127B_H", 0x384fc, 0 },
25528	{ "MPS_PORT_STAT_LB_PORT_128B_255B_L", 0x38500, 0 },
25529	{ "MPS_PORT_STAT_LB_PORT_128B_255B_H", 0x38504, 0 },
25530	{ "MPS_PORT_STAT_LB_PORT_256B_511B_L", 0x38508, 0 },
25531	{ "MPS_PORT_STAT_LB_PORT_256B_511B_H", 0x3850c, 0 },
25532	{ "MPS_PORT_STAT_LB_PORT_512B_1023B_L", 0x38510, 0 },
25533	{ "MPS_PORT_STAT_LB_PORT_512B_1023B_H", 0x38514, 0 },
25534	{ "MPS_PORT_STAT_LB_PORT_1024B_1518B_L", 0x38518, 0 },
25535	{ "MPS_PORT_STAT_LB_PORT_1024B_1518B_H", 0x3851c, 0 },
25536	{ "MPS_PORT_STAT_LB_PORT_1519B_MAX_L", 0x38520, 0 },
25537	{ "MPS_PORT_STAT_LB_PORT_1519B_MAX_H", 0x38524, 0 },
25538	{ "MPS_PORT_STAT_LB_PORT_DROP_FRAMES_L", 0x38528, 0 },
25539	{ "MPS_PORT_STAT_LB_PORT_DROP_FRAMES_H", 0x3852c, 0 },
25540	{ "MPS_PORT_STAT_RX_PORT_BYTES_L", 0x38540, 0 },
25541	{ "MPS_PORT_STAT_RX_PORT_BYTES_H", 0x38544, 0 },
25542	{ "MPS_PORT_STAT_RX_PORT_FRAMES_L", 0x38548, 0 },
25543	{ "MPS_PORT_STAT_RX_PORT_FRAMES_H", 0x3854c, 0 },
25544	{ "MPS_PORT_STAT_RX_PORT_BCAST_L", 0x38550, 0 },
25545	{ "MPS_PORT_STAT_RX_PORT_BCAST_H", 0x38554, 0 },
25546	{ "MPS_PORT_STAT_RX_PORT_MCAST_L", 0x38558, 0 },
25547	{ "MPS_PORT_STAT_RX_PORT_MCAST_H", 0x3855c, 0 },
25548	{ "MPS_PORT_STAT_RX_PORT_UCAST_L", 0x38560, 0 },
25549	{ "MPS_PORT_STAT_RX_PORT_UCAST_H", 0x38564, 0 },
25550	{ "MPS_PORT_STAT_RX_PORT_MTU_ERROR_L", 0x38568, 0 },
25551	{ "MPS_PORT_STAT_RX_PORT_MTU_ERROR_H", 0x3856c, 0 },
25552	{ "MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_L", 0x38570, 0 },
25553	{ "MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_H", 0x38574, 0 },
25554	{ "MPS_PORT_STAT_RX_PORT_CRC_ERROR_L", 0x38578, 0 },
25555	{ "MPS_PORT_STAT_RX_PORT_CRC_ERROR_H", 0x3857c, 0 },
25556	{ "MPS_PORT_STAT_RX_PORT_LEN_ERROR_L", 0x38580, 0 },
25557	{ "MPS_PORT_STAT_RX_PORT_LEN_ERROR_H", 0x38584, 0 },
25558	{ "MPS_PORT_STAT_RX_PORT_SYM_ERROR_L", 0x38588, 0 },
25559	{ "MPS_PORT_STAT_RX_PORT_SYM_ERROR_H", 0x3858c, 0 },
25560	{ "MPS_PORT_STAT_RX_PORT_64B_L", 0x38590, 0 },
25561	{ "MPS_PORT_STAT_RX_PORT_64B_H", 0x38594, 0 },
25562	{ "MPS_PORT_STAT_RX_PORT_65B_127B_L", 0x38598, 0 },
25563	{ "MPS_PORT_STAT_RX_PORT_65B_127B_H", 0x3859c, 0 },
25564	{ "MPS_PORT_STAT_RX_PORT_128B_255B_L", 0x385a0, 0 },
25565	{ "MPS_PORT_STAT_RX_PORT_128B_255B_H", 0x385a4, 0 },
25566	{ "MPS_PORT_STAT_RX_PORT_256B_511B_L", 0x385a8, 0 },
25567	{ "MPS_PORT_STAT_RX_PORT_256B_511B_H", 0x385ac, 0 },
25568	{ "MPS_PORT_STAT_RX_PORT_512B_1023B_L", 0x385b0, 0 },
25569	{ "MPS_PORT_STAT_RX_PORT_512B_1023B_H", 0x385b4, 0 },
25570	{ "MPS_PORT_STAT_RX_PORT_1024B_1518B_L", 0x385b8, 0 },
25571	{ "MPS_PORT_STAT_RX_PORT_1024B_1518B_H", 0x385bc, 0 },
25572	{ "MPS_PORT_STAT_RX_PORT_1519B_MAX_L", 0x385c0, 0 },
25573	{ "MPS_PORT_STAT_RX_PORT_1519B_MAX_H", 0x385c4, 0 },
25574	{ "MPS_PORT_STAT_RX_PORT_PAUSE_L", 0x385c8, 0 },
25575	{ "MPS_PORT_STAT_RX_PORT_PAUSE_H", 0x385cc, 0 },
25576	{ "MPS_PORT_STAT_RX_PORT_PPP0_L", 0x385d0, 0 },
25577	{ "MPS_PORT_STAT_RX_PORT_PPP0_H", 0x385d4, 0 },
25578	{ "MPS_PORT_STAT_RX_PORT_PPP1_L", 0x385d8, 0 },
25579	{ "MPS_PORT_STAT_RX_PORT_PPP1_H", 0x385dc, 0 },
25580	{ "MPS_PORT_STAT_RX_PORT_PPP2_L", 0x385e0, 0 },
25581	{ "MPS_PORT_STAT_RX_PORT_PPP2_H", 0x385e4, 0 },
25582	{ "MPS_PORT_STAT_RX_PORT_PPP3_L", 0x385e8, 0 },
25583	{ "MPS_PORT_STAT_RX_PORT_PPP3_H", 0x385ec, 0 },
25584	{ "MPS_PORT_STAT_RX_PORT_PPP4_L", 0x385f0, 0 },
25585	{ "MPS_PORT_STAT_RX_PORT_PPP4_H", 0x385f4, 0 },
25586	{ "MPS_PORT_STAT_RX_PORT_PPP5_L", 0x385f8, 0 },
25587	{ "MPS_PORT_STAT_RX_PORT_PPP5_H", 0x385fc, 0 },
25588	{ "MPS_PORT_STAT_RX_PORT_PPP6_L", 0x38600, 0 },
25589	{ "MPS_PORT_STAT_RX_PORT_PPP6_H", 0x38604, 0 },
25590	{ "MPS_PORT_STAT_RX_PORT_PPP7_L", 0x38608, 0 },
25591	{ "MPS_PORT_STAT_RX_PORT_PPP7_H", 0x3860c, 0 },
25592	{ "MPS_PORT_STAT_RX_PORT_LESS_64B_L", 0x38610, 0 },
25593	{ "MPS_PORT_STAT_RX_PORT_LESS_64B_H", 0x38614, 0 },
25594	{ "MPS_PORT_STAT_RX_PORT_MAC_ERROR_L", 0x38618, 0 },
25595	{ "MPS_PORT_STAT_RX_PORT_MAC_ERROR_H", 0x3861c, 0 },
25596	{ "MPS_PORT_STAT_TX_PORT_BYTES_L", 0x3c400, 0 },
25597	{ "MPS_PORT_STAT_TX_PORT_BYTES_H", 0x3c404, 0 },
25598	{ "MPS_PORT_STAT_TX_PORT_FRAMES_L", 0x3c408, 0 },
25599	{ "MPS_PORT_STAT_TX_PORT_FRAMES_H", 0x3c40c, 0 },
25600	{ "MPS_PORT_STAT_TX_PORT_BCAST_L", 0x3c410, 0 },
25601	{ "MPS_PORT_STAT_TX_PORT_BCAST_H", 0x3c414, 0 },
25602	{ "MPS_PORT_STAT_TX_PORT_MCAST_L", 0x3c418, 0 },
25603	{ "MPS_PORT_STAT_TX_PORT_MCAST_H", 0x3c41c, 0 },
25604	{ "MPS_PORT_STAT_TX_PORT_UCAST_L", 0x3c420, 0 },
25605	{ "MPS_PORT_STAT_TX_PORT_UCAST_H", 0x3c424, 0 },
25606	{ "MPS_PORT_STAT_TX_PORT_ERROR_L", 0x3c428, 0 },
25607	{ "MPS_PORT_STAT_TX_PORT_ERROR_H", 0x3c42c, 0 },
25608	{ "MPS_PORT_STAT_TX_PORT_64B_L", 0x3c430, 0 },
25609	{ "MPS_PORT_STAT_TX_PORT_64B_H", 0x3c434, 0 },
25610	{ "MPS_PORT_STAT_TX_PORT_65B_127B_L", 0x3c438, 0 },
25611	{ "MPS_PORT_STAT_TX_PORT_65B_127B_H", 0x3c43c, 0 },
25612	{ "MPS_PORT_STAT_TX_PORT_128B_255B_L", 0x3c440, 0 },
25613	{ "MPS_PORT_STAT_TX_PORT_128B_255B_H", 0x3c444, 0 },
25614	{ "MPS_PORT_STAT_TX_PORT_256B_511B_L", 0x3c448, 0 },
25615	{ "MPS_PORT_STAT_TX_PORT_256B_511B_H", 0x3c44c, 0 },
25616	{ "MPS_PORT_STAT_TX_PORT_512B_1023B_L", 0x3c450, 0 },
25617	{ "MPS_PORT_STAT_TX_PORT_512B_1023B_H", 0x3c454, 0 },
25618	{ "MPS_PORT_STAT_TX_PORT_1024B_1518B_L", 0x3c458, 0 },
25619	{ "MPS_PORT_STAT_TX_PORT_1024B_1518B_H", 0x3c45c, 0 },
25620	{ "MPS_PORT_STAT_TX_PORT_1519B_MAX_L", 0x3c460, 0 },
25621	{ "MPS_PORT_STAT_TX_PORT_1519B_MAX_H", 0x3c464, 0 },
25622	{ "MPS_PORT_STAT_TX_PORT_DROP_L", 0x3c468, 0 },
25623	{ "MPS_PORT_STAT_TX_PORT_DROP_H", 0x3c46c, 0 },
25624	{ "MPS_PORT_STAT_TX_PORT_PAUSE_L", 0x3c470, 0 },
25625	{ "MPS_PORT_STAT_TX_PORT_PAUSE_H", 0x3c474, 0 },
25626	{ "MPS_PORT_STAT_TX_PORT_PPP0_L", 0x3c478, 0 },
25627	{ "MPS_PORT_STAT_TX_PORT_PPP0_H", 0x3c47c, 0 },
25628	{ "MPS_PORT_STAT_TX_PORT_PPP1_L", 0x3c480, 0 },
25629	{ "MPS_PORT_STAT_TX_PORT_PPP1_H", 0x3c484, 0 },
25630	{ "MPS_PORT_STAT_TX_PORT_PPP2_L", 0x3c488, 0 },
25631	{ "MPS_PORT_STAT_TX_PORT_PPP2_H", 0x3c48c, 0 },
25632	{ "MPS_PORT_STAT_TX_PORT_PPP3_L", 0x3c490, 0 },
25633	{ "MPS_PORT_STAT_TX_PORT_PPP3_H", 0x3c494, 0 },
25634	{ "MPS_PORT_STAT_TX_PORT_PPP4_L", 0x3c498, 0 },
25635	{ "MPS_PORT_STAT_TX_PORT_PPP4_H", 0x3c49c, 0 },
25636	{ "MPS_PORT_STAT_TX_PORT_PPP5_L", 0x3c4a0, 0 },
25637	{ "MPS_PORT_STAT_TX_PORT_PPP5_H", 0x3c4a4, 0 },
25638	{ "MPS_PORT_STAT_TX_PORT_PPP6_L", 0x3c4a8, 0 },
25639	{ "MPS_PORT_STAT_TX_PORT_PPP6_H", 0x3c4ac, 0 },
25640	{ "MPS_PORT_STAT_TX_PORT_PPP7_L", 0x3c4b0, 0 },
25641	{ "MPS_PORT_STAT_TX_PORT_PPP7_H", 0x3c4b4, 0 },
25642	{ "MPS_PORT_STAT_LB_PORT_BYTES_L", 0x3c4c0, 0 },
25643	{ "MPS_PORT_STAT_LB_PORT_BYTES_H", 0x3c4c4, 0 },
25644	{ "MPS_PORT_STAT_LB_PORT_FRAMES_L", 0x3c4c8, 0 },
25645	{ "MPS_PORT_STAT_LB_PORT_FRAMES_H", 0x3c4cc, 0 },
25646	{ "MPS_PORT_STAT_LB_PORT_BCAST_L", 0x3c4d0, 0 },
25647	{ "MPS_PORT_STAT_LB_PORT_BCAST_H", 0x3c4d4, 0 },
25648	{ "MPS_PORT_STAT_LB_PORT_MCAST_L", 0x3c4d8, 0 },
25649	{ "MPS_PORT_STAT_LB_PORT_MCAST_H", 0x3c4dc, 0 },
25650	{ "MPS_PORT_STAT_LB_PORT_UCAST_L", 0x3c4e0, 0 },
25651	{ "MPS_PORT_STAT_LB_PORT_UCAST_H", 0x3c4e4, 0 },
25652	{ "MPS_PORT_STAT_LB_PORT_ERROR_L", 0x3c4e8, 0 },
25653	{ "MPS_PORT_STAT_LB_PORT_ERROR_H", 0x3c4ec, 0 },
25654	{ "MPS_PORT_STAT_LB_PORT_64B_L", 0x3c4f0, 0 },
25655	{ "MPS_PORT_STAT_LB_PORT_64B_H", 0x3c4f4, 0 },
25656	{ "MPS_PORT_STAT_LB_PORT_65B_127B_L", 0x3c4f8, 0 },
25657	{ "MPS_PORT_STAT_LB_PORT_65B_127B_H", 0x3c4fc, 0 },
25658	{ "MPS_PORT_STAT_LB_PORT_128B_255B_L", 0x3c500, 0 },
25659	{ "MPS_PORT_STAT_LB_PORT_128B_255B_H", 0x3c504, 0 },
25660	{ "MPS_PORT_STAT_LB_PORT_256B_511B_L", 0x3c508, 0 },
25661	{ "MPS_PORT_STAT_LB_PORT_256B_511B_H", 0x3c50c, 0 },
25662	{ "MPS_PORT_STAT_LB_PORT_512B_1023B_L", 0x3c510, 0 },
25663	{ "MPS_PORT_STAT_LB_PORT_512B_1023B_H", 0x3c514, 0 },
25664	{ "MPS_PORT_STAT_LB_PORT_1024B_1518B_L", 0x3c518, 0 },
25665	{ "MPS_PORT_STAT_LB_PORT_1024B_1518B_H", 0x3c51c, 0 },
25666	{ "MPS_PORT_STAT_LB_PORT_1519B_MAX_L", 0x3c520, 0 },
25667	{ "MPS_PORT_STAT_LB_PORT_1519B_MAX_H", 0x3c524, 0 },
25668	{ "MPS_PORT_STAT_LB_PORT_DROP_FRAMES_L", 0x3c528, 0 },
25669	{ "MPS_PORT_STAT_LB_PORT_DROP_FRAMES_H", 0x3c52c, 0 },
25670	{ "MPS_PORT_STAT_RX_PORT_BYTES_L", 0x3c540, 0 },
25671	{ "MPS_PORT_STAT_RX_PORT_BYTES_H", 0x3c544, 0 },
25672	{ "MPS_PORT_STAT_RX_PORT_FRAMES_L", 0x3c548, 0 },
25673	{ "MPS_PORT_STAT_RX_PORT_FRAMES_H", 0x3c54c, 0 },
25674	{ "MPS_PORT_STAT_RX_PORT_BCAST_L", 0x3c550, 0 },
25675	{ "MPS_PORT_STAT_RX_PORT_BCAST_H", 0x3c554, 0 },
25676	{ "MPS_PORT_STAT_RX_PORT_MCAST_L", 0x3c558, 0 },
25677	{ "MPS_PORT_STAT_RX_PORT_MCAST_H", 0x3c55c, 0 },
25678	{ "MPS_PORT_STAT_RX_PORT_UCAST_L", 0x3c560, 0 },
25679	{ "MPS_PORT_STAT_RX_PORT_UCAST_H", 0x3c564, 0 },
25680	{ "MPS_PORT_STAT_RX_PORT_MTU_ERROR_L", 0x3c568, 0 },
25681	{ "MPS_PORT_STAT_RX_PORT_MTU_ERROR_H", 0x3c56c, 0 },
25682	{ "MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_L", 0x3c570, 0 },
25683	{ "MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_H", 0x3c574, 0 },
25684	{ "MPS_PORT_STAT_RX_PORT_CRC_ERROR_L", 0x3c578, 0 },
25685	{ "MPS_PORT_STAT_RX_PORT_CRC_ERROR_H", 0x3c57c, 0 },
25686	{ "MPS_PORT_STAT_RX_PORT_LEN_ERROR_L", 0x3c580, 0 },
25687	{ "MPS_PORT_STAT_RX_PORT_LEN_ERROR_H", 0x3c584, 0 },
25688	{ "MPS_PORT_STAT_RX_PORT_SYM_ERROR_L", 0x3c588, 0 },
25689	{ "MPS_PORT_STAT_RX_PORT_SYM_ERROR_H", 0x3c58c, 0 },
25690	{ "MPS_PORT_STAT_RX_PORT_64B_L", 0x3c590, 0 },
25691	{ "MPS_PORT_STAT_RX_PORT_64B_H", 0x3c594, 0 },
25692	{ "MPS_PORT_STAT_RX_PORT_65B_127B_L", 0x3c598, 0 },
25693	{ "MPS_PORT_STAT_RX_PORT_65B_127B_H", 0x3c59c, 0 },
25694	{ "MPS_PORT_STAT_RX_PORT_128B_255B_L", 0x3c5a0, 0 },
25695	{ "MPS_PORT_STAT_RX_PORT_128B_255B_H", 0x3c5a4, 0 },
25696	{ "MPS_PORT_STAT_RX_PORT_256B_511B_L", 0x3c5a8, 0 },
25697	{ "MPS_PORT_STAT_RX_PORT_256B_511B_H", 0x3c5ac, 0 },
25698	{ "MPS_PORT_STAT_RX_PORT_512B_1023B_L", 0x3c5b0, 0 },
25699	{ "MPS_PORT_STAT_RX_PORT_512B_1023B_H", 0x3c5b4, 0 },
25700	{ "MPS_PORT_STAT_RX_PORT_1024B_1518B_L", 0x3c5b8, 0 },
25701	{ "MPS_PORT_STAT_RX_PORT_1024B_1518B_H", 0x3c5bc, 0 },
25702	{ "MPS_PORT_STAT_RX_PORT_1519B_MAX_L", 0x3c5c0, 0 },
25703	{ "MPS_PORT_STAT_RX_PORT_1519B_MAX_H", 0x3c5c4, 0 },
25704	{ "MPS_PORT_STAT_RX_PORT_PAUSE_L", 0x3c5c8, 0 },
25705	{ "MPS_PORT_STAT_RX_PORT_PAUSE_H", 0x3c5cc, 0 },
25706	{ "MPS_PORT_STAT_RX_PORT_PPP0_L", 0x3c5d0, 0 },
25707	{ "MPS_PORT_STAT_RX_PORT_PPP0_H", 0x3c5d4, 0 },
25708	{ "MPS_PORT_STAT_RX_PORT_PPP1_L", 0x3c5d8, 0 },
25709	{ "MPS_PORT_STAT_RX_PORT_PPP1_H", 0x3c5dc, 0 },
25710	{ "MPS_PORT_STAT_RX_PORT_PPP2_L", 0x3c5e0, 0 },
25711	{ "MPS_PORT_STAT_RX_PORT_PPP2_H", 0x3c5e4, 0 },
25712	{ "MPS_PORT_STAT_RX_PORT_PPP3_L", 0x3c5e8, 0 },
25713	{ "MPS_PORT_STAT_RX_PORT_PPP3_H", 0x3c5ec, 0 },
25714	{ "MPS_PORT_STAT_RX_PORT_PPP4_L", 0x3c5f0, 0 },
25715	{ "MPS_PORT_STAT_RX_PORT_PPP4_H", 0x3c5f4, 0 },
25716	{ "MPS_PORT_STAT_RX_PORT_PPP5_L", 0x3c5f8, 0 },
25717	{ "MPS_PORT_STAT_RX_PORT_PPP5_H", 0x3c5fc, 0 },
25718	{ "MPS_PORT_STAT_RX_PORT_PPP6_L", 0x3c600, 0 },
25719	{ "MPS_PORT_STAT_RX_PORT_PPP6_H", 0x3c604, 0 },
25720	{ "MPS_PORT_STAT_RX_PORT_PPP7_L", 0x3c608, 0 },
25721	{ "MPS_PORT_STAT_RX_PORT_PPP7_H", 0x3c60c, 0 },
25722	{ "MPS_PORT_STAT_RX_PORT_LESS_64B_L", 0x3c610, 0 },
25723	{ "MPS_PORT_STAT_RX_PORT_LESS_64B_H", 0x3c614, 0 },
25724	{ "MPS_PORT_STAT_RX_PORT_MAC_ERROR_L", 0x3c618, 0 },
25725	{ "MPS_PORT_STAT_RX_PORT_MAC_ERROR_H", 0x3c61c, 0 },
25726	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1e300, 0 },
25727	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1e304, 0 },
25728	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1e308, 0 },
25729	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1e30c, 0 },
25730	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1e310, 0 },
25731	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1e314, 0 },
25732	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1e318, 0 },
25733	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1e31c, 0 },
25734	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1e320, 0 },
25735	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1e324, 0 },
25736	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1e328, 0 },
25737	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1e32c, 0 },
25738	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1e330, 0 },
25739	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1e334, 0 },
25740	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1e338, 0 },
25741	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1e33c, 0 },
25742	{ "MPS_PF_STAT_RX_PF_BYTES_L", 0x1e340, 0 },
25743	{ "MPS_PF_STAT_RX_PF_BYTES_H", 0x1e344, 0 },
25744	{ "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1e348, 0 },
25745	{ "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1e34c, 0 },
25746	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1e350, 0 },
25747	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1e354, 0 },
25748	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1e358, 0 },
25749	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1e35c, 0 },
25750	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1e360, 0 },
25751	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1e364, 0 },
25752	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1e368, 0 },
25753	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1e36c, 0 },
25754	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1e370, 0 },
25755	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1e374, 0 },
25756	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1e378, 0 },
25757	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1e37c, 0 },
25758	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1e380, 0 },
25759	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1e384, 0 },
25760	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1e700, 0 },
25761	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1e704, 0 },
25762	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1e708, 0 },
25763	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1e70c, 0 },
25764	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1e710, 0 },
25765	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1e714, 0 },
25766	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1e718, 0 },
25767	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1e71c, 0 },
25768	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1e720, 0 },
25769	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1e724, 0 },
25770	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1e728, 0 },
25771	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1e72c, 0 },
25772	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1e730, 0 },
25773	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1e734, 0 },
25774	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1e738, 0 },
25775	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1e73c, 0 },
25776	{ "MPS_PF_STAT_RX_PF_BYTES_L", 0x1e740, 0 },
25777	{ "MPS_PF_STAT_RX_PF_BYTES_H", 0x1e744, 0 },
25778	{ "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1e748, 0 },
25779	{ "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1e74c, 0 },
25780	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1e750, 0 },
25781	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1e754, 0 },
25782	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1e758, 0 },
25783	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1e75c, 0 },
25784	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1e760, 0 },
25785	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1e764, 0 },
25786	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1e768, 0 },
25787	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1e76c, 0 },
25788	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1e770, 0 },
25789	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1e774, 0 },
25790	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1e778, 0 },
25791	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1e77c, 0 },
25792	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1e780, 0 },
25793	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1e784, 0 },
25794	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1eb00, 0 },
25795	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1eb04, 0 },
25796	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1eb08, 0 },
25797	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1eb0c, 0 },
25798	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1eb10, 0 },
25799	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1eb14, 0 },
25800	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1eb18, 0 },
25801	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1eb1c, 0 },
25802	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1eb20, 0 },
25803	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1eb24, 0 },
25804	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1eb28, 0 },
25805	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1eb2c, 0 },
25806	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1eb30, 0 },
25807	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1eb34, 0 },
25808	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1eb38, 0 },
25809	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1eb3c, 0 },
25810	{ "MPS_PF_STAT_RX_PF_BYTES_L", 0x1eb40, 0 },
25811	{ "MPS_PF_STAT_RX_PF_BYTES_H", 0x1eb44, 0 },
25812	{ "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1eb48, 0 },
25813	{ "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1eb4c, 0 },
25814	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1eb50, 0 },
25815	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1eb54, 0 },
25816	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1eb58, 0 },
25817	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1eb5c, 0 },
25818	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1eb60, 0 },
25819	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1eb64, 0 },
25820	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1eb68, 0 },
25821	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1eb6c, 0 },
25822	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1eb70, 0 },
25823	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1eb74, 0 },
25824	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1eb78, 0 },
25825	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1eb7c, 0 },
25826	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1eb80, 0 },
25827	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1eb84, 0 },
25828	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1ef00, 0 },
25829	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1ef04, 0 },
25830	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1ef08, 0 },
25831	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1ef0c, 0 },
25832	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1ef10, 0 },
25833	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1ef14, 0 },
25834	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1ef18, 0 },
25835	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1ef1c, 0 },
25836	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1ef20, 0 },
25837	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1ef24, 0 },
25838	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1ef28, 0 },
25839	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1ef2c, 0 },
25840	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1ef30, 0 },
25841	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1ef34, 0 },
25842	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1ef38, 0 },
25843	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1ef3c, 0 },
25844	{ "MPS_PF_STAT_RX_PF_BYTES_L", 0x1ef40, 0 },
25845	{ "MPS_PF_STAT_RX_PF_BYTES_H", 0x1ef44, 0 },
25846	{ "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1ef48, 0 },
25847	{ "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1ef4c, 0 },
25848	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1ef50, 0 },
25849	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1ef54, 0 },
25850	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1ef58, 0 },
25851	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1ef5c, 0 },
25852	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1ef60, 0 },
25853	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1ef64, 0 },
25854	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1ef68, 0 },
25855	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1ef6c, 0 },
25856	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1ef70, 0 },
25857	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1ef74, 0 },
25858	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1ef78, 0 },
25859	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1ef7c, 0 },
25860	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1ef80, 0 },
25861	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1ef84, 0 },
25862	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1f300, 0 },
25863	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1f304, 0 },
25864	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1f308, 0 },
25865	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1f30c, 0 },
25866	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1f310, 0 },
25867	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1f314, 0 },
25868	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1f318, 0 },
25869	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1f31c, 0 },
25870	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1f320, 0 },
25871	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1f324, 0 },
25872	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1f328, 0 },
25873	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1f32c, 0 },
25874	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1f330, 0 },
25875	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1f334, 0 },
25876	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1f338, 0 },
25877	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1f33c, 0 },
25878	{ "MPS_PF_STAT_RX_PF_BYTES_L", 0x1f340, 0 },
25879	{ "MPS_PF_STAT_RX_PF_BYTES_H", 0x1f344, 0 },
25880	{ "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1f348, 0 },
25881	{ "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1f34c, 0 },
25882	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1f350, 0 },
25883	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1f354, 0 },
25884	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1f358, 0 },
25885	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1f35c, 0 },
25886	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1f360, 0 },
25887	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1f364, 0 },
25888	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1f368, 0 },
25889	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1f36c, 0 },
25890	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1f370, 0 },
25891	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1f374, 0 },
25892	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1f378, 0 },
25893	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1f37c, 0 },
25894	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1f380, 0 },
25895	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1f384, 0 },
25896	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1f700, 0 },
25897	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1f704, 0 },
25898	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1f708, 0 },
25899	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1f70c, 0 },
25900	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1f710, 0 },
25901	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1f714, 0 },
25902	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1f718, 0 },
25903	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1f71c, 0 },
25904	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1f720, 0 },
25905	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1f724, 0 },
25906	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1f728, 0 },
25907	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1f72c, 0 },
25908	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1f730, 0 },
25909	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1f734, 0 },
25910	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1f738, 0 },
25911	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1f73c, 0 },
25912	{ "MPS_PF_STAT_RX_PF_BYTES_L", 0x1f740, 0 },
25913	{ "MPS_PF_STAT_RX_PF_BYTES_H", 0x1f744, 0 },
25914	{ "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1f748, 0 },
25915	{ "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1f74c, 0 },
25916	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1f750, 0 },
25917	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1f754, 0 },
25918	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1f758, 0 },
25919	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1f75c, 0 },
25920	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1f760, 0 },
25921	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1f764, 0 },
25922	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1f768, 0 },
25923	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1f76c, 0 },
25924	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1f770, 0 },
25925	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1f774, 0 },
25926	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1f778, 0 },
25927	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1f77c, 0 },
25928	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1f780, 0 },
25929	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1f784, 0 },
25930	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1fb00, 0 },
25931	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1fb04, 0 },
25932	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1fb08, 0 },
25933	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1fb0c, 0 },
25934	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1fb10, 0 },
25935	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1fb14, 0 },
25936	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1fb18, 0 },
25937	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1fb1c, 0 },
25938	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1fb20, 0 },
25939	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1fb24, 0 },
25940	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1fb28, 0 },
25941	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1fb2c, 0 },
25942	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1fb30, 0 },
25943	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1fb34, 0 },
25944	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1fb38, 0 },
25945	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1fb3c, 0 },
25946	{ "MPS_PF_STAT_RX_PF_BYTES_L", 0x1fb40, 0 },
25947	{ "MPS_PF_STAT_RX_PF_BYTES_H", 0x1fb44, 0 },
25948	{ "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1fb48, 0 },
25949	{ "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1fb4c, 0 },
25950	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1fb50, 0 },
25951	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1fb54, 0 },
25952	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1fb58, 0 },
25953	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1fb5c, 0 },
25954	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1fb60, 0 },
25955	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1fb64, 0 },
25956	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1fb68, 0 },
25957	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1fb6c, 0 },
25958	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1fb70, 0 },
25959	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1fb74, 0 },
25960	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1fb78, 0 },
25961	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1fb7c, 0 },
25962	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1fb80, 0 },
25963	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1fb84, 0 },
25964	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1ff00, 0 },
25965	{ "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1ff04, 0 },
25966	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1ff08, 0 },
25967	{ "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1ff0c, 0 },
25968	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1ff10, 0 },
25969	{ "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1ff14, 0 },
25970	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1ff18, 0 },
25971	{ "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1ff1c, 0 },
25972	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1ff20, 0 },
25973	{ "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1ff24, 0 },
25974	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1ff28, 0 },
25975	{ "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1ff2c, 0 },
25976	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1ff30, 0 },
25977	{ "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1ff34, 0 },
25978	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1ff38, 0 },
25979	{ "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1ff3c, 0 },
25980	{ "MPS_PF_STAT_RX_PF_BYTES_L", 0x1ff40, 0 },
25981	{ "MPS_PF_STAT_RX_PF_BYTES_H", 0x1ff44, 0 },
25982	{ "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1ff48, 0 },
25983	{ "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1ff4c, 0 },
25984	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1ff50, 0 },
25985	{ "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1ff54, 0 },
25986	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1ff58, 0 },
25987	{ "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1ff5c, 0 },
25988	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1ff60, 0 },
25989	{ "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1ff64, 0 },
25990	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1ff68, 0 },
25991	{ "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1ff6c, 0 },
25992	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1ff70, 0 },
25993	{ "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1ff74, 0 },
25994	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1ff78, 0 },
25995	{ "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1ff7c, 0 },
25996	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1ff80, 0 },
25997	{ "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1ff84, 0 },
25998	{ "MPS_PORT_CLS_HASH_SRAM", 0x30200, 0 },
25999		{ "Valid", 20, 1 },
26000		{ "PortMap", 16, 4 },
26001		{ "MultiListen", 15, 1 },
26002		{ "Priority", 12, 3 },
26003		{ "Replicate", 11, 1 },
26004		{ "PF", 8, 3 },
26005		{ "VF_Valid", 7, 1 },
26006		{ "VF", 0, 7 },
26007	{ "MPS_PORT_CLS_HASH_SRAM", 0x30204, 0 },
26008		{ "Valid", 20, 1 },
26009		{ "PortMap", 16, 4 },
26010		{ "MultiListen", 15, 1 },
26011		{ "Priority", 12, 3 },
26012		{ "Replicate", 11, 1 },
26013		{ "PF", 8, 3 },
26014		{ "VF_Valid", 7, 1 },
26015		{ "VF", 0, 7 },
26016	{ "MPS_PORT_CLS_HASH_SRAM", 0x30208, 0 },
26017		{ "Valid", 20, 1 },
26018		{ "PortMap", 16, 4 },
26019		{ "MultiListen", 15, 1 },
26020		{ "Priority", 12, 3 },
26021		{ "Replicate", 11, 1 },
26022		{ "PF", 8, 3 },
26023		{ "VF_Valid", 7, 1 },
26024		{ "VF", 0, 7 },
26025	{ "MPS_PORT_CLS_HASH_SRAM", 0x3020c, 0 },
26026		{ "Valid", 20, 1 },
26027		{ "PortMap", 16, 4 },
26028		{ "MultiListen", 15, 1 },
26029		{ "Priority", 12, 3 },
26030		{ "Replicate", 11, 1 },
26031		{ "PF", 8, 3 },
26032		{ "VF_Valid", 7, 1 },
26033		{ "VF", 0, 7 },
26034	{ "MPS_PORT_CLS_HASH_SRAM", 0x30210, 0 },
26035		{ "Valid", 20, 1 },
26036		{ "PortMap", 16, 4 },
26037		{ "MultiListen", 15, 1 },
26038		{ "Priority", 12, 3 },
26039		{ "Replicate", 11, 1 },
26040		{ "PF", 8, 3 },
26041		{ "VF_Valid", 7, 1 },
26042		{ "VF", 0, 7 },
26043	{ "MPS_PORT_CLS_HASH_SRAM", 0x30214, 0 },
26044		{ "Valid", 20, 1 },
26045		{ "PortMap", 16, 4 },
26046		{ "MultiListen", 15, 1 },
26047		{ "Priority", 12, 3 },
26048		{ "Replicate", 11, 1 },
26049		{ "PF", 8, 3 },
26050		{ "VF_Valid", 7, 1 },
26051		{ "VF", 0, 7 },
26052	{ "MPS_PORT_CLS_HASH_SRAM", 0x30218, 0 },
26053		{ "Valid", 20, 1 },
26054		{ "PortMap", 16, 4 },
26055		{ "MultiListen", 15, 1 },
26056		{ "Priority", 12, 3 },
26057		{ "Replicate", 11, 1 },
26058		{ "PF", 8, 3 },
26059		{ "VF_Valid", 7, 1 },
26060		{ "VF", 0, 7 },
26061	{ "MPS_PORT_CLS_HASH_SRAM", 0x3021c, 0 },
26062		{ "Valid", 20, 1 },
26063		{ "PortMap", 16, 4 },
26064		{ "MultiListen", 15, 1 },
26065		{ "Priority", 12, 3 },
26066		{ "Replicate", 11, 1 },
26067		{ "PF", 8, 3 },
26068		{ "VF_Valid", 7, 1 },
26069		{ "VF", 0, 7 },
26070	{ "MPS_PORT_CLS_HASH_SRAM", 0x30220, 0 },
26071		{ "Valid", 20, 1 },
26072		{ "PortMap", 16, 4 },
26073		{ "MultiListen", 15, 1 },
26074		{ "Priority", 12, 3 },
26075		{ "Replicate", 11, 1 },
26076		{ "PF", 8, 3 },
26077		{ "VF_Valid", 7, 1 },
26078		{ "VF", 0, 7 },
26079	{ "MPS_PORT_CLS_HASH_SRAM", 0x30224, 0 },
26080		{ "Valid", 20, 1 },
26081		{ "PortMap", 16, 4 },
26082		{ "MultiListen", 15, 1 },
26083		{ "Priority", 12, 3 },
26084		{ "Replicate", 11, 1 },
26085		{ "PF", 8, 3 },
26086		{ "VF_Valid", 7, 1 },
26087		{ "VF", 0, 7 },
26088	{ "MPS_PORT_CLS_HASH_SRAM", 0x30228, 0 },
26089		{ "Valid", 20, 1 },
26090		{ "PortMap", 16, 4 },
26091		{ "MultiListen", 15, 1 },
26092		{ "Priority", 12, 3 },
26093		{ "Replicate", 11, 1 },
26094		{ "PF", 8, 3 },
26095		{ "VF_Valid", 7, 1 },
26096		{ "VF", 0, 7 },
26097	{ "MPS_PORT_CLS_HASH_SRAM", 0x3022c, 0 },
26098		{ "Valid", 20, 1 },
26099		{ "PortMap", 16, 4 },
26100		{ "MultiListen", 15, 1 },
26101		{ "Priority", 12, 3 },
26102		{ "Replicate", 11, 1 },
26103		{ "PF", 8, 3 },
26104		{ "VF_Valid", 7, 1 },
26105		{ "VF", 0, 7 },
26106	{ "MPS_PORT_CLS_HASH_SRAM", 0x30230, 0 },
26107		{ "Valid", 20, 1 },
26108		{ "PortMap", 16, 4 },
26109		{ "MultiListen", 15, 1 },
26110		{ "Priority", 12, 3 },
26111		{ "Replicate", 11, 1 },
26112		{ "PF", 8, 3 },
26113		{ "VF_Valid", 7, 1 },
26114		{ "VF", 0, 7 },
26115	{ "MPS_PORT_CLS_HASH_SRAM", 0x30234, 0 },
26116		{ "Valid", 20, 1 },
26117		{ "PortMap", 16, 4 },
26118		{ "MultiListen", 15, 1 },
26119		{ "Priority", 12, 3 },
26120		{ "Replicate", 11, 1 },
26121		{ "PF", 8, 3 },
26122		{ "VF_Valid", 7, 1 },
26123		{ "VF", 0, 7 },
26124	{ "MPS_PORT_CLS_HASH_SRAM", 0x30238, 0 },
26125		{ "Valid", 20, 1 },
26126		{ "PortMap", 16, 4 },
26127		{ "MultiListen", 15, 1 },
26128		{ "Priority", 12, 3 },
26129		{ "Replicate", 11, 1 },
26130		{ "PF", 8, 3 },
26131		{ "VF_Valid", 7, 1 },
26132		{ "VF", 0, 7 },
26133	{ "MPS_PORT_CLS_HASH_SRAM", 0x3023c, 0 },
26134		{ "Valid", 20, 1 },
26135		{ "PortMap", 16, 4 },
26136		{ "MultiListen", 15, 1 },
26137		{ "Priority", 12, 3 },
26138		{ "Replicate", 11, 1 },
26139		{ "PF", 8, 3 },
26140		{ "VF_Valid", 7, 1 },
26141		{ "VF", 0, 7 },
26142	{ "MPS_PORT_CLS_HASH_SRAM", 0x30240, 0 },
26143		{ "Valid", 20, 1 },
26144		{ "PortMap", 16, 4 },
26145		{ "MultiListen", 15, 1 },
26146		{ "Priority", 12, 3 },
26147		{ "Replicate", 11, 1 },
26148		{ "PF", 8, 3 },
26149		{ "VF_Valid", 7, 1 },
26150		{ "VF", 0, 7 },
26151	{ "MPS_PORT_CLS_HASH_SRAM", 0x30244, 0 },
26152		{ "Valid", 20, 1 },
26153		{ "PortMap", 16, 4 },
26154		{ "MultiListen", 15, 1 },
26155		{ "Priority", 12, 3 },
26156		{ "Replicate", 11, 1 },
26157		{ "PF", 8, 3 },
26158		{ "VF_Valid", 7, 1 },
26159		{ "VF", 0, 7 },
26160	{ "MPS_PORT_CLS_HASH_SRAM", 0x30248, 0 },
26161		{ "Valid", 20, 1 },
26162		{ "PortMap", 16, 4 },
26163		{ "MultiListen", 15, 1 },
26164		{ "Priority", 12, 3 },
26165		{ "Replicate", 11, 1 },
26166		{ "PF", 8, 3 },
26167		{ "VF_Valid", 7, 1 },
26168		{ "VF", 0, 7 },
26169	{ "MPS_PORT_CLS_HASH_SRAM", 0x3024c, 0 },
26170		{ "Valid", 20, 1 },
26171		{ "PortMap", 16, 4 },
26172		{ "MultiListen", 15, 1 },
26173		{ "Priority", 12, 3 },
26174		{ "Replicate", 11, 1 },
26175		{ "PF", 8, 3 },
26176		{ "VF_Valid", 7, 1 },
26177		{ "VF", 0, 7 },
26178	{ "MPS_PORT_CLS_HASH_SRAM", 0x30250, 0 },
26179		{ "Valid", 20, 1 },
26180		{ "PortMap", 16, 4 },
26181		{ "MultiListen", 15, 1 },
26182		{ "Priority", 12, 3 },
26183		{ "Replicate", 11, 1 },
26184		{ "PF", 8, 3 },
26185		{ "VF_Valid", 7, 1 },
26186		{ "VF", 0, 7 },
26187	{ "MPS_PORT_CLS_HASH_SRAM", 0x30254, 0 },
26188		{ "Valid", 20, 1 },
26189		{ "PortMap", 16, 4 },
26190		{ "MultiListen", 15, 1 },
26191		{ "Priority", 12, 3 },
26192		{ "Replicate", 11, 1 },
26193		{ "PF", 8, 3 },
26194		{ "VF_Valid", 7, 1 },
26195		{ "VF", 0, 7 },
26196	{ "MPS_PORT_CLS_HASH_SRAM", 0x30258, 0 },
26197		{ "Valid", 20, 1 },
26198		{ "PortMap", 16, 4 },
26199		{ "MultiListen", 15, 1 },
26200		{ "Priority", 12, 3 },
26201		{ "Replicate", 11, 1 },
26202		{ "PF", 8, 3 },
26203		{ "VF_Valid", 7, 1 },
26204		{ "VF", 0, 7 },
26205	{ "MPS_PORT_CLS_HASH_SRAM", 0x3025c, 0 },
26206		{ "Valid", 20, 1 },
26207		{ "PortMap", 16, 4 },
26208		{ "MultiListen", 15, 1 },
26209		{ "Priority", 12, 3 },
26210		{ "Replicate", 11, 1 },
26211		{ "PF", 8, 3 },
26212		{ "VF_Valid", 7, 1 },
26213		{ "VF", 0, 7 },
26214	{ "MPS_PORT_CLS_HASH_SRAM", 0x30260, 0 },
26215		{ "Valid", 20, 1 },
26216		{ "PortMap", 16, 4 },
26217		{ "MultiListen", 15, 1 },
26218		{ "Priority", 12, 3 },
26219		{ "Replicate", 11, 1 },
26220		{ "PF", 8, 3 },
26221		{ "VF_Valid", 7, 1 },
26222		{ "VF", 0, 7 },
26223	{ "MPS_PORT_CLS_HASH_SRAM", 0x30264, 0 },
26224		{ "Valid", 20, 1 },
26225		{ "PortMap", 16, 4 },
26226		{ "MultiListen", 15, 1 },
26227		{ "Priority", 12, 3 },
26228		{ "Replicate", 11, 1 },
26229		{ "PF", 8, 3 },
26230		{ "VF_Valid", 7, 1 },
26231		{ "VF", 0, 7 },
26232	{ "MPS_PORT_CLS_HASH_SRAM", 0x30268, 0 },
26233		{ "Valid", 20, 1 },
26234		{ "PortMap", 16, 4 },
26235		{ "MultiListen", 15, 1 },
26236		{ "Priority", 12, 3 },
26237		{ "Replicate", 11, 1 },
26238		{ "PF", 8, 3 },
26239		{ "VF_Valid", 7, 1 },
26240		{ "VF", 0, 7 },
26241	{ "MPS_PORT_CLS_HASH_SRAM", 0x3026c, 0 },
26242		{ "Valid", 20, 1 },
26243		{ "PortMap", 16, 4 },
26244		{ "MultiListen", 15, 1 },
26245		{ "Priority", 12, 3 },
26246		{ "Replicate", 11, 1 },
26247		{ "PF", 8, 3 },
26248		{ "VF_Valid", 7, 1 },
26249		{ "VF", 0, 7 },
26250	{ "MPS_PORT_CLS_HASH_SRAM", 0x30270, 0 },
26251		{ "Valid", 20, 1 },
26252		{ "PortMap", 16, 4 },
26253		{ "MultiListen", 15, 1 },
26254		{ "Priority", 12, 3 },
26255		{ "Replicate", 11, 1 },
26256		{ "PF", 8, 3 },
26257		{ "VF_Valid", 7, 1 },
26258		{ "VF", 0, 7 },
26259	{ "MPS_PORT_CLS_HASH_SRAM", 0x30274, 0 },
26260		{ "Valid", 20, 1 },
26261		{ "PortMap", 16, 4 },
26262		{ "MultiListen", 15, 1 },
26263		{ "Priority", 12, 3 },
26264		{ "Replicate", 11, 1 },
26265		{ "PF", 8, 3 },
26266		{ "VF_Valid", 7, 1 },
26267		{ "VF", 0, 7 },
26268	{ "MPS_PORT_CLS_HASH_SRAM", 0x30278, 0 },
26269		{ "Valid", 20, 1 },
26270		{ "PortMap", 16, 4 },
26271		{ "MultiListen", 15, 1 },
26272		{ "Priority", 12, 3 },
26273		{ "Replicate", 11, 1 },
26274		{ "PF", 8, 3 },
26275		{ "VF_Valid", 7, 1 },
26276		{ "VF", 0, 7 },
26277	{ "MPS_PORT_CLS_HASH_SRAM", 0x3027c, 0 },
26278		{ "Valid", 20, 1 },
26279		{ "PortMap", 16, 4 },
26280		{ "MultiListen", 15, 1 },
26281		{ "Priority", 12, 3 },
26282		{ "Replicate", 11, 1 },
26283		{ "PF", 8, 3 },
26284		{ "VF_Valid", 7, 1 },
26285		{ "VF", 0, 7 },
26286	{ "MPS_PORT_CLS_HASH_SRAM", 0x30280, 0 },
26287		{ "Valid", 20, 1 },
26288		{ "PortMap", 16, 4 },
26289		{ "MultiListen", 15, 1 },
26290		{ "Priority", 12, 3 },
26291		{ "Replicate", 11, 1 },
26292		{ "PF", 8, 3 },
26293		{ "VF_Valid", 7, 1 },
26294		{ "VF", 0, 7 },
26295	{ "MPS_PORT_CLS_HASH_SRAM", 0x30284, 0 },
26296		{ "Valid", 20, 1 },
26297		{ "PortMap", 16, 4 },
26298		{ "MultiListen", 15, 1 },
26299		{ "Priority", 12, 3 },
26300		{ "Replicate", 11, 1 },
26301		{ "PF", 8, 3 },
26302		{ "VF_Valid", 7, 1 },
26303		{ "VF", 0, 7 },
26304	{ "MPS_PORT_CLS_HASH_SRAM", 0x30288, 0 },
26305		{ "Valid", 20, 1 },
26306		{ "PortMap", 16, 4 },
26307		{ "MultiListen", 15, 1 },
26308		{ "Priority", 12, 3 },
26309		{ "Replicate", 11, 1 },
26310		{ "PF", 8, 3 },
26311		{ "VF_Valid", 7, 1 },
26312		{ "VF", 0, 7 },
26313	{ "MPS_PORT_CLS_HASH_SRAM", 0x3028c, 0 },
26314		{ "Valid", 20, 1 },
26315		{ "PortMap", 16, 4 },
26316		{ "MultiListen", 15, 1 },
26317		{ "Priority", 12, 3 },
26318		{ "Replicate", 11, 1 },
26319		{ "PF", 8, 3 },
26320		{ "VF_Valid", 7, 1 },
26321		{ "VF", 0, 7 },
26322	{ "MPS_PORT_CLS_HASH_SRAM", 0x30290, 0 },
26323		{ "Valid", 20, 1 },
26324		{ "PortMap", 16, 4 },
26325		{ "MultiListen", 15, 1 },
26326		{ "Priority", 12, 3 },
26327		{ "Replicate", 11, 1 },
26328		{ "PF", 8, 3 },
26329		{ "VF_Valid", 7, 1 },
26330		{ "VF", 0, 7 },
26331	{ "MPS_PORT_CLS_HASH_SRAM", 0x30294, 0 },
26332		{ "Valid", 20, 1 },
26333		{ "PortMap", 16, 4 },
26334		{ "MultiListen", 15, 1 },
26335		{ "Priority", 12, 3 },
26336		{ "Replicate", 11, 1 },
26337		{ "PF", 8, 3 },
26338		{ "VF_Valid", 7, 1 },
26339		{ "VF", 0, 7 },
26340	{ "MPS_PORT_CLS_HASH_SRAM", 0x30298, 0 },
26341		{ "Valid", 20, 1 },
26342		{ "PortMap", 16, 4 },
26343		{ "MultiListen", 15, 1 },
26344		{ "Priority", 12, 3 },
26345		{ "Replicate", 11, 1 },
26346		{ "PF", 8, 3 },
26347		{ "VF_Valid", 7, 1 },
26348		{ "VF", 0, 7 },
26349	{ "MPS_PORT_CLS_HASH_SRAM", 0x3029c, 0 },
26350		{ "Valid", 20, 1 },
26351		{ "PortMap", 16, 4 },
26352		{ "MultiListen", 15, 1 },
26353		{ "Priority", 12, 3 },
26354		{ "Replicate", 11, 1 },
26355		{ "PF", 8, 3 },
26356		{ "VF_Valid", 7, 1 },
26357		{ "VF", 0, 7 },
26358	{ "MPS_PORT_CLS_HASH_SRAM", 0x302a0, 0 },
26359		{ "Valid", 20, 1 },
26360		{ "PortMap", 16, 4 },
26361		{ "MultiListen", 15, 1 },
26362		{ "Priority", 12, 3 },
26363		{ "Replicate", 11, 1 },
26364		{ "PF", 8, 3 },
26365		{ "VF_Valid", 7, 1 },
26366		{ "VF", 0, 7 },
26367	{ "MPS_PORT_CLS_HASH_SRAM", 0x302a4, 0 },
26368		{ "Valid", 20, 1 },
26369		{ "PortMap", 16, 4 },
26370		{ "MultiListen", 15, 1 },
26371		{ "Priority", 12, 3 },
26372		{ "Replicate", 11, 1 },
26373		{ "PF", 8, 3 },
26374		{ "VF_Valid", 7, 1 },
26375		{ "VF", 0, 7 },
26376	{ "MPS_PORT_CLS_HASH_SRAM", 0x302a8, 0 },
26377		{ "Valid", 20, 1 },
26378		{ "PortMap", 16, 4 },
26379		{ "MultiListen", 15, 1 },
26380		{ "Priority", 12, 3 },
26381		{ "Replicate", 11, 1 },
26382		{ "PF", 8, 3 },
26383		{ "VF_Valid", 7, 1 },
26384		{ "VF", 0, 7 },
26385	{ "MPS_PORT_CLS_HASH_SRAM", 0x302ac, 0 },
26386		{ "Valid", 20, 1 },
26387		{ "PortMap", 16, 4 },
26388		{ "MultiListen", 15, 1 },
26389		{ "Priority", 12, 3 },
26390		{ "Replicate", 11, 1 },
26391		{ "PF", 8, 3 },
26392		{ "VF_Valid", 7, 1 },
26393		{ "VF", 0, 7 },
26394	{ "MPS_PORT_CLS_HASH_SRAM", 0x302b0, 0 },
26395		{ "Valid", 20, 1 },
26396		{ "PortMap", 16, 4 },
26397		{ "MultiListen", 15, 1 },
26398		{ "Priority", 12, 3 },
26399		{ "Replicate", 11, 1 },
26400		{ "PF", 8, 3 },
26401		{ "VF_Valid", 7, 1 },
26402		{ "VF", 0, 7 },
26403	{ "MPS_PORT_CLS_HASH_SRAM", 0x302b4, 0 },
26404		{ "Valid", 20, 1 },
26405		{ "PortMap", 16, 4 },
26406		{ "MultiListen", 15, 1 },
26407		{ "Priority", 12, 3 },
26408		{ "Replicate", 11, 1 },
26409		{ "PF", 8, 3 },
26410		{ "VF_Valid", 7, 1 },
26411		{ "VF", 0, 7 },
26412	{ "MPS_PORT_CLS_HASH_SRAM", 0x302b8, 0 },
26413		{ "Valid", 20, 1 },
26414		{ "PortMap", 16, 4 },
26415		{ "MultiListen", 15, 1 },
26416		{ "Priority", 12, 3 },
26417		{ "Replicate", 11, 1 },
26418		{ "PF", 8, 3 },
26419		{ "VF_Valid", 7, 1 },
26420		{ "VF", 0, 7 },
26421	{ "MPS_PORT_CLS_HASH_SRAM", 0x302bc, 0 },
26422		{ "Valid", 20, 1 },
26423		{ "PortMap", 16, 4 },
26424		{ "MultiListen", 15, 1 },
26425		{ "Priority", 12, 3 },
26426		{ "Replicate", 11, 1 },
26427		{ "PF", 8, 3 },
26428		{ "VF_Valid", 7, 1 },
26429		{ "VF", 0, 7 },
26430	{ "MPS_PORT_CLS_HASH_SRAM", 0x302c0, 0 },
26431		{ "Valid", 20, 1 },
26432		{ "PortMap", 16, 4 },
26433		{ "MultiListen", 15, 1 },
26434		{ "Priority", 12, 3 },
26435		{ "Replicate", 11, 1 },
26436		{ "PF", 8, 3 },
26437		{ "VF_Valid", 7, 1 },
26438		{ "VF", 0, 7 },
26439	{ "MPS_PORT_CLS_HASH_SRAM", 0x302c4, 0 },
26440		{ "Valid", 20, 1 },
26441		{ "PortMap", 16, 4 },
26442		{ "MultiListen", 15, 1 },
26443		{ "Priority", 12, 3 },
26444		{ "Replicate", 11, 1 },
26445		{ "PF", 8, 3 },
26446		{ "VF_Valid", 7, 1 },
26447		{ "VF", 0, 7 },
26448	{ "MPS_PORT_CLS_HASH_SRAM", 0x302c8, 0 },
26449		{ "Valid", 20, 1 },
26450		{ "PortMap", 16, 4 },
26451		{ "MultiListen", 15, 1 },
26452		{ "Priority", 12, 3 },
26453		{ "Replicate", 11, 1 },
26454		{ "PF", 8, 3 },
26455		{ "VF_Valid", 7, 1 },
26456		{ "VF", 0, 7 },
26457	{ "MPS_PORT_CLS_HASH_SRAM", 0x302cc, 0 },
26458		{ "Valid", 20, 1 },
26459		{ "PortMap", 16, 4 },
26460		{ "MultiListen", 15, 1 },
26461		{ "Priority", 12, 3 },
26462		{ "Replicate", 11, 1 },
26463		{ "PF", 8, 3 },
26464		{ "VF_Valid", 7, 1 },
26465		{ "VF", 0, 7 },
26466	{ "MPS_PORT_CLS_HASH_SRAM", 0x302d0, 0 },
26467		{ "Valid", 20, 1 },
26468		{ "PortMap", 16, 4 },
26469		{ "MultiListen", 15, 1 },
26470		{ "Priority", 12, 3 },
26471		{ "Replicate", 11, 1 },
26472		{ "PF", 8, 3 },
26473		{ "VF_Valid", 7, 1 },
26474		{ "VF", 0, 7 },
26475	{ "MPS_PORT_CLS_HASH_SRAM", 0x302d4, 0 },
26476		{ "Valid", 20, 1 },
26477		{ "PortMap", 16, 4 },
26478		{ "MultiListen", 15, 1 },
26479		{ "Priority", 12, 3 },
26480		{ "Replicate", 11, 1 },
26481		{ "PF", 8, 3 },
26482		{ "VF_Valid", 7, 1 },
26483		{ "VF", 0, 7 },
26484	{ "MPS_PORT_CLS_HASH_SRAM", 0x302d8, 0 },
26485		{ "Valid", 20, 1 },
26486		{ "PortMap", 16, 4 },
26487		{ "MultiListen", 15, 1 },
26488		{ "Priority", 12, 3 },
26489		{ "Replicate", 11, 1 },
26490		{ "PF", 8, 3 },
26491		{ "VF_Valid", 7, 1 },
26492		{ "VF", 0, 7 },
26493	{ "MPS_PORT_CLS_HASH_SRAM", 0x302dc, 0 },
26494		{ "Valid", 20, 1 },
26495		{ "PortMap", 16, 4 },
26496		{ "MultiListen", 15, 1 },
26497		{ "Priority", 12, 3 },
26498		{ "Replicate", 11, 1 },
26499		{ "PF", 8, 3 },
26500		{ "VF_Valid", 7, 1 },
26501		{ "VF", 0, 7 },
26502	{ "MPS_PORT_CLS_HASH_SRAM", 0x302e0, 0 },
26503		{ "Valid", 20, 1 },
26504		{ "PortMap", 16, 4 },
26505		{ "MultiListen", 15, 1 },
26506		{ "Priority", 12, 3 },
26507		{ "Replicate", 11, 1 },
26508		{ "PF", 8, 3 },
26509		{ "VF_Valid", 7, 1 },
26510		{ "VF", 0, 7 },
26511	{ "MPS_PORT_CLS_HASH_SRAM", 0x302e4, 0 },
26512		{ "Valid", 20, 1 },
26513		{ "PortMap", 16, 4 },
26514		{ "MultiListen", 15, 1 },
26515		{ "Priority", 12, 3 },
26516		{ "Replicate", 11, 1 },
26517		{ "PF", 8, 3 },
26518		{ "VF_Valid", 7, 1 },
26519		{ "VF", 0, 7 },
26520	{ "MPS_PORT_CLS_HASH_SRAM", 0x302e8, 0 },
26521		{ "Valid", 20, 1 },
26522		{ "PortMap", 16, 4 },
26523		{ "MultiListen", 15, 1 },
26524		{ "Priority", 12, 3 },
26525		{ "Replicate", 11, 1 },
26526		{ "PF", 8, 3 },
26527		{ "VF_Valid", 7, 1 },
26528		{ "VF", 0, 7 },
26529	{ "MPS_PORT_CLS_HASH_SRAM", 0x302ec, 0 },
26530		{ "Valid", 20, 1 },
26531		{ "PortMap", 16, 4 },
26532		{ "MultiListen", 15, 1 },
26533		{ "Priority", 12, 3 },
26534		{ "Replicate", 11, 1 },
26535		{ "PF", 8, 3 },
26536		{ "VF_Valid", 7, 1 },
26537		{ "VF", 0, 7 },
26538	{ "MPS_PORT_CLS_HASH_SRAM", 0x302f0, 0 },
26539		{ "Valid", 20, 1 },
26540		{ "PortMap", 16, 4 },
26541		{ "MultiListen", 15, 1 },
26542		{ "Priority", 12, 3 },
26543		{ "Replicate", 11, 1 },
26544		{ "PF", 8, 3 },
26545		{ "VF_Valid", 7, 1 },
26546		{ "VF", 0, 7 },
26547	{ "MPS_PORT_CLS_HASH_SRAM", 0x302f4, 0 },
26548		{ "Valid", 20, 1 },
26549		{ "PortMap", 16, 4 },
26550		{ "MultiListen", 15, 1 },
26551		{ "Priority", 12, 3 },
26552		{ "Replicate", 11, 1 },
26553		{ "PF", 8, 3 },
26554		{ "VF_Valid", 7, 1 },
26555		{ "VF", 0, 7 },
26556	{ "MPS_PORT_CLS_HASH_SRAM", 0x302f8, 0 },
26557		{ "Valid", 20, 1 },
26558		{ "PortMap", 16, 4 },
26559		{ "MultiListen", 15, 1 },
26560		{ "Priority", 12, 3 },
26561		{ "Replicate", 11, 1 },
26562		{ "PF", 8, 3 },
26563		{ "VF_Valid", 7, 1 },
26564		{ "VF", 0, 7 },
26565	{ "MPS_PORT_CLS_HASH_SRAM", 0x302fc, 0 },
26566		{ "Valid", 20, 1 },
26567		{ "PortMap", 16, 4 },
26568		{ "MultiListen", 15, 1 },
26569		{ "Priority", 12, 3 },
26570		{ "Replicate", 11, 1 },
26571		{ "PF", 8, 3 },
26572		{ "VF_Valid", 7, 1 },
26573		{ "VF", 0, 7 },
26574	{ "MPS_PORT_CLS_HASH_SRAM", 0x30300, 0 },
26575		{ "Valid", 20, 1 },
26576		{ "PortMap", 16, 4 },
26577		{ "MultiListen", 15, 1 },
26578		{ "Priority", 12, 3 },
26579		{ "Replicate", 11, 1 },
26580		{ "PF", 8, 3 },
26581		{ "VF_Valid", 7, 1 },
26582		{ "VF", 0, 7 },
26583	{ "MPS_PORT_CLS_HASH_SRAM", 0x34200, 0 },
26584		{ "Valid", 20, 1 },
26585		{ "PortMap", 16, 4 },
26586		{ "MultiListen", 15, 1 },
26587		{ "Priority", 12, 3 },
26588		{ "Replicate", 11, 1 },
26589		{ "PF", 8, 3 },
26590		{ "VF_Valid", 7, 1 },
26591		{ "VF", 0, 7 },
26592	{ "MPS_PORT_CLS_HASH_SRAM", 0x34204, 0 },
26593		{ "Valid", 20, 1 },
26594		{ "PortMap", 16, 4 },
26595		{ "MultiListen", 15, 1 },
26596		{ "Priority", 12, 3 },
26597		{ "Replicate", 11, 1 },
26598		{ "PF", 8, 3 },
26599		{ "VF_Valid", 7, 1 },
26600		{ "VF", 0, 7 },
26601	{ "MPS_PORT_CLS_HASH_SRAM", 0x34208, 0 },
26602		{ "Valid", 20, 1 },
26603		{ "PortMap", 16, 4 },
26604		{ "MultiListen", 15, 1 },
26605		{ "Priority", 12, 3 },
26606		{ "Replicate", 11, 1 },
26607		{ "PF", 8, 3 },
26608		{ "VF_Valid", 7, 1 },
26609		{ "VF", 0, 7 },
26610	{ "MPS_PORT_CLS_HASH_SRAM", 0x3420c, 0 },
26611		{ "Valid", 20, 1 },
26612		{ "PortMap", 16, 4 },
26613		{ "MultiListen", 15, 1 },
26614		{ "Priority", 12, 3 },
26615		{ "Replicate", 11, 1 },
26616		{ "PF", 8, 3 },
26617		{ "VF_Valid", 7, 1 },
26618		{ "VF", 0, 7 },
26619	{ "MPS_PORT_CLS_HASH_SRAM", 0x34210, 0 },
26620		{ "Valid", 20, 1 },
26621		{ "PortMap", 16, 4 },
26622		{ "MultiListen", 15, 1 },
26623		{ "Priority", 12, 3 },
26624		{ "Replicate", 11, 1 },
26625		{ "PF", 8, 3 },
26626		{ "VF_Valid", 7, 1 },
26627		{ "VF", 0, 7 },
26628	{ "MPS_PORT_CLS_HASH_SRAM", 0x34214, 0 },
26629		{ "Valid", 20, 1 },
26630		{ "PortMap", 16, 4 },
26631		{ "MultiListen", 15, 1 },
26632		{ "Priority", 12, 3 },
26633		{ "Replicate", 11, 1 },
26634		{ "PF", 8, 3 },
26635		{ "VF_Valid", 7, 1 },
26636		{ "VF", 0, 7 },
26637	{ "MPS_PORT_CLS_HASH_SRAM", 0x34218, 0 },
26638		{ "Valid", 20, 1 },
26639		{ "PortMap", 16, 4 },
26640		{ "MultiListen", 15, 1 },
26641		{ "Priority", 12, 3 },
26642		{ "Replicate", 11, 1 },
26643		{ "PF", 8, 3 },
26644		{ "VF_Valid", 7, 1 },
26645		{ "VF", 0, 7 },
26646	{ "MPS_PORT_CLS_HASH_SRAM", 0x3421c, 0 },
26647		{ "Valid", 20, 1 },
26648		{ "PortMap", 16, 4 },
26649		{ "MultiListen", 15, 1 },
26650		{ "Priority", 12, 3 },
26651		{ "Replicate", 11, 1 },
26652		{ "PF", 8, 3 },
26653		{ "VF_Valid", 7, 1 },
26654		{ "VF", 0, 7 },
26655	{ "MPS_PORT_CLS_HASH_SRAM", 0x34220, 0 },
26656		{ "Valid", 20, 1 },
26657		{ "PortMap", 16, 4 },
26658		{ "MultiListen", 15, 1 },
26659		{ "Priority", 12, 3 },
26660		{ "Replicate", 11, 1 },
26661		{ "PF", 8, 3 },
26662		{ "VF_Valid", 7, 1 },
26663		{ "VF", 0, 7 },
26664	{ "MPS_PORT_CLS_HASH_SRAM", 0x34224, 0 },
26665		{ "Valid", 20, 1 },
26666		{ "PortMap", 16, 4 },
26667		{ "MultiListen", 15, 1 },
26668		{ "Priority", 12, 3 },
26669		{ "Replicate", 11, 1 },
26670		{ "PF", 8, 3 },
26671		{ "VF_Valid", 7, 1 },
26672		{ "VF", 0, 7 },
26673	{ "MPS_PORT_CLS_HASH_SRAM", 0x34228, 0 },
26674		{ "Valid", 20, 1 },
26675		{ "PortMap", 16, 4 },
26676		{ "MultiListen", 15, 1 },
26677		{ "Priority", 12, 3 },
26678		{ "Replicate", 11, 1 },
26679		{ "PF", 8, 3 },
26680		{ "VF_Valid", 7, 1 },
26681		{ "VF", 0, 7 },
26682	{ "MPS_PORT_CLS_HASH_SRAM", 0x3422c, 0 },
26683		{ "Valid", 20, 1 },
26684		{ "PortMap", 16, 4 },
26685		{ "MultiListen", 15, 1 },
26686		{ "Priority", 12, 3 },
26687		{ "Replicate", 11, 1 },
26688		{ "PF", 8, 3 },
26689		{ "VF_Valid", 7, 1 },
26690		{ "VF", 0, 7 },
26691	{ "MPS_PORT_CLS_HASH_SRAM", 0x34230, 0 },
26692		{ "Valid", 20, 1 },
26693		{ "PortMap", 16, 4 },
26694		{ "MultiListen", 15, 1 },
26695		{ "Priority", 12, 3 },
26696		{ "Replicate", 11, 1 },
26697		{ "PF", 8, 3 },
26698		{ "VF_Valid", 7, 1 },
26699		{ "VF", 0, 7 },
26700	{ "MPS_PORT_CLS_HASH_SRAM", 0x34234, 0 },
26701		{ "Valid", 20, 1 },
26702		{ "PortMap", 16, 4 },
26703		{ "MultiListen", 15, 1 },
26704		{ "Priority", 12, 3 },
26705		{ "Replicate", 11, 1 },
26706		{ "PF", 8, 3 },
26707		{ "VF_Valid", 7, 1 },
26708		{ "VF", 0, 7 },
26709	{ "MPS_PORT_CLS_HASH_SRAM", 0x34238, 0 },
26710		{ "Valid", 20, 1 },
26711		{ "PortMap", 16, 4 },
26712		{ "MultiListen", 15, 1 },
26713		{ "Priority", 12, 3 },
26714		{ "Replicate", 11, 1 },
26715		{ "PF", 8, 3 },
26716		{ "VF_Valid", 7, 1 },
26717		{ "VF", 0, 7 },
26718	{ "MPS_PORT_CLS_HASH_SRAM", 0x3423c, 0 },
26719		{ "Valid", 20, 1 },
26720		{ "PortMap", 16, 4 },
26721		{ "MultiListen", 15, 1 },
26722		{ "Priority", 12, 3 },
26723		{ "Replicate", 11, 1 },
26724		{ "PF", 8, 3 },
26725		{ "VF_Valid", 7, 1 },
26726		{ "VF", 0, 7 },
26727	{ "MPS_PORT_CLS_HASH_SRAM", 0x34240, 0 },
26728		{ "Valid", 20, 1 },
26729		{ "PortMap", 16, 4 },
26730		{ "MultiListen", 15, 1 },
26731		{ "Priority", 12, 3 },
26732		{ "Replicate", 11, 1 },
26733		{ "PF", 8, 3 },
26734		{ "VF_Valid", 7, 1 },
26735		{ "VF", 0, 7 },
26736	{ "MPS_PORT_CLS_HASH_SRAM", 0x34244, 0 },
26737		{ "Valid", 20, 1 },
26738		{ "PortMap", 16, 4 },
26739		{ "MultiListen", 15, 1 },
26740		{ "Priority", 12, 3 },
26741		{ "Replicate", 11, 1 },
26742		{ "PF", 8, 3 },
26743		{ "VF_Valid", 7, 1 },
26744		{ "VF", 0, 7 },
26745	{ "MPS_PORT_CLS_HASH_SRAM", 0x34248, 0 },
26746		{ "Valid", 20, 1 },
26747		{ "PortMap", 16, 4 },
26748		{ "MultiListen", 15, 1 },
26749		{ "Priority", 12, 3 },
26750		{ "Replicate", 11, 1 },
26751		{ "PF", 8, 3 },
26752		{ "VF_Valid", 7, 1 },
26753		{ "VF", 0, 7 },
26754	{ "MPS_PORT_CLS_HASH_SRAM", 0x3424c, 0 },
26755		{ "Valid", 20, 1 },
26756		{ "PortMap", 16, 4 },
26757		{ "MultiListen", 15, 1 },
26758		{ "Priority", 12, 3 },
26759		{ "Replicate", 11, 1 },
26760		{ "PF", 8, 3 },
26761		{ "VF_Valid", 7, 1 },
26762		{ "VF", 0, 7 },
26763	{ "MPS_PORT_CLS_HASH_SRAM", 0x34250, 0 },
26764		{ "Valid", 20, 1 },
26765		{ "PortMap", 16, 4 },
26766		{ "MultiListen", 15, 1 },
26767		{ "Priority", 12, 3 },
26768		{ "Replicate", 11, 1 },
26769		{ "PF", 8, 3 },
26770		{ "VF_Valid", 7, 1 },
26771		{ "VF", 0, 7 },
26772	{ "MPS_PORT_CLS_HASH_SRAM", 0x34254, 0 },
26773		{ "Valid", 20, 1 },
26774		{ "PortMap", 16, 4 },
26775		{ "MultiListen", 15, 1 },
26776		{ "Priority", 12, 3 },
26777		{ "Replicate", 11, 1 },
26778		{ "PF", 8, 3 },
26779		{ "VF_Valid", 7, 1 },
26780		{ "VF", 0, 7 },
26781	{ "MPS_PORT_CLS_HASH_SRAM", 0x34258, 0 },
26782		{ "Valid", 20, 1 },
26783		{ "PortMap", 16, 4 },
26784		{ "MultiListen", 15, 1 },
26785		{ "Priority", 12, 3 },
26786		{ "Replicate", 11, 1 },
26787		{ "PF", 8, 3 },
26788		{ "VF_Valid", 7, 1 },
26789		{ "VF", 0, 7 },
26790	{ "MPS_PORT_CLS_HASH_SRAM", 0x3425c, 0 },
26791		{ "Valid", 20, 1 },
26792		{ "PortMap", 16, 4 },
26793		{ "MultiListen", 15, 1 },
26794		{ "Priority", 12, 3 },
26795		{ "Replicate", 11, 1 },
26796		{ "PF", 8, 3 },
26797		{ "VF_Valid", 7, 1 },
26798		{ "VF", 0, 7 },
26799	{ "MPS_PORT_CLS_HASH_SRAM", 0x34260, 0 },
26800		{ "Valid", 20, 1 },
26801		{ "PortMap", 16, 4 },
26802		{ "MultiListen", 15, 1 },
26803		{ "Priority", 12, 3 },
26804		{ "Replicate", 11, 1 },
26805		{ "PF", 8, 3 },
26806		{ "VF_Valid", 7, 1 },
26807		{ "VF", 0, 7 },
26808	{ "MPS_PORT_CLS_HASH_SRAM", 0x34264, 0 },
26809		{ "Valid", 20, 1 },
26810		{ "PortMap", 16, 4 },
26811		{ "MultiListen", 15, 1 },
26812		{ "Priority", 12, 3 },
26813		{ "Replicate", 11, 1 },
26814		{ "PF", 8, 3 },
26815		{ "VF_Valid", 7, 1 },
26816		{ "VF", 0, 7 },
26817	{ "MPS_PORT_CLS_HASH_SRAM", 0x34268, 0 },
26818		{ "Valid", 20, 1 },
26819		{ "PortMap", 16, 4 },
26820		{ "MultiListen", 15, 1 },
26821		{ "Priority", 12, 3 },
26822		{ "Replicate", 11, 1 },
26823		{ "PF", 8, 3 },
26824		{ "VF_Valid", 7, 1 },
26825		{ "VF", 0, 7 },
26826	{ "MPS_PORT_CLS_HASH_SRAM", 0x3426c, 0 },
26827		{ "Valid", 20, 1 },
26828		{ "PortMap", 16, 4 },
26829		{ "MultiListen", 15, 1 },
26830		{ "Priority", 12, 3 },
26831		{ "Replicate", 11, 1 },
26832		{ "PF", 8, 3 },
26833		{ "VF_Valid", 7, 1 },
26834		{ "VF", 0, 7 },
26835	{ "MPS_PORT_CLS_HASH_SRAM", 0x34270, 0 },
26836		{ "Valid", 20, 1 },
26837		{ "PortMap", 16, 4 },
26838		{ "MultiListen", 15, 1 },
26839		{ "Priority", 12, 3 },
26840		{ "Replicate", 11, 1 },
26841		{ "PF", 8, 3 },
26842		{ "VF_Valid", 7, 1 },
26843		{ "VF", 0, 7 },
26844	{ "MPS_PORT_CLS_HASH_SRAM", 0x34274, 0 },
26845		{ "Valid", 20, 1 },
26846		{ "PortMap", 16, 4 },
26847		{ "MultiListen", 15, 1 },
26848		{ "Priority", 12, 3 },
26849		{ "Replicate", 11, 1 },
26850		{ "PF", 8, 3 },
26851		{ "VF_Valid", 7, 1 },
26852		{ "VF", 0, 7 },
26853	{ "MPS_PORT_CLS_HASH_SRAM", 0x34278, 0 },
26854		{ "Valid", 20, 1 },
26855		{ "PortMap", 16, 4 },
26856		{ "MultiListen", 15, 1 },
26857		{ "Priority", 12, 3 },
26858		{ "Replicate", 11, 1 },
26859		{ "PF", 8, 3 },
26860		{ "VF_Valid", 7, 1 },
26861		{ "VF", 0, 7 },
26862	{ "MPS_PORT_CLS_HASH_SRAM", 0x3427c, 0 },
26863		{ "Valid", 20, 1 },
26864		{ "PortMap", 16, 4 },
26865		{ "MultiListen", 15, 1 },
26866		{ "Priority", 12, 3 },
26867		{ "Replicate", 11, 1 },
26868		{ "PF", 8, 3 },
26869		{ "VF_Valid", 7, 1 },
26870		{ "VF", 0, 7 },
26871	{ "MPS_PORT_CLS_HASH_SRAM", 0x34280, 0 },
26872		{ "Valid", 20, 1 },
26873		{ "PortMap", 16, 4 },
26874		{ "MultiListen", 15, 1 },
26875		{ "Priority", 12, 3 },
26876		{ "Replicate", 11, 1 },
26877		{ "PF", 8, 3 },
26878		{ "VF_Valid", 7, 1 },
26879		{ "VF", 0, 7 },
26880	{ "MPS_PORT_CLS_HASH_SRAM", 0x34284, 0 },
26881		{ "Valid", 20, 1 },
26882		{ "PortMap", 16, 4 },
26883		{ "MultiListen", 15, 1 },
26884		{ "Priority", 12, 3 },
26885		{ "Replicate", 11, 1 },
26886		{ "PF", 8, 3 },
26887		{ "VF_Valid", 7, 1 },
26888		{ "VF", 0, 7 },
26889	{ "MPS_PORT_CLS_HASH_SRAM", 0x34288, 0 },
26890		{ "Valid", 20, 1 },
26891		{ "PortMap", 16, 4 },
26892		{ "MultiListen", 15, 1 },
26893		{ "Priority", 12, 3 },
26894		{ "Replicate", 11, 1 },
26895		{ "PF", 8, 3 },
26896		{ "VF_Valid", 7, 1 },
26897		{ "VF", 0, 7 },
26898	{ "MPS_PORT_CLS_HASH_SRAM", 0x3428c, 0 },
26899		{ "Valid", 20, 1 },
26900		{ "PortMap", 16, 4 },
26901		{ "MultiListen", 15, 1 },
26902		{ "Priority", 12, 3 },
26903		{ "Replicate", 11, 1 },
26904		{ "PF", 8, 3 },
26905		{ "VF_Valid", 7, 1 },
26906		{ "VF", 0, 7 },
26907	{ "MPS_PORT_CLS_HASH_SRAM", 0x34290, 0 },
26908		{ "Valid", 20, 1 },
26909		{ "PortMap", 16, 4 },
26910		{ "MultiListen", 15, 1 },
26911		{ "Priority", 12, 3 },
26912		{ "Replicate", 11, 1 },
26913		{ "PF", 8, 3 },
26914		{ "VF_Valid", 7, 1 },
26915		{ "VF", 0, 7 },
26916	{ "MPS_PORT_CLS_HASH_SRAM", 0x34294, 0 },
26917		{ "Valid", 20, 1 },
26918		{ "PortMap", 16, 4 },
26919		{ "MultiListen", 15, 1 },
26920		{ "Priority", 12, 3 },
26921		{ "Replicate", 11, 1 },
26922		{ "PF", 8, 3 },
26923		{ "VF_Valid", 7, 1 },
26924		{ "VF", 0, 7 },
26925	{ "MPS_PORT_CLS_HASH_SRAM", 0x34298, 0 },
26926		{ "Valid", 20, 1 },
26927		{ "PortMap", 16, 4 },
26928		{ "MultiListen", 15, 1 },
26929		{ "Priority", 12, 3 },
26930		{ "Replicate", 11, 1 },
26931		{ "PF", 8, 3 },
26932		{ "VF_Valid", 7, 1 },
26933		{ "VF", 0, 7 },
26934	{ "MPS_PORT_CLS_HASH_SRAM", 0x3429c, 0 },
26935		{ "Valid", 20, 1 },
26936		{ "PortMap", 16, 4 },
26937		{ "MultiListen", 15, 1 },
26938		{ "Priority", 12, 3 },
26939		{ "Replicate", 11, 1 },
26940		{ "PF", 8, 3 },
26941		{ "VF_Valid", 7, 1 },
26942		{ "VF", 0, 7 },
26943	{ "MPS_PORT_CLS_HASH_SRAM", 0x342a0, 0 },
26944		{ "Valid", 20, 1 },
26945		{ "PortMap", 16, 4 },
26946		{ "MultiListen", 15, 1 },
26947		{ "Priority", 12, 3 },
26948		{ "Replicate", 11, 1 },
26949		{ "PF", 8, 3 },
26950		{ "VF_Valid", 7, 1 },
26951		{ "VF", 0, 7 },
26952	{ "MPS_PORT_CLS_HASH_SRAM", 0x342a4, 0 },
26953		{ "Valid", 20, 1 },
26954		{ "PortMap", 16, 4 },
26955		{ "MultiListen", 15, 1 },
26956		{ "Priority", 12, 3 },
26957		{ "Replicate", 11, 1 },
26958		{ "PF", 8, 3 },
26959		{ "VF_Valid", 7, 1 },
26960		{ "VF", 0, 7 },
26961	{ "MPS_PORT_CLS_HASH_SRAM", 0x342a8, 0 },
26962		{ "Valid", 20, 1 },
26963		{ "PortMap", 16, 4 },
26964		{ "MultiListen", 15, 1 },
26965		{ "Priority", 12, 3 },
26966		{ "Replicate", 11, 1 },
26967		{ "PF", 8, 3 },
26968		{ "VF_Valid", 7, 1 },
26969		{ "VF", 0, 7 },
26970	{ "MPS_PORT_CLS_HASH_SRAM", 0x342ac, 0 },
26971		{ "Valid", 20, 1 },
26972		{ "PortMap", 16, 4 },
26973		{ "MultiListen", 15, 1 },
26974		{ "Priority", 12, 3 },
26975		{ "Replicate", 11, 1 },
26976		{ "PF", 8, 3 },
26977		{ "VF_Valid", 7, 1 },
26978		{ "VF", 0, 7 },
26979	{ "MPS_PORT_CLS_HASH_SRAM", 0x342b0, 0 },
26980		{ "Valid", 20, 1 },
26981		{ "PortMap", 16, 4 },
26982		{ "MultiListen", 15, 1 },
26983		{ "Priority", 12, 3 },
26984		{ "Replicate", 11, 1 },
26985		{ "PF", 8, 3 },
26986		{ "VF_Valid", 7, 1 },
26987		{ "VF", 0, 7 },
26988	{ "MPS_PORT_CLS_HASH_SRAM", 0x342b4, 0 },
26989		{ "Valid", 20, 1 },
26990		{ "PortMap", 16, 4 },
26991		{ "MultiListen", 15, 1 },
26992		{ "Priority", 12, 3 },
26993		{ "Replicate", 11, 1 },
26994		{ "PF", 8, 3 },
26995		{ "VF_Valid", 7, 1 },
26996		{ "VF", 0, 7 },
26997	{ "MPS_PORT_CLS_HASH_SRAM", 0x342b8, 0 },
26998		{ "Valid", 20, 1 },
26999		{ "PortMap", 16, 4 },
27000		{ "MultiListen", 15, 1 },
27001		{ "Priority", 12, 3 },
27002		{ "Replicate", 11, 1 },
27003		{ "PF", 8, 3 },
27004		{ "VF_Valid", 7, 1 },
27005		{ "VF", 0, 7 },
27006	{ "MPS_PORT_CLS_HASH_SRAM", 0x342bc, 0 },
27007		{ "Valid", 20, 1 },
27008		{ "PortMap", 16, 4 },
27009		{ "MultiListen", 15, 1 },
27010		{ "Priority", 12, 3 },
27011		{ "Replicate", 11, 1 },
27012		{ "PF", 8, 3 },
27013		{ "VF_Valid", 7, 1 },
27014		{ "VF", 0, 7 },
27015	{ "MPS_PORT_CLS_HASH_SRAM", 0x342c0, 0 },
27016		{ "Valid", 20, 1 },
27017		{ "PortMap", 16, 4 },
27018		{ "MultiListen", 15, 1 },
27019		{ "Priority", 12, 3 },
27020		{ "Replicate", 11, 1 },
27021		{ "PF", 8, 3 },
27022		{ "VF_Valid", 7, 1 },
27023		{ "VF", 0, 7 },
27024	{ "MPS_PORT_CLS_HASH_SRAM", 0x342c4, 0 },
27025		{ "Valid", 20, 1 },
27026		{ "PortMap", 16, 4 },
27027		{ "MultiListen", 15, 1 },
27028		{ "Priority", 12, 3 },
27029		{ "Replicate", 11, 1 },
27030		{ "PF", 8, 3 },
27031		{ "VF_Valid", 7, 1 },
27032		{ "VF", 0, 7 },
27033	{ "MPS_PORT_CLS_HASH_SRAM", 0x342c8, 0 },
27034		{ "Valid", 20, 1 },
27035		{ "PortMap", 16, 4 },
27036		{ "MultiListen", 15, 1 },
27037		{ "Priority", 12, 3 },
27038		{ "Replicate", 11, 1 },
27039		{ "PF", 8, 3 },
27040		{ "VF_Valid", 7, 1 },
27041		{ "VF", 0, 7 },
27042	{ "MPS_PORT_CLS_HASH_SRAM", 0x342cc, 0 },
27043		{ "Valid", 20, 1 },
27044		{ "PortMap", 16, 4 },
27045		{ "MultiListen", 15, 1 },
27046		{ "Priority", 12, 3 },
27047		{ "Replicate", 11, 1 },
27048		{ "PF", 8, 3 },
27049		{ "VF_Valid", 7, 1 },
27050		{ "VF", 0, 7 },
27051	{ "MPS_PORT_CLS_HASH_SRAM", 0x342d0, 0 },
27052		{ "Valid", 20, 1 },
27053		{ "PortMap", 16, 4 },
27054		{ "MultiListen", 15, 1 },
27055		{ "Priority", 12, 3 },
27056		{ "Replicate", 11, 1 },
27057		{ "PF", 8, 3 },
27058		{ "VF_Valid", 7, 1 },
27059		{ "VF", 0, 7 },
27060	{ "MPS_PORT_CLS_HASH_SRAM", 0x342d4, 0 },
27061		{ "Valid", 20, 1 },
27062		{ "PortMap", 16, 4 },
27063		{ "MultiListen", 15, 1 },
27064		{ "Priority", 12, 3 },
27065		{ "Replicate", 11, 1 },
27066		{ "PF", 8, 3 },
27067		{ "VF_Valid", 7, 1 },
27068		{ "VF", 0, 7 },
27069	{ "MPS_PORT_CLS_HASH_SRAM", 0x342d8, 0 },
27070		{ "Valid", 20, 1 },
27071		{ "PortMap", 16, 4 },
27072		{ "MultiListen", 15, 1 },
27073		{ "Priority", 12, 3 },
27074		{ "Replicate", 11, 1 },
27075		{ "PF", 8, 3 },
27076		{ "VF_Valid", 7, 1 },
27077		{ "VF", 0, 7 },
27078	{ "MPS_PORT_CLS_HASH_SRAM", 0x342dc, 0 },
27079		{ "Valid", 20, 1 },
27080		{ "PortMap", 16, 4 },
27081		{ "MultiListen", 15, 1 },
27082		{ "Priority", 12, 3 },
27083		{ "Replicate", 11, 1 },
27084		{ "PF", 8, 3 },
27085		{ "VF_Valid", 7, 1 },
27086		{ "VF", 0, 7 },
27087	{ "MPS_PORT_CLS_HASH_SRAM", 0x342e0, 0 },
27088		{ "Valid", 20, 1 },
27089		{ "PortMap", 16, 4 },
27090		{ "MultiListen", 15, 1 },
27091		{ "Priority", 12, 3 },
27092		{ "Replicate", 11, 1 },
27093		{ "PF", 8, 3 },
27094		{ "VF_Valid", 7, 1 },
27095		{ "VF", 0, 7 },
27096	{ "MPS_PORT_CLS_HASH_SRAM", 0x342e4, 0 },
27097		{ "Valid", 20, 1 },
27098		{ "PortMap", 16, 4 },
27099		{ "MultiListen", 15, 1 },
27100		{ "Priority", 12, 3 },
27101		{ "Replicate", 11, 1 },
27102		{ "PF", 8, 3 },
27103		{ "VF_Valid", 7, 1 },
27104		{ "VF", 0, 7 },
27105	{ "MPS_PORT_CLS_HASH_SRAM", 0x342e8, 0 },
27106		{ "Valid", 20, 1 },
27107		{ "PortMap", 16, 4 },
27108		{ "MultiListen", 15, 1 },
27109		{ "Priority", 12, 3 },
27110		{ "Replicate", 11, 1 },
27111		{ "PF", 8, 3 },
27112		{ "VF_Valid", 7, 1 },
27113		{ "VF", 0, 7 },
27114	{ "MPS_PORT_CLS_HASH_SRAM", 0x342ec, 0 },
27115		{ "Valid", 20, 1 },
27116		{ "PortMap", 16, 4 },
27117		{ "MultiListen", 15, 1 },
27118		{ "Priority", 12, 3 },
27119		{ "Replicate", 11, 1 },
27120		{ "PF", 8, 3 },
27121		{ "VF_Valid", 7, 1 },
27122		{ "VF", 0, 7 },
27123	{ "MPS_PORT_CLS_HASH_SRAM", 0x342f0, 0 },
27124		{ "Valid", 20, 1 },
27125		{ "PortMap", 16, 4 },
27126		{ "MultiListen", 15, 1 },
27127		{ "Priority", 12, 3 },
27128		{ "Replicate", 11, 1 },
27129		{ "PF", 8, 3 },
27130		{ "VF_Valid", 7, 1 },
27131		{ "VF", 0, 7 },
27132	{ "MPS_PORT_CLS_HASH_SRAM", 0x342f4, 0 },
27133		{ "Valid", 20, 1 },
27134		{ "PortMap", 16, 4 },
27135		{ "MultiListen", 15, 1 },
27136		{ "Priority", 12, 3 },
27137		{ "Replicate", 11, 1 },
27138		{ "PF", 8, 3 },
27139		{ "VF_Valid", 7, 1 },
27140		{ "VF", 0, 7 },
27141	{ "MPS_PORT_CLS_HASH_SRAM", 0x342f8, 0 },
27142		{ "Valid", 20, 1 },
27143		{ "PortMap", 16, 4 },
27144		{ "MultiListen", 15, 1 },
27145		{ "Priority", 12, 3 },
27146		{ "Replicate", 11, 1 },
27147		{ "PF", 8, 3 },
27148		{ "VF_Valid", 7, 1 },
27149		{ "VF", 0, 7 },
27150	{ "MPS_PORT_CLS_HASH_SRAM", 0x342fc, 0 },
27151		{ "Valid", 20, 1 },
27152		{ "PortMap", 16, 4 },
27153		{ "MultiListen", 15, 1 },
27154		{ "Priority", 12, 3 },
27155		{ "Replicate", 11, 1 },
27156		{ "PF", 8, 3 },
27157		{ "VF_Valid", 7, 1 },
27158		{ "VF", 0, 7 },
27159	{ "MPS_PORT_CLS_HASH_SRAM", 0x34300, 0 },
27160		{ "Valid", 20, 1 },
27161		{ "PortMap", 16, 4 },
27162		{ "MultiListen", 15, 1 },
27163		{ "Priority", 12, 3 },
27164		{ "Replicate", 11, 1 },
27165		{ "PF", 8, 3 },
27166		{ "VF_Valid", 7, 1 },
27167		{ "VF", 0, 7 },
27168	{ "MPS_PORT_CLS_HASH_SRAM", 0x38200, 0 },
27169		{ "Valid", 20, 1 },
27170		{ "PortMap", 16, 4 },
27171		{ "MultiListen", 15, 1 },
27172		{ "Priority", 12, 3 },
27173		{ "Replicate", 11, 1 },
27174		{ "PF", 8, 3 },
27175		{ "VF_Valid", 7, 1 },
27176		{ "VF", 0, 7 },
27177	{ "MPS_PORT_CLS_HASH_SRAM", 0x38204, 0 },
27178		{ "Valid", 20, 1 },
27179		{ "PortMap", 16, 4 },
27180		{ "MultiListen", 15, 1 },
27181		{ "Priority", 12, 3 },
27182		{ "Replicate", 11, 1 },
27183		{ "PF", 8, 3 },
27184		{ "VF_Valid", 7, 1 },
27185		{ "VF", 0, 7 },
27186	{ "MPS_PORT_CLS_HASH_SRAM", 0x38208, 0 },
27187		{ "Valid", 20, 1 },
27188		{ "PortMap", 16, 4 },
27189		{ "MultiListen", 15, 1 },
27190		{ "Priority", 12, 3 },
27191		{ "Replicate", 11, 1 },
27192		{ "PF", 8, 3 },
27193		{ "VF_Valid", 7, 1 },
27194		{ "VF", 0, 7 },
27195	{ "MPS_PORT_CLS_HASH_SRAM", 0x3820c, 0 },
27196		{ "Valid", 20, 1 },
27197		{ "PortMap", 16, 4 },
27198		{ "MultiListen", 15, 1 },
27199		{ "Priority", 12, 3 },
27200		{ "Replicate", 11, 1 },
27201		{ "PF", 8, 3 },
27202		{ "VF_Valid", 7, 1 },
27203		{ "VF", 0, 7 },
27204	{ "MPS_PORT_CLS_HASH_SRAM", 0x38210, 0 },
27205		{ "Valid", 20, 1 },
27206		{ "PortMap", 16, 4 },
27207		{ "MultiListen", 15, 1 },
27208		{ "Priority", 12, 3 },
27209		{ "Replicate", 11, 1 },
27210		{ "PF", 8, 3 },
27211		{ "VF_Valid", 7, 1 },
27212		{ "VF", 0, 7 },
27213	{ "MPS_PORT_CLS_HASH_SRAM", 0x38214, 0 },
27214		{ "Valid", 20, 1 },
27215		{ "PortMap", 16, 4 },
27216		{ "MultiListen", 15, 1 },
27217		{ "Priority", 12, 3 },
27218		{ "Replicate", 11, 1 },
27219		{ "PF", 8, 3 },
27220		{ "VF_Valid", 7, 1 },
27221		{ "VF", 0, 7 },
27222	{ "MPS_PORT_CLS_HASH_SRAM", 0x38218, 0 },
27223		{ "Valid", 20, 1 },
27224		{ "PortMap", 16, 4 },
27225		{ "MultiListen", 15, 1 },
27226		{ "Priority", 12, 3 },
27227		{ "Replicate", 11, 1 },
27228		{ "PF", 8, 3 },
27229		{ "VF_Valid", 7, 1 },
27230		{ "VF", 0, 7 },
27231	{ "MPS_PORT_CLS_HASH_SRAM", 0x3821c, 0 },
27232		{ "Valid", 20, 1 },
27233		{ "PortMap", 16, 4 },
27234		{ "MultiListen", 15, 1 },
27235		{ "Priority", 12, 3 },
27236		{ "Replicate", 11, 1 },
27237		{ "PF", 8, 3 },
27238		{ "VF_Valid", 7, 1 },
27239		{ "VF", 0, 7 },
27240	{ "MPS_PORT_CLS_HASH_SRAM", 0x38220, 0 },
27241		{ "Valid", 20, 1 },
27242		{ "PortMap", 16, 4 },
27243		{ "MultiListen", 15, 1 },
27244		{ "Priority", 12, 3 },
27245		{ "Replicate", 11, 1 },
27246		{ "PF", 8, 3 },
27247		{ "VF_Valid", 7, 1 },
27248		{ "VF", 0, 7 },
27249	{ "MPS_PORT_CLS_HASH_SRAM", 0x38224, 0 },
27250		{ "Valid", 20, 1 },
27251		{ "PortMap", 16, 4 },
27252		{ "MultiListen", 15, 1 },
27253		{ "Priority", 12, 3 },
27254		{ "Replicate", 11, 1 },
27255		{ "PF", 8, 3 },
27256		{ "VF_Valid", 7, 1 },
27257		{ "VF", 0, 7 },
27258	{ "MPS_PORT_CLS_HASH_SRAM", 0x38228, 0 },
27259		{ "Valid", 20, 1 },
27260		{ "PortMap", 16, 4 },
27261		{ "MultiListen", 15, 1 },
27262		{ "Priority", 12, 3 },
27263		{ "Replicate", 11, 1 },
27264		{ "PF", 8, 3 },
27265		{ "VF_Valid", 7, 1 },
27266		{ "VF", 0, 7 },
27267	{ "MPS_PORT_CLS_HASH_SRAM", 0x3822c, 0 },
27268		{ "Valid", 20, 1 },
27269		{ "PortMap", 16, 4 },
27270		{ "MultiListen", 15, 1 },
27271		{ "Priority", 12, 3 },
27272		{ "Replicate", 11, 1 },
27273		{ "PF", 8, 3 },
27274		{ "VF_Valid", 7, 1 },
27275		{ "VF", 0, 7 },
27276	{ "MPS_PORT_CLS_HASH_SRAM", 0x38230, 0 },
27277		{ "Valid", 20, 1 },
27278		{ "PortMap", 16, 4 },
27279		{ "MultiListen", 15, 1 },
27280		{ "Priority", 12, 3 },
27281		{ "Replicate", 11, 1 },
27282		{ "PF", 8, 3 },
27283		{ "VF_Valid", 7, 1 },
27284		{ "VF", 0, 7 },
27285	{ "MPS_PORT_CLS_HASH_SRAM", 0x38234, 0 },
27286		{ "Valid", 20, 1 },
27287		{ "PortMap", 16, 4 },
27288		{ "MultiListen", 15, 1 },
27289		{ "Priority", 12, 3 },
27290		{ "Replicate", 11, 1 },
27291		{ "PF", 8, 3 },
27292		{ "VF_Valid", 7, 1 },
27293		{ "VF", 0, 7 },
27294	{ "MPS_PORT_CLS_HASH_SRAM", 0x38238, 0 },
27295		{ "Valid", 20, 1 },
27296		{ "PortMap", 16, 4 },
27297		{ "MultiListen", 15, 1 },
27298		{ "Priority", 12, 3 },
27299		{ "Replicate", 11, 1 },
27300		{ "PF", 8, 3 },
27301		{ "VF_Valid", 7, 1 },
27302		{ "VF", 0, 7 },
27303	{ "MPS_PORT_CLS_HASH_SRAM", 0x3823c, 0 },
27304		{ "Valid", 20, 1 },
27305		{ "PortMap", 16, 4 },
27306		{ "MultiListen", 15, 1 },
27307		{ "Priority", 12, 3 },
27308		{ "Replicate", 11, 1 },
27309		{ "PF", 8, 3 },
27310		{ "VF_Valid", 7, 1 },
27311		{ "VF", 0, 7 },
27312	{ "MPS_PORT_CLS_HASH_SRAM", 0x38240, 0 },
27313		{ "Valid", 20, 1 },
27314		{ "PortMap", 16, 4 },
27315		{ "MultiListen", 15, 1 },
27316		{ "Priority", 12, 3 },
27317		{ "Replicate", 11, 1 },
27318		{ "PF", 8, 3 },
27319		{ "VF_Valid", 7, 1 },
27320		{ "VF", 0, 7 },
27321	{ "MPS_PORT_CLS_HASH_SRAM", 0x38244, 0 },
27322		{ "Valid", 20, 1 },
27323		{ "PortMap", 16, 4 },
27324		{ "MultiListen", 15, 1 },
27325		{ "Priority", 12, 3 },
27326		{ "Replicate", 11, 1 },
27327		{ "PF", 8, 3 },
27328		{ "VF_Valid", 7, 1 },
27329		{ "VF", 0, 7 },
27330	{ "MPS_PORT_CLS_HASH_SRAM", 0x38248, 0 },
27331		{ "Valid", 20, 1 },
27332		{ "PortMap", 16, 4 },
27333		{ "MultiListen", 15, 1 },
27334		{ "Priority", 12, 3 },
27335		{ "Replicate", 11, 1 },
27336		{ "PF", 8, 3 },
27337		{ "VF_Valid", 7, 1 },
27338		{ "VF", 0, 7 },
27339	{ "MPS_PORT_CLS_HASH_SRAM", 0x3824c, 0 },
27340		{ "Valid", 20, 1 },
27341		{ "PortMap", 16, 4 },
27342		{ "MultiListen", 15, 1 },
27343		{ "Priority", 12, 3 },
27344		{ "Replicate", 11, 1 },
27345		{ "PF", 8, 3 },
27346		{ "VF_Valid", 7, 1 },
27347		{ "VF", 0, 7 },
27348	{ "MPS_PORT_CLS_HASH_SRAM", 0x38250, 0 },
27349		{ "Valid", 20, 1 },
27350		{ "PortMap", 16, 4 },
27351		{ "MultiListen", 15, 1 },
27352		{ "Priority", 12, 3 },
27353		{ "Replicate", 11, 1 },
27354		{ "PF", 8, 3 },
27355		{ "VF_Valid", 7, 1 },
27356		{ "VF", 0, 7 },
27357	{ "MPS_PORT_CLS_HASH_SRAM", 0x38254, 0 },
27358		{ "Valid", 20, 1 },
27359		{ "PortMap", 16, 4 },
27360		{ "MultiListen", 15, 1 },
27361		{ "Priority", 12, 3 },
27362		{ "Replicate", 11, 1 },
27363		{ "PF", 8, 3 },
27364		{ "VF_Valid", 7, 1 },
27365		{ "VF", 0, 7 },
27366	{ "MPS_PORT_CLS_HASH_SRAM", 0x38258, 0 },
27367		{ "Valid", 20, 1 },
27368		{ "PortMap", 16, 4 },
27369		{ "MultiListen", 15, 1 },
27370		{ "Priority", 12, 3 },
27371		{ "Replicate", 11, 1 },
27372		{ "PF", 8, 3 },
27373		{ "VF_Valid", 7, 1 },
27374		{ "VF", 0, 7 },
27375	{ "MPS_PORT_CLS_HASH_SRAM", 0x3825c, 0 },
27376		{ "Valid", 20, 1 },
27377		{ "PortMap", 16, 4 },
27378		{ "MultiListen", 15, 1 },
27379		{ "Priority", 12, 3 },
27380		{ "Replicate", 11, 1 },
27381		{ "PF", 8, 3 },
27382		{ "VF_Valid", 7, 1 },
27383		{ "VF", 0, 7 },
27384	{ "MPS_PORT_CLS_HASH_SRAM", 0x38260, 0 },
27385		{ "Valid", 20, 1 },
27386		{ "PortMap", 16, 4 },
27387		{ "MultiListen", 15, 1 },
27388		{ "Priority", 12, 3 },
27389		{ "Replicate", 11, 1 },
27390		{ "PF", 8, 3 },
27391		{ "VF_Valid", 7, 1 },
27392		{ "VF", 0, 7 },
27393	{ "MPS_PORT_CLS_HASH_SRAM", 0x38264, 0 },
27394		{ "Valid", 20, 1 },
27395		{ "PortMap", 16, 4 },
27396		{ "MultiListen", 15, 1 },
27397		{ "Priority", 12, 3 },
27398		{ "Replicate", 11, 1 },
27399		{ "PF", 8, 3 },
27400		{ "VF_Valid", 7, 1 },
27401		{ "VF", 0, 7 },
27402	{ "MPS_PORT_CLS_HASH_SRAM", 0x38268, 0 },
27403		{ "Valid", 20, 1 },
27404		{ "PortMap", 16, 4 },
27405		{ "MultiListen", 15, 1 },
27406		{ "Priority", 12, 3 },
27407		{ "Replicate", 11, 1 },
27408		{ "PF", 8, 3 },
27409		{ "VF_Valid", 7, 1 },
27410		{ "VF", 0, 7 },
27411	{ "MPS_PORT_CLS_HASH_SRAM", 0x3826c, 0 },
27412		{ "Valid", 20, 1 },
27413		{ "PortMap", 16, 4 },
27414		{ "MultiListen", 15, 1 },
27415		{ "Priority", 12, 3 },
27416		{ "Replicate", 11, 1 },
27417		{ "PF", 8, 3 },
27418		{ "VF_Valid", 7, 1 },
27419		{ "VF", 0, 7 },
27420	{ "MPS_PORT_CLS_HASH_SRAM", 0x38270, 0 },
27421		{ "Valid", 20, 1 },
27422		{ "PortMap", 16, 4 },
27423		{ "MultiListen", 15, 1 },
27424		{ "Priority", 12, 3 },
27425		{ "Replicate", 11, 1 },
27426		{ "PF", 8, 3 },
27427		{ "VF_Valid", 7, 1 },
27428		{ "VF", 0, 7 },
27429	{ "MPS_PORT_CLS_HASH_SRAM", 0x38274, 0 },
27430		{ "Valid", 20, 1 },
27431		{ "PortMap", 16, 4 },
27432		{ "MultiListen", 15, 1 },
27433		{ "Priority", 12, 3 },
27434		{ "Replicate", 11, 1 },
27435		{ "PF", 8, 3 },
27436		{ "VF_Valid", 7, 1 },
27437		{ "VF", 0, 7 },
27438	{ "MPS_PORT_CLS_HASH_SRAM", 0x38278, 0 },
27439		{ "Valid", 20, 1 },
27440		{ "PortMap", 16, 4 },
27441		{ "MultiListen", 15, 1 },
27442		{ "Priority", 12, 3 },
27443		{ "Replicate", 11, 1 },
27444		{ "PF", 8, 3 },
27445		{ "VF_Valid", 7, 1 },
27446		{ "VF", 0, 7 },
27447	{ "MPS_PORT_CLS_HASH_SRAM", 0x3827c, 0 },
27448		{ "Valid", 20, 1 },
27449		{ "PortMap", 16, 4 },
27450		{ "MultiListen", 15, 1 },
27451		{ "Priority", 12, 3 },
27452		{ "Replicate", 11, 1 },
27453		{ "PF", 8, 3 },
27454		{ "VF_Valid", 7, 1 },
27455		{ "VF", 0, 7 },
27456	{ "MPS_PORT_CLS_HASH_SRAM", 0x38280, 0 },
27457		{ "Valid", 20, 1 },
27458		{ "PortMap", 16, 4 },
27459		{ "MultiListen", 15, 1 },
27460		{ "Priority", 12, 3 },
27461		{ "Replicate", 11, 1 },
27462		{ "PF", 8, 3 },
27463		{ "VF_Valid", 7, 1 },
27464		{ "VF", 0, 7 },
27465	{ "MPS_PORT_CLS_HASH_SRAM", 0x38284, 0 },
27466		{ "Valid", 20, 1 },
27467		{ "PortMap", 16, 4 },
27468		{ "MultiListen", 15, 1 },
27469		{ "Priority", 12, 3 },
27470		{ "Replicate", 11, 1 },
27471		{ "PF", 8, 3 },
27472		{ "VF_Valid", 7, 1 },
27473		{ "VF", 0, 7 },
27474	{ "MPS_PORT_CLS_HASH_SRAM", 0x38288, 0 },
27475		{ "Valid", 20, 1 },
27476		{ "PortMap", 16, 4 },
27477		{ "MultiListen", 15, 1 },
27478		{ "Priority", 12, 3 },
27479		{ "Replicate", 11, 1 },
27480		{ "PF", 8, 3 },
27481		{ "VF_Valid", 7, 1 },
27482		{ "VF", 0, 7 },
27483	{ "MPS_PORT_CLS_HASH_SRAM", 0x3828c, 0 },
27484		{ "Valid", 20, 1 },
27485		{ "PortMap", 16, 4 },
27486		{ "MultiListen", 15, 1 },
27487		{ "Priority", 12, 3 },
27488		{ "Replicate", 11, 1 },
27489		{ "PF", 8, 3 },
27490		{ "VF_Valid", 7, 1 },
27491		{ "VF", 0, 7 },
27492	{ "MPS_PORT_CLS_HASH_SRAM", 0x38290, 0 },
27493		{ "Valid", 20, 1 },
27494		{ "PortMap", 16, 4 },
27495		{ "MultiListen", 15, 1 },
27496		{ "Priority", 12, 3 },
27497		{ "Replicate", 11, 1 },
27498		{ "PF", 8, 3 },
27499		{ "VF_Valid", 7, 1 },
27500		{ "VF", 0, 7 },
27501	{ "MPS_PORT_CLS_HASH_SRAM", 0x38294, 0 },
27502		{ "Valid", 20, 1 },
27503		{ "PortMap", 16, 4 },
27504		{ "MultiListen", 15, 1 },
27505		{ "Priority", 12, 3 },
27506		{ "Replicate", 11, 1 },
27507		{ "PF", 8, 3 },
27508		{ "VF_Valid", 7, 1 },
27509		{ "VF", 0, 7 },
27510	{ "MPS_PORT_CLS_HASH_SRAM", 0x38298, 0 },
27511		{ "Valid", 20, 1 },
27512		{ "PortMap", 16, 4 },
27513		{ "MultiListen", 15, 1 },
27514		{ "Priority", 12, 3 },
27515		{ "Replicate", 11, 1 },
27516		{ "PF", 8, 3 },
27517		{ "VF_Valid", 7, 1 },
27518		{ "VF", 0, 7 },
27519	{ "MPS_PORT_CLS_HASH_SRAM", 0x3829c, 0 },
27520		{ "Valid", 20, 1 },
27521		{ "PortMap", 16, 4 },
27522		{ "MultiListen", 15, 1 },
27523		{ "Priority", 12, 3 },
27524		{ "Replicate", 11, 1 },
27525		{ "PF", 8, 3 },
27526		{ "VF_Valid", 7, 1 },
27527		{ "VF", 0, 7 },
27528	{ "MPS_PORT_CLS_HASH_SRAM", 0x382a0, 0 },
27529		{ "Valid", 20, 1 },
27530		{ "PortMap", 16, 4 },
27531		{ "MultiListen", 15, 1 },
27532		{ "Priority", 12, 3 },
27533		{ "Replicate", 11, 1 },
27534		{ "PF", 8, 3 },
27535		{ "VF_Valid", 7, 1 },
27536		{ "VF", 0, 7 },
27537	{ "MPS_PORT_CLS_HASH_SRAM", 0x382a4, 0 },
27538		{ "Valid", 20, 1 },
27539		{ "PortMap", 16, 4 },
27540		{ "MultiListen", 15, 1 },
27541		{ "Priority", 12, 3 },
27542		{ "Replicate", 11, 1 },
27543		{ "PF", 8, 3 },
27544		{ "VF_Valid", 7, 1 },
27545		{ "VF", 0, 7 },
27546	{ "MPS_PORT_CLS_HASH_SRAM", 0x382a8, 0 },
27547		{ "Valid", 20, 1 },
27548		{ "PortMap", 16, 4 },
27549		{ "MultiListen", 15, 1 },
27550		{ "Priority", 12, 3 },
27551		{ "Replicate", 11, 1 },
27552		{ "PF", 8, 3 },
27553		{ "VF_Valid", 7, 1 },
27554		{ "VF", 0, 7 },
27555	{ "MPS_PORT_CLS_HASH_SRAM", 0x382ac, 0 },
27556		{ "Valid", 20, 1 },
27557		{ "PortMap", 16, 4 },
27558		{ "MultiListen", 15, 1 },
27559		{ "Priority", 12, 3 },
27560		{ "Replicate", 11, 1 },
27561		{ "PF", 8, 3 },
27562		{ "VF_Valid", 7, 1 },
27563		{ "VF", 0, 7 },
27564	{ "MPS_PORT_CLS_HASH_SRAM", 0x382b0, 0 },
27565		{ "Valid", 20, 1 },
27566		{ "PortMap", 16, 4 },
27567		{ "MultiListen", 15, 1 },
27568		{ "Priority", 12, 3 },
27569		{ "Replicate", 11, 1 },
27570		{ "PF", 8, 3 },
27571		{ "VF_Valid", 7, 1 },
27572		{ "VF", 0, 7 },
27573	{ "MPS_PORT_CLS_HASH_SRAM", 0x382b4, 0 },
27574		{ "Valid", 20, 1 },
27575		{ "PortMap", 16, 4 },
27576		{ "MultiListen", 15, 1 },
27577		{ "Priority", 12, 3 },
27578		{ "Replicate", 11, 1 },
27579		{ "PF", 8, 3 },
27580		{ "VF_Valid", 7, 1 },
27581		{ "VF", 0, 7 },
27582	{ "MPS_PORT_CLS_HASH_SRAM", 0x382b8, 0 },
27583		{ "Valid", 20, 1 },
27584		{ "PortMap", 16, 4 },
27585		{ "MultiListen", 15, 1 },
27586		{ "Priority", 12, 3 },
27587		{ "Replicate", 11, 1 },
27588		{ "PF", 8, 3 },
27589		{ "VF_Valid", 7, 1 },
27590		{ "VF", 0, 7 },
27591	{ "MPS_PORT_CLS_HASH_SRAM", 0x382bc, 0 },
27592		{ "Valid", 20, 1 },
27593		{ "PortMap", 16, 4 },
27594		{ "MultiListen", 15, 1 },
27595		{ "Priority", 12, 3 },
27596		{ "Replicate", 11, 1 },
27597		{ "PF", 8, 3 },
27598		{ "VF_Valid", 7, 1 },
27599		{ "VF", 0, 7 },
27600	{ "MPS_PORT_CLS_HASH_SRAM", 0x382c0, 0 },
27601		{ "Valid", 20, 1 },
27602		{ "PortMap", 16, 4 },
27603		{ "MultiListen", 15, 1 },
27604		{ "Priority", 12, 3 },
27605		{ "Replicate", 11, 1 },
27606		{ "PF", 8, 3 },
27607		{ "VF_Valid", 7, 1 },
27608		{ "VF", 0, 7 },
27609	{ "MPS_PORT_CLS_HASH_SRAM", 0x382c4, 0 },
27610		{ "Valid", 20, 1 },
27611		{ "PortMap", 16, 4 },
27612		{ "MultiListen", 15, 1 },
27613		{ "Priority", 12, 3 },
27614		{ "Replicate", 11, 1 },
27615		{ "PF", 8, 3 },
27616		{ "VF_Valid", 7, 1 },
27617		{ "VF", 0, 7 },
27618	{ "MPS_PORT_CLS_HASH_SRAM", 0x382c8, 0 },
27619		{ "Valid", 20, 1 },
27620		{ "PortMap", 16, 4 },
27621		{ "MultiListen", 15, 1 },
27622		{ "Priority", 12, 3 },
27623		{ "Replicate", 11, 1 },
27624		{ "PF", 8, 3 },
27625		{ "VF_Valid", 7, 1 },
27626		{ "VF", 0, 7 },
27627	{ "MPS_PORT_CLS_HASH_SRAM", 0x382cc, 0 },
27628		{ "Valid", 20, 1 },
27629		{ "PortMap", 16, 4 },
27630		{ "MultiListen", 15, 1 },
27631		{ "Priority", 12, 3 },
27632		{ "Replicate", 11, 1 },
27633		{ "PF", 8, 3 },
27634		{ "VF_Valid", 7, 1 },
27635		{ "VF", 0, 7 },
27636	{ "MPS_PORT_CLS_HASH_SRAM", 0x382d0, 0 },
27637		{ "Valid", 20, 1 },
27638		{ "PortMap", 16, 4 },
27639		{ "MultiListen", 15, 1 },
27640		{ "Priority", 12, 3 },
27641		{ "Replicate", 11, 1 },
27642		{ "PF", 8, 3 },
27643		{ "VF_Valid", 7, 1 },
27644		{ "VF", 0, 7 },
27645	{ "MPS_PORT_CLS_HASH_SRAM", 0x382d4, 0 },
27646		{ "Valid", 20, 1 },
27647		{ "PortMap", 16, 4 },
27648		{ "MultiListen", 15, 1 },
27649		{ "Priority", 12, 3 },
27650		{ "Replicate", 11, 1 },
27651		{ "PF", 8, 3 },
27652		{ "VF_Valid", 7, 1 },
27653		{ "VF", 0, 7 },
27654	{ "MPS_PORT_CLS_HASH_SRAM", 0x382d8, 0 },
27655		{ "Valid", 20, 1 },
27656		{ "PortMap", 16, 4 },
27657		{ "MultiListen", 15, 1 },
27658		{ "Priority", 12, 3 },
27659		{ "Replicate", 11, 1 },
27660		{ "PF", 8, 3 },
27661		{ "VF_Valid", 7, 1 },
27662		{ "VF", 0, 7 },
27663	{ "MPS_PORT_CLS_HASH_SRAM", 0x382dc, 0 },
27664		{ "Valid", 20, 1 },
27665		{ "PortMap", 16, 4 },
27666		{ "MultiListen", 15, 1 },
27667		{ "Priority", 12, 3 },
27668		{ "Replicate", 11, 1 },
27669		{ "PF", 8, 3 },
27670		{ "VF_Valid", 7, 1 },
27671		{ "VF", 0, 7 },
27672	{ "MPS_PORT_CLS_HASH_SRAM", 0x382e0, 0 },
27673		{ "Valid", 20, 1 },
27674		{ "PortMap", 16, 4 },
27675		{ "MultiListen", 15, 1 },
27676		{ "Priority", 12, 3 },
27677		{ "Replicate", 11, 1 },
27678		{ "PF", 8, 3 },
27679		{ "VF_Valid", 7, 1 },
27680		{ "VF", 0, 7 },
27681	{ "MPS_PORT_CLS_HASH_SRAM", 0x382e4, 0 },
27682		{ "Valid", 20, 1 },
27683		{ "PortMap", 16, 4 },
27684		{ "MultiListen", 15, 1 },
27685		{ "Priority", 12, 3 },
27686		{ "Replicate", 11, 1 },
27687		{ "PF", 8, 3 },
27688		{ "VF_Valid", 7, 1 },
27689		{ "VF", 0, 7 },
27690	{ "MPS_PORT_CLS_HASH_SRAM", 0x382e8, 0 },
27691		{ "Valid", 20, 1 },
27692		{ "PortMap", 16, 4 },
27693		{ "MultiListen", 15, 1 },
27694		{ "Priority", 12, 3 },
27695		{ "Replicate", 11, 1 },
27696		{ "PF", 8, 3 },
27697		{ "VF_Valid", 7, 1 },
27698		{ "VF", 0, 7 },
27699	{ "MPS_PORT_CLS_HASH_SRAM", 0x382ec, 0 },
27700		{ "Valid", 20, 1 },
27701		{ "PortMap", 16, 4 },
27702		{ "MultiListen", 15, 1 },
27703		{ "Priority", 12, 3 },
27704		{ "Replicate", 11, 1 },
27705		{ "PF", 8, 3 },
27706		{ "VF_Valid", 7, 1 },
27707		{ "VF", 0, 7 },
27708	{ "MPS_PORT_CLS_HASH_SRAM", 0x382f0, 0 },
27709		{ "Valid", 20, 1 },
27710		{ "PortMap", 16, 4 },
27711		{ "MultiListen", 15, 1 },
27712		{ "Priority", 12, 3 },
27713		{ "Replicate", 11, 1 },
27714		{ "PF", 8, 3 },
27715		{ "VF_Valid", 7, 1 },
27716		{ "VF", 0, 7 },
27717	{ "MPS_PORT_CLS_HASH_SRAM", 0x382f4, 0 },
27718		{ "Valid", 20, 1 },
27719		{ "PortMap", 16, 4 },
27720		{ "MultiListen", 15, 1 },
27721		{ "Priority", 12, 3 },
27722		{ "Replicate", 11, 1 },
27723		{ "PF", 8, 3 },
27724		{ "VF_Valid", 7, 1 },
27725		{ "VF", 0, 7 },
27726	{ "MPS_PORT_CLS_HASH_SRAM", 0x382f8, 0 },
27727		{ "Valid", 20, 1 },
27728		{ "PortMap", 16, 4 },
27729		{ "MultiListen", 15, 1 },
27730		{ "Priority", 12, 3 },
27731		{ "Replicate", 11, 1 },
27732		{ "PF", 8, 3 },
27733		{ "VF_Valid", 7, 1 },
27734		{ "VF", 0, 7 },
27735	{ "MPS_PORT_CLS_HASH_SRAM", 0x382fc, 0 },
27736		{ "Valid", 20, 1 },
27737		{ "PortMap", 16, 4 },
27738		{ "MultiListen", 15, 1 },
27739		{ "Priority", 12, 3 },
27740		{ "Replicate", 11, 1 },
27741		{ "PF", 8, 3 },
27742		{ "VF_Valid", 7, 1 },
27743		{ "VF", 0, 7 },
27744	{ "MPS_PORT_CLS_HASH_SRAM", 0x38300, 0 },
27745		{ "Valid", 20, 1 },
27746		{ "PortMap", 16, 4 },
27747		{ "MultiListen", 15, 1 },
27748		{ "Priority", 12, 3 },
27749		{ "Replicate", 11, 1 },
27750		{ "PF", 8, 3 },
27751		{ "VF_Valid", 7, 1 },
27752		{ "VF", 0, 7 },
27753	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c200, 0 },
27754		{ "Valid", 20, 1 },
27755		{ "PortMap", 16, 4 },
27756		{ "MultiListen", 15, 1 },
27757		{ "Priority", 12, 3 },
27758		{ "Replicate", 11, 1 },
27759		{ "PF", 8, 3 },
27760		{ "VF_Valid", 7, 1 },
27761		{ "VF", 0, 7 },
27762	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c204, 0 },
27763		{ "Valid", 20, 1 },
27764		{ "PortMap", 16, 4 },
27765		{ "MultiListen", 15, 1 },
27766		{ "Priority", 12, 3 },
27767		{ "Replicate", 11, 1 },
27768		{ "PF", 8, 3 },
27769		{ "VF_Valid", 7, 1 },
27770		{ "VF", 0, 7 },
27771	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c208, 0 },
27772		{ "Valid", 20, 1 },
27773		{ "PortMap", 16, 4 },
27774		{ "MultiListen", 15, 1 },
27775		{ "Priority", 12, 3 },
27776		{ "Replicate", 11, 1 },
27777		{ "PF", 8, 3 },
27778		{ "VF_Valid", 7, 1 },
27779		{ "VF", 0, 7 },
27780	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c20c, 0 },
27781		{ "Valid", 20, 1 },
27782		{ "PortMap", 16, 4 },
27783		{ "MultiListen", 15, 1 },
27784		{ "Priority", 12, 3 },
27785		{ "Replicate", 11, 1 },
27786		{ "PF", 8, 3 },
27787		{ "VF_Valid", 7, 1 },
27788		{ "VF", 0, 7 },
27789	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c210, 0 },
27790		{ "Valid", 20, 1 },
27791		{ "PortMap", 16, 4 },
27792		{ "MultiListen", 15, 1 },
27793		{ "Priority", 12, 3 },
27794		{ "Replicate", 11, 1 },
27795		{ "PF", 8, 3 },
27796		{ "VF_Valid", 7, 1 },
27797		{ "VF", 0, 7 },
27798	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c214, 0 },
27799		{ "Valid", 20, 1 },
27800		{ "PortMap", 16, 4 },
27801		{ "MultiListen", 15, 1 },
27802		{ "Priority", 12, 3 },
27803		{ "Replicate", 11, 1 },
27804		{ "PF", 8, 3 },
27805		{ "VF_Valid", 7, 1 },
27806		{ "VF", 0, 7 },
27807	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c218, 0 },
27808		{ "Valid", 20, 1 },
27809		{ "PortMap", 16, 4 },
27810		{ "MultiListen", 15, 1 },
27811		{ "Priority", 12, 3 },
27812		{ "Replicate", 11, 1 },
27813		{ "PF", 8, 3 },
27814		{ "VF_Valid", 7, 1 },
27815		{ "VF", 0, 7 },
27816	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c21c, 0 },
27817		{ "Valid", 20, 1 },
27818		{ "PortMap", 16, 4 },
27819		{ "MultiListen", 15, 1 },
27820		{ "Priority", 12, 3 },
27821		{ "Replicate", 11, 1 },
27822		{ "PF", 8, 3 },
27823		{ "VF_Valid", 7, 1 },
27824		{ "VF", 0, 7 },
27825	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c220, 0 },
27826		{ "Valid", 20, 1 },
27827		{ "PortMap", 16, 4 },
27828		{ "MultiListen", 15, 1 },
27829		{ "Priority", 12, 3 },
27830		{ "Replicate", 11, 1 },
27831		{ "PF", 8, 3 },
27832		{ "VF_Valid", 7, 1 },
27833		{ "VF", 0, 7 },
27834	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c224, 0 },
27835		{ "Valid", 20, 1 },
27836		{ "PortMap", 16, 4 },
27837		{ "MultiListen", 15, 1 },
27838		{ "Priority", 12, 3 },
27839		{ "Replicate", 11, 1 },
27840		{ "PF", 8, 3 },
27841		{ "VF_Valid", 7, 1 },
27842		{ "VF", 0, 7 },
27843	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c228, 0 },
27844		{ "Valid", 20, 1 },
27845		{ "PortMap", 16, 4 },
27846		{ "MultiListen", 15, 1 },
27847		{ "Priority", 12, 3 },
27848		{ "Replicate", 11, 1 },
27849		{ "PF", 8, 3 },
27850		{ "VF_Valid", 7, 1 },
27851		{ "VF", 0, 7 },
27852	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c22c, 0 },
27853		{ "Valid", 20, 1 },
27854		{ "PortMap", 16, 4 },
27855		{ "MultiListen", 15, 1 },
27856		{ "Priority", 12, 3 },
27857		{ "Replicate", 11, 1 },
27858		{ "PF", 8, 3 },
27859		{ "VF_Valid", 7, 1 },
27860		{ "VF", 0, 7 },
27861	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c230, 0 },
27862		{ "Valid", 20, 1 },
27863		{ "PortMap", 16, 4 },
27864		{ "MultiListen", 15, 1 },
27865		{ "Priority", 12, 3 },
27866		{ "Replicate", 11, 1 },
27867		{ "PF", 8, 3 },
27868		{ "VF_Valid", 7, 1 },
27869		{ "VF", 0, 7 },
27870	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c234, 0 },
27871		{ "Valid", 20, 1 },
27872		{ "PortMap", 16, 4 },
27873		{ "MultiListen", 15, 1 },
27874		{ "Priority", 12, 3 },
27875		{ "Replicate", 11, 1 },
27876		{ "PF", 8, 3 },
27877		{ "VF_Valid", 7, 1 },
27878		{ "VF", 0, 7 },
27879	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c238, 0 },
27880		{ "Valid", 20, 1 },
27881		{ "PortMap", 16, 4 },
27882		{ "MultiListen", 15, 1 },
27883		{ "Priority", 12, 3 },
27884		{ "Replicate", 11, 1 },
27885		{ "PF", 8, 3 },
27886		{ "VF_Valid", 7, 1 },
27887		{ "VF", 0, 7 },
27888	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c23c, 0 },
27889		{ "Valid", 20, 1 },
27890		{ "PortMap", 16, 4 },
27891		{ "MultiListen", 15, 1 },
27892		{ "Priority", 12, 3 },
27893		{ "Replicate", 11, 1 },
27894		{ "PF", 8, 3 },
27895		{ "VF_Valid", 7, 1 },
27896		{ "VF", 0, 7 },
27897	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c240, 0 },
27898		{ "Valid", 20, 1 },
27899		{ "PortMap", 16, 4 },
27900		{ "MultiListen", 15, 1 },
27901		{ "Priority", 12, 3 },
27902		{ "Replicate", 11, 1 },
27903		{ "PF", 8, 3 },
27904		{ "VF_Valid", 7, 1 },
27905		{ "VF", 0, 7 },
27906	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c244, 0 },
27907		{ "Valid", 20, 1 },
27908		{ "PortMap", 16, 4 },
27909		{ "MultiListen", 15, 1 },
27910		{ "Priority", 12, 3 },
27911		{ "Replicate", 11, 1 },
27912		{ "PF", 8, 3 },
27913		{ "VF_Valid", 7, 1 },
27914		{ "VF", 0, 7 },
27915	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c248, 0 },
27916		{ "Valid", 20, 1 },
27917		{ "PortMap", 16, 4 },
27918		{ "MultiListen", 15, 1 },
27919		{ "Priority", 12, 3 },
27920		{ "Replicate", 11, 1 },
27921		{ "PF", 8, 3 },
27922		{ "VF_Valid", 7, 1 },
27923		{ "VF", 0, 7 },
27924	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c24c, 0 },
27925		{ "Valid", 20, 1 },
27926		{ "PortMap", 16, 4 },
27927		{ "MultiListen", 15, 1 },
27928		{ "Priority", 12, 3 },
27929		{ "Replicate", 11, 1 },
27930		{ "PF", 8, 3 },
27931		{ "VF_Valid", 7, 1 },
27932		{ "VF", 0, 7 },
27933	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c250, 0 },
27934		{ "Valid", 20, 1 },
27935		{ "PortMap", 16, 4 },
27936		{ "MultiListen", 15, 1 },
27937		{ "Priority", 12, 3 },
27938		{ "Replicate", 11, 1 },
27939		{ "PF", 8, 3 },
27940		{ "VF_Valid", 7, 1 },
27941		{ "VF", 0, 7 },
27942	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c254, 0 },
27943		{ "Valid", 20, 1 },
27944		{ "PortMap", 16, 4 },
27945		{ "MultiListen", 15, 1 },
27946		{ "Priority", 12, 3 },
27947		{ "Replicate", 11, 1 },
27948		{ "PF", 8, 3 },
27949		{ "VF_Valid", 7, 1 },
27950		{ "VF", 0, 7 },
27951	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c258, 0 },
27952		{ "Valid", 20, 1 },
27953		{ "PortMap", 16, 4 },
27954		{ "MultiListen", 15, 1 },
27955		{ "Priority", 12, 3 },
27956		{ "Replicate", 11, 1 },
27957		{ "PF", 8, 3 },
27958		{ "VF_Valid", 7, 1 },
27959		{ "VF", 0, 7 },
27960	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c25c, 0 },
27961		{ "Valid", 20, 1 },
27962		{ "PortMap", 16, 4 },
27963		{ "MultiListen", 15, 1 },
27964		{ "Priority", 12, 3 },
27965		{ "Replicate", 11, 1 },
27966		{ "PF", 8, 3 },
27967		{ "VF_Valid", 7, 1 },
27968		{ "VF", 0, 7 },
27969	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c260, 0 },
27970		{ "Valid", 20, 1 },
27971		{ "PortMap", 16, 4 },
27972		{ "MultiListen", 15, 1 },
27973		{ "Priority", 12, 3 },
27974		{ "Replicate", 11, 1 },
27975		{ "PF", 8, 3 },
27976		{ "VF_Valid", 7, 1 },
27977		{ "VF", 0, 7 },
27978	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c264, 0 },
27979		{ "Valid", 20, 1 },
27980		{ "PortMap", 16, 4 },
27981		{ "MultiListen", 15, 1 },
27982		{ "Priority", 12, 3 },
27983		{ "Replicate", 11, 1 },
27984		{ "PF", 8, 3 },
27985		{ "VF_Valid", 7, 1 },
27986		{ "VF", 0, 7 },
27987	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c268, 0 },
27988		{ "Valid", 20, 1 },
27989		{ "PortMap", 16, 4 },
27990		{ "MultiListen", 15, 1 },
27991		{ "Priority", 12, 3 },
27992		{ "Replicate", 11, 1 },
27993		{ "PF", 8, 3 },
27994		{ "VF_Valid", 7, 1 },
27995		{ "VF", 0, 7 },
27996	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c26c, 0 },
27997		{ "Valid", 20, 1 },
27998		{ "PortMap", 16, 4 },
27999		{ "MultiListen", 15, 1 },
28000		{ "Priority", 12, 3 },
28001		{ "Replicate", 11, 1 },
28002		{ "PF", 8, 3 },
28003		{ "VF_Valid", 7, 1 },
28004		{ "VF", 0, 7 },
28005	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c270, 0 },
28006		{ "Valid", 20, 1 },
28007		{ "PortMap", 16, 4 },
28008		{ "MultiListen", 15, 1 },
28009		{ "Priority", 12, 3 },
28010		{ "Replicate", 11, 1 },
28011		{ "PF", 8, 3 },
28012		{ "VF_Valid", 7, 1 },
28013		{ "VF", 0, 7 },
28014	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c274, 0 },
28015		{ "Valid", 20, 1 },
28016		{ "PortMap", 16, 4 },
28017		{ "MultiListen", 15, 1 },
28018		{ "Priority", 12, 3 },
28019		{ "Replicate", 11, 1 },
28020		{ "PF", 8, 3 },
28021		{ "VF_Valid", 7, 1 },
28022		{ "VF", 0, 7 },
28023	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c278, 0 },
28024		{ "Valid", 20, 1 },
28025		{ "PortMap", 16, 4 },
28026		{ "MultiListen", 15, 1 },
28027		{ "Priority", 12, 3 },
28028		{ "Replicate", 11, 1 },
28029		{ "PF", 8, 3 },
28030		{ "VF_Valid", 7, 1 },
28031		{ "VF", 0, 7 },
28032	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c27c, 0 },
28033		{ "Valid", 20, 1 },
28034		{ "PortMap", 16, 4 },
28035		{ "MultiListen", 15, 1 },
28036		{ "Priority", 12, 3 },
28037		{ "Replicate", 11, 1 },
28038		{ "PF", 8, 3 },
28039		{ "VF_Valid", 7, 1 },
28040		{ "VF", 0, 7 },
28041	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c280, 0 },
28042		{ "Valid", 20, 1 },
28043		{ "PortMap", 16, 4 },
28044		{ "MultiListen", 15, 1 },
28045		{ "Priority", 12, 3 },
28046		{ "Replicate", 11, 1 },
28047		{ "PF", 8, 3 },
28048		{ "VF_Valid", 7, 1 },
28049		{ "VF", 0, 7 },
28050	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c284, 0 },
28051		{ "Valid", 20, 1 },
28052		{ "PortMap", 16, 4 },
28053		{ "MultiListen", 15, 1 },
28054		{ "Priority", 12, 3 },
28055		{ "Replicate", 11, 1 },
28056		{ "PF", 8, 3 },
28057		{ "VF_Valid", 7, 1 },
28058		{ "VF", 0, 7 },
28059	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c288, 0 },
28060		{ "Valid", 20, 1 },
28061		{ "PortMap", 16, 4 },
28062		{ "MultiListen", 15, 1 },
28063		{ "Priority", 12, 3 },
28064		{ "Replicate", 11, 1 },
28065		{ "PF", 8, 3 },
28066		{ "VF_Valid", 7, 1 },
28067		{ "VF", 0, 7 },
28068	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c28c, 0 },
28069		{ "Valid", 20, 1 },
28070		{ "PortMap", 16, 4 },
28071		{ "MultiListen", 15, 1 },
28072		{ "Priority", 12, 3 },
28073		{ "Replicate", 11, 1 },
28074		{ "PF", 8, 3 },
28075		{ "VF_Valid", 7, 1 },
28076		{ "VF", 0, 7 },
28077	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c290, 0 },
28078		{ "Valid", 20, 1 },
28079		{ "PortMap", 16, 4 },
28080		{ "MultiListen", 15, 1 },
28081		{ "Priority", 12, 3 },
28082		{ "Replicate", 11, 1 },
28083		{ "PF", 8, 3 },
28084		{ "VF_Valid", 7, 1 },
28085		{ "VF", 0, 7 },
28086	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c294, 0 },
28087		{ "Valid", 20, 1 },
28088		{ "PortMap", 16, 4 },
28089		{ "MultiListen", 15, 1 },
28090		{ "Priority", 12, 3 },
28091		{ "Replicate", 11, 1 },
28092		{ "PF", 8, 3 },
28093		{ "VF_Valid", 7, 1 },
28094		{ "VF", 0, 7 },
28095	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c298, 0 },
28096		{ "Valid", 20, 1 },
28097		{ "PortMap", 16, 4 },
28098		{ "MultiListen", 15, 1 },
28099		{ "Priority", 12, 3 },
28100		{ "Replicate", 11, 1 },
28101		{ "PF", 8, 3 },
28102		{ "VF_Valid", 7, 1 },
28103		{ "VF", 0, 7 },
28104	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c29c, 0 },
28105		{ "Valid", 20, 1 },
28106		{ "PortMap", 16, 4 },
28107		{ "MultiListen", 15, 1 },
28108		{ "Priority", 12, 3 },
28109		{ "Replicate", 11, 1 },
28110		{ "PF", 8, 3 },
28111		{ "VF_Valid", 7, 1 },
28112		{ "VF", 0, 7 },
28113	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2a0, 0 },
28114		{ "Valid", 20, 1 },
28115		{ "PortMap", 16, 4 },
28116		{ "MultiListen", 15, 1 },
28117		{ "Priority", 12, 3 },
28118		{ "Replicate", 11, 1 },
28119		{ "PF", 8, 3 },
28120		{ "VF_Valid", 7, 1 },
28121		{ "VF", 0, 7 },
28122	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2a4, 0 },
28123		{ "Valid", 20, 1 },
28124		{ "PortMap", 16, 4 },
28125		{ "MultiListen", 15, 1 },
28126		{ "Priority", 12, 3 },
28127		{ "Replicate", 11, 1 },
28128		{ "PF", 8, 3 },
28129		{ "VF_Valid", 7, 1 },
28130		{ "VF", 0, 7 },
28131	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2a8, 0 },
28132		{ "Valid", 20, 1 },
28133		{ "PortMap", 16, 4 },
28134		{ "MultiListen", 15, 1 },
28135		{ "Priority", 12, 3 },
28136		{ "Replicate", 11, 1 },
28137		{ "PF", 8, 3 },
28138		{ "VF_Valid", 7, 1 },
28139		{ "VF", 0, 7 },
28140	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2ac, 0 },
28141		{ "Valid", 20, 1 },
28142		{ "PortMap", 16, 4 },
28143		{ "MultiListen", 15, 1 },
28144		{ "Priority", 12, 3 },
28145		{ "Replicate", 11, 1 },
28146		{ "PF", 8, 3 },
28147		{ "VF_Valid", 7, 1 },
28148		{ "VF", 0, 7 },
28149	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2b0, 0 },
28150		{ "Valid", 20, 1 },
28151		{ "PortMap", 16, 4 },
28152		{ "MultiListen", 15, 1 },
28153		{ "Priority", 12, 3 },
28154		{ "Replicate", 11, 1 },
28155		{ "PF", 8, 3 },
28156		{ "VF_Valid", 7, 1 },
28157		{ "VF", 0, 7 },
28158	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2b4, 0 },
28159		{ "Valid", 20, 1 },
28160		{ "PortMap", 16, 4 },
28161		{ "MultiListen", 15, 1 },
28162		{ "Priority", 12, 3 },
28163		{ "Replicate", 11, 1 },
28164		{ "PF", 8, 3 },
28165		{ "VF_Valid", 7, 1 },
28166		{ "VF", 0, 7 },
28167	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2b8, 0 },
28168		{ "Valid", 20, 1 },
28169		{ "PortMap", 16, 4 },
28170		{ "MultiListen", 15, 1 },
28171		{ "Priority", 12, 3 },
28172		{ "Replicate", 11, 1 },
28173		{ "PF", 8, 3 },
28174		{ "VF_Valid", 7, 1 },
28175		{ "VF", 0, 7 },
28176	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2bc, 0 },
28177		{ "Valid", 20, 1 },
28178		{ "PortMap", 16, 4 },
28179		{ "MultiListen", 15, 1 },
28180		{ "Priority", 12, 3 },
28181		{ "Replicate", 11, 1 },
28182		{ "PF", 8, 3 },
28183		{ "VF_Valid", 7, 1 },
28184		{ "VF", 0, 7 },
28185	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2c0, 0 },
28186		{ "Valid", 20, 1 },
28187		{ "PortMap", 16, 4 },
28188		{ "MultiListen", 15, 1 },
28189		{ "Priority", 12, 3 },
28190		{ "Replicate", 11, 1 },
28191		{ "PF", 8, 3 },
28192		{ "VF_Valid", 7, 1 },
28193		{ "VF", 0, 7 },
28194	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2c4, 0 },
28195		{ "Valid", 20, 1 },
28196		{ "PortMap", 16, 4 },
28197		{ "MultiListen", 15, 1 },
28198		{ "Priority", 12, 3 },
28199		{ "Replicate", 11, 1 },
28200		{ "PF", 8, 3 },
28201		{ "VF_Valid", 7, 1 },
28202		{ "VF", 0, 7 },
28203	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2c8, 0 },
28204		{ "Valid", 20, 1 },
28205		{ "PortMap", 16, 4 },
28206		{ "MultiListen", 15, 1 },
28207		{ "Priority", 12, 3 },
28208		{ "Replicate", 11, 1 },
28209		{ "PF", 8, 3 },
28210		{ "VF_Valid", 7, 1 },
28211		{ "VF", 0, 7 },
28212	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2cc, 0 },
28213		{ "Valid", 20, 1 },
28214		{ "PortMap", 16, 4 },
28215		{ "MultiListen", 15, 1 },
28216		{ "Priority", 12, 3 },
28217		{ "Replicate", 11, 1 },
28218		{ "PF", 8, 3 },
28219		{ "VF_Valid", 7, 1 },
28220		{ "VF", 0, 7 },
28221	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2d0, 0 },
28222		{ "Valid", 20, 1 },
28223		{ "PortMap", 16, 4 },
28224		{ "MultiListen", 15, 1 },
28225		{ "Priority", 12, 3 },
28226		{ "Replicate", 11, 1 },
28227		{ "PF", 8, 3 },
28228		{ "VF_Valid", 7, 1 },
28229		{ "VF", 0, 7 },
28230	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2d4, 0 },
28231		{ "Valid", 20, 1 },
28232		{ "PortMap", 16, 4 },
28233		{ "MultiListen", 15, 1 },
28234		{ "Priority", 12, 3 },
28235		{ "Replicate", 11, 1 },
28236		{ "PF", 8, 3 },
28237		{ "VF_Valid", 7, 1 },
28238		{ "VF", 0, 7 },
28239	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2d8, 0 },
28240		{ "Valid", 20, 1 },
28241		{ "PortMap", 16, 4 },
28242		{ "MultiListen", 15, 1 },
28243		{ "Priority", 12, 3 },
28244		{ "Replicate", 11, 1 },
28245		{ "PF", 8, 3 },
28246		{ "VF_Valid", 7, 1 },
28247		{ "VF", 0, 7 },
28248	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2dc, 0 },
28249		{ "Valid", 20, 1 },
28250		{ "PortMap", 16, 4 },
28251		{ "MultiListen", 15, 1 },
28252		{ "Priority", 12, 3 },
28253		{ "Replicate", 11, 1 },
28254		{ "PF", 8, 3 },
28255		{ "VF_Valid", 7, 1 },
28256		{ "VF", 0, 7 },
28257	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2e0, 0 },
28258		{ "Valid", 20, 1 },
28259		{ "PortMap", 16, 4 },
28260		{ "MultiListen", 15, 1 },
28261		{ "Priority", 12, 3 },
28262		{ "Replicate", 11, 1 },
28263		{ "PF", 8, 3 },
28264		{ "VF_Valid", 7, 1 },
28265		{ "VF", 0, 7 },
28266	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2e4, 0 },
28267		{ "Valid", 20, 1 },
28268		{ "PortMap", 16, 4 },
28269		{ "MultiListen", 15, 1 },
28270		{ "Priority", 12, 3 },
28271		{ "Replicate", 11, 1 },
28272		{ "PF", 8, 3 },
28273		{ "VF_Valid", 7, 1 },
28274		{ "VF", 0, 7 },
28275	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2e8, 0 },
28276		{ "Valid", 20, 1 },
28277		{ "PortMap", 16, 4 },
28278		{ "MultiListen", 15, 1 },
28279		{ "Priority", 12, 3 },
28280		{ "Replicate", 11, 1 },
28281		{ "PF", 8, 3 },
28282		{ "VF_Valid", 7, 1 },
28283		{ "VF", 0, 7 },
28284	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2ec, 0 },
28285		{ "Valid", 20, 1 },
28286		{ "PortMap", 16, 4 },
28287		{ "MultiListen", 15, 1 },
28288		{ "Priority", 12, 3 },
28289		{ "Replicate", 11, 1 },
28290		{ "PF", 8, 3 },
28291		{ "VF_Valid", 7, 1 },
28292		{ "VF", 0, 7 },
28293	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2f0, 0 },
28294		{ "Valid", 20, 1 },
28295		{ "PortMap", 16, 4 },
28296		{ "MultiListen", 15, 1 },
28297		{ "Priority", 12, 3 },
28298		{ "Replicate", 11, 1 },
28299		{ "PF", 8, 3 },
28300		{ "VF_Valid", 7, 1 },
28301		{ "VF", 0, 7 },
28302	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2f4, 0 },
28303		{ "Valid", 20, 1 },
28304		{ "PortMap", 16, 4 },
28305		{ "MultiListen", 15, 1 },
28306		{ "Priority", 12, 3 },
28307		{ "Replicate", 11, 1 },
28308		{ "PF", 8, 3 },
28309		{ "VF_Valid", 7, 1 },
28310		{ "VF", 0, 7 },
28311	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2f8, 0 },
28312		{ "Valid", 20, 1 },
28313		{ "PortMap", 16, 4 },
28314		{ "MultiListen", 15, 1 },
28315		{ "Priority", 12, 3 },
28316		{ "Replicate", 11, 1 },
28317		{ "PF", 8, 3 },
28318		{ "VF_Valid", 7, 1 },
28319		{ "VF", 0, 7 },
28320	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c2fc, 0 },
28321		{ "Valid", 20, 1 },
28322		{ "PortMap", 16, 4 },
28323		{ "MultiListen", 15, 1 },
28324		{ "Priority", 12, 3 },
28325		{ "Replicate", 11, 1 },
28326		{ "PF", 8, 3 },
28327		{ "VF_Valid", 7, 1 },
28328		{ "VF", 0, 7 },
28329	{ "MPS_PORT_CLS_HASH_SRAM", 0x3c300, 0 },
28330		{ "Valid", 20, 1 },
28331		{ "PortMap", 16, 4 },
28332		{ "MultiListen", 15, 1 },
28333		{ "Priority", 12, 3 },
28334		{ "Replicate", 11, 1 },
28335		{ "PF", 8, 3 },
28336		{ "VF_Valid", 7, 1 },
28337		{ "VF", 0, 7 },
28338	{ "MPS_PORT_CLS_HASH_CTL", 0x30304, 0 },
28339		{ "UnicastEnable", 31, 1 },
28340	{ "MPS_PORT_CLS_PROMISCUOUS_CTL", 0x30308, 0 },
28341		{ "Enable", 31, 1 },
28342		{ "MultiListen", 15, 1 },
28343		{ "Priority", 12, 3 },
28344		{ "Replicate", 11, 1 },
28345		{ "PF", 8, 3 },
28346		{ "VF_Valid", 7, 1 },
28347		{ "VF", 0, 7 },
28348	{ "MPS_PORT_CLS_BMC_MAC_ADDR_L", 0x3030c, 0 },
28349	{ "MPS_PORT_CLS_BMC_MAC_ADDR_H", 0x30310, 0 },
28350		{ "MatchBoth", 17, 1 },
28351		{ "Valid", 16, 1 },
28352		{ "DA", 0, 16 },
28353	{ "MPS_PORT_CLS_BMC_VLAN", 0x30314, 0 },
28354		{ "BMC_VLAN_SEL", 13, 1 },
28355		{ "Valid", 12, 1 },
28356		{ "VLAN_ID", 0, 12 },
28357	{ "MPS_PORT_CLS_CTL", 0x30318, 0 },
28358		{ "LPBK_TCAM1_HIT_PRIORITY", 14, 1 },
28359		{ "LPBK_TCAM0_HIT_PRIORITY", 13, 1 },
28360		{ "LPBK_TCAM_PRIORITY", 12, 1 },
28361		{ "LPBK_SMAC_TCAM_SEL", 10, 2 },
28362		{ "LPBK_DMAC_TCAM_SEL", 8, 2 },
28363		{ "TCAM1_HIT_PRIORITY", 7, 1 },
28364		{ "TCAM0_HIT_PRIORITY", 6, 1 },
28365		{ "TCAM_PRIORITY", 5, 1 },
28366		{ "SMAC_TCAM_SEL", 3, 2 },
28367		{ "DMAC_TCAM_SEL", 1, 2 },
28368		{ "PF_VLAN_SEL", 0, 1 },
28369	{ "MPS_PORT_CLS_HASH_CTL", 0x34304, 0 },
28370		{ "UnicastEnable", 31, 1 },
28371	{ "MPS_PORT_CLS_PROMISCUOUS_CTL", 0x34308, 0 },
28372		{ "Enable", 31, 1 },
28373		{ "MultiListen", 15, 1 },
28374		{ "Priority", 12, 3 },
28375		{ "Replicate", 11, 1 },
28376		{ "PF", 8, 3 },
28377		{ "VF_Valid", 7, 1 },
28378		{ "VF", 0, 7 },
28379	{ "MPS_PORT_CLS_BMC_MAC_ADDR_L", 0x3430c, 0 },
28380	{ "MPS_PORT_CLS_BMC_MAC_ADDR_H", 0x34310, 0 },
28381		{ "MatchBoth", 17, 1 },
28382		{ "Valid", 16, 1 },
28383		{ "DA", 0, 16 },
28384	{ "MPS_PORT_CLS_BMC_VLAN", 0x34314, 0 },
28385		{ "BMC_VLAN_SEL", 13, 1 },
28386		{ "Valid", 12, 1 },
28387		{ "VLAN_ID", 0, 12 },
28388	{ "MPS_PORT_CLS_CTL", 0x34318, 0 },
28389		{ "LPBK_TCAM1_HIT_PRIORITY", 14, 1 },
28390		{ "LPBK_TCAM0_HIT_PRIORITY", 13, 1 },
28391		{ "LPBK_TCAM_PRIORITY", 12, 1 },
28392		{ "LPBK_SMAC_TCAM_SEL", 10, 2 },
28393		{ "LPBK_DMAC_TCAM_SEL", 8, 2 },
28394		{ "TCAM1_HIT_PRIORITY", 7, 1 },
28395		{ "TCAM0_HIT_PRIORITY", 6, 1 },
28396		{ "TCAM_PRIORITY", 5, 1 },
28397		{ "SMAC_TCAM_SEL", 3, 2 },
28398		{ "DMAC_TCAM_SEL", 1, 2 },
28399		{ "PF_VLAN_SEL", 0, 1 },
28400	{ "MPS_PORT_CLS_HASH_CTL", 0x38304, 0 },
28401		{ "UnicastEnable", 31, 1 },
28402	{ "MPS_PORT_CLS_PROMISCUOUS_CTL", 0x38308, 0 },
28403		{ "Enable", 31, 1 },
28404		{ "MultiListen", 15, 1 },
28405		{ "Priority", 12, 3 },
28406		{ "Replicate", 11, 1 },
28407		{ "PF", 8, 3 },
28408		{ "VF_Valid", 7, 1 },
28409		{ "VF", 0, 7 },
28410	{ "MPS_PORT_CLS_BMC_MAC_ADDR_L", 0x3830c, 0 },
28411	{ "MPS_PORT_CLS_BMC_MAC_ADDR_H", 0x38310, 0 },
28412		{ "MatchBoth", 17, 1 },
28413		{ "Valid", 16, 1 },
28414		{ "DA", 0, 16 },
28415	{ "MPS_PORT_CLS_BMC_VLAN", 0x38314, 0 },
28416		{ "BMC_VLAN_SEL", 13, 1 },
28417		{ "Valid", 12, 1 },
28418		{ "VLAN_ID", 0, 12 },
28419	{ "MPS_PORT_CLS_CTL", 0x38318, 0 },
28420		{ "LPBK_TCAM1_HIT_PRIORITY", 14, 1 },
28421		{ "LPBK_TCAM0_HIT_PRIORITY", 13, 1 },
28422		{ "LPBK_TCAM_PRIORITY", 12, 1 },
28423		{ "LPBK_SMAC_TCAM_SEL", 10, 2 },
28424		{ "LPBK_DMAC_TCAM_SEL", 8, 2 },
28425		{ "TCAM1_HIT_PRIORITY", 7, 1 },
28426		{ "TCAM0_HIT_PRIORITY", 6, 1 },
28427		{ "TCAM_PRIORITY", 5, 1 },
28428		{ "SMAC_TCAM_SEL", 3, 2 },
28429		{ "DMAC_TCAM_SEL", 1, 2 },
28430		{ "PF_VLAN_SEL", 0, 1 },
28431	{ "MPS_PORT_CLS_HASH_CTL", 0x3c304, 0 },
28432		{ "UnicastEnable", 31, 1 },
28433	{ "MPS_PORT_CLS_PROMISCUOUS_CTL", 0x3c308, 0 },
28434		{ "Enable", 31, 1 },
28435		{ "MultiListen", 15, 1 },
28436		{ "Priority", 12, 3 },
28437		{ "Replicate", 11, 1 },
28438		{ "PF", 8, 3 },
28439		{ "VF_Valid", 7, 1 },
28440		{ "VF", 0, 7 },
28441	{ "MPS_PORT_CLS_BMC_MAC_ADDR_L", 0x3c30c, 0 },
28442	{ "MPS_PORT_CLS_BMC_MAC_ADDR_H", 0x3c310, 0 },
28443		{ "MatchBoth", 17, 1 },
28444		{ "Valid", 16, 1 },
28445		{ "DA", 0, 16 },
28446	{ "MPS_PORT_CLS_BMC_VLAN", 0x3c314, 0 },
28447		{ "BMC_VLAN_SEL", 13, 1 },
28448		{ "Valid", 12, 1 },
28449		{ "VLAN_ID", 0, 12 },
28450	{ "MPS_PORT_CLS_CTL", 0x3c318, 0 },
28451		{ "LPBK_TCAM1_HIT_PRIORITY", 14, 1 },
28452		{ "LPBK_TCAM0_HIT_PRIORITY", 13, 1 },
28453		{ "LPBK_TCAM_PRIORITY", 12, 1 },
28454		{ "LPBK_SMAC_TCAM_SEL", 10, 2 },
28455		{ "LPBK_DMAC_TCAM_SEL", 8, 2 },
28456		{ "TCAM1_HIT_PRIORITY", 7, 1 },
28457		{ "TCAM0_HIT_PRIORITY", 6, 1 },
28458		{ "TCAM_PRIORITY", 5, 1 },
28459		{ "SMAC_TCAM_SEL", 3, 2 },
28460		{ "DMAC_TCAM_SEL", 1, 2 },
28461		{ "PF_VLAN_SEL", 0, 1 },
28462	{ "MPS_CLS_CTL", 0xd000, 0 },
28463		{ "MemWriteFault", 4, 1 },
28464		{ "MemWriteWaiting", 3, 1 },
28465		{ "CimNoPromiscuous", 2, 1 },
28466		{ "HypervisorOnly", 1, 1 },
28467		{ "VlanClsEn", 0, 1 },
28468	{ "MPS_CLS_ARB_WEIGHT", 0xd004, 0 },
28469		{ "PlWeight", 16, 5 },
28470		{ "CimWeight", 8, 5 },
28471		{ "LpbkWeight", 0, 5 },
28472	{ "MPS_CLS_BMC_MAC_ADDR_L", 0xd010, 0 },
28473	{ "MPS_CLS_BMC_MAC_ADDR_H", 0xd014, 0 },
28474		{ "MatchBoth", 17, 1 },
28475		{ "Valid", 16, 1 },
28476		{ "DA", 0, 16 },
28477	{ "MPS_CLS_BMC_VLAN", 0xd018, 0 },
28478		{ "Valid", 12, 1 },
28479		{ "VLAN_ID", 0, 12 },
28480	{ "MPS_CLS_PERR_INJECT", 0xd01c, 0 },
28481		{ "MemSel", 1, 2 },
28482		{ "InjectDataErr", 0, 1 },
28483	{ "MPS_CLS_PERR_ENABLE", 0xd020, 0 },
28484		{ "HashSRAM", 2, 1 },
28485		{ "MatchTCAM", 1, 1 },
28486		{ "MatchSRAM", 0, 1 },
28487	{ "MPS_CLS_INT_ENABLE", 0xd024, 0 },
28488		{ "PLErrEnb", 3, 1 },
28489		{ "HashSRAM", 2, 1 },
28490		{ "MatchTCAM", 1, 1 },
28491		{ "MatchSRAM", 0, 1 },
28492	{ "MPS_CLS_INT_CAUSE", 0xd028, 0 },
28493		{ "PLErrEnb", 3, 1 },
28494		{ "HashSRAM", 2, 1 },
28495		{ "MatchTCAM", 1, 1 },
28496		{ "MatchSRAM", 0, 1 },
28497	{ "MPS_CLS_PL_TEST_DATA_L", 0xd02c, 0 },
28498	{ "MPS_CLS_PL_TEST_DATA_H", 0xd030, 0 },
28499	{ "MPS_CLS_PL_TEST_RES_DATA", 0xd034, 0 },
28500		{ "Cls_Priority", 24, 3 },
28501		{ "Cls_Replicate", 23, 1 },
28502		{ "Cls_Index", 14, 9 },
28503		{ "Cls_VF", 7, 7 },
28504		{ "Cls_VF_Vld", 6, 1 },
28505		{ "Cls_PF", 3, 3 },
28506		{ "Cls_Match", 0, 3 },
28507	{ "MPS_CLS_PL_TEST_CTL", 0xd038, 0 },
28508	{ "MPS_CLS_PORT_BMC_CTL", 0xd03c, 0 },
28509	{ "MPS_CLS_VLAN_TABLE", 0xdfc0, 0 },
28510		{ "VLAN_Mask", 16, 12 },
28511		{ "PF", 13, 3 },
28512		{ "VLAN_Valid", 12, 1 },
28513		{ "VLAN_ID", 0, 12 },
28514	{ "MPS_CLS_VLAN_TABLE", 0xdfc4, 0 },
28515		{ "VLAN_Mask", 16, 12 },
28516		{ "PF", 13, 3 },
28517		{ "VLAN_Valid", 12, 1 },
28518		{ "VLAN_ID", 0, 12 },
28519	{ "MPS_CLS_VLAN_TABLE", 0xdfc8, 0 },
28520		{ "VLAN_Mask", 16, 12 },
28521		{ "PF", 13, 3 },
28522		{ "VLAN_Valid", 12, 1 },
28523		{ "VLAN_ID", 0, 12 },
28524	{ "MPS_CLS_VLAN_TABLE", 0xdfcc, 0 },
28525		{ "VLAN_Mask", 16, 12 },
28526		{ "PF", 13, 3 },
28527		{ "VLAN_Valid", 12, 1 },
28528		{ "VLAN_ID", 0, 12 },
28529	{ "MPS_CLS_VLAN_TABLE", 0xdfd0, 0 },
28530		{ "VLAN_Mask", 16, 12 },
28531		{ "PF", 13, 3 },
28532		{ "VLAN_Valid", 12, 1 },
28533		{ "VLAN_ID", 0, 12 },
28534	{ "MPS_CLS_VLAN_TABLE", 0xdfd4, 0 },
28535		{ "VLAN_Mask", 16, 12 },
28536		{ "PF", 13, 3 },
28537		{ "VLAN_Valid", 12, 1 },
28538		{ "VLAN_ID", 0, 12 },
28539	{ "MPS_CLS_VLAN_TABLE", 0xdfd8, 0 },
28540		{ "VLAN_Mask", 16, 12 },
28541		{ "PF", 13, 3 },
28542		{ "VLAN_Valid", 12, 1 },
28543		{ "VLAN_ID", 0, 12 },
28544	{ "MPS_CLS_VLAN_TABLE", 0xdfdc, 0 },
28545		{ "VLAN_Mask", 16, 12 },
28546		{ "PF", 13, 3 },
28547		{ "VLAN_Valid", 12, 1 },
28548		{ "VLAN_ID", 0, 12 },
28549	{ "MPS_CLS_VLAN_TABLE", 0xdfe0, 0 },
28550		{ "VLAN_Mask", 16, 12 },
28551		{ "PF", 13, 3 },
28552		{ "VLAN_Valid", 12, 1 },
28553		{ "VLAN_ID", 0, 12 },
28554	{ "MPS_CLS_SRAM_L", 0xe000, 0 },
28555		{ "MultiListen3", 28, 1 },
28556		{ "MultiListen2", 27, 1 },
28557		{ "MultiListen1", 26, 1 },
28558		{ "MultiListen0", 25, 1 },
28559		{ "Priority3", 22, 3 },
28560		{ "Priority2", 19, 3 },
28561		{ "Priority1", 16, 3 },
28562		{ "Priority0", 13, 3 },
28563		{ "Valid", 12, 1 },
28564		{ "Replicate", 11, 1 },
28565		{ "PF", 8, 3 },
28566		{ "VF_Valid", 7, 1 },
28567		{ "VF", 0, 7 },
28568	{ "MPS_CLS_SRAM_L", 0xe008, 0 },
28569		{ "MultiListen3", 28, 1 },
28570		{ "MultiListen2", 27, 1 },
28571		{ "MultiListen1", 26, 1 },
28572		{ "MultiListen0", 25, 1 },
28573		{ "Priority3", 22, 3 },
28574		{ "Priority2", 19, 3 },
28575		{ "Priority1", 16, 3 },
28576		{ "Priority0", 13, 3 },
28577		{ "Valid", 12, 1 },
28578		{ "Replicate", 11, 1 },
28579		{ "PF", 8, 3 },
28580		{ "VF_Valid", 7, 1 },
28581		{ "VF", 0, 7 },
28582	{ "MPS_CLS_SRAM_L", 0xe010, 0 },
28583		{ "MultiListen3", 28, 1 },
28584		{ "MultiListen2", 27, 1 },
28585		{ "MultiListen1", 26, 1 },
28586		{ "MultiListen0", 25, 1 },
28587		{ "Priority3", 22, 3 },
28588		{ "Priority2", 19, 3 },
28589		{ "Priority1", 16, 3 },
28590		{ "Priority0", 13, 3 },
28591		{ "Valid", 12, 1 },
28592		{ "Replicate", 11, 1 },
28593		{ "PF", 8, 3 },
28594		{ "VF_Valid", 7, 1 },
28595		{ "VF", 0, 7 },
28596	{ "MPS_CLS_SRAM_L", 0xe018, 0 },
28597		{ "MultiListen3", 28, 1 },
28598		{ "MultiListen2", 27, 1 },
28599		{ "MultiListen1", 26, 1 },
28600		{ "MultiListen0", 25, 1 },
28601		{ "Priority3", 22, 3 },
28602		{ "Priority2", 19, 3 },
28603		{ "Priority1", 16, 3 },
28604		{ "Priority0", 13, 3 },
28605		{ "Valid", 12, 1 },
28606		{ "Replicate", 11, 1 },
28607		{ "PF", 8, 3 },
28608		{ "VF_Valid", 7, 1 },
28609		{ "VF", 0, 7 },
28610	{ "MPS_CLS_SRAM_L", 0xe020, 0 },
28611		{ "MultiListen3", 28, 1 },
28612		{ "MultiListen2", 27, 1 },
28613		{ "MultiListen1", 26, 1 },
28614		{ "MultiListen0", 25, 1 },
28615		{ "Priority3", 22, 3 },
28616		{ "Priority2", 19, 3 },
28617		{ "Priority1", 16, 3 },
28618		{ "Priority0", 13, 3 },
28619		{ "Valid", 12, 1 },
28620		{ "Replicate", 11, 1 },
28621		{ "PF", 8, 3 },
28622		{ "VF_Valid", 7, 1 },
28623		{ "VF", 0, 7 },
28624	{ "MPS_CLS_SRAM_L", 0xe028, 0 },
28625		{ "MultiListen3", 28, 1 },
28626		{ "MultiListen2", 27, 1 },
28627		{ "MultiListen1", 26, 1 },
28628		{ "MultiListen0", 25, 1 },
28629		{ "Priority3", 22, 3 },
28630		{ "Priority2", 19, 3 },
28631		{ "Priority1", 16, 3 },
28632		{ "Priority0", 13, 3 },
28633		{ "Valid", 12, 1 },
28634		{ "Replicate", 11, 1 },
28635		{ "PF", 8, 3 },
28636		{ "VF_Valid", 7, 1 },
28637		{ "VF", 0, 7 },
28638	{ "MPS_CLS_SRAM_L", 0xe030, 0 },
28639		{ "MultiListen3", 28, 1 },
28640		{ "MultiListen2", 27, 1 },
28641		{ "MultiListen1", 26, 1 },
28642		{ "MultiListen0", 25, 1 },
28643		{ "Priority3", 22, 3 },
28644		{ "Priority2", 19, 3 },
28645		{ "Priority1", 16, 3 },
28646		{ "Priority0", 13, 3 },
28647		{ "Valid", 12, 1 },
28648		{ "Replicate", 11, 1 },
28649		{ "PF", 8, 3 },
28650		{ "VF_Valid", 7, 1 },
28651		{ "VF", 0, 7 },
28652	{ "MPS_CLS_SRAM_L", 0xe038, 0 },
28653		{ "MultiListen3", 28, 1 },
28654		{ "MultiListen2", 27, 1 },
28655		{ "MultiListen1", 26, 1 },
28656		{ "MultiListen0", 25, 1 },
28657		{ "Priority3", 22, 3 },
28658		{ "Priority2", 19, 3 },
28659		{ "Priority1", 16, 3 },
28660		{ "Priority0", 13, 3 },
28661		{ "Valid", 12, 1 },
28662		{ "Replicate", 11, 1 },
28663		{ "PF", 8, 3 },
28664		{ "VF_Valid", 7, 1 },
28665		{ "VF", 0, 7 },
28666	{ "MPS_CLS_SRAM_L", 0xe040, 0 },
28667		{ "MultiListen3", 28, 1 },
28668		{ "MultiListen2", 27, 1 },
28669		{ "MultiListen1", 26, 1 },
28670		{ "MultiListen0", 25, 1 },
28671		{ "Priority3", 22, 3 },
28672		{ "Priority2", 19, 3 },
28673		{ "Priority1", 16, 3 },
28674		{ "Priority0", 13, 3 },
28675		{ "Valid", 12, 1 },
28676		{ "Replicate", 11, 1 },
28677		{ "PF", 8, 3 },
28678		{ "VF_Valid", 7, 1 },
28679		{ "VF", 0, 7 },
28680	{ "MPS_CLS_SRAM_L", 0xe048, 0 },
28681		{ "MultiListen3", 28, 1 },
28682		{ "MultiListen2", 27, 1 },
28683		{ "MultiListen1", 26, 1 },
28684		{ "MultiListen0", 25, 1 },
28685		{ "Priority3", 22, 3 },
28686		{ "Priority2", 19, 3 },
28687		{ "Priority1", 16, 3 },
28688		{ "Priority0", 13, 3 },
28689		{ "Valid", 12, 1 },
28690		{ "Replicate", 11, 1 },
28691		{ "PF", 8, 3 },
28692		{ "VF_Valid", 7, 1 },
28693		{ "VF", 0, 7 },
28694	{ "MPS_CLS_SRAM_L", 0xe050, 0 },
28695		{ "MultiListen3", 28, 1 },
28696		{ "MultiListen2", 27, 1 },
28697		{ "MultiListen1", 26, 1 },
28698		{ "MultiListen0", 25, 1 },
28699		{ "Priority3", 22, 3 },
28700		{ "Priority2", 19, 3 },
28701		{ "Priority1", 16, 3 },
28702		{ "Priority0", 13, 3 },
28703		{ "Valid", 12, 1 },
28704		{ "Replicate", 11, 1 },
28705		{ "PF", 8, 3 },
28706		{ "VF_Valid", 7, 1 },
28707		{ "VF", 0, 7 },
28708	{ "MPS_CLS_SRAM_L", 0xe058, 0 },
28709		{ "MultiListen3", 28, 1 },
28710		{ "MultiListen2", 27, 1 },
28711		{ "MultiListen1", 26, 1 },
28712		{ "MultiListen0", 25, 1 },
28713		{ "Priority3", 22, 3 },
28714		{ "Priority2", 19, 3 },
28715		{ "Priority1", 16, 3 },
28716		{ "Priority0", 13, 3 },
28717		{ "Valid", 12, 1 },
28718		{ "Replicate", 11, 1 },
28719		{ "PF", 8, 3 },
28720		{ "VF_Valid", 7, 1 },
28721		{ "VF", 0, 7 },
28722	{ "MPS_CLS_SRAM_L", 0xe060, 0 },
28723		{ "MultiListen3", 28, 1 },
28724		{ "MultiListen2", 27, 1 },
28725		{ "MultiListen1", 26, 1 },
28726		{ "MultiListen0", 25, 1 },
28727		{ "Priority3", 22, 3 },
28728		{ "Priority2", 19, 3 },
28729		{ "Priority1", 16, 3 },
28730		{ "Priority0", 13, 3 },
28731		{ "Valid", 12, 1 },
28732		{ "Replicate", 11, 1 },
28733		{ "PF", 8, 3 },
28734		{ "VF_Valid", 7, 1 },
28735		{ "VF", 0, 7 },
28736	{ "MPS_CLS_SRAM_L", 0xe068, 0 },
28737		{ "MultiListen3", 28, 1 },
28738		{ "MultiListen2", 27, 1 },
28739		{ "MultiListen1", 26, 1 },
28740		{ "MultiListen0", 25, 1 },
28741		{ "Priority3", 22, 3 },
28742		{ "Priority2", 19, 3 },
28743		{ "Priority1", 16, 3 },
28744		{ "Priority0", 13, 3 },
28745		{ "Valid", 12, 1 },
28746		{ "Replicate", 11, 1 },
28747		{ "PF", 8, 3 },
28748		{ "VF_Valid", 7, 1 },
28749		{ "VF", 0, 7 },
28750	{ "MPS_CLS_SRAM_L", 0xe070, 0 },
28751		{ "MultiListen3", 28, 1 },
28752		{ "MultiListen2", 27, 1 },
28753		{ "MultiListen1", 26, 1 },
28754		{ "MultiListen0", 25, 1 },
28755		{ "Priority3", 22, 3 },
28756		{ "Priority2", 19, 3 },
28757		{ "Priority1", 16, 3 },
28758		{ "Priority0", 13, 3 },
28759		{ "Valid", 12, 1 },
28760		{ "Replicate", 11, 1 },
28761		{ "PF", 8, 3 },
28762		{ "VF_Valid", 7, 1 },
28763		{ "VF", 0, 7 },
28764	{ "MPS_CLS_SRAM_L", 0xe078, 0 },
28765		{ "MultiListen3", 28, 1 },
28766		{ "MultiListen2", 27, 1 },
28767		{ "MultiListen1", 26, 1 },
28768		{ "MultiListen0", 25, 1 },
28769		{ "Priority3", 22, 3 },
28770		{ "Priority2", 19, 3 },
28771		{ "Priority1", 16, 3 },
28772		{ "Priority0", 13, 3 },
28773		{ "Valid", 12, 1 },
28774		{ "Replicate", 11, 1 },
28775		{ "PF", 8, 3 },
28776		{ "VF_Valid", 7, 1 },
28777		{ "VF", 0, 7 },
28778	{ "MPS_CLS_SRAM_L", 0xe080, 0 },
28779		{ "MultiListen3", 28, 1 },
28780		{ "MultiListen2", 27, 1 },
28781		{ "MultiListen1", 26, 1 },
28782		{ "MultiListen0", 25, 1 },
28783		{ "Priority3", 22, 3 },
28784		{ "Priority2", 19, 3 },
28785		{ "Priority1", 16, 3 },
28786		{ "Priority0", 13, 3 },
28787		{ "Valid", 12, 1 },
28788		{ "Replicate", 11, 1 },
28789		{ "PF", 8, 3 },
28790		{ "VF_Valid", 7, 1 },
28791		{ "VF", 0, 7 },
28792	{ "MPS_CLS_SRAM_L", 0xe088, 0 },
28793		{ "MultiListen3", 28, 1 },
28794		{ "MultiListen2", 27, 1 },
28795		{ "MultiListen1", 26, 1 },
28796		{ "MultiListen0", 25, 1 },
28797		{ "Priority3", 22, 3 },
28798		{ "Priority2", 19, 3 },
28799		{ "Priority1", 16, 3 },
28800		{ "Priority0", 13, 3 },
28801		{ "Valid", 12, 1 },
28802		{ "Replicate", 11, 1 },
28803		{ "PF", 8, 3 },
28804		{ "VF_Valid", 7, 1 },
28805		{ "VF", 0, 7 },
28806	{ "MPS_CLS_SRAM_L", 0xe090, 0 },
28807		{ "MultiListen3", 28, 1 },
28808		{ "MultiListen2", 27, 1 },
28809		{ "MultiListen1", 26, 1 },
28810		{ "MultiListen0", 25, 1 },
28811		{ "Priority3", 22, 3 },
28812		{ "Priority2", 19, 3 },
28813		{ "Priority1", 16, 3 },
28814		{ "Priority0", 13, 3 },
28815		{ "Valid", 12, 1 },
28816		{ "Replicate", 11, 1 },
28817		{ "PF", 8, 3 },
28818		{ "VF_Valid", 7, 1 },
28819		{ "VF", 0, 7 },
28820	{ "MPS_CLS_SRAM_L", 0xe098, 0 },
28821		{ "MultiListen3", 28, 1 },
28822		{ "MultiListen2", 27, 1 },
28823		{ "MultiListen1", 26, 1 },
28824		{ "MultiListen0", 25, 1 },
28825		{ "Priority3", 22, 3 },
28826		{ "Priority2", 19, 3 },
28827		{ "Priority1", 16, 3 },
28828		{ "Priority0", 13, 3 },
28829		{ "Valid", 12, 1 },
28830		{ "Replicate", 11, 1 },
28831		{ "PF", 8, 3 },
28832		{ "VF_Valid", 7, 1 },
28833		{ "VF", 0, 7 },
28834	{ "MPS_CLS_SRAM_L", 0xe0a0, 0 },
28835		{ "MultiListen3", 28, 1 },
28836		{ "MultiListen2", 27, 1 },
28837		{ "MultiListen1", 26, 1 },
28838		{ "MultiListen0", 25, 1 },
28839		{ "Priority3", 22, 3 },
28840		{ "Priority2", 19, 3 },
28841		{ "Priority1", 16, 3 },
28842		{ "Priority0", 13, 3 },
28843		{ "Valid", 12, 1 },
28844		{ "Replicate", 11, 1 },
28845		{ "PF", 8, 3 },
28846		{ "VF_Valid", 7, 1 },
28847		{ "VF", 0, 7 },
28848	{ "MPS_CLS_SRAM_L", 0xe0a8, 0 },
28849		{ "MultiListen3", 28, 1 },
28850		{ "MultiListen2", 27, 1 },
28851		{ "MultiListen1", 26, 1 },
28852		{ "MultiListen0", 25, 1 },
28853		{ "Priority3", 22, 3 },
28854		{ "Priority2", 19, 3 },
28855		{ "Priority1", 16, 3 },
28856		{ "Priority0", 13, 3 },
28857		{ "Valid", 12, 1 },
28858		{ "Replicate", 11, 1 },
28859		{ "PF", 8, 3 },
28860		{ "VF_Valid", 7, 1 },
28861		{ "VF", 0, 7 },
28862	{ "MPS_CLS_SRAM_L", 0xe0b0, 0 },
28863		{ "MultiListen3", 28, 1 },
28864		{ "MultiListen2", 27, 1 },
28865		{ "MultiListen1", 26, 1 },
28866		{ "MultiListen0", 25, 1 },
28867		{ "Priority3", 22, 3 },
28868		{ "Priority2", 19, 3 },
28869		{ "Priority1", 16, 3 },
28870		{ "Priority0", 13, 3 },
28871		{ "Valid", 12, 1 },
28872		{ "Replicate", 11, 1 },
28873		{ "PF", 8, 3 },
28874		{ "VF_Valid", 7, 1 },
28875		{ "VF", 0, 7 },
28876	{ "MPS_CLS_SRAM_L", 0xe0b8, 0 },
28877		{ "MultiListen3", 28, 1 },
28878		{ "MultiListen2", 27, 1 },
28879		{ "MultiListen1", 26, 1 },
28880		{ "MultiListen0", 25, 1 },
28881		{ "Priority3", 22, 3 },
28882		{ "Priority2", 19, 3 },
28883		{ "Priority1", 16, 3 },
28884		{ "Priority0", 13, 3 },
28885		{ "Valid", 12, 1 },
28886		{ "Replicate", 11, 1 },
28887		{ "PF", 8, 3 },
28888		{ "VF_Valid", 7, 1 },
28889		{ "VF", 0, 7 },
28890	{ "MPS_CLS_SRAM_L", 0xe0c0, 0 },
28891		{ "MultiListen3", 28, 1 },
28892		{ "MultiListen2", 27, 1 },
28893		{ "MultiListen1", 26, 1 },
28894		{ "MultiListen0", 25, 1 },
28895		{ "Priority3", 22, 3 },
28896		{ "Priority2", 19, 3 },
28897		{ "Priority1", 16, 3 },
28898		{ "Priority0", 13, 3 },
28899		{ "Valid", 12, 1 },
28900		{ "Replicate", 11, 1 },
28901		{ "PF", 8, 3 },
28902		{ "VF_Valid", 7, 1 },
28903		{ "VF", 0, 7 },
28904	{ "MPS_CLS_SRAM_L", 0xe0c8, 0 },
28905		{ "MultiListen3", 28, 1 },
28906		{ "MultiListen2", 27, 1 },
28907		{ "MultiListen1", 26, 1 },
28908		{ "MultiListen0", 25, 1 },
28909		{ "Priority3", 22, 3 },
28910		{ "Priority2", 19, 3 },
28911		{ "Priority1", 16, 3 },
28912		{ "Priority0", 13, 3 },
28913		{ "Valid", 12, 1 },
28914		{ "Replicate", 11, 1 },
28915		{ "PF", 8, 3 },
28916		{ "VF_Valid", 7, 1 },
28917		{ "VF", 0, 7 },
28918	{ "MPS_CLS_SRAM_L", 0xe0d0, 0 },
28919		{ "MultiListen3", 28, 1 },
28920		{ "MultiListen2", 27, 1 },
28921		{ "MultiListen1", 26, 1 },
28922		{ "MultiListen0", 25, 1 },
28923		{ "Priority3", 22, 3 },
28924		{ "Priority2", 19, 3 },
28925		{ "Priority1", 16, 3 },
28926		{ "Priority0", 13, 3 },
28927		{ "Valid", 12, 1 },
28928		{ "Replicate", 11, 1 },
28929		{ "PF", 8, 3 },
28930		{ "VF_Valid", 7, 1 },
28931		{ "VF", 0, 7 },
28932	{ "MPS_CLS_SRAM_L", 0xe0d8, 0 },
28933		{ "MultiListen3", 28, 1 },
28934		{ "MultiListen2", 27, 1 },
28935		{ "MultiListen1", 26, 1 },
28936		{ "MultiListen0", 25, 1 },
28937		{ "Priority3", 22, 3 },
28938		{ "Priority2", 19, 3 },
28939		{ "Priority1", 16, 3 },
28940		{ "Priority0", 13, 3 },
28941		{ "Valid", 12, 1 },
28942		{ "Replicate", 11, 1 },
28943		{ "PF", 8, 3 },
28944		{ "VF_Valid", 7, 1 },
28945		{ "VF", 0, 7 },
28946	{ "MPS_CLS_SRAM_L", 0xe0e0, 0 },
28947		{ "MultiListen3", 28, 1 },
28948		{ "MultiListen2", 27, 1 },
28949		{ "MultiListen1", 26, 1 },
28950		{ "MultiListen0", 25, 1 },
28951		{ "Priority3", 22, 3 },
28952		{ "Priority2", 19, 3 },
28953		{ "Priority1", 16, 3 },
28954		{ "Priority0", 13, 3 },
28955		{ "Valid", 12, 1 },
28956		{ "Replicate", 11, 1 },
28957		{ "PF", 8, 3 },
28958		{ "VF_Valid", 7, 1 },
28959		{ "VF", 0, 7 },
28960	{ "MPS_CLS_SRAM_L", 0xe0e8, 0 },
28961		{ "MultiListen3", 28, 1 },
28962		{ "MultiListen2", 27, 1 },
28963		{ "MultiListen1", 26, 1 },
28964		{ "MultiListen0", 25, 1 },
28965		{ "Priority3", 22, 3 },
28966		{ "Priority2", 19, 3 },
28967		{ "Priority1", 16, 3 },
28968		{ "Priority0", 13, 3 },
28969		{ "Valid", 12, 1 },
28970		{ "Replicate", 11, 1 },
28971		{ "PF", 8, 3 },
28972		{ "VF_Valid", 7, 1 },
28973		{ "VF", 0, 7 },
28974	{ "MPS_CLS_SRAM_L", 0xe0f0, 0 },
28975		{ "MultiListen3", 28, 1 },
28976		{ "MultiListen2", 27, 1 },
28977		{ "MultiListen1", 26, 1 },
28978		{ "MultiListen0", 25, 1 },
28979		{ "Priority3", 22, 3 },
28980		{ "Priority2", 19, 3 },
28981		{ "Priority1", 16, 3 },
28982		{ "Priority0", 13, 3 },
28983		{ "Valid", 12, 1 },
28984		{ "Replicate", 11, 1 },
28985		{ "PF", 8, 3 },
28986		{ "VF_Valid", 7, 1 },
28987		{ "VF", 0, 7 },
28988	{ "MPS_CLS_SRAM_L", 0xe0f8, 0 },
28989		{ "MultiListen3", 28, 1 },
28990		{ "MultiListen2", 27, 1 },
28991		{ "MultiListen1", 26, 1 },
28992		{ "MultiListen0", 25, 1 },
28993		{ "Priority3", 22, 3 },
28994		{ "Priority2", 19, 3 },
28995		{ "Priority1", 16, 3 },
28996		{ "Priority0", 13, 3 },
28997		{ "Valid", 12, 1 },
28998		{ "Replicate", 11, 1 },
28999		{ "PF", 8, 3 },
29000		{ "VF_Valid", 7, 1 },
29001		{ "VF", 0, 7 },
29002	{ "MPS_CLS_SRAM_L", 0xe100, 0 },
29003		{ "MultiListen3", 28, 1 },
29004		{ "MultiListen2", 27, 1 },
29005		{ "MultiListen1", 26, 1 },
29006		{ "MultiListen0", 25, 1 },
29007		{ "Priority3", 22, 3 },
29008		{ "Priority2", 19, 3 },
29009		{ "Priority1", 16, 3 },
29010		{ "Priority0", 13, 3 },
29011		{ "Valid", 12, 1 },
29012		{ "Replicate", 11, 1 },
29013		{ "PF", 8, 3 },
29014		{ "VF_Valid", 7, 1 },
29015		{ "VF", 0, 7 },
29016	{ "MPS_CLS_SRAM_L", 0xe108, 0 },
29017		{ "MultiListen3", 28, 1 },
29018		{ "MultiListen2", 27, 1 },
29019		{ "MultiListen1", 26, 1 },
29020		{ "MultiListen0", 25, 1 },
29021		{ "Priority3", 22, 3 },
29022		{ "Priority2", 19, 3 },
29023		{ "Priority1", 16, 3 },
29024		{ "Priority0", 13, 3 },
29025		{ "Valid", 12, 1 },
29026		{ "Replicate", 11, 1 },
29027		{ "PF", 8, 3 },
29028		{ "VF_Valid", 7, 1 },
29029		{ "VF", 0, 7 },
29030	{ "MPS_CLS_SRAM_L", 0xe110, 0 },
29031		{ "MultiListen3", 28, 1 },
29032		{ "MultiListen2", 27, 1 },
29033		{ "MultiListen1", 26, 1 },
29034		{ "MultiListen0", 25, 1 },
29035		{ "Priority3", 22, 3 },
29036		{ "Priority2", 19, 3 },
29037		{ "Priority1", 16, 3 },
29038		{ "Priority0", 13, 3 },
29039		{ "Valid", 12, 1 },
29040		{ "Replicate", 11, 1 },
29041		{ "PF", 8, 3 },
29042		{ "VF_Valid", 7, 1 },
29043		{ "VF", 0, 7 },
29044	{ "MPS_CLS_SRAM_L", 0xe118, 0 },
29045		{ "MultiListen3", 28, 1 },
29046		{ "MultiListen2", 27, 1 },
29047		{ "MultiListen1", 26, 1 },
29048		{ "MultiListen0", 25, 1 },
29049		{ "Priority3", 22, 3 },
29050		{ "Priority2", 19, 3 },
29051		{ "Priority1", 16, 3 },
29052		{ "Priority0", 13, 3 },
29053		{ "Valid", 12, 1 },
29054		{ "Replicate", 11, 1 },
29055		{ "PF", 8, 3 },
29056		{ "VF_Valid", 7, 1 },
29057		{ "VF", 0, 7 },
29058	{ "MPS_CLS_SRAM_L", 0xe120, 0 },
29059		{ "MultiListen3", 28, 1 },
29060		{ "MultiListen2", 27, 1 },
29061		{ "MultiListen1", 26, 1 },
29062		{ "MultiListen0", 25, 1 },
29063		{ "Priority3", 22, 3 },
29064		{ "Priority2", 19, 3 },
29065		{ "Priority1", 16, 3 },
29066		{ "Priority0", 13, 3 },
29067		{ "Valid", 12, 1 },
29068		{ "Replicate", 11, 1 },
29069		{ "PF", 8, 3 },
29070		{ "VF_Valid", 7, 1 },
29071		{ "VF", 0, 7 },
29072	{ "MPS_CLS_SRAM_L", 0xe128, 0 },
29073		{ "MultiListen3", 28, 1 },
29074		{ "MultiListen2", 27, 1 },
29075		{ "MultiListen1", 26, 1 },
29076		{ "MultiListen0", 25, 1 },
29077		{ "Priority3", 22, 3 },
29078		{ "Priority2", 19, 3 },
29079		{ "Priority1", 16, 3 },
29080		{ "Priority0", 13, 3 },
29081		{ "Valid", 12, 1 },
29082		{ "Replicate", 11, 1 },
29083		{ "PF", 8, 3 },
29084		{ "VF_Valid", 7, 1 },
29085		{ "VF", 0, 7 },
29086	{ "MPS_CLS_SRAM_L", 0xe130, 0 },
29087		{ "MultiListen3", 28, 1 },
29088		{ "MultiListen2", 27, 1 },
29089		{ "MultiListen1", 26, 1 },
29090		{ "MultiListen0", 25, 1 },
29091		{ "Priority3", 22, 3 },
29092		{ "Priority2", 19, 3 },
29093		{ "Priority1", 16, 3 },
29094		{ "Priority0", 13, 3 },
29095		{ "Valid", 12, 1 },
29096		{ "Replicate", 11, 1 },
29097		{ "PF", 8, 3 },
29098		{ "VF_Valid", 7, 1 },
29099		{ "VF", 0, 7 },
29100	{ "MPS_CLS_SRAM_L", 0xe138, 0 },
29101		{ "MultiListen3", 28, 1 },
29102		{ "MultiListen2", 27, 1 },
29103		{ "MultiListen1", 26, 1 },
29104		{ "MultiListen0", 25, 1 },
29105		{ "Priority3", 22, 3 },
29106		{ "Priority2", 19, 3 },
29107		{ "Priority1", 16, 3 },
29108		{ "Priority0", 13, 3 },
29109		{ "Valid", 12, 1 },
29110		{ "Replicate", 11, 1 },
29111		{ "PF", 8, 3 },
29112		{ "VF_Valid", 7, 1 },
29113		{ "VF", 0, 7 },
29114	{ "MPS_CLS_SRAM_L", 0xe140, 0 },
29115		{ "MultiListen3", 28, 1 },
29116		{ "MultiListen2", 27, 1 },
29117		{ "MultiListen1", 26, 1 },
29118		{ "MultiListen0", 25, 1 },
29119		{ "Priority3", 22, 3 },
29120		{ "Priority2", 19, 3 },
29121		{ "Priority1", 16, 3 },
29122		{ "Priority0", 13, 3 },
29123		{ "Valid", 12, 1 },
29124		{ "Replicate", 11, 1 },
29125		{ "PF", 8, 3 },
29126		{ "VF_Valid", 7, 1 },
29127		{ "VF", 0, 7 },
29128	{ "MPS_CLS_SRAM_L", 0xe148, 0 },
29129		{ "MultiListen3", 28, 1 },
29130		{ "MultiListen2", 27, 1 },
29131		{ "MultiListen1", 26, 1 },
29132		{ "MultiListen0", 25, 1 },
29133		{ "Priority3", 22, 3 },
29134		{ "Priority2", 19, 3 },
29135		{ "Priority1", 16, 3 },
29136		{ "Priority0", 13, 3 },
29137		{ "Valid", 12, 1 },
29138		{ "Replicate", 11, 1 },
29139		{ "PF", 8, 3 },
29140		{ "VF_Valid", 7, 1 },
29141		{ "VF", 0, 7 },
29142	{ "MPS_CLS_SRAM_L", 0xe150, 0 },
29143		{ "MultiListen3", 28, 1 },
29144		{ "MultiListen2", 27, 1 },
29145		{ "MultiListen1", 26, 1 },
29146		{ "MultiListen0", 25, 1 },
29147		{ "Priority3", 22, 3 },
29148		{ "Priority2", 19, 3 },
29149		{ "Priority1", 16, 3 },
29150		{ "Priority0", 13, 3 },
29151		{ "Valid", 12, 1 },
29152		{ "Replicate", 11, 1 },
29153		{ "PF", 8, 3 },
29154		{ "VF_Valid", 7, 1 },
29155		{ "VF", 0, 7 },
29156	{ "MPS_CLS_SRAM_L", 0xe158, 0 },
29157		{ "MultiListen3", 28, 1 },
29158		{ "MultiListen2", 27, 1 },
29159		{ "MultiListen1", 26, 1 },
29160		{ "MultiListen0", 25, 1 },
29161		{ "Priority3", 22, 3 },
29162		{ "Priority2", 19, 3 },
29163		{ "Priority1", 16, 3 },
29164		{ "Priority0", 13, 3 },
29165		{ "Valid", 12, 1 },
29166		{ "Replicate", 11, 1 },
29167		{ "PF", 8, 3 },
29168		{ "VF_Valid", 7, 1 },
29169		{ "VF", 0, 7 },
29170	{ "MPS_CLS_SRAM_L", 0xe160, 0 },
29171		{ "MultiListen3", 28, 1 },
29172		{ "MultiListen2", 27, 1 },
29173		{ "MultiListen1", 26, 1 },
29174		{ "MultiListen0", 25, 1 },
29175		{ "Priority3", 22, 3 },
29176		{ "Priority2", 19, 3 },
29177		{ "Priority1", 16, 3 },
29178		{ "Priority0", 13, 3 },
29179		{ "Valid", 12, 1 },
29180		{ "Replicate", 11, 1 },
29181		{ "PF", 8, 3 },
29182		{ "VF_Valid", 7, 1 },
29183		{ "VF", 0, 7 },
29184	{ "MPS_CLS_SRAM_L", 0xe168, 0 },
29185		{ "MultiListen3", 28, 1 },
29186		{ "MultiListen2", 27, 1 },
29187		{ "MultiListen1", 26, 1 },
29188		{ "MultiListen0", 25, 1 },
29189		{ "Priority3", 22, 3 },
29190		{ "Priority2", 19, 3 },
29191		{ "Priority1", 16, 3 },
29192		{ "Priority0", 13, 3 },
29193		{ "Valid", 12, 1 },
29194		{ "Replicate", 11, 1 },
29195		{ "PF", 8, 3 },
29196		{ "VF_Valid", 7, 1 },
29197		{ "VF", 0, 7 },
29198	{ "MPS_CLS_SRAM_L", 0xe170, 0 },
29199		{ "MultiListen3", 28, 1 },
29200		{ "MultiListen2", 27, 1 },
29201		{ "MultiListen1", 26, 1 },
29202		{ "MultiListen0", 25, 1 },
29203		{ "Priority3", 22, 3 },
29204		{ "Priority2", 19, 3 },
29205		{ "Priority1", 16, 3 },
29206		{ "Priority0", 13, 3 },
29207		{ "Valid", 12, 1 },
29208		{ "Replicate", 11, 1 },
29209		{ "PF", 8, 3 },
29210		{ "VF_Valid", 7, 1 },
29211		{ "VF", 0, 7 },
29212	{ "MPS_CLS_SRAM_L", 0xe178, 0 },
29213		{ "MultiListen3", 28, 1 },
29214		{ "MultiListen2", 27, 1 },
29215		{ "MultiListen1", 26, 1 },
29216		{ "MultiListen0", 25, 1 },
29217		{ "Priority3", 22, 3 },
29218		{ "Priority2", 19, 3 },
29219		{ "Priority1", 16, 3 },
29220		{ "Priority0", 13, 3 },
29221		{ "Valid", 12, 1 },
29222		{ "Replicate", 11, 1 },
29223		{ "PF", 8, 3 },
29224		{ "VF_Valid", 7, 1 },
29225		{ "VF", 0, 7 },
29226	{ "MPS_CLS_SRAM_L", 0xe180, 0 },
29227		{ "MultiListen3", 28, 1 },
29228		{ "MultiListen2", 27, 1 },
29229		{ "MultiListen1", 26, 1 },
29230		{ "MultiListen0", 25, 1 },
29231		{ "Priority3", 22, 3 },
29232		{ "Priority2", 19, 3 },
29233		{ "Priority1", 16, 3 },
29234		{ "Priority0", 13, 3 },
29235		{ "Valid", 12, 1 },
29236		{ "Replicate", 11, 1 },
29237		{ "PF", 8, 3 },
29238		{ "VF_Valid", 7, 1 },
29239		{ "VF", 0, 7 },
29240	{ "MPS_CLS_SRAM_L", 0xe188, 0 },
29241		{ "MultiListen3", 28, 1 },
29242		{ "MultiListen2", 27, 1 },
29243		{ "MultiListen1", 26, 1 },
29244		{ "MultiListen0", 25, 1 },
29245		{ "Priority3", 22, 3 },
29246		{ "Priority2", 19, 3 },
29247		{ "Priority1", 16, 3 },
29248		{ "Priority0", 13, 3 },
29249		{ "Valid", 12, 1 },
29250		{ "Replicate", 11, 1 },
29251		{ "PF", 8, 3 },
29252		{ "VF_Valid", 7, 1 },
29253		{ "VF", 0, 7 },
29254	{ "MPS_CLS_SRAM_L", 0xe190, 0 },
29255		{ "MultiListen3", 28, 1 },
29256		{ "MultiListen2", 27, 1 },
29257		{ "MultiListen1", 26, 1 },
29258		{ "MultiListen0", 25, 1 },
29259		{ "Priority3", 22, 3 },
29260		{ "Priority2", 19, 3 },
29261		{ "Priority1", 16, 3 },
29262		{ "Priority0", 13, 3 },
29263		{ "Valid", 12, 1 },
29264		{ "Replicate", 11, 1 },
29265		{ "PF", 8, 3 },
29266		{ "VF_Valid", 7, 1 },
29267		{ "VF", 0, 7 },
29268	{ "MPS_CLS_SRAM_L", 0xe198, 0 },
29269		{ "MultiListen3", 28, 1 },
29270		{ "MultiListen2", 27, 1 },
29271		{ "MultiListen1", 26, 1 },
29272		{ "MultiListen0", 25, 1 },
29273		{ "Priority3", 22, 3 },
29274		{ "Priority2", 19, 3 },
29275		{ "Priority1", 16, 3 },
29276		{ "Priority0", 13, 3 },
29277		{ "Valid", 12, 1 },
29278		{ "Replicate", 11, 1 },
29279		{ "PF", 8, 3 },
29280		{ "VF_Valid", 7, 1 },
29281		{ "VF", 0, 7 },
29282	{ "MPS_CLS_SRAM_L", 0xe1a0, 0 },
29283		{ "MultiListen3", 28, 1 },
29284		{ "MultiListen2", 27, 1 },
29285		{ "MultiListen1", 26, 1 },
29286		{ "MultiListen0", 25, 1 },
29287		{ "Priority3", 22, 3 },
29288		{ "Priority2", 19, 3 },
29289		{ "Priority1", 16, 3 },
29290		{ "Priority0", 13, 3 },
29291		{ "Valid", 12, 1 },
29292		{ "Replicate", 11, 1 },
29293		{ "PF", 8, 3 },
29294		{ "VF_Valid", 7, 1 },
29295		{ "VF", 0, 7 },
29296	{ "MPS_CLS_SRAM_L", 0xe1a8, 0 },
29297		{ "MultiListen3", 28, 1 },
29298		{ "MultiListen2", 27, 1 },
29299		{ "MultiListen1", 26, 1 },
29300		{ "MultiListen0", 25, 1 },
29301		{ "Priority3", 22, 3 },
29302		{ "Priority2", 19, 3 },
29303		{ "Priority1", 16, 3 },
29304		{ "Priority0", 13, 3 },
29305		{ "Valid", 12, 1 },
29306		{ "Replicate", 11, 1 },
29307		{ "PF", 8, 3 },
29308		{ "VF_Valid", 7, 1 },
29309		{ "VF", 0, 7 },
29310	{ "MPS_CLS_SRAM_L", 0xe1b0, 0 },
29311		{ "MultiListen3", 28, 1 },
29312		{ "MultiListen2", 27, 1 },
29313		{ "MultiListen1", 26, 1 },
29314		{ "MultiListen0", 25, 1 },
29315		{ "Priority3", 22, 3 },
29316		{ "Priority2", 19, 3 },
29317		{ "Priority1", 16, 3 },
29318		{ "Priority0", 13, 3 },
29319		{ "Valid", 12, 1 },
29320		{ "Replicate", 11, 1 },
29321		{ "PF", 8, 3 },
29322		{ "VF_Valid", 7, 1 },
29323		{ "VF", 0, 7 },
29324	{ "MPS_CLS_SRAM_L", 0xe1b8, 0 },
29325		{ "MultiListen3", 28, 1 },
29326		{ "MultiListen2", 27, 1 },
29327		{ "MultiListen1", 26, 1 },
29328		{ "MultiListen0", 25, 1 },
29329		{ "Priority3", 22, 3 },
29330		{ "Priority2", 19, 3 },
29331		{ "Priority1", 16, 3 },
29332		{ "Priority0", 13, 3 },
29333		{ "Valid", 12, 1 },
29334		{ "Replicate", 11, 1 },
29335		{ "PF", 8, 3 },
29336		{ "VF_Valid", 7, 1 },
29337		{ "VF", 0, 7 },
29338	{ "MPS_CLS_SRAM_L", 0xe1c0, 0 },
29339		{ "MultiListen3", 28, 1 },
29340		{ "MultiListen2", 27, 1 },
29341		{ "MultiListen1", 26, 1 },
29342		{ "MultiListen0", 25, 1 },
29343		{ "Priority3", 22, 3 },
29344		{ "Priority2", 19, 3 },
29345		{ "Priority1", 16, 3 },
29346		{ "Priority0", 13, 3 },
29347		{ "Valid", 12, 1 },
29348		{ "Replicate", 11, 1 },
29349		{ "PF", 8, 3 },
29350		{ "VF_Valid", 7, 1 },
29351		{ "VF", 0, 7 },
29352	{ "MPS_CLS_SRAM_L", 0xe1c8, 0 },
29353		{ "MultiListen3", 28, 1 },
29354		{ "MultiListen2", 27, 1 },
29355		{ "MultiListen1", 26, 1 },
29356		{ "MultiListen0", 25, 1 },
29357		{ "Priority3", 22, 3 },
29358		{ "Priority2", 19, 3 },
29359		{ "Priority1", 16, 3 },
29360		{ "Priority0", 13, 3 },
29361		{ "Valid", 12, 1 },
29362		{ "Replicate", 11, 1 },
29363		{ "PF", 8, 3 },
29364		{ "VF_Valid", 7, 1 },
29365		{ "VF", 0, 7 },
29366	{ "MPS_CLS_SRAM_L", 0xe1d0, 0 },
29367		{ "MultiListen3", 28, 1 },
29368		{ "MultiListen2", 27, 1 },
29369		{ "MultiListen1", 26, 1 },
29370		{ "MultiListen0", 25, 1 },
29371		{ "Priority3", 22, 3 },
29372		{ "Priority2", 19, 3 },
29373		{ "Priority1", 16, 3 },
29374		{ "Priority0", 13, 3 },
29375		{ "Valid", 12, 1 },
29376		{ "Replicate", 11, 1 },
29377		{ "PF", 8, 3 },
29378		{ "VF_Valid", 7, 1 },
29379		{ "VF", 0, 7 },
29380	{ "MPS_CLS_SRAM_L", 0xe1d8, 0 },
29381		{ "MultiListen3", 28, 1 },
29382		{ "MultiListen2", 27, 1 },
29383		{ "MultiListen1", 26, 1 },
29384		{ "MultiListen0", 25, 1 },
29385		{ "Priority3", 22, 3 },
29386		{ "Priority2", 19, 3 },
29387		{ "Priority1", 16, 3 },
29388		{ "Priority0", 13, 3 },
29389		{ "Valid", 12, 1 },
29390		{ "Replicate", 11, 1 },
29391		{ "PF", 8, 3 },
29392		{ "VF_Valid", 7, 1 },
29393		{ "VF", 0, 7 },
29394	{ "MPS_CLS_SRAM_L", 0xe1e0, 0 },
29395		{ "MultiListen3", 28, 1 },
29396		{ "MultiListen2", 27, 1 },
29397		{ "MultiListen1", 26, 1 },
29398		{ "MultiListen0", 25, 1 },
29399		{ "Priority3", 22, 3 },
29400		{ "Priority2", 19, 3 },
29401		{ "Priority1", 16, 3 },
29402		{ "Priority0", 13, 3 },
29403		{ "Valid", 12, 1 },
29404		{ "Replicate", 11, 1 },
29405		{ "PF", 8, 3 },
29406		{ "VF_Valid", 7, 1 },
29407		{ "VF", 0, 7 },
29408	{ "MPS_CLS_SRAM_L", 0xe1e8, 0 },
29409		{ "MultiListen3", 28, 1 },
29410		{ "MultiListen2", 27, 1 },
29411		{ "MultiListen1", 26, 1 },
29412		{ "MultiListen0", 25, 1 },
29413		{ "Priority3", 22, 3 },
29414		{ "Priority2", 19, 3 },
29415		{ "Priority1", 16, 3 },
29416		{ "Priority0", 13, 3 },
29417		{ "Valid", 12, 1 },
29418		{ "Replicate", 11, 1 },
29419		{ "PF", 8, 3 },
29420		{ "VF_Valid", 7, 1 },
29421		{ "VF", 0, 7 },
29422	{ "MPS_CLS_SRAM_L", 0xe1f0, 0 },
29423		{ "MultiListen3", 28, 1 },
29424		{ "MultiListen2", 27, 1 },
29425		{ "MultiListen1", 26, 1 },
29426		{ "MultiListen0", 25, 1 },
29427		{ "Priority3", 22, 3 },
29428		{ "Priority2", 19, 3 },
29429		{ "Priority1", 16, 3 },
29430		{ "Priority0", 13, 3 },
29431		{ "Valid", 12, 1 },
29432		{ "Replicate", 11, 1 },
29433		{ "PF", 8, 3 },
29434		{ "VF_Valid", 7, 1 },
29435		{ "VF", 0, 7 },
29436	{ "MPS_CLS_SRAM_L", 0xe1f8, 0 },
29437		{ "MultiListen3", 28, 1 },
29438		{ "MultiListen2", 27, 1 },
29439		{ "MultiListen1", 26, 1 },
29440		{ "MultiListen0", 25, 1 },
29441		{ "Priority3", 22, 3 },
29442		{ "Priority2", 19, 3 },
29443		{ "Priority1", 16, 3 },
29444		{ "Priority0", 13, 3 },
29445		{ "Valid", 12, 1 },
29446		{ "Replicate", 11, 1 },
29447		{ "PF", 8, 3 },
29448		{ "VF_Valid", 7, 1 },
29449		{ "VF", 0, 7 },
29450	{ "MPS_CLS_SRAM_L", 0xe200, 0 },
29451		{ "MultiListen3", 28, 1 },
29452		{ "MultiListen2", 27, 1 },
29453		{ "MultiListen1", 26, 1 },
29454		{ "MultiListen0", 25, 1 },
29455		{ "Priority3", 22, 3 },
29456		{ "Priority2", 19, 3 },
29457		{ "Priority1", 16, 3 },
29458		{ "Priority0", 13, 3 },
29459		{ "Valid", 12, 1 },
29460		{ "Replicate", 11, 1 },
29461		{ "PF", 8, 3 },
29462		{ "VF_Valid", 7, 1 },
29463		{ "VF", 0, 7 },
29464	{ "MPS_CLS_SRAM_L", 0xe208, 0 },
29465		{ "MultiListen3", 28, 1 },
29466		{ "MultiListen2", 27, 1 },
29467		{ "MultiListen1", 26, 1 },
29468		{ "MultiListen0", 25, 1 },
29469		{ "Priority3", 22, 3 },
29470		{ "Priority2", 19, 3 },
29471		{ "Priority1", 16, 3 },
29472		{ "Priority0", 13, 3 },
29473		{ "Valid", 12, 1 },
29474		{ "Replicate", 11, 1 },
29475		{ "PF", 8, 3 },
29476		{ "VF_Valid", 7, 1 },
29477		{ "VF", 0, 7 },
29478	{ "MPS_CLS_SRAM_L", 0xe210, 0 },
29479		{ "MultiListen3", 28, 1 },
29480		{ "MultiListen2", 27, 1 },
29481		{ "MultiListen1", 26, 1 },
29482		{ "MultiListen0", 25, 1 },
29483		{ "Priority3", 22, 3 },
29484		{ "Priority2", 19, 3 },
29485		{ "Priority1", 16, 3 },
29486		{ "Priority0", 13, 3 },
29487		{ "Valid", 12, 1 },
29488		{ "Replicate", 11, 1 },
29489		{ "PF", 8, 3 },
29490		{ "VF_Valid", 7, 1 },
29491		{ "VF", 0, 7 },
29492	{ "MPS_CLS_SRAM_L", 0xe218, 0 },
29493		{ "MultiListen3", 28, 1 },
29494		{ "MultiListen2", 27, 1 },
29495		{ "MultiListen1", 26, 1 },
29496		{ "MultiListen0", 25, 1 },
29497		{ "Priority3", 22, 3 },
29498		{ "Priority2", 19, 3 },
29499		{ "Priority1", 16, 3 },
29500		{ "Priority0", 13, 3 },
29501		{ "Valid", 12, 1 },
29502		{ "Replicate", 11, 1 },
29503		{ "PF", 8, 3 },
29504		{ "VF_Valid", 7, 1 },
29505		{ "VF", 0, 7 },
29506	{ "MPS_CLS_SRAM_L", 0xe220, 0 },
29507		{ "MultiListen3", 28, 1 },
29508		{ "MultiListen2", 27, 1 },
29509		{ "MultiListen1", 26, 1 },
29510		{ "MultiListen0", 25, 1 },
29511		{ "Priority3", 22, 3 },
29512		{ "Priority2", 19, 3 },
29513		{ "Priority1", 16, 3 },
29514		{ "Priority0", 13, 3 },
29515		{ "Valid", 12, 1 },
29516		{ "Replicate", 11, 1 },
29517		{ "PF", 8, 3 },
29518		{ "VF_Valid", 7, 1 },
29519		{ "VF", 0, 7 },
29520	{ "MPS_CLS_SRAM_L", 0xe228, 0 },
29521		{ "MultiListen3", 28, 1 },
29522		{ "MultiListen2", 27, 1 },
29523		{ "MultiListen1", 26, 1 },
29524		{ "MultiListen0", 25, 1 },
29525		{ "Priority3", 22, 3 },
29526		{ "Priority2", 19, 3 },
29527		{ "Priority1", 16, 3 },
29528		{ "Priority0", 13, 3 },
29529		{ "Valid", 12, 1 },
29530		{ "Replicate", 11, 1 },
29531		{ "PF", 8, 3 },
29532		{ "VF_Valid", 7, 1 },
29533		{ "VF", 0, 7 },
29534	{ "MPS_CLS_SRAM_L", 0xe230, 0 },
29535		{ "MultiListen3", 28, 1 },
29536		{ "MultiListen2", 27, 1 },
29537		{ "MultiListen1", 26, 1 },
29538		{ "MultiListen0", 25, 1 },
29539		{ "Priority3", 22, 3 },
29540		{ "Priority2", 19, 3 },
29541		{ "Priority1", 16, 3 },
29542		{ "Priority0", 13, 3 },
29543		{ "Valid", 12, 1 },
29544		{ "Replicate", 11, 1 },
29545		{ "PF", 8, 3 },
29546		{ "VF_Valid", 7, 1 },
29547		{ "VF", 0, 7 },
29548	{ "MPS_CLS_SRAM_L", 0xe238, 0 },
29549		{ "MultiListen3", 28, 1 },
29550		{ "MultiListen2", 27, 1 },
29551		{ "MultiListen1", 26, 1 },
29552		{ "MultiListen0", 25, 1 },
29553		{ "Priority3", 22, 3 },
29554		{ "Priority2", 19, 3 },
29555		{ "Priority1", 16, 3 },
29556		{ "Priority0", 13, 3 },
29557		{ "Valid", 12, 1 },
29558		{ "Replicate", 11, 1 },
29559		{ "PF", 8, 3 },
29560		{ "VF_Valid", 7, 1 },
29561		{ "VF", 0, 7 },
29562	{ "MPS_CLS_SRAM_L", 0xe240, 0 },
29563		{ "MultiListen3", 28, 1 },
29564		{ "MultiListen2", 27, 1 },
29565		{ "MultiListen1", 26, 1 },
29566		{ "MultiListen0", 25, 1 },
29567		{ "Priority3", 22, 3 },
29568		{ "Priority2", 19, 3 },
29569		{ "Priority1", 16, 3 },
29570		{ "Priority0", 13, 3 },
29571		{ "Valid", 12, 1 },
29572		{ "Replicate", 11, 1 },
29573		{ "PF", 8, 3 },
29574		{ "VF_Valid", 7, 1 },
29575		{ "VF", 0, 7 },
29576	{ "MPS_CLS_SRAM_L", 0xe248, 0 },
29577		{ "MultiListen3", 28, 1 },
29578		{ "MultiListen2", 27, 1 },
29579		{ "MultiListen1", 26, 1 },
29580		{ "MultiListen0", 25, 1 },
29581		{ "Priority3", 22, 3 },
29582		{ "Priority2", 19, 3 },
29583		{ "Priority1", 16, 3 },
29584		{ "Priority0", 13, 3 },
29585		{ "Valid", 12, 1 },
29586		{ "Replicate", 11, 1 },
29587		{ "PF", 8, 3 },
29588		{ "VF_Valid", 7, 1 },
29589		{ "VF", 0, 7 },
29590	{ "MPS_CLS_SRAM_L", 0xe250, 0 },
29591		{ "MultiListen3", 28, 1 },
29592		{ "MultiListen2", 27, 1 },
29593		{ "MultiListen1", 26, 1 },
29594		{ "MultiListen0", 25, 1 },
29595		{ "Priority3", 22, 3 },
29596		{ "Priority2", 19, 3 },
29597		{ "Priority1", 16, 3 },
29598		{ "Priority0", 13, 3 },
29599		{ "Valid", 12, 1 },
29600		{ "Replicate", 11, 1 },
29601		{ "PF", 8, 3 },
29602		{ "VF_Valid", 7, 1 },
29603		{ "VF", 0, 7 },
29604	{ "MPS_CLS_SRAM_L", 0xe258, 0 },
29605		{ "MultiListen3", 28, 1 },
29606		{ "MultiListen2", 27, 1 },
29607		{ "MultiListen1", 26, 1 },
29608		{ "MultiListen0", 25, 1 },
29609		{ "Priority3", 22, 3 },
29610		{ "Priority2", 19, 3 },
29611		{ "Priority1", 16, 3 },
29612		{ "Priority0", 13, 3 },
29613		{ "Valid", 12, 1 },
29614		{ "Replicate", 11, 1 },
29615		{ "PF", 8, 3 },
29616		{ "VF_Valid", 7, 1 },
29617		{ "VF", 0, 7 },
29618	{ "MPS_CLS_SRAM_L", 0xe260, 0 },
29619		{ "MultiListen3", 28, 1 },
29620		{ "MultiListen2", 27, 1 },
29621		{ "MultiListen1", 26, 1 },
29622		{ "MultiListen0", 25, 1 },
29623		{ "Priority3", 22, 3 },
29624		{ "Priority2", 19, 3 },
29625		{ "Priority1", 16, 3 },
29626		{ "Priority0", 13, 3 },
29627		{ "Valid", 12, 1 },
29628		{ "Replicate", 11, 1 },
29629		{ "PF", 8, 3 },
29630		{ "VF_Valid", 7, 1 },
29631		{ "VF", 0, 7 },
29632	{ "MPS_CLS_SRAM_L", 0xe268, 0 },
29633		{ "MultiListen3", 28, 1 },
29634		{ "MultiListen2", 27, 1 },
29635		{ "MultiListen1", 26, 1 },
29636		{ "MultiListen0", 25, 1 },
29637		{ "Priority3", 22, 3 },
29638		{ "Priority2", 19, 3 },
29639		{ "Priority1", 16, 3 },
29640		{ "Priority0", 13, 3 },
29641		{ "Valid", 12, 1 },
29642		{ "Replicate", 11, 1 },
29643		{ "PF", 8, 3 },
29644		{ "VF_Valid", 7, 1 },
29645		{ "VF", 0, 7 },
29646	{ "MPS_CLS_SRAM_L", 0xe270, 0 },
29647		{ "MultiListen3", 28, 1 },
29648		{ "MultiListen2", 27, 1 },
29649		{ "MultiListen1", 26, 1 },
29650		{ "MultiListen0", 25, 1 },
29651		{ "Priority3", 22, 3 },
29652		{ "Priority2", 19, 3 },
29653		{ "Priority1", 16, 3 },
29654		{ "Priority0", 13, 3 },
29655		{ "Valid", 12, 1 },
29656		{ "Replicate", 11, 1 },
29657		{ "PF", 8, 3 },
29658		{ "VF_Valid", 7, 1 },
29659		{ "VF", 0, 7 },
29660	{ "MPS_CLS_SRAM_L", 0xe278, 0 },
29661		{ "MultiListen3", 28, 1 },
29662		{ "MultiListen2", 27, 1 },
29663		{ "MultiListen1", 26, 1 },
29664		{ "MultiListen0", 25, 1 },
29665		{ "Priority3", 22, 3 },
29666		{ "Priority2", 19, 3 },
29667		{ "Priority1", 16, 3 },
29668		{ "Priority0", 13, 3 },
29669		{ "Valid", 12, 1 },
29670		{ "Replicate", 11, 1 },
29671		{ "PF", 8, 3 },
29672		{ "VF_Valid", 7, 1 },
29673		{ "VF", 0, 7 },
29674	{ "MPS_CLS_SRAM_L", 0xe280, 0 },
29675		{ "MultiListen3", 28, 1 },
29676		{ "MultiListen2", 27, 1 },
29677		{ "MultiListen1", 26, 1 },
29678		{ "MultiListen0", 25, 1 },
29679		{ "Priority3", 22, 3 },
29680		{ "Priority2", 19, 3 },
29681		{ "Priority1", 16, 3 },
29682		{ "Priority0", 13, 3 },
29683		{ "Valid", 12, 1 },
29684		{ "Replicate", 11, 1 },
29685		{ "PF", 8, 3 },
29686		{ "VF_Valid", 7, 1 },
29687		{ "VF", 0, 7 },
29688	{ "MPS_CLS_SRAM_L", 0xe288, 0 },
29689		{ "MultiListen3", 28, 1 },
29690		{ "MultiListen2", 27, 1 },
29691		{ "MultiListen1", 26, 1 },
29692		{ "MultiListen0", 25, 1 },
29693		{ "Priority3", 22, 3 },
29694		{ "Priority2", 19, 3 },
29695		{ "Priority1", 16, 3 },
29696		{ "Priority0", 13, 3 },
29697		{ "Valid", 12, 1 },
29698		{ "Replicate", 11, 1 },
29699		{ "PF", 8, 3 },
29700		{ "VF_Valid", 7, 1 },
29701		{ "VF", 0, 7 },
29702	{ "MPS_CLS_SRAM_L", 0xe290, 0 },
29703		{ "MultiListen3", 28, 1 },
29704		{ "MultiListen2", 27, 1 },
29705		{ "MultiListen1", 26, 1 },
29706		{ "MultiListen0", 25, 1 },
29707		{ "Priority3", 22, 3 },
29708		{ "Priority2", 19, 3 },
29709		{ "Priority1", 16, 3 },
29710		{ "Priority0", 13, 3 },
29711		{ "Valid", 12, 1 },
29712		{ "Replicate", 11, 1 },
29713		{ "PF", 8, 3 },
29714		{ "VF_Valid", 7, 1 },
29715		{ "VF", 0, 7 },
29716	{ "MPS_CLS_SRAM_L", 0xe298, 0 },
29717		{ "MultiListen3", 28, 1 },
29718		{ "MultiListen2", 27, 1 },
29719		{ "MultiListen1", 26, 1 },
29720		{ "MultiListen0", 25, 1 },
29721		{ "Priority3", 22, 3 },
29722		{ "Priority2", 19, 3 },
29723		{ "Priority1", 16, 3 },
29724		{ "Priority0", 13, 3 },
29725		{ "Valid", 12, 1 },
29726		{ "Replicate", 11, 1 },
29727		{ "PF", 8, 3 },
29728		{ "VF_Valid", 7, 1 },
29729		{ "VF", 0, 7 },
29730	{ "MPS_CLS_SRAM_L", 0xe2a0, 0 },
29731		{ "MultiListen3", 28, 1 },
29732		{ "MultiListen2", 27, 1 },
29733		{ "MultiListen1", 26, 1 },
29734		{ "MultiListen0", 25, 1 },
29735		{ "Priority3", 22, 3 },
29736		{ "Priority2", 19, 3 },
29737		{ "Priority1", 16, 3 },
29738		{ "Priority0", 13, 3 },
29739		{ "Valid", 12, 1 },
29740		{ "Replicate", 11, 1 },
29741		{ "PF", 8, 3 },
29742		{ "VF_Valid", 7, 1 },
29743		{ "VF", 0, 7 },
29744	{ "MPS_CLS_SRAM_L", 0xe2a8, 0 },
29745		{ "MultiListen3", 28, 1 },
29746		{ "MultiListen2", 27, 1 },
29747		{ "MultiListen1", 26, 1 },
29748		{ "MultiListen0", 25, 1 },
29749		{ "Priority3", 22, 3 },
29750		{ "Priority2", 19, 3 },
29751		{ "Priority1", 16, 3 },
29752		{ "Priority0", 13, 3 },
29753		{ "Valid", 12, 1 },
29754		{ "Replicate", 11, 1 },
29755		{ "PF", 8, 3 },
29756		{ "VF_Valid", 7, 1 },
29757		{ "VF", 0, 7 },
29758	{ "MPS_CLS_SRAM_L", 0xe2b0, 0 },
29759		{ "MultiListen3", 28, 1 },
29760		{ "MultiListen2", 27, 1 },
29761		{ "MultiListen1", 26, 1 },
29762		{ "MultiListen0", 25, 1 },
29763		{ "Priority3", 22, 3 },
29764		{ "Priority2", 19, 3 },
29765		{ "Priority1", 16, 3 },
29766		{ "Priority0", 13, 3 },
29767		{ "Valid", 12, 1 },
29768		{ "Replicate", 11, 1 },
29769		{ "PF", 8, 3 },
29770		{ "VF_Valid", 7, 1 },
29771		{ "VF", 0, 7 },
29772	{ "MPS_CLS_SRAM_L", 0xe2b8, 0 },
29773		{ "MultiListen3", 28, 1 },
29774		{ "MultiListen2", 27, 1 },
29775		{ "MultiListen1", 26, 1 },
29776		{ "MultiListen0", 25, 1 },
29777		{ "Priority3", 22, 3 },
29778		{ "Priority2", 19, 3 },
29779		{ "Priority1", 16, 3 },
29780		{ "Priority0", 13, 3 },
29781		{ "Valid", 12, 1 },
29782		{ "Replicate", 11, 1 },
29783		{ "PF", 8, 3 },
29784		{ "VF_Valid", 7, 1 },
29785		{ "VF", 0, 7 },
29786	{ "MPS_CLS_SRAM_L", 0xe2c0, 0 },
29787		{ "MultiListen3", 28, 1 },
29788		{ "MultiListen2", 27, 1 },
29789		{ "MultiListen1", 26, 1 },
29790		{ "MultiListen0", 25, 1 },
29791		{ "Priority3", 22, 3 },
29792		{ "Priority2", 19, 3 },
29793		{ "Priority1", 16, 3 },
29794		{ "Priority0", 13, 3 },
29795		{ "Valid", 12, 1 },
29796		{ "Replicate", 11, 1 },
29797		{ "PF", 8, 3 },
29798		{ "VF_Valid", 7, 1 },
29799		{ "VF", 0, 7 },
29800	{ "MPS_CLS_SRAM_L", 0xe2c8, 0 },
29801		{ "MultiListen3", 28, 1 },
29802		{ "MultiListen2", 27, 1 },
29803		{ "MultiListen1", 26, 1 },
29804		{ "MultiListen0", 25, 1 },
29805		{ "Priority3", 22, 3 },
29806		{ "Priority2", 19, 3 },
29807		{ "Priority1", 16, 3 },
29808		{ "Priority0", 13, 3 },
29809		{ "Valid", 12, 1 },
29810		{ "Replicate", 11, 1 },
29811		{ "PF", 8, 3 },
29812		{ "VF_Valid", 7, 1 },
29813		{ "VF", 0, 7 },
29814	{ "MPS_CLS_SRAM_L", 0xe2d0, 0 },
29815		{ "MultiListen3", 28, 1 },
29816		{ "MultiListen2", 27, 1 },
29817		{ "MultiListen1", 26, 1 },
29818		{ "MultiListen0", 25, 1 },
29819		{ "Priority3", 22, 3 },
29820		{ "Priority2", 19, 3 },
29821		{ "Priority1", 16, 3 },
29822		{ "Priority0", 13, 3 },
29823		{ "Valid", 12, 1 },
29824		{ "Replicate", 11, 1 },
29825		{ "PF", 8, 3 },
29826		{ "VF_Valid", 7, 1 },
29827		{ "VF", 0, 7 },
29828	{ "MPS_CLS_SRAM_L", 0xe2d8, 0 },
29829		{ "MultiListen3", 28, 1 },
29830		{ "MultiListen2", 27, 1 },
29831		{ "MultiListen1", 26, 1 },
29832		{ "MultiListen0", 25, 1 },
29833		{ "Priority3", 22, 3 },
29834		{ "Priority2", 19, 3 },
29835		{ "Priority1", 16, 3 },
29836		{ "Priority0", 13, 3 },
29837		{ "Valid", 12, 1 },
29838		{ "Replicate", 11, 1 },
29839		{ "PF", 8, 3 },
29840		{ "VF_Valid", 7, 1 },
29841		{ "VF", 0, 7 },
29842	{ "MPS_CLS_SRAM_L", 0xe2e0, 0 },
29843		{ "MultiListen3", 28, 1 },
29844		{ "MultiListen2", 27, 1 },
29845		{ "MultiListen1", 26, 1 },
29846		{ "MultiListen0", 25, 1 },
29847		{ "Priority3", 22, 3 },
29848		{ "Priority2", 19, 3 },
29849		{ "Priority1", 16, 3 },
29850		{ "Priority0", 13, 3 },
29851		{ "Valid", 12, 1 },
29852		{ "Replicate", 11, 1 },
29853		{ "PF", 8, 3 },
29854		{ "VF_Valid", 7, 1 },
29855		{ "VF", 0, 7 },
29856	{ "MPS_CLS_SRAM_L", 0xe2e8, 0 },
29857		{ "MultiListen3", 28, 1 },
29858		{ "MultiListen2", 27, 1 },
29859		{ "MultiListen1", 26, 1 },
29860		{ "MultiListen0", 25, 1 },
29861		{ "Priority3", 22, 3 },
29862		{ "Priority2", 19, 3 },
29863		{ "Priority1", 16, 3 },
29864		{ "Priority0", 13, 3 },
29865		{ "Valid", 12, 1 },
29866		{ "Replicate", 11, 1 },
29867		{ "PF", 8, 3 },
29868		{ "VF_Valid", 7, 1 },
29869		{ "VF", 0, 7 },
29870	{ "MPS_CLS_SRAM_L", 0xe2f0, 0 },
29871		{ "MultiListen3", 28, 1 },
29872		{ "MultiListen2", 27, 1 },
29873		{ "MultiListen1", 26, 1 },
29874		{ "MultiListen0", 25, 1 },
29875		{ "Priority3", 22, 3 },
29876		{ "Priority2", 19, 3 },
29877		{ "Priority1", 16, 3 },
29878		{ "Priority0", 13, 3 },
29879		{ "Valid", 12, 1 },
29880		{ "Replicate", 11, 1 },
29881		{ "PF", 8, 3 },
29882		{ "VF_Valid", 7, 1 },
29883		{ "VF", 0, 7 },
29884	{ "MPS_CLS_SRAM_L", 0xe2f8, 0 },
29885		{ "MultiListen3", 28, 1 },
29886		{ "MultiListen2", 27, 1 },
29887		{ "MultiListen1", 26, 1 },
29888		{ "MultiListen0", 25, 1 },
29889		{ "Priority3", 22, 3 },
29890		{ "Priority2", 19, 3 },
29891		{ "Priority1", 16, 3 },
29892		{ "Priority0", 13, 3 },
29893		{ "Valid", 12, 1 },
29894		{ "Replicate", 11, 1 },
29895		{ "PF", 8, 3 },
29896		{ "VF_Valid", 7, 1 },
29897		{ "VF", 0, 7 },
29898	{ "MPS_CLS_SRAM_L", 0xe300, 0 },
29899		{ "MultiListen3", 28, 1 },
29900		{ "MultiListen2", 27, 1 },
29901		{ "MultiListen1", 26, 1 },
29902		{ "MultiListen0", 25, 1 },
29903		{ "Priority3", 22, 3 },
29904		{ "Priority2", 19, 3 },
29905		{ "Priority1", 16, 3 },
29906		{ "Priority0", 13, 3 },
29907		{ "Valid", 12, 1 },
29908		{ "Replicate", 11, 1 },
29909		{ "PF", 8, 3 },
29910		{ "VF_Valid", 7, 1 },
29911		{ "VF", 0, 7 },
29912	{ "MPS_CLS_SRAM_L", 0xe308, 0 },
29913		{ "MultiListen3", 28, 1 },
29914		{ "MultiListen2", 27, 1 },
29915		{ "MultiListen1", 26, 1 },
29916		{ "MultiListen0", 25, 1 },
29917		{ "Priority3", 22, 3 },
29918		{ "Priority2", 19, 3 },
29919		{ "Priority1", 16, 3 },
29920		{ "Priority0", 13, 3 },
29921		{ "Valid", 12, 1 },
29922		{ "Replicate", 11, 1 },
29923		{ "PF", 8, 3 },
29924		{ "VF_Valid", 7, 1 },
29925		{ "VF", 0, 7 },
29926	{ "MPS_CLS_SRAM_L", 0xe310, 0 },
29927		{ "MultiListen3", 28, 1 },
29928		{ "MultiListen2", 27, 1 },
29929		{ "MultiListen1", 26, 1 },
29930		{ "MultiListen0", 25, 1 },
29931		{ "Priority3", 22, 3 },
29932		{ "Priority2", 19, 3 },
29933		{ "Priority1", 16, 3 },
29934		{ "Priority0", 13, 3 },
29935		{ "Valid", 12, 1 },
29936		{ "Replicate", 11, 1 },
29937		{ "PF", 8, 3 },
29938		{ "VF_Valid", 7, 1 },
29939		{ "VF", 0, 7 },
29940	{ "MPS_CLS_SRAM_L", 0xe318, 0 },
29941		{ "MultiListen3", 28, 1 },
29942		{ "MultiListen2", 27, 1 },
29943		{ "MultiListen1", 26, 1 },
29944		{ "MultiListen0", 25, 1 },
29945		{ "Priority3", 22, 3 },
29946		{ "Priority2", 19, 3 },
29947		{ "Priority1", 16, 3 },
29948		{ "Priority0", 13, 3 },
29949		{ "Valid", 12, 1 },
29950		{ "Replicate", 11, 1 },
29951		{ "PF", 8, 3 },
29952		{ "VF_Valid", 7, 1 },
29953		{ "VF", 0, 7 },
29954	{ "MPS_CLS_SRAM_L", 0xe320, 0 },
29955		{ "MultiListen3", 28, 1 },
29956		{ "MultiListen2", 27, 1 },
29957		{ "MultiListen1", 26, 1 },
29958		{ "MultiListen0", 25, 1 },
29959		{ "Priority3", 22, 3 },
29960		{ "Priority2", 19, 3 },
29961		{ "Priority1", 16, 3 },
29962		{ "Priority0", 13, 3 },
29963		{ "Valid", 12, 1 },
29964		{ "Replicate", 11, 1 },
29965		{ "PF", 8, 3 },
29966		{ "VF_Valid", 7, 1 },
29967		{ "VF", 0, 7 },
29968	{ "MPS_CLS_SRAM_L", 0xe328, 0 },
29969		{ "MultiListen3", 28, 1 },
29970		{ "MultiListen2", 27, 1 },
29971		{ "MultiListen1", 26, 1 },
29972		{ "MultiListen0", 25, 1 },
29973		{ "Priority3", 22, 3 },
29974		{ "Priority2", 19, 3 },
29975		{ "Priority1", 16, 3 },
29976		{ "Priority0", 13, 3 },
29977		{ "Valid", 12, 1 },
29978		{ "Replicate", 11, 1 },
29979		{ "PF", 8, 3 },
29980		{ "VF_Valid", 7, 1 },
29981		{ "VF", 0, 7 },
29982	{ "MPS_CLS_SRAM_L", 0xe330, 0 },
29983		{ "MultiListen3", 28, 1 },
29984		{ "MultiListen2", 27, 1 },
29985		{ "MultiListen1", 26, 1 },
29986		{ "MultiListen0", 25, 1 },
29987		{ "Priority3", 22, 3 },
29988		{ "Priority2", 19, 3 },
29989		{ "Priority1", 16, 3 },
29990		{ "Priority0", 13, 3 },
29991		{ "Valid", 12, 1 },
29992		{ "Replicate", 11, 1 },
29993		{ "PF", 8, 3 },
29994		{ "VF_Valid", 7, 1 },
29995		{ "VF", 0, 7 },
29996	{ "MPS_CLS_SRAM_L", 0xe338, 0 },
29997		{ "MultiListen3", 28, 1 },
29998		{ "MultiListen2", 27, 1 },
29999		{ "MultiListen1", 26, 1 },
30000		{ "MultiListen0", 25, 1 },
30001		{ "Priority3", 22, 3 },
30002		{ "Priority2", 19, 3 },
30003		{ "Priority1", 16, 3 },
30004		{ "Priority0", 13, 3 },
30005		{ "Valid", 12, 1 },
30006		{ "Replicate", 11, 1 },
30007		{ "PF", 8, 3 },
30008		{ "VF_Valid", 7, 1 },
30009		{ "VF", 0, 7 },
30010	{ "MPS_CLS_SRAM_L", 0xe340, 0 },
30011		{ "MultiListen3", 28, 1 },
30012		{ "MultiListen2", 27, 1 },
30013		{ "MultiListen1", 26, 1 },
30014		{ "MultiListen0", 25, 1 },
30015		{ "Priority3", 22, 3 },
30016		{ "Priority2", 19, 3 },
30017		{ "Priority1", 16, 3 },
30018		{ "Priority0", 13, 3 },
30019		{ "Valid", 12, 1 },
30020		{ "Replicate", 11, 1 },
30021		{ "PF", 8, 3 },
30022		{ "VF_Valid", 7, 1 },
30023		{ "VF", 0, 7 },
30024	{ "MPS_CLS_SRAM_L", 0xe348, 0 },
30025		{ "MultiListen3", 28, 1 },
30026		{ "MultiListen2", 27, 1 },
30027		{ "MultiListen1", 26, 1 },
30028		{ "MultiListen0", 25, 1 },
30029		{ "Priority3", 22, 3 },
30030		{ "Priority2", 19, 3 },
30031		{ "Priority1", 16, 3 },
30032		{ "Priority0", 13, 3 },
30033		{ "Valid", 12, 1 },
30034		{ "Replicate", 11, 1 },
30035		{ "PF", 8, 3 },
30036		{ "VF_Valid", 7, 1 },
30037		{ "VF", 0, 7 },
30038	{ "MPS_CLS_SRAM_L", 0xe350, 0 },
30039		{ "MultiListen3", 28, 1 },
30040		{ "MultiListen2", 27, 1 },
30041		{ "MultiListen1", 26, 1 },
30042		{ "MultiListen0", 25, 1 },
30043		{ "Priority3", 22, 3 },
30044		{ "Priority2", 19, 3 },
30045		{ "Priority1", 16, 3 },
30046		{ "Priority0", 13, 3 },
30047		{ "Valid", 12, 1 },
30048		{ "Replicate", 11, 1 },
30049		{ "PF", 8, 3 },
30050		{ "VF_Valid", 7, 1 },
30051		{ "VF", 0, 7 },
30052	{ "MPS_CLS_SRAM_L", 0xe358, 0 },
30053		{ "MultiListen3", 28, 1 },
30054		{ "MultiListen2", 27, 1 },
30055		{ "MultiListen1", 26, 1 },
30056		{ "MultiListen0", 25, 1 },
30057		{ "Priority3", 22, 3 },
30058		{ "Priority2", 19, 3 },
30059		{ "Priority1", 16, 3 },
30060		{ "Priority0", 13, 3 },
30061		{ "Valid", 12, 1 },
30062		{ "Replicate", 11, 1 },
30063		{ "PF", 8, 3 },
30064		{ "VF_Valid", 7, 1 },
30065		{ "VF", 0, 7 },
30066	{ "MPS_CLS_SRAM_L", 0xe360, 0 },
30067		{ "MultiListen3", 28, 1 },
30068		{ "MultiListen2", 27, 1 },
30069		{ "MultiListen1", 26, 1 },
30070		{ "MultiListen0", 25, 1 },
30071		{ "Priority3", 22, 3 },
30072		{ "Priority2", 19, 3 },
30073		{ "Priority1", 16, 3 },
30074		{ "Priority0", 13, 3 },
30075		{ "Valid", 12, 1 },
30076		{ "Replicate", 11, 1 },
30077		{ "PF", 8, 3 },
30078		{ "VF_Valid", 7, 1 },
30079		{ "VF", 0, 7 },
30080	{ "MPS_CLS_SRAM_L", 0xe368, 0 },
30081		{ "MultiListen3", 28, 1 },
30082		{ "MultiListen2", 27, 1 },
30083		{ "MultiListen1", 26, 1 },
30084		{ "MultiListen0", 25, 1 },
30085		{ "Priority3", 22, 3 },
30086		{ "Priority2", 19, 3 },
30087		{ "Priority1", 16, 3 },
30088		{ "Priority0", 13, 3 },
30089		{ "Valid", 12, 1 },
30090		{ "Replicate", 11, 1 },
30091		{ "PF", 8, 3 },
30092		{ "VF_Valid", 7, 1 },
30093		{ "VF", 0, 7 },
30094	{ "MPS_CLS_SRAM_L", 0xe370, 0 },
30095		{ "MultiListen3", 28, 1 },
30096		{ "MultiListen2", 27, 1 },
30097		{ "MultiListen1", 26, 1 },
30098		{ "MultiListen0", 25, 1 },
30099		{ "Priority3", 22, 3 },
30100		{ "Priority2", 19, 3 },
30101		{ "Priority1", 16, 3 },
30102		{ "Priority0", 13, 3 },
30103		{ "Valid", 12, 1 },
30104		{ "Replicate", 11, 1 },
30105		{ "PF", 8, 3 },
30106		{ "VF_Valid", 7, 1 },
30107		{ "VF", 0, 7 },
30108	{ "MPS_CLS_SRAM_L", 0xe378, 0 },
30109		{ "MultiListen3", 28, 1 },
30110		{ "MultiListen2", 27, 1 },
30111		{ "MultiListen1", 26, 1 },
30112		{ "MultiListen0", 25, 1 },
30113		{ "Priority3", 22, 3 },
30114		{ "Priority2", 19, 3 },
30115		{ "Priority1", 16, 3 },
30116		{ "Priority0", 13, 3 },
30117		{ "Valid", 12, 1 },
30118		{ "Replicate", 11, 1 },
30119		{ "PF", 8, 3 },
30120		{ "VF_Valid", 7, 1 },
30121		{ "VF", 0, 7 },
30122	{ "MPS_CLS_SRAM_L", 0xe380, 0 },
30123		{ "MultiListen3", 28, 1 },
30124		{ "MultiListen2", 27, 1 },
30125		{ "MultiListen1", 26, 1 },
30126		{ "MultiListen0", 25, 1 },
30127		{ "Priority3", 22, 3 },
30128		{ "Priority2", 19, 3 },
30129		{ "Priority1", 16, 3 },
30130		{ "Priority0", 13, 3 },
30131		{ "Valid", 12, 1 },
30132		{ "Replicate", 11, 1 },
30133		{ "PF", 8, 3 },
30134		{ "VF_Valid", 7, 1 },
30135		{ "VF", 0, 7 },
30136	{ "MPS_CLS_SRAM_L", 0xe388, 0 },
30137		{ "MultiListen3", 28, 1 },
30138		{ "MultiListen2", 27, 1 },
30139		{ "MultiListen1", 26, 1 },
30140		{ "MultiListen0", 25, 1 },
30141		{ "Priority3", 22, 3 },
30142		{ "Priority2", 19, 3 },
30143		{ "Priority1", 16, 3 },
30144		{ "Priority0", 13, 3 },
30145		{ "Valid", 12, 1 },
30146		{ "Replicate", 11, 1 },
30147		{ "PF", 8, 3 },
30148		{ "VF_Valid", 7, 1 },
30149		{ "VF", 0, 7 },
30150	{ "MPS_CLS_SRAM_L", 0xe390, 0 },
30151		{ "MultiListen3", 28, 1 },
30152		{ "MultiListen2", 27, 1 },
30153		{ "MultiListen1", 26, 1 },
30154		{ "MultiListen0", 25, 1 },
30155		{ "Priority3", 22, 3 },
30156		{ "Priority2", 19, 3 },
30157		{ "Priority1", 16, 3 },
30158		{ "Priority0", 13, 3 },
30159		{ "Valid", 12, 1 },
30160		{ "Replicate", 11, 1 },
30161		{ "PF", 8, 3 },
30162		{ "VF_Valid", 7, 1 },
30163		{ "VF", 0, 7 },
30164	{ "MPS_CLS_SRAM_L", 0xe398, 0 },
30165		{ "MultiListen3", 28, 1 },
30166		{ "MultiListen2", 27, 1 },
30167		{ "MultiListen1", 26, 1 },
30168		{ "MultiListen0", 25, 1 },
30169		{ "Priority3", 22, 3 },
30170		{ "Priority2", 19, 3 },
30171		{ "Priority1", 16, 3 },
30172		{ "Priority0", 13, 3 },
30173		{ "Valid", 12, 1 },
30174		{ "Replicate", 11, 1 },
30175		{ "PF", 8, 3 },
30176		{ "VF_Valid", 7, 1 },
30177		{ "VF", 0, 7 },
30178	{ "MPS_CLS_SRAM_L", 0xe3a0, 0 },
30179		{ "MultiListen3", 28, 1 },
30180		{ "MultiListen2", 27, 1 },
30181		{ "MultiListen1", 26, 1 },
30182		{ "MultiListen0", 25, 1 },
30183		{ "Priority3", 22, 3 },
30184		{ "Priority2", 19, 3 },
30185		{ "Priority1", 16, 3 },
30186		{ "Priority0", 13, 3 },
30187		{ "Valid", 12, 1 },
30188		{ "Replicate", 11, 1 },
30189		{ "PF", 8, 3 },
30190		{ "VF_Valid", 7, 1 },
30191		{ "VF", 0, 7 },
30192	{ "MPS_CLS_SRAM_L", 0xe3a8, 0 },
30193		{ "MultiListen3", 28, 1 },
30194		{ "MultiListen2", 27, 1 },
30195		{ "MultiListen1", 26, 1 },
30196		{ "MultiListen0", 25, 1 },
30197		{ "Priority3", 22, 3 },
30198		{ "Priority2", 19, 3 },
30199		{ "Priority1", 16, 3 },
30200		{ "Priority0", 13, 3 },
30201		{ "Valid", 12, 1 },
30202		{ "Replicate", 11, 1 },
30203		{ "PF", 8, 3 },
30204		{ "VF_Valid", 7, 1 },
30205		{ "VF", 0, 7 },
30206	{ "MPS_CLS_SRAM_L", 0xe3b0, 0 },
30207		{ "MultiListen3", 28, 1 },
30208		{ "MultiListen2", 27, 1 },
30209		{ "MultiListen1", 26, 1 },
30210		{ "MultiListen0", 25, 1 },
30211		{ "Priority3", 22, 3 },
30212		{ "Priority2", 19, 3 },
30213		{ "Priority1", 16, 3 },
30214		{ "Priority0", 13, 3 },
30215		{ "Valid", 12, 1 },
30216		{ "Replicate", 11, 1 },
30217		{ "PF", 8, 3 },
30218		{ "VF_Valid", 7, 1 },
30219		{ "VF", 0, 7 },
30220	{ "MPS_CLS_SRAM_L", 0xe3b8, 0 },
30221		{ "MultiListen3", 28, 1 },
30222		{ "MultiListen2", 27, 1 },
30223		{ "MultiListen1", 26, 1 },
30224		{ "MultiListen0", 25, 1 },
30225		{ "Priority3", 22, 3 },
30226		{ "Priority2", 19, 3 },
30227		{ "Priority1", 16, 3 },
30228		{ "Priority0", 13, 3 },
30229		{ "Valid", 12, 1 },
30230		{ "Replicate", 11, 1 },
30231		{ "PF", 8, 3 },
30232		{ "VF_Valid", 7, 1 },
30233		{ "VF", 0, 7 },
30234	{ "MPS_CLS_SRAM_L", 0xe3c0, 0 },
30235		{ "MultiListen3", 28, 1 },
30236		{ "MultiListen2", 27, 1 },
30237		{ "MultiListen1", 26, 1 },
30238		{ "MultiListen0", 25, 1 },
30239		{ "Priority3", 22, 3 },
30240		{ "Priority2", 19, 3 },
30241		{ "Priority1", 16, 3 },
30242		{ "Priority0", 13, 3 },
30243		{ "Valid", 12, 1 },
30244		{ "Replicate", 11, 1 },
30245		{ "PF", 8, 3 },
30246		{ "VF_Valid", 7, 1 },
30247		{ "VF", 0, 7 },
30248	{ "MPS_CLS_SRAM_L", 0xe3c8, 0 },
30249		{ "MultiListen3", 28, 1 },
30250		{ "MultiListen2", 27, 1 },
30251		{ "MultiListen1", 26, 1 },
30252		{ "MultiListen0", 25, 1 },
30253		{ "Priority3", 22, 3 },
30254		{ "Priority2", 19, 3 },
30255		{ "Priority1", 16, 3 },
30256		{ "Priority0", 13, 3 },
30257		{ "Valid", 12, 1 },
30258		{ "Replicate", 11, 1 },
30259		{ "PF", 8, 3 },
30260		{ "VF_Valid", 7, 1 },
30261		{ "VF", 0, 7 },
30262	{ "MPS_CLS_SRAM_L", 0xe3d0, 0 },
30263		{ "MultiListen3", 28, 1 },
30264		{ "MultiListen2", 27, 1 },
30265		{ "MultiListen1", 26, 1 },
30266		{ "MultiListen0", 25, 1 },
30267		{ "Priority3", 22, 3 },
30268		{ "Priority2", 19, 3 },
30269		{ "Priority1", 16, 3 },
30270		{ "Priority0", 13, 3 },
30271		{ "Valid", 12, 1 },
30272		{ "Replicate", 11, 1 },
30273		{ "PF", 8, 3 },
30274		{ "VF_Valid", 7, 1 },
30275		{ "VF", 0, 7 },
30276	{ "MPS_CLS_SRAM_L", 0xe3d8, 0 },
30277		{ "MultiListen3", 28, 1 },
30278		{ "MultiListen2", 27, 1 },
30279		{ "MultiListen1", 26, 1 },
30280		{ "MultiListen0", 25, 1 },
30281		{ "Priority3", 22, 3 },
30282		{ "Priority2", 19, 3 },
30283		{ "Priority1", 16, 3 },
30284		{ "Priority0", 13, 3 },
30285		{ "Valid", 12, 1 },
30286		{ "Replicate", 11, 1 },
30287		{ "PF", 8, 3 },
30288		{ "VF_Valid", 7, 1 },
30289		{ "VF", 0, 7 },
30290	{ "MPS_CLS_SRAM_L", 0xe3e0, 0 },
30291		{ "MultiListen3", 28, 1 },
30292		{ "MultiListen2", 27, 1 },
30293		{ "MultiListen1", 26, 1 },
30294		{ "MultiListen0", 25, 1 },
30295		{ "Priority3", 22, 3 },
30296		{ "Priority2", 19, 3 },
30297		{ "Priority1", 16, 3 },
30298		{ "Priority0", 13, 3 },
30299		{ "Valid", 12, 1 },
30300		{ "Replicate", 11, 1 },
30301		{ "PF", 8, 3 },
30302		{ "VF_Valid", 7, 1 },
30303		{ "VF", 0, 7 },
30304	{ "MPS_CLS_SRAM_L", 0xe3e8, 0 },
30305		{ "MultiListen3", 28, 1 },
30306		{ "MultiListen2", 27, 1 },
30307		{ "MultiListen1", 26, 1 },
30308		{ "MultiListen0", 25, 1 },
30309		{ "Priority3", 22, 3 },
30310		{ "Priority2", 19, 3 },
30311		{ "Priority1", 16, 3 },
30312		{ "Priority0", 13, 3 },
30313		{ "Valid", 12, 1 },
30314		{ "Replicate", 11, 1 },
30315		{ "PF", 8, 3 },
30316		{ "VF_Valid", 7, 1 },
30317		{ "VF", 0, 7 },
30318	{ "MPS_CLS_SRAM_L", 0xe3f0, 0 },
30319		{ "MultiListen3", 28, 1 },
30320		{ "MultiListen2", 27, 1 },
30321		{ "MultiListen1", 26, 1 },
30322		{ "MultiListen0", 25, 1 },
30323		{ "Priority3", 22, 3 },
30324		{ "Priority2", 19, 3 },
30325		{ "Priority1", 16, 3 },
30326		{ "Priority0", 13, 3 },
30327		{ "Valid", 12, 1 },
30328		{ "Replicate", 11, 1 },
30329		{ "PF", 8, 3 },
30330		{ "VF_Valid", 7, 1 },
30331		{ "VF", 0, 7 },
30332	{ "MPS_CLS_SRAM_L", 0xe3f8, 0 },
30333		{ "MultiListen3", 28, 1 },
30334		{ "MultiListen2", 27, 1 },
30335		{ "MultiListen1", 26, 1 },
30336		{ "MultiListen0", 25, 1 },
30337		{ "Priority3", 22, 3 },
30338		{ "Priority2", 19, 3 },
30339		{ "Priority1", 16, 3 },
30340		{ "Priority0", 13, 3 },
30341		{ "Valid", 12, 1 },
30342		{ "Replicate", 11, 1 },
30343		{ "PF", 8, 3 },
30344		{ "VF_Valid", 7, 1 },
30345		{ "VF", 0, 7 },
30346	{ "MPS_CLS_SRAM_L", 0xe400, 0 },
30347		{ "MultiListen3", 28, 1 },
30348		{ "MultiListen2", 27, 1 },
30349		{ "MultiListen1", 26, 1 },
30350		{ "MultiListen0", 25, 1 },
30351		{ "Priority3", 22, 3 },
30352		{ "Priority2", 19, 3 },
30353		{ "Priority1", 16, 3 },
30354		{ "Priority0", 13, 3 },
30355		{ "Valid", 12, 1 },
30356		{ "Replicate", 11, 1 },
30357		{ "PF", 8, 3 },
30358		{ "VF_Valid", 7, 1 },
30359		{ "VF", 0, 7 },
30360	{ "MPS_CLS_SRAM_L", 0xe408, 0 },
30361		{ "MultiListen3", 28, 1 },
30362		{ "MultiListen2", 27, 1 },
30363		{ "MultiListen1", 26, 1 },
30364		{ "MultiListen0", 25, 1 },
30365		{ "Priority3", 22, 3 },
30366		{ "Priority2", 19, 3 },
30367		{ "Priority1", 16, 3 },
30368		{ "Priority0", 13, 3 },
30369		{ "Valid", 12, 1 },
30370		{ "Replicate", 11, 1 },
30371		{ "PF", 8, 3 },
30372		{ "VF_Valid", 7, 1 },
30373		{ "VF", 0, 7 },
30374	{ "MPS_CLS_SRAM_L", 0xe410, 0 },
30375		{ "MultiListen3", 28, 1 },
30376		{ "MultiListen2", 27, 1 },
30377		{ "MultiListen1", 26, 1 },
30378		{ "MultiListen0", 25, 1 },
30379		{ "Priority3", 22, 3 },
30380		{ "Priority2", 19, 3 },
30381		{ "Priority1", 16, 3 },
30382		{ "Priority0", 13, 3 },
30383		{ "Valid", 12, 1 },
30384		{ "Replicate", 11, 1 },
30385		{ "PF", 8, 3 },
30386		{ "VF_Valid", 7, 1 },
30387		{ "VF", 0, 7 },
30388	{ "MPS_CLS_SRAM_L", 0xe418, 0 },
30389		{ "MultiListen3", 28, 1 },
30390		{ "MultiListen2", 27, 1 },
30391		{ "MultiListen1", 26, 1 },
30392		{ "MultiListen0", 25, 1 },
30393		{ "Priority3", 22, 3 },
30394		{ "Priority2", 19, 3 },
30395		{ "Priority1", 16, 3 },
30396		{ "Priority0", 13, 3 },
30397		{ "Valid", 12, 1 },
30398		{ "Replicate", 11, 1 },
30399		{ "PF", 8, 3 },
30400		{ "VF_Valid", 7, 1 },
30401		{ "VF", 0, 7 },
30402	{ "MPS_CLS_SRAM_L", 0xe420, 0 },
30403		{ "MultiListen3", 28, 1 },
30404		{ "MultiListen2", 27, 1 },
30405		{ "MultiListen1", 26, 1 },
30406		{ "MultiListen0", 25, 1 },
30407		{ "Priority3", 22, 3 },
30408		{ "Priority2", 19, 3 },
30409		{ "Priority1", 16, 3 },
30410		{ "Priority0", 13, 3 },
30411		{ "Valid", 12, 1 },
30412		{ "Replicate", 11, 1 },
30413		{ "PF", 8, 3 },
30414		{ "VF_Valid", 7, 1 },
30415		{ "VF", 0, 7 },
30416	{ "MPS_CLS_SRAM_L", 0xe428, 0 },
30417		{ "MultiListen3", 28, 1 },
30418		{ "MultiListen2", 27, 1 },
30419		{ "MultiListen1", 26, 1 },
30420		{ "MultiListen0", 25, 1 },
30421		{ "Priority3", 22, 3 },
30422		{ "Priority2", 19, 3 },
30423		{ "Priority1", 16, 3 },
30424		{ "Priority0", 13, 3 },
30425		{ "Valid", 12, 1 },
30426		{ "Replicate", 11, 1 },
30427		{ "PF", 8, 3 },
30428		{ "VF_Valid", 7, 1 },
30429		{ "VF", 0, 7 },
30430	{ "MPS_CLS_SRAM_L", 0xe430, 0 },
30431		{ "MultiListen3", 28, 1 },
30432		{ "MultiListen2", 27, 1 },
30433		{ "MultiListen1", 26, 1 },
30434		{ "MultiListen0", 25, 1 },
30435		{ "Priority3", 22, 3 },
30436		{ "Priority2", 19, 3 },
30437		{ "Priority1", 16, 3 },
30438		{ "Priority0", 13, 3 },
30439		{ "Valid", 12, 1 },
30440		{ "Replicate", 11, 1 },
30441		{ "PF", 8, 3 },
30442		{ "VF_Valid", 7, 1 },
30443		{ "VF", 0, 7 },
30444	{ "MPS_CLS_SRAM_L", 0xe438, 0 },
30445		{ "MultiListen3", 28, 1 },
30446		{ "MultiListen2", 27, 1 },
30447		{ "MultiListen1", 26, 1 },
30448		{ "MultiListen0", 25, 1 },
30449		{ "Priority3", 22, 3 },
30450		{ "Priority2", 19, 3 },
30451		{ "Priority1", 16, 3 },
30452		{ "Priority0", 13, 3 },
30453		{ "Valid", 12, 1 },
30454		{ "Replicate", 11, 1 },
30455		{ "PF", 8, 3 },
30456		{ "VF_Valid", 7, 1 },
30457		{ "VF", 0, 7 },
30458	{ "MPS_CLS_SRAM_L", 0xe440, 0 },
30459		{ "MultiListen3", 28, 1 },
30460		{ "MultiListen2", 27, 1 },
30461		{ "MultiListen1", 26, 1 },
30462		{ "MultiListen0", 25, 1 },
30463		{ "Priority3", 22, 3 },
30464		{ "Priority2", 19, 3 },
30465		{ "Priority1", 16, 3 },
30466		{ "Priority0", 13, 3 },
30467		{ "Valid", 12, 1 },
30468		{ "Replicate", 11, 1 },
30469		{ "PF", 8, 3 },
30470		{ "VF_Valid", 7, 1 },
30471		{ "VF", 0, 7 },
30472	{ "MPS_CLS_SRAM_L", 0xe448, 0 },
30473		{ "MultiListen3", 28, 1 },
30474		{ "MultiListen2", 27, 1 },
30475		{ "MultiListen1", 26, 1 },
30476		{ "MultiListen0", 25, 1 },
30477		{ "Priority3", 22, 3 },
30478		{ "Priority2", 19, 3 },
30479		{ "Priority1", 16, 3 },
30480		{ "Priority0", 13, 3 },
30481		{ "Valid", 12, 1 },
30482		{ "Replicate", 11, 1 },
30483		{ "PF", 8, 3 },
30484		{ "VF_Valid", 7, 1 },
30485		{ "VF", 0, 7 },
30486	{ "MPS_CLS_SRAM_L", 0xe450, 0 },
30487		{ "MultiListen3", 28, 1 },
30488		{ "MultiListen2", 27, 1 },
30489		{ "MultiListen1", 26, 1 },
30490		{ "MultiListen0", 25, 1 },
30491		{ "Priority3", 22, 3 },
30492		{ "Priority2", 19, 3 },
30493		{ "Priority1", 16, 3 },
30494		{ "Priority0", 13, 3 },
30495		{ "Valid", 12, 1 },
30496		{ "Replicate", 11, 1 },
30497		{ "PF", 8, 3 },
30498		{ "VF_Valid", 7, 1 },
30499		{ "VF", 0, 7 },
30500	{ "MPS_CLS_SRAM_L", 0xe458, 0 },
30501		{ "MultiListen3", 28, 1 },
30502		{ "MultiListen2", 27, 1 },
30503		{ "MultiListen1", 26, 1 },
30504		{ "MultiListen0", 25, 1 },
30505		{ "Priority3", 22, 3 },
30506		{ "Priority2", 19, 3 },
30507		{ "Priority1", 16, 3 },
30508		{ "Priority0", 13, 3 },
30509		{ "Valid", 12, 1 },
30510		{ "Replicate", 11, 1 },
30511		{ "PF", 8, 3 },
30512		{ "VF_Valid", 7, 1 },
30513		{ "VF", 0, 7 },
30514	{ "MPS_CLS_SRAM_L", 0xe460, 0 },
30515		{ "MultiListen3", 28, 1 },
30516		{ "MultiListen2", 27, 1 },
30517		{ "MultiListen1", 26, 1 },
30518		{ "MultiListen0", 25, 1 },
30519		{ "Priority3", 22, 3 },
30520		{ "Priority2", 19, 3 },
30521		{ "Priority1", 16, 3 },
30522		{ "Priority0", 13, 3 },
30523		{ "Valid", 12, 1 },
30524		{ "Replicate", 11, 1 },
30525		{ "PF", 8, 3 },
30526		{ "VF_Valid", 7, 1 },
30527		{ "VF", 0, 7 },
30528	{ "MPS_CLS_SRAM_L", 0xe468, 0 },
30529		{ "MultiListen3", 28, 1 },
30530		{ "MultiListen2", 27, 1 },
30531		{ "MultiListen1", 26, 1 },
30532		{ "MultiListen0", 25, 1 },
30533		{ "Priority3", 22, 3 },
30534		{ "Priority2", 19, 3 },
30535		{ "Priority1", 16, 3 },
30536		{ "Priority0", 13, 3 },
30537		{ "Valid", 12, 1 },
30538		{ "Replicate", 11, 1 },
30539		{ "PF", 8, 3 },
30540		{ "VF_Valid", 7, 1 },
30541		{ "VF", 0, 7 },
30542	{ "MPS_CLS_SRAM_L", 0xe470, 0 },
30543		{ "MultiListen3", 28, 1 },
30544		{ "MultiListen2", 27, 1 },
30545		{ "MultiListen1", 26, 1 },
30546		{ "MultiListen0", 25, 1 },
30547		{ "Priority3", 22, 3 },
30548		{ "Priority2", 19, 3 },
30549		{ "Priority1", 16, 3 },
30550		{ "Priority0", 13, 3 },
30551		{ "Valid", 12, 1 },
30552		{ "Replicate", 11, 1 },
30553		{ "PF", 8, 3 },
30554		{ "VF_Valid", 7, 1 },
30555		{ "VF", 0, 7 },
30556	{ "MPS_CLS_SRAM_L", 0xe478, 0 },
30557		{ "MultiListen3", 28, 1 },
30558		{ "MultiListen2", 27, 1 },
30559		{ "MultiListen1", 26, 1 },
30560		{ "MultiListen0", 25, 1 },
30561		{ "Priority3", 22, 3 },
30562		{ "Priority2", 19, 3 },
30563		{ "Priority1", 16, 3 },
30564		{ "Priority0", 13, 3 },
30565		{ "Valid", 12, 1 },
30566		{ "Replicate", 11, 1 },
30567		{ "PF", 8, 3 },
30568		{ "VF_Valid", 7, 1 },
30569		{ "VF", 0, 7 },
30570	{ "MPS_CLS_SRAM_L", 0xe480, 0 },
30571		{ "MultiListen3", 28, 1 },
30572		{ "MultiListen2", 27, 1 },
30573		{ "MultiListen1", 26, 1 },
30574		{ "MultiListen0", 25, 1 },
30575		{ "Priority3", 22, 3 },
30576		{ "Priority2", 19, 3 },
30577		{ "Priority1", 16, 3 },
30578		{ "Priority0", 13, 3 },
30579		{ "Valid", 12, 1 },
30580		{ "Replicate", 11, 1 },
30581		{ "PF", 8, 3 },
30582		{ "VF_Valid", 7, 1 },
30583		{ "VF", 0, 7 },
30584	{ "MPS_CLS_SRAM_L", 0xe488, 0 },
30585		{ "MultiListen3", 28, 1 },
30586		{ "MultiListen2", 27, 1 },
30587		{ "MultiListen1", 26, 1 },
30588		{ "MultiListen0", 25, 1 },
30589		{ "Priority3", 22, 3 },
30590		{ "Priority2", 19, 3 },
30591		{ "Priority1", 16, 3 },
30592		{ "Priority0", 13, 3 },
30593		{ "Valid", 12, 1 },
30594		{ "Replicate", 11, 1 },
30595		{ "PF", 8, 3 },
30596		{ "VF_Valid", 7, 1 },
30597		{ "VF", 0, 7 },
30598	{ "MPS_CLS_SRAM_L", 0xe490, 0 },
30599		{ "MultiListen3", 28, 1 },
30600		{ "MultiListen2", 27, 1 },
30601		{ "MultiListen1", 26, 1 },
30602		{ "MultiListen0", 25, 1 },
30603		{ "Priority3", 22, 3 },
30604		{ "Priority2", 19, 3 },
30605		{ "Priority1", 16, 3 },
30606		{ "Priority0", 13, 3 },
30607		{ "Valid", 12, 1 },
30608		{ "Replicate", 11, 1 },
30609		{ "PF", 8, 3 },
30610		{ "VF_Valid", 7, 1 },
30611		{ "VF", 0, 7 },
30612	{ "MPS_CLS_SRAM_L", 0xe498, 0 },
30613		{ "MultiListen3", 28, 1 },
30614		{ "MultiListen2", 27, 1 },
30615		{ "MultiListen1", 26, 1 },
30616		{ "MultiListen0", 25, 1 },
30617		{ "Priority3", 22, 3 },
30618		{ "Priority2", 19, 3 },
30619		{ "Priority1", 16, 3 },
30620		{ "Priority0", 13, 3 },
30621		{ "Valid", 12, 1 },
30622		{ "Replicate", 11, 1 },
30623		{ "PF", 8, 3 },
30624		{ "VF_Valid", 7, 1 },
30625		{ "VF", 0, 7 },
30626	{ "MPS_CLS_SRAM_L", 0xe4a0, 0 },
30627		{ "MultiListen3", 28, 1 },
30628		{ "MultiListen2", 27, 1 },
30629		{ "MultiListen1", 26, 1 },
30630		{ "MultiListen0", 25, 1 },
30631		{ "Priority3", 22, 3 },
30632		{ "Priority2", 19, 3 },
30633		{ "Priority1", 16, 3 },
30634		{ "Priority0", 13, 3 },
30635		{ "Valid", 12, 1 },
30636		{ "Replicate", 11, 1 },
30637		{ "PF", 8, 3 },
30638		{ "VF_Valid", 7, 1 },
30639		{ "VF", 0, 7 },
30640	{ "MPS_CLS_SRAM_L", 0xe4a8, 0 },
30641		{ "MultiListen3", 28, 1 },
30642		{ "MultiListen2", 27, 1 },
30643		{ "MultiListen1", 26, 1 },
30644		{ "MultiListen0", 25, 1 },
30645		{ "Priority3", 22, 3 },
30646		{ "Priority2", 19, 3 },
30647		{ "Priority1", 16, 3 },
30648		{ "Priority0", 13, 3 },
30649		{ "Valid", 12, 1 },
30650		{ "Replicate", 11, 1 },
30651		{ "PF", 8, 3 },
30652		{ "VF_Valid", 7, 1 },
30653		{ "VF", 0, 7 },
30654	{ "MPS_CLS_SRAM_L", 0xe4b0, 0 },
30655		{ "MultiListen3", 28, 1 },
30656		{ "MultiListen2", 27, 1 },
30657		{ "MultiListen1", 26, 1 },
30658		{ "MultiListen0", 25, 1 },
30659		{ "Priority3", 22, 3 },
30660		{ "Priority2", 19, 3 },
30661		{ "Priority1", 16, 3 },
30662		{ "Priority0", 13, 3 },
30663		{ "Valid", 12, 1 },
30664		{ "Replicate", 11, 1 },
30665		{ "PF", 8, 3 },
30666		{ "VF_Valid", 7, 1 },
30667		{ "VF", 0, 7 },
30668	{ "MPS_CLS_SRAM_L", 0xe4b8, 0 },
30669		{ "MultiListen3", 28, 1 },
30670		{ "MultiListen2", 27, 1 },
30671		{ "MultiListen1", 26, 1 },
30672		{ "MultiListen0", 25, 1 },
30673		{ "Priority3", 22, 3 },
30674		{ "Priority2", 19, 3 },
30675		{ "Priority1", 16, 3 },
30676		{ "Priority0", 13, 3 },
30677		{ "Valid", 12, 1 },
30678		{ "Replicate", 11, 1 },
30679		{ "PF", 8, 3 },
30680		{ "VF_Valid", 7, 1 },
30681		{ "VF", 0, 7 },
30682	{ "MPS_CLS_SRAM_L", 0xe4c0, 0 },
30683		{ "MultiListen3", 28, 1 },
30684		{ "MultiListen2", 27, 1 },
30685		{ "MultiListen1", 26, 1 },
30686		{ "MultiListen0", 25, 1 },
30687		{ "Priority3", 22, 3 },
30688		{ "Priority2", 19, 3 },
30689		{ "Priority1", 16, 3 },
30690		{ "Priority0", 13, 3 },
30691		{ "Valid", 12, 1 },
30692		{ "Replicate", 11, 1 },
30693		{ "PF", 8, 3 },
30694		{ "VF_Valid", 7, 1 },
30695		{ "VF", 0, 7 },
30696	{ "MPS_CLS_SRAM_L", 0xe4c8, 0 },
30697		{ "MultiListen3", 28, 1 },
30698		{ "MultiListen2", 27, 1 },
30699		{ "MultiListen1", 26, 1 },
30700		{ "MultiListen0", 25, 1 },
30701		{ "Priority3", 22, 3 },
30702		{ "Priority2", 19, 3 },
30703		{ "Priority1", 16, 3 },
30704		{ "Priority0", 13, 3 },
30705		{ "Valid", 12, 1 },
30706		{ "Replicate", 11, 1 },
30707		{ "PF", 8, 3 },
30708		{ "VF_Valid", 7, 1 },
30709		{ "VF", 0, 7 },
30710	{ "MPS_CLS_SRAM_L", 0xe4d0, 0 },
30711		{ "MultiListen3", 28, 1 },
30712		{ "MultiListen2", 27, 1 },
30713		{ "MultiListen1", 26, 1 },
30714		{ "MultiListen0", 25, 1 },
30715		{ "Priority3", 22, 3 },
30716		{ "Priority2", 19, 3 },
30717		{ "Priority1", 16, 3 },
30718		{ "Priority0", 13, 3 },
30719		{ "Valid", 12, 1 },
30720		{ "Replicate", 11, 1 },
30721		{ "PF", 8, 3 },
30722		{ "VF_Valid", 7, 1 },
30723		{ "VF", 0, 7 },
30724	{ "MPS_CLS_SRAM_L", 0xe4d8, 0 },
30725		{ "MultiListen3", 28, 1 },
30726		{ "MultiListen2", 27, 1 },
30727		{ "MultiListen1", 26, 1 },
30728		{ "MultiListen0", 25, 1 },
30729		{ "Priority3", 22, 3 },
30730		{ "Priority2", 19, 3 },
30731		{ "Priority1", 16, 3 },
30732		{ "Priority0", 13, 3 },
30733		{ "Valid", 12, 1 },
30734		{ "Replicate", 11, 1 },
30735		{ "PF", 8, 3 },
30736		{ "VF_Valid", 7, 1 },
30737		{ "VF", 0, 7 },
30738	{ "MPS_CLS_SRAM_L", 0xe4e0, 0 },
30739		{ "MultiListen3", 28, 1 },
30740		{ "MultiListen2", 27, 1 },
30741		{ "MultiListen1", 26, 1 },
30742		{ "MultiListen0", 25, 1 },
30743		{ "Priority3", 22, 3 },
30744		{ "Priority2", 19, 3 },
30745		{ "Priority1", 16, 3 },
30746		{ "Priority0", 13, 3 },
30747		{ "Valid", 12, 1 },
30748		{ "Replicate", 11, 1 },
30749		{ "PF", 8, 3 },
30750		{ "VF_Valid", 7, 1 },
30751		{ "VF", 0, 7 },
30752	{ "MPS_CLS_SRAM_L", 0xe4e8, 0 },
30753		{ "MultiListen3", 28, 1 },
30754		{ "MultiListen2", 27, 1 },
30755		{ "MultiListen1", 26, 1 },
30756		{ "MultiListen0", 25, 1 },
30757		{ "Priority3", 22, 3 },
30758		{ "Priority2", 19, 3 },
30759		{ "Priority1", 16, 3 },
30760		{ "Priority0", 13, 3 },
30761		{ "Valid", 12, 1 },
30762		{ "Replicate", 11, 1 },
30763		{ "PF", 8, 3 },
30764		{ "VF_Valid", 7, 1 },
30765		{ "VF", 0, 7 },
30766	{ "MPS_CLS_SRAM_L", 0xe4f0, 0 },
30767		{ "MultiListen3", 28, 1 },
30768		{ "MultiListen2", 27, 1 },
30769		{ "MultiListen1", 26, 1 },
30770		{ "MultiListen0", 25, 1 },
30771		{ "Priority3", 22, 3 },
30772		{ "Priority2", 19, 3 },
30773		{ "Priority1", 16, 3 },
30774		{ "Priority0", 13, 3 },
30775		{ "Valid", 12, 1 },
30776		{ "Replicate", 11, 1 },
30777		{ "PF", 8, 3 },
30778		{ "VF_Valid", 7, 1 },
30779		{ "VF", 0, 7 },
30780	{ "MPS_CLS_SRAM_L", 0xe4f8, 0 },
30781		{ "MultiListen3", 28, 1 },
30782		{ "MultiListen2", 27, 1 },
30783		{ "MultiListen1", 26, 1 },
30784		{ "MultiListen0", 25, 1 },
30785		{ "Priority3", 22, 3 },
30786		{ "Priority2", 19, 3 },
30787		{ "Priority1", 16, 3 },
30788		{ "Priority0", 13, 3 },
30789		{ "Valid", 12, 1 },
30790		{ "Replicate", 11, 1 },
30791		{ "PF", 8, 3 },
30792		{ "VF_Valid", 7, 1 },
30793		{ "VF", 0, 7 },
30794	{ "MPS_CLS_SRAM_L", 0xe500, 0 },
30795		{ "MultiListen3", 28, 1 },
30796		{ "MultiListen2", 27, 1 },
30797		{ "MultiListen1", 26, 1 },
30798		{ "MultiListen0", 25, 1 },
30799		{ "Priority3", 22, 3 },
30800		{ "Priority2", 19, 3 },
30801		{ "Priority1", 16, 3 },
30802		{ "Priority0", 13, 3 },
30803		{ "Valid", 12, 1 },
30804		{ "Replicate", 11, 1 },
30805		{ "PF", 8, 3 },
30806		{ "VF_Valid", 7, 1 },
30807		{ "VF", 0, 7 },
30808	{ "MPS_CLS_SRAM_L", 0xe508, 0 },
30809		{ "MultiListen3", 28, 1 },
30810		{ "MultiListen2", 27, 1 },
30811		{ "MultiListen1", 26, 1 },
30812		{ "MultiListen0", 25, 1 },
30813		{ "Priority3", 22, 3 },
30814		{ "Priority2", 19, 3 },
30815		{ "Priority1", 16, 3 },
30816		{ "Priority0", 13, 3 },
30817		{ "Valid", 12, 1 },
30818		{ "Replicate", 11, 1 },
30819		{ "PF", 8, 3 },
30820		{ "VF_Valid", 7, 1 },
30821		{ "VF", 0, 7 },
30822	{ "MPS_CLS_SRAM_L", 0xe510, 0 },
30823		{ "MultiListen3", 28, 1 },
30824		{ "MultiListen2", 27, 1 },
30825		{ "MultiListen1", 26, 1 },
30826		{ "MultiListen0", 25, 1 },
30827		{ "Priority3", 22, 3 },
30828		{ "Priority2", 19, 3 },
30829		{ "Priority1", 16, 3 },
30830		{ "Priority0", 13, 3 },
30831		{ "Valid", 12, 1 },
30832		{ "Replicate", 11, 1 },
30833		{ "PF", 8, 3 },
30834		{ "VF_Valid", 7, 1 },
30835		{ "VF", 0, 7 },
30836	{ "MPS_CLS_SRAM_L", 0xe518, 0 },
30837		{ "MultiListen3", 28, 1 },
30838		{ "MultiListen2", 27, 1 },
30839		{ "MultiListen1", 26, 1 },
30840		{ "MultiListen0", 25, 1 },
30841		{ "Priority3", 22, 3 },
30842		{ "Priority2", 19, 3 },
30843		{ "Priority1", 16, 3 },
30844		{ "Priority0", 13, 3 },
30845		{ "Valid", 12, 1 },
30846		{ "Replicate", 11, 1 },
30847		{ "PF", 8, 3 },
30848		{ "VF_Valid", 7, 1 },
30849		{ "VF", 0, 7 },
30850	{ "MPS_CLS_SRAM_L", 0xe520, 0 },
30851		{ "MultiListen3", 28, 1 },
30852		{ "MultiListen2", 27, 1 },
30853		{ "MultiListen1", 26, 1 },
30854		{ "MultiListen0", 25, 1 },
30855		{ "Priority3", 22, 3 },
30856		{ "Priority2", 19, 3 },
30857		{ "Priority1", 16, 3 },
30858		{ "Priority0", 13, 3 },
30859		{ "Valid", 12, 1 },
30860		{ "Replicate", 11, 1 },
30861		{ "PF", 8, 3 },
30862		{ "VF_Valid", 7, 1 },
30863		{ "VF", 0, 7 },
30864	{ "MPS_CLS_SRAM_L", 0xe528, 0 },
30865		{ "MultiListen3", 28, 1 },
30866		{ "MultiListen2", 27, 1 },
30867		{ "MultiListen1", 26, 1 },
30868		{ "MultiListen0", 25, 1 },
30869		{ "Priority3", 22, 3 },
30870		{ "Priority2", 19, 3 },
30871		{ "Priority1", 16, 3 },
30872		{ "Priority0", 13, 3 },
30873		{ "Valid", 12, 1 },
30874		{ "Replicate", 11, 1 },
30875		{ "PF", 8, 3 },
30876		{ "VF_Valid", 7, 1 },
30877		{ "VF", 0, 7 },
30878	{ "MPS_CLS_SRAM_L", 0xe530, 0 },
30879		{ "MultiListen3", 28, 1 },
30880		{ "MultiListen2", 27, 1 },
30881		{ "MultiListen1", 26, 1 },
30882		{ "MultiListen0", 25, 1 },
30883		{ "Priority3", 22, 3 },
30884		{ "Priority2", 19, 3 },
30885		{ "Priority1", 16, 3 },
30886		{ "Priority0", 13, 3 },
30887		{ "Valid", 12, 1 },
30888		{ "Replicate", 11, 1 },
30889		{ "PF", 8, 3 },
30890		{ "VF_Valid", 7, 1 },
30891		{ "VF", 0, 7 },
30892	{ "MPS_CLS_SRAM_L", 0xe538, 0 },
30893		{ "MultiListen3", 28, 1 },
30894		{ "MultiListen2", 27, 1 },
30895		{ "MultiListen1", 26, 1 },
30896		{ "MultiListen0", 25, 1 },
30897		{ "Priority3", 22, 3 },
30898		{ "Priority2", 19, 3 },
30899		{ "Priority1", 16, 3 },
30900		{ "Priority0", 13, 3 },
30901		{ "Valid", 12, 1 },
30902		{ "Replicate", 11, 1 },
30903		{ "PF", 8, 3 },
30904		{ "VF_Valid", 7, 1 },
30905		{ "VF", 0, 7 },
30906	{ "MPS_CLS_SRAM_L", 0xe540, 0 },
30907		{ "MultiListen3", 28, 1 },
30908		{ "MultiListen2", 27, 1 },
30909		{ "MultiListen1", 26, 1 },
30910		{ "MultiListen0", 25, 1 },
30911		{ "Priority3", 22, 3 },
30912		{ "Priority2", 19, 3 },
30913		{ "Priority1", 16, 3 },
30914		{ "Priority0", 13, 3 },
30915		{ "Valid", 12, 1 },
30916		{ "Replicate", 11, 1 },
30917		{ "PF", 8, 3 },
30918		{ "VF_Valid", 7, 1 },
30919		{ "VF", 0, 7 },
30920	{ "MPS_CLS_SRAM_L", 0xe548, 0 },
30921		{ "MultiListen3", 28, 1 },
30922		{ "MultiListen2", 27, 1 },
30923		{ "MultiListen1", 26, 1 },
30924		{ "MultiListen0", 25, 1 },
30925		{ "Priority3", 22, 3 },
30926		{ "Priority2", 19, 3 },
30927		{ "Priority1", 16, 3 },
30928		{ "Priority0", 13, 3 },
30929		{ "Valid", 12, 1 },
30930		{ "Replicate", 11, 1 },
30931		{ "PF", 8, 3 },
30932		{ "VF_Valid", 7, 1 },
30933		{ "VF", 0, 7 },
30934	{ "MPS_CLS_SRAM_L", 0xe550, 0 },
30935		{ "MultiListen3", 28, 1 },
30936		{ "MultiListen2", 27, 1 },
30937		{ "MultiListen1", 26, 1 },
30938		{ "MultiListen0", 25, 1 },
30939		{ "Priority3", 22, 3 },
30940		{ "Priority2", 19, 3 },
30941		{ "Priority1", 16, 3 },
30942		{ "Priority0", 13, 3 },
30943		{ "Valid", 12, 1 },
30944		{ "Replicate", 11, 1 },
30945		{ "PF", 8, 3 },
30946		{ "VF_Valid", 7, 1 },
30947		{ "VF", 0, 7 },
30948	{ "MPS_CLS_SRAM_L", 0xe558, 0 },
30949		{ "MultiListen3", 28, 1 },
30950		{ "MultiListen2", 27, 1 },
30951		{ "MultiListen1", 26, 1 },
30952		{ "MultiListen0", 25, 1 },
30953		{ "Priority3", 22, 3 },
30954		{ "Priority2", 19, 3 },
30955		{ "Priority1", 16, 3 },
30956		{ "Priority0", 13, 3 },
30957		{ "Valid", 12, 1 },
30958		{ "Replicate", 11, 1 },
30959		{ "PF", 8, 3 },
30960		{ "VF_Valid", 7, 1 },
30961		{ "VF", 0, 7 },
30962	{ "MPS_CLS_SRAM_L", 0xe560, 0 },
30963		{ "MultiListen3", 28, 1 },
30964		{ "MultiListen2", 27, 1 },
30965		{ "MultiListen1", 26, 1 },
30966		{ "MultiListen0", 25, 1 },
30967		{ "Priority3", 22, 3 },
30968		{ "Priority2", 19, 3 },
30969		{ "Priority1", 16, 3 },
30970		{ "Priority0", 13, 3 },
30971		{ "Valid", 12, 1 },
30972		{ "Replicate", 11, 1 },
30973		{ "PF", 8, 3 },
30974		{ "VF_Valid", 7, 1 },
30975		{ "VF", 0, 7 },
30976	{ "MPS_CLS_SRAM_L", 0xe568, 0 },
30977		{ "MultiListen3", 28, 1 },
30978		{ "MultiListen2", 27, 1 },
30979		{ "MultiListen1", 26, 1 },
30980		{ "MultiListen0", 25, 1 },
30981		{ "Priority3", 22, 3 },
30982		{ "Priority2", 19, 3 },
30983		{ "Priority1", 16, 3 },
30984		{ "Priority0", 13, 3 },
30985		{ "Valid", 12, 1 },
30986		{ "Replicate", 11, 1 },
30987		{ "PF", 8, 3 },
30988		{ "VF_Valid", 7, 1 },
30989		{ "VF", 0, 7 },
30990	{ "MPS_CLS_SRAM_L", 0xe570, 0 },
30991		{ "MultiListen3", 28, 1 },
30992		{ "MultiListen2", 27, 1 },
30993		{ "MultiListen1", 26, 1 },
30994		{ "MultiListen0", 25, 1 },
30995		{ "Priority3", 22, 3 },
30996		{ "Priority2", 19, 3 },
30997		{ "Priority1", 16, 3 },
30998		{ "Priority0", 13, 3 },
30999		{ "Valid", 12, 1 },
31000		{ "Replicate", 11, 1 },
31001		{ "PF", 8, 3 },
31002		{ "VF_Valid", 7, 1 },
31003		{ "VF", 0, 7 },
31004	{ "MPS_CLS_SRAM_L", 0xe578, 0 },
31005		{ "MultiListen3", 28, 1 },
31006		{ "MultiListen2", 27, 1 },
31007		{ "MultiListen1", 26, 1 },
31008		{ "MultiListen0", 25, 1 },
31009		{ "Priority3", 22, 3 },
31010		{ "Priority2", 19, 3 },
31011		{ "Priority1", 16, 3 },
31012		{ "Priority0", 13, 3 },
31013		{ "Valid", 12, 1 },
31014		{ "Replicate", 11, 1 },
31015		{ "PF", 8, 3 },
31016		{ "VF_Valid", 7, 1 },
31017		{ "VF", 0, 7 },
31018	{ "MPS_CLS_SRAM_L", 0xe580, 0 },
31019		{ "MultiListen3", 28, 1 },
31020		{ "MultiListen2", 27, 1 },
31021		{ "MultiListen1", 26, 1 },
31022		{ "MultiListen0", 25, 1 },
31023		{ "Priority3", 22, 3 },
31024		{ "Priority2", 19, 3 },
31025		{ "Priority1", 16, 3 },
31026		{ "Priority0", 13, 3 },
31027		{ "Valid", 12, 1 },
31028		{ "Replicate", 11, 1 },
31029		{ "PF", 8, 3 },
31030		{ "VF_Valid", 7, 1 },
31031		{ "VF", 0, 7 },
31032	{ "MPS_CLS_SRAM_L", 0xe588, 0 },
31033		{ "MultiListen3", 28, 1 },
31034		{ "MultiListen2", 27, 1 },
31035		{ "MultiListen1", 26, 1 },
31036		{ "MultiListen0", 25, 1 },
31037		{ "Priority3", 22, 3 },
31038		{ "Priority2", 19, 3 },
31039		{ "Priority1", 16, 3 },
31040		{ "Priority0", 13, 3 },
31041		{ "Valid", 12, 1 },
31042		{ "Replicate", 11, 1 },
31043		{ "PF", 8, 3 },
31044		{ "VF_Valid", 7, 1 },
31045		{ "VF", 0, 7 },
31046	{ "MPS_CLS_SRAM_L", 0xe590, 0 },
31047		{ "MultiListen3", 28, 1 },
31048		{ "MultiListen2", 27, 1 },
31049		{ "MultiListen1", 26, 1 },
31050		{ "MultiListen0", 25, 1 },
31051		{ "Priority3", 22, 3 },
31052		{ "Priority2", 19, 3 },
31053		{ "Priority1", 16, 3 },
31054		{ "Priority0", 13, 3 },
31055		{ "Valid", 12, 1 },
31056		{ "Replicate", 11, 1 },
31057		{ "PF", 8, 3 },
31058		{ "VF_Valid", 7, 1 },
31059		{ "VF", 0, 7 },
31060	{ "MPS_CLS_SRAM_L", 0xe598, 0 },
31061		{ "MultiListen3", 28, 1 },
31062		{ "MultiListen2", 27, 1 },
31063		{ "MultiListen1", 26, 1 },
31064		{ "MultiListen0", 25, 1 },
31065		{ "Priority3", 22, 3 },
31066		{ "Priority2", 19, 3 },
31067		{ "Priority1", 16, 3 },
31068		{ "Priority0", 13, 3 },
31069		{ "Valid", 12, 1 },
31070		{ "Replicate", 11, 1 },
31071		{ "PF", 8, 3 },
31072		{ "VF_Valid", 7, 1 },
31073		{ "VF", 0, 7 },
31074	{ "MPS_CLS_SRAM_L", 0xe5a0, 0 },
31075		{ "MultiListen3", 28, 1 },
31076		{ "MultiListen2", 27, 1 },
31077		{ "MultiListen1", 26, 1 },
31078		{ "MultiListen0", 25, 1 },
31079		{ "Priority3", 22, 3 },
31080		{ "Priority2", 19, 3 },
31081		{ "Priority1", 16, 3 },
31082		{ "Priority0", 13, 3 },
31083		{ "Valid", 12, 1 },
31084		{ "Replicate", 11, 1 },
31085		{ "PF", 8, 3 },
31086		{ "VF_Valid", 7, 1 },
31087		{ "VF", 0, 7 },
31088	{ "MPS_CLS_SRAM_L", 0xe5a8, 0 },
31089		{ "MultiListen3", 28, 1 },
31090		{ "MultiListen2", 27, 1 },
31091		{ "MultiListen1", 26, 1 },
31092		{ "MultiListen0", 25, 1 },
31093		{ "Priority3", 22, 3 },
31094		{ "Priority2", 19, 3 },
31095		{ "Priority1", 16, 3 },
31096		{ "Priority0", 13, 3 },
31097		{ "Valid", 12, 1 },
31098		{ "Replicate", 11, 1 },
31099		{ "PF", 8, 3 },
31100		{ "VF_Valid", 7, 1 },
31101		{ "VF", 0, 7 },
31102	{ "MPS_CLS_SRAM_L", 0xe5b0, 0 },
31103		{ "MultiListen3", 28, 1 },
31104		{ "MultiListen2", 27, 1 },
31105		{ "MultiListen1", 26, 1 },
31106		{ "MultiListen0", 25, 1 },
31107		{ "Priority3", 22, 3 },
31108		{ "Priority2", 19, 3 },
31109		{ "Priority1", 16, 3 },
31110		{ "Priority0", 13, 3 },
31111		{ "Valid", 12, 1 },
31112		{ "Replicate", 11, 1 },
31113		{ "PF", 8, 3 },
31114		{ "VF_Valid", 7, 1 },
31115		{ "VF", 0, 7 },
31116	{ "MPS_CLS_SRAM_L", 0xe5b8, 0 },
31117		{ "MultiListen3", 28, 1 },
31118		{ "MultiListen2", 27, 1 },
31119		{ "MultiListen1", 26, 1 },
31120		{ "MultiListen0", 25, 1 },
31121		{ "Priority3", 22, 3 },
31122		{ "Priority2", 19, 3 },
31123		{ "Priority1", 16, 3 },
31124		{ "Priority0", 13, 3 },
31125		{ "Valid", 12, 1 },
31126		{ "Replicate", 11, 1 },
31127		{ "PF", 8, 3 },
31128		{ "VF_Valid", 7, 1 },
31129		{ "VF", 0, 7 },
31130	{ "MPS_CLS_SRAM_L", 0xe5c0, 0 },
31131		{ "MultiListen3", 28, 1 },
31132		{ "MultiListen2", 27, 1 },
31133		{ "MultiListen1", 26, 1 },
31134		{ "MultiListen0", 25, 1 },
31135		{ "Priority3", 22, 3 },
31136		{ "Priority2", 19, 3 },
31137		{ "Priority1", 16, 3 },
31138		{ "Priority0", 13, 3 },
31139		{ "Valid", 12, 1 },
31140		{ "Replicate", 11, 1 },
31141		{ "PF", 8, 3 },
31142		{ "VF_Valid", 7, 1 },
31143		{ "VF", 0, 7 },
31144	{ "MPS_CLS_SRAM_L", 0xe5c8, 0 },
31145		{ "MultiListen3", 28, 1 },
31146		{ "MultiListen2", 27, 1 },
31147		{ "MultiListen1", 26, 1 },
31148		{ "MultiListen0", 25, 1 },
31149		{ "Priority3", 22, 3 },
31150		{ "Priority2", 19, 3 },
31151		{ "Priority1", 16, 3 },
31152		{ "Priority0", 13, 3 },
31153		{ "Valid", 12, 1 },
31154		{ "Replicate", 11, 1 },
31155		{ "PF", 8, 3 },
31156		{ "VF_Valid", 7, 1 },
31157		{ "VF", 0, 7 },
31158	{ "MPS_CLS_SRAM_L", 0xe5d0, 0 },
31159		{ "MultiListen3", 28, 1 },
31160		{ "MultiListen2", 27, 1 },
31161		{ "MultiListen1", 26, 1 },
31162		{ "MultiListen0", 25, 1 },
31163		{ "Priority3", 22, 3 },
31164		{ "Priority2", 19, 3 },
31165		{ "Priority1", 16, 3 },
31166		{ "Priority0", 13, 3 },
31167		{ "Valid", 12, 1 },
31168		{ "Replicate", 11, 1 },
31169		{ "PF", 8, 3 },
31170		{ "VF_Valid", 7, 1 },
31171		{ "VF", 0, 7 },
31172	{ "MPS_CLS_SRAM_L", 0xe5d8, 0 },
31173		{ "MultiListen3", 28, 1 },
31174		{ "MultiListen2", 27, 1 },
31175		{ "MultiListen1", 26, 1 },
31176		{ "MultiListen0", 25, 1 },
31177		{ "Priority3", 22, 3 },
31178		{ "Priority2", 19, 3 },
31179		{ "Priority1", 16, 3 },
31180		{ "Priority0", 13, 3 },
31181		{ "Valid", 12, 1 },
31182		{ "Replicate", 11, 1 },
31183		{ "PF", 8, 3 },
31184		{ "VF_Valid", 7, 1 },
31185		{ "VF", 0, 7 },
31186	{ "MPS_CLS_SRAM_L", 0xe5e0, 0 },
31187		{ "MultiListen3", 28, 1 },
31188		{ "MultiListen2", 27, 1 },
31189		{ "MultiListen1", 26, 1 },
31190		{ "MultiListen0", 25, 1 },
31191		{ "Priority3", 22, 3 },
31192		{ "Priority2", 19, 3 },
31193		{ "Priority1", 16, 3 },
31194		{ "Priority0", 13, 3 },
31195		{ "Valid", 12, 1 },
31196		{ "Replicate", 11, 1 },
31197		{ "PF", 8, 3 },
31198		{ "VF_Valid", 7, 1 },
31199		{ "VF", 0, 7 },
31200	{ "MPS_CLS_SRAM_L", 0xe5e8, 0 },
31201		{ "MultiListen3", 28, 1 },
31202		{ "MultiListen2", 27, 1 },
31203		{ "MultiListen1", 26, 1 },
31204		{ "MultiListen0", 25, 1 },
31205		{ "Priority3", 22, 3 },
31206		{ "Priority2", 19, 3 },
31207		{ "Priority1", 16, 3 },
31208		{ "Priority0", 13, 3 },
31209		{ "Valid", 12, 1 },
31210		{ "Replicate", 11, 1 },
31211		{ "PF", 8, 3 },
31212		{ "VF_Valid", 7, 1 },
31213		{ "VF", 0, 7 },
31214	{ "MPS_CLS_SRAM_L", 0xe5f0, 0 },
31215		{ "MultiListen3", 28, 1 },
31216		{ "MultiListen2", 27, 1 },
31217		{ "MultiListen1", 26, 1 },
31218		{ "MultiListen0", 25, 1 },
31219		{ "Priority3", 22, 3 },
31220		{ "Priority2", 19, 3 },
31221		{ "Priority1", 16, 3 },
31222		{ "Priority0", 13, 3 },
31223		{ "Valid", 12, 1 },
31224		{ "Replicate", 11, 1 },
31225		{ "PF", 8, 3 },
31226		{ "VF_Valid", 7, 1 },
31227		{ "VF", 0, 7 },
31228	{ "MPS_CLS_SRAM_L", 0xe5f8, 0 },
31229		{ "MultiListen3", 28, 1 },
31230		{ "MultiListen2", 27, 1 },
31231		{ "MultiListen1", 26, 1 },
31232		{ "MultiListen0", 25, 1 },
31233		{ "Priority3", 22, 3 },
31234		{ "Priority2", 19, 3 },
31235		{ "Priority1", 16, 3 },
31236		{ "Priority0", 13, 3 },
31237		{ "Valid", 12, 1 },
31238		{ "Replicate", 11, 1 },
31239		{ "PF", 8, 3 },
31240		{ "VF_Valid", 7, 1 },
31241		{ "VF", 0, 7 },
31242	{ "MPS_CLS_SRAM_L", 0xe600, 0 },
31243		{ "MultiListen3", 28, 1 },
31244		{ "MultiListen2", 27, 1 },
31245		{ "MultiListen1", 26, 1 },
31246		{ "MultiListen0", 25, 1 },
31247		{ "Priority3", 22, 3 },
31248		{ "Priority2", 19, 3 },
31249		{ "Priority1", 16, 3 },
31250		{ "Priority0", 13, 3 },
31251		{ "Valid", 12, 1 },
31252		{ "Replicate", 11, 1 },
31253		{ "PF", 8, 3 },
31254		{ "VF_Valid", 7, 1 },
31255		{ "VF", 0, 7 },
31256	{ "MPS_CLS_SRAM_L", 0xe608, 0 },
31257		{ "MultiListen3", 28, 1 },
31258		{ "MultiListen2", 27, 1 },
31259		{ "MultiListen1", 26, 1 },
31260		{ "MultiListen0", 25, 1 },
31261		{ "Priority3", 22, 3 },
31262		{ "Priority2", 19, 3 },
31263		{ "Priority1", 16, 3 },
31264		{ "Priority0", 13, 3 },
31265		{ "Valid", 12, 1 },
31266		{ "Replicate", 11, 1 },
31267		{ "PF", 8, 3 },
31268		{ "VF_Valid", 7, 1 },
31269		{ "VF", 0, 7 },
31270	{ "MPS_CLS_SRAM_L", 0xe610, 0 },
31271		{ "MultiListen3", 28, 1 },
31272		{ "MultiListen2", 27, 1 },
31273		{ "MultiListen1", 26, 1 },
31274		{ "MultiListen0", 25, 1 },
31275		{ "Priority3", 22, 3 },
31276		{ "Priority2", 19, 3 },
31277		{ "Priority1", 16, 3 },
31278		{ "Priority0", 13, 3 },
31279		{ "Valid", 12, 1 },
31280		{ "Replicate", 11, 1 },
31281		{ "PF", 8, 3 },
31282		{ "VF_Valid", 7, 1 },
31283		{ "VF", 0, 7 },
31284	{ "MPS_CLS_SRAM_L", 0xe618, 0 },
31285		{ "MultiListen3", 28, 1 },
31286		{ "MultiListen2", 27, 1 },
31287		{ "MultiListen1", 26, 1 },
31288		{ "MultiListen0", 25, 1 },
31289		{ "Priority3", 22, 3 },
31290		{ "Priority2", 19, 3 },
31291		{ "Priority1", 16, 3 },
31292		{ "Priority0", 13, 3 },
31293		{ "Valid", 12, 1 },
31294		{ "Replicate", 11, 1 },
31295		{ "PF", 8, 3 },
31296		{ "VF_Valid", 7, 1 },
31297		{ "VF", 0, 7 },
31298	{ "MPS_CLS_SRAM_L", 0xe620, 0 },
31299		{ "MultiListen3", 28, 1 },
31300		{ "MultiListen2", 27, 1 },
31301		{ "MultiListen1", 26, 1 },
31302		{ "MultiListen0", 25, 1 },
31303		{ "Priority3", 22, 3 },
31304		{ "Priority2", 19, 3 },
31305		{ "Priority1", 16, 3 },
31306		{ "Priority0", 13, 3 },
31307		{ "Valid", 12, 1 },
31308		{ "Replicate", 11, 1 },
31309		{ "PF", 8, 3 },
31310		{ "VF_Valid", 7, 1 },
31311		{ "VF", 0, 7 },
31312	{ "MPS_CLS_SRAM_L", 0xe628, 0 },
31313		{ "MultiListen3", 28, 1 },
31314		{ "MultiListen2", 27, 1 },
31315		{ "MultiListen1", 26, 1 },
31316		{ "MultiListen0", 25, 1 },
31317		{ "Priority3", 22, 3 },
31318		{ "Priority2", 19, 3 },
31319		{ "Priority1", 16, 3 },
31320		{ "Priority0", 13, 3 },
31321		{ "Valid", 12, 1 },
31322		{ "Replicate", 11, 1 },
31323		{ "PF", 8, 3 },
31324		{ "VF_Valid", 7, 1 },
31325		{ "VF", 0, 7 },
31326	{ "MPS_CLS_SRAM_L", 0xe630, 0 },
31327		{ "MultiListen3", 28, 1 },
31328		{ "MultiListen2", 27, 1 },
31329		{ "MultiListen1", 26, 1 },
31330		{ "MultiListen0", 25, 1 },
31331		{ "Priority3", 22, 3 },
31332		{ "Priority2", 19, 3 },
31333		{ "Priority1", 16, 3 },
31334		{ "Priority0", 13, 3 },
31335		{ "Valid", 12, 1 },
31336		{ "Replicate", 11, 1 },
31337		{ "PF", 8, 3 },
31338		{ "VF_Valid", 7, 1 },
31339		{ "VF", 0, 7 },
31340	{ "MPS_CLS_SRAM_L", 0xe638, 0 },
31341		{ "MultiListen3", 28, 1 },
31342		{ "MultiListen2", 27, 1 },
31343		{ "MultiListen1", 26, 1 },
31344		{ "MultiListen0", 25, 1 },
31345		{ "Priority3", 22, 3 },
31346		{ "Priority2", 19, 3 },
31347		{ "Priority1", 16, 3 },
31348		{ "Priority0", 13, 3 },
31349		{ "Valid", 12, 1 },
31350		{ "Replicate", 11, 1 },
31351		{ "PF", 8, 3 },
31352		{ "VF_Valid", 7, 1 },
31353		{ "VF", 0, 7 },
31354	{ "MPS_CLS_SRAM_L", 0xe640, 0 },
31355		{ "MultiListen3", 28, 1 },
31356		{ "MultiListen2", 27, 1 },
31357		{ "MultiListen1", 26, 1 },
31358		{ "MultiListen0", 25, 1 },
31359		{ "Priority3", 22, 3 },
31360		{ "Priority2", 19, 3 },
31361		{ "Priority1", 16, 3 },
31362		{ "Priority0", 13, 3 },
31363		{ "Valid", 12, 1 },
31364		{ "Replicate", 11, 1 },
31365		{ "PF", 8, 3 },
31366		{ "VF_Valid", 7, 1 },
31367		{ "VF", 0, 7 },
31368	{ "MPS_CLS_SRAM_L", 0xe648, 0 },
31369		{ "MultiListen3", 28, 1 },
31370		{ "MultiListen2", 27, 1 },
31371		{ "MultiListen1", 26, 1 },
31372		{ "MultiListen0", 25, 1 },
31373		{ "Priority3", 22, 3 },
31374		{ "Priority2", 19, 3 },
31375		{ "Priority1", 16, 3 },
31376		{ "Priority0", 13, 3 },
31377		{ "Valid", 12, 1 },
31378		{ "Replicate", 11, 1 },
31379		{ "PF", 8, 3 },
31380		{ "VF_Valid", 7, 1 },
31381		{ "VF", 0, 7 },
31382	{ "MPS_CLS_SRAM_L", 0xe650, 0 },
31383		{ "MultiListen3", 28, 1 },
31384		{ "MultiListen2", 27, 1 },
31385		{ "MultiListen1", 26, 1 },
31386		{ "MultiListen0", 25, 1 },
31387		{ "Priority3", 22, 3 },
31388		{ "Priority2", 19, 3 },
31389		{ "Priority1", 16, 3 },
31390		{ "Priority0", 13, 3 },
31391		{ "Valid", 12, 1 },
31392		{ "Replicate", 11, 1 },
31393		{ "PF", 8, 3 },
31394		{ "VF_Valid", 7, 1 },
31395		{ "VF", 0, 7 },
31396	{ "MPS_CLS_SRAM_L", 0xe658, 0 },
31397		{ "MultiListen3", 28, 1 },
31398		{ "MultiListen2", 27, 1 },
31399		{ "MultiListen1", 26, 1 },
31400		{ "MultiListen0", 25, 1 },
31401		{ "Priority3", 22, 3 },
31402		{ "Priority2", 19, 3 },
31403		{ "Priority1", 16, 3 },
31404		{ "Priority0", 13, 3 },
31405		{ "Valid", 12, 1 },
31406		{ "Replicate", 11, 1 },
31407		{ "PF", 8, 3 },
31408		{ "VF_Valid", 7, 1 },
31409		{ "VF", 0, 7 },
31410	{ "MPS_CLS_SRAM_L", 0xe660, 0 },
31411		{ "MultiListen3", 28, 1 },
31412		{ "MultiListen2", 27, 1 },
31413		{ "MultiListen1", 26, 1 },
31414		{ "MultiListen0", 25, 1 },
31415		{ "Priority3", 22, 3 },
31416		{ "Priority2", 19, 3 },
31417		{ "Priority1", 16, 3 },
31418		{ "Priority0", 13, 3 },
31419		{ "Valid", 12, 1 },
31420		{ "Replicate", 11, 1 },
31421		{ "PF", 8, 3 },
31422		{ "VF_Valid", 7, 1 },
31423		{ "VF", 0, 7 },
31424	{ "MPS_CLS_SRAM_L", 0xe668, 0 },
31425		{ "MultiListen3", 28, 1 },
31426		{ "MultiListen2", 27, 1 },
31427		{ "MultiListen1", 26, 1 },
31428		{ "MultiListen0", 25, 1 },
31429		{ "Priority3", 22, 3 },
31430		{ "Priority2", 19, 3 },
31431		{ "Priority1", 16, 3 },
31432		{ "Priority0", 13, 3 },
31433		{ "Valid", 12, 1 },
31434		{ "Replicate", 11, 1 },
31435		{ "PF", 8, 3 },
31436		{ "VF_Valid", 7, 1 },
31437		{ "VF", 0, 7 },
31438	{ "MPS_CLS_SRAM_L", 0xe670, 0 },
31439		{ "MultiListen3", 28, 1 },
31440		{ "MultiListen2", 27, 1 },
31441		{ "MultiListen1", 26, 1 },
31442		{ "MultiListen0", 25, 1 },
31443		{ "Priority3", 22, 3 },
31444		{ "Priority2", 19, 3 },
31445		{ "Priority1", 16, 3 },
31446		{ "Priority0", 13, 3 },
31447		{ "Valid", 12, 1 },
31448		{ "Replicate", 11, 1 },
31449		{ "PF", 8, 3 },
31450		{ "VF_Valid", 7, 1 },
31451		{ "VF", 0, 7 },
31452	{ "MPS_CLS_SRAM_L", 0xe678, 0 },
31453		{ "MultiListen3", 28, 1 },
31454		{ "MultiListen2", 27, 1 },
31455		{ "MultiListen1", 26, 1 },
31456		{ "MultiListen0", 25, 1 },
31457		{ "Priority3", 22, 3 },
31458		{ "Priority2", 19, 3 },
31459		{ "Priority1", 16, 3 },
31460		{ "Priority0", 13, 3 },
31461		{ "Valid", 12, 1 },
31462		{ "Replicate", 11, 1 },
31463		{ "PF", 8, 3 },
31464		{ "VF_Valid", 7, 1 },
31465		{ "VF", 0, 7 },
31466	{ "MPS_CLS_SRAM_L", 0xe680, 0 },
31467		{ "MultiListen3", 28, 1 },
31468		{ "MultiListen2", 27, 1 },
31469		{ "MultiListen1", 26, 1 },
31470		{ "MultiListen0", 25, 1 },
31471		{ "Priority3", 22, 3 },
31472		{ "Priority2", 19, 3 },
31473		{ "Priority1", 16, 3 },
31474		{ "Priority0", 13, 3 },
31475		{ "Valid", 12, 1 },
31476		{ "Replicate", 11, 1 },
31477		{ "PF", 8, 3 },
31478		{ "VF_Valid", 7, 1 },
31479		{ "VF", 0, 7 },
31480	{ "MPS_CLS_SRAM_L", 0xe688, 0 },
31481		{ "MultiListen3", 28, 1 },
31482		{ "MultiListen2", 27, 1 },
31483		{ "MultiListen1", 26, 1 },
31484		{ "MultiListen0", 25, 1 },
31485		{ "Priority3", 22, 3 },
31486		{ "Priority2", 19, 3 },
31487		{ "Priority1", 16, 3 },
31488		{ "Priority0", 13, 3 },
31489		{ "Valid", 12, 1 },
31490		{ "Replicate", 11, 1 },
31491		{ "PF", 8, 3 },
31492		{ "VF_Valid", 7, 1 },
31493		{ "VF", 0, 7 },
31494	{ "MPS_CLS_SRAM_L", 0xe690, 0 },
31495		{ "MultiListen3", 28, 1 },
31496		{ "MultiListen2", 27, 1 },
31497		{ "MultiListen1", 26, 1 },
31498		{ "MultiListen0", 25, 1 },
31499		{ "Priority3", 22, 3 },
31500		{ "Priority2", 19, 3 },
31501		{ "Priority1", 16, 3 },
31502		{ "Priority0", 13, 3 },
31503		{ "Valid", 12, 1 },
31504		{ "Replicate", 11, 1 },
31505		{ "PF", 8, 3 },
31506		{ "VF_Valid", 7, 1 },
31507		{ "VF", 0, 7 },
31508	{ "MPS_CLS_SRAM_L", 0xe698, 0 },
31509		{ "MultiListen3", 28, 1 },
31510		{ "MultiListen2", 27, 1 },
31511		{ "MultiListen1", 26, 1 },
31512		{ "MultiListen0", 25, 1 },
31513		{ "Priority3", 22, 3 },
31514		{ "Priority2", 19, 3 },
31515		{ "Priority1", 16, 3 },
31516		{ "Priority0", 13, 3 },
31517		{ "Valid", 12, 1 },
31518		{ "Replicate", 11, 1 },
31519		{ "PF", 8, 3 },
31520		{ "VF_Valid", 7, 1 },
31521		{ "VF", 0, 7 },
31522	{ "MPS_CLS_SRAM_L", 0xe6a0, 0 },
31523		{ "MultiListen3", 28, 1 },
31524		{ "MultiListen2", 27, 1 },
31525		{ "MultiListen1", 26, 1 },
31526		{ "MultiListen0", 25, 1 },
31527		{ "Priority3", 22, 3 },
31528		{ "Priority2", 19, 3 },
31529		{ "Priority1", 16, 3 },
31530		{ "Priority0", 13, 3 },
31531		{ "Valid", 12, 1 },
31532		{ "Replicate", 11, 1 },
31533		{ "PF", 8, 3 },
31534		{ "VF_Valid", 7, 1 },
31535		{ "VF", 0, 7 },
31536	{ "MPS_CLS_SRAM_L", 0xe6a8, 0 },
31537		{ "MultiListen3", 28, 1 },
31538		{ "MultiListen2", 27, 1 },
31539		{ "MultiListen1", 26, 1 },
31540		{ "MultiListen0", 25, 1 },
31541		{ "Priority3", 22, 3 },
31542		{ "Priority2", 19, 3 },
31543		{ "Priority1", 16, 3 },
31544		{ "Priority0", 13, 3 },
31545		{ "Valid", 12, 1 },
31546		{ "Replicate", 11, 1 },
31547		{ "PF", 8, 3 },
31548		{ "VF_Valid", 7, 1 },
31549		{ "VF", 0, 7 },
31550	{ "MPS_CLS_SRAM_L", 0xe6b0, 0 },
31551		{ "MultiListen3", 28, 1 },
31552		{ "MultiListen2", 27, 1 },
31553		{ "MultiListen1", 26, 1 },
31554		{ "MultiListen0", 25, 1 },
31555		{ "Priority3", 22, 3 },
31556		{ "Priority2", 19, 3 },
31557		{ "Priority1", 16, 3 },
31558		{ "Priority0", 13, 3 },
31559		{ "Valid", 12, 1 },
31560		{ "Replicate", 11, 1 },
31561		{ "PF", 8, 3 },
31562		{ "VF_Valid", 7, 1 },
31563		{ "VF", 0, 7 },
31564	{ "MPS_CLS_SRAM_L", 0xe6b8, 0 },
31565		{ "MultiListen3", 28, 1 },
31566		{ "MultiListen2", 27, 1 },
31567		{ "MultiListen1", 26, 1 },
31568		{ "MultiListen0", 25, 1 },
31569		{ "Priority3", 22, 3 },
31570		{ "Priority2", 19, 3 },
31571		{ "Priority1", 16, 3 },
31572		{ "Priority0", 13, 3 },
31573		{ "Valid", 12, 1 },
31574		{ "Replicate", 11, 1 },
31575		{ "PF", 8, 3 },
31576		{ "VF_Valid", 7, 1 },
31577		{ "VF", 0, 7 },
31578	{ "MPS_CLS_SRAM_L", 0xe6c0, 0 },
31579		{ "MultiListen3", 28, 1 },
31580		{ "MultiListen2", 27, 1 },
31581		{ "MultiListen1", 26, 1 },
31582		{ "MultiListen0", 25, 1 },
31583		{ "Priority3", 22, 3 },
31584		{ "Priority2", 19, 3 },
31585		{ "Priority1", 16, 3 },
31586		{ "Priority0", 13, 3 },
31587		{ "Valid", 12, 1 },
31588		{ "Replicate", 11, 1 },
31589		{ "PF", 8, 3 },
31590		{ "VF_Valid", 7, 1 },
31591		{ "VF", 0, 7 },
31592	{ "MPS_CLS_SRAM_L", 0xe6c8, 0 },
31593		{ "MultiListen3", 28, 1 },
31594		{ "MultiListen2", 27, 1 },
31595		{ "MultiListen1", 26, 1 },
31596		{ "MultiListen0", 25, 1 },
31597		{ "Priority3", 22, 3 },
31598		{ "Priority2", 19, 3 },
31599		{ "Priority1", 16, 3 },
31600		{ "Priority0", 13, 3 },
31601		{ "Valid", 12, 1 },
31602		{ "Replicate", 11, 1 },
31603		{ "PF", 8, 3 },
31604		{ "VF_Valid", 7, 1 },
31605		{ "VF", 0, 7 },
31606	{ "MPS_CLS_SRAM_L", 0xe6d0, 0 },
31607		{ "MultiListen3", 28, 1 },
31608		{ "MultiListen2", 27, 1 },
31609		{ "MultiListen1", 26, 1 },
31610		{ "MultiListen0", 25, 1 },
31611		{ "Priority3", 22, 3 },
31612		{ "Priority2", 19, 3 },
31613		{ "Priority1", 16, 3 },
31614		{ "Priority0", 13, 3 },
31615		{ "Valid", 12, 1 },
31616		{ "Replicate", 11, 1 },
31617		{ "PF", 8, 3 },
31618		{ "VF_Valid", 7, 1 },
31619		{ "VF", 0, 7 },
31620	{ "MPS_CLS_SRAM_L", 0xe6d8, 0 },
31621		{ "MultiListen3", 28, 1 },
31622		{ "MultiListen2", 27, 1 },
31623		{ "MultiListen1", 26, 1 },
31624		{ "MultiListen0", 25, 1 },
31625		{ "Priority3", 22, 3 },
31626		{ "Priority2", 19, 3 },
31627		{ "Priority1", 16, 3 },
31628		{ "Priority0", 13, 3 },
31629		{ "Valid", 12, 1 },
31630		{ "Replicate", 11, 1 },
31631		{ "PF", 8, 3 },
31632		{ "VF_Valid", 7, 1 },
31633		{ "VF", 0, 7 },
31634	{ "MPS_CLS_SRAM_L", 0xe6e0, 0 },
31635		{ "MultiListen3", 28, 1 },
31636		{ "MultiListen2", 27, 1 },
31637		{ "MultiListen1", 26, 1 },
31638		{ "MultiListen0", 25, 1 },
31639		{ "Priority3", 22, 3 },
31640		{ "Priority2", 19, 3 },
31641		{ "Priority1", 16, 3 },
31642		{ "Priority0", 13, 3 },
31643		{ "Valid", 12, 1 },
31644		{ "Replicate", 11, 1 },
31645		{ "PF", 8, 3 },
31646		{ "VF_Valid", 7, 1 },
31647		{ "VF", 0, 7 },
31648	{ "MPS_CLS_SRAM_L", 0xe6e8, 0 },
31649		{ "MultiListen3", 28, 1 },
31650		{ "MultiListen2", 27, 1 },
31651		{ "MultiListen1", 26, 1 },
31652		{ "MultiListen0", 25, 1 },
31653		{ "Priority3", 22, 3 },
31654		{ "Priority2", 19, 3 },
31655		{ "Priority1", 16, 3 },
31656		{ "Priority0", 13, 3 },
31657		{ "Valid", 12, 1 },
31658		{ "Replicate", 11, 1 },
31659		{ "PF", 8, 3 },
31660		{ "VF_Valid", 7, 1 },
31661		{ "VF", 0, 7 },
31662	{ "MPS_CLS_SRAM_L", 0xe6f0, 0 },
31663		{ "MultiListen3", 28, 1 },
31664		{ "MultiListen2", 27, 1 },
31665		{ "MultiListen1", 26, 1 },
31666		{ "MultiListen0", 25, 1 },
31667		{ "Priority3", 22, 3 },
31668		{ "Priority2", 19, 3 },
31669		{ "Priority1", 16, 3 },
31670		{ "Priority0", 13, 3 },
31671		{ "Valid", 12, 1 },
31672		{ "Replicate", 11, 1 },
31673		{ "PF", 8, 3 },
31674		{ "VF_Valid", 7, 1 },
31675		{ "VF", 0, 7 },
31676	{ "MPS_CLS_SRAM_L", 0xe6f8, 0 },
31677		{ "MultiListen3", 28, 1 },
31678		{ "MultiListen2", 27, 1 },
31679		{ "MultiListen1", 26, 1 },
31680		{ "MultiListen0", 25, 1 },
31681		{ "Priority3", 22, 3 },
31682		{ "Priority2", 19, 3 },
31683		{ "Priority1", 16, 3 },
31684		{ "Priority0", 13, 3 },
31685		{ "Valid", 12, 1 },
31686		{ "Replicate", 11, 1 },
31687		{ "PF", 8, 3 },
31688		{ "VF_Valid", 7, 1 },
31689		{ "VF", 0, 7 },
31690	{ "MPS_CLS_SRAM_L", 0xe700, 0 },
31691		{ "MultiListen3", 28, 1 },
31692		{ "MultiListen2", 27, 1 },
31693		{ "MultiListen1", 26, 1 },
31694		{ "MultiListen0", 25, 1 },
31695		{ "Priority3", 22, 3 },
31696		{ "Priority2", 19, 3 },
31697		{ "Priority1", 16, 3 },
31698		{ "Priority0", 13, 3 },
31699		{ "Valid", 12, 1 },
31700		{ "Replicate", 11, 1 },
31701		{ "PF", 8, 3 },
31702		{ "VF_Valid", 7, 1 },
31703		{ "VF", 0, 7 },
31704	{ "MPS_CLS_SRAM_L", 0xe708, 0 },
31705		{ "MultiListen3", 28, 1 },
31706		{ "MultiListen2", 27, 1 },
31707		{ "MultiListen1", 26, 1 },
31708		{ "MultiListen0", 25, 1 },
31709		{ "Priority3", 22, 3 },
31710		{ "Priority2", 19, 3 },
31711		{ "Priority1", 16, 3 },
31712		{ "Priority0", 13, 3 },
31713		{ "Valid", 12, 1 },
31714		{ "Replicate", 11, 1 },
31715		{ "PF", 8, 3 },
31716		{ "VF_Valid", 7, 1 },
31717		{ "VF", 0, 7 },
31718	{ "MPS_CLS_SRAM_L", 0xe710, 0 },
31719		{ "MultiListen3", 28, 1 },
31720		{ "MultiListen2", 27, 1 },
31721		{ "MultiListen1", 26, 1 },
31722		{ "MultiListen0", 25, 1 },
31723		{ "Priority3", 22, 3 },
31724		{ "Priority2", 19, 3 },
31725		{ "Priority1", 16, 3 },
31726		{ "Priority0", 13, 3 },
31727		{ "Valid", 12, 1 },
31728		{ "Replicate", 11, 1 },
31729		{ "PF", 8, 3 },
31730		{ "VF_Valid", 7, 1 },
31731		{ "VF", 0, 7 },
31732	{ "MPS_CLS_SRAM_L", 0xe718, 0 },
31733		{ "MultiListen3", 28, 1 },
31734		{ "MultiListen2", 27, 1 },
31735		{ "MultiListen1", 26, 1 },
31736		{ "MultiListen0", 25, 1 },
31737		{ "Priority3", 22, 3 },
31738		{ "Priority2", 19, 3 },
31739		{ "Priority1", 16, 3 },
31740		{ "Priority0", 13, 3 },
31741		{ "Valid", 12, 1 },
31742		{ "Replicate", 11, 1 },
31743		{ "PF", 8, 3 },
31744		{ "VF_Valid", 7, 1 },
31745		{ "VF", 0, 7 },
31746	{ "MPS_CLS_SRAM_L", 0xe720, 0 },
31747		{ "MultiListen3", 28, 1 },
31748		{ "MultiListen2", 27, 1 },
31749		{ "MultiListen1", 26, 1 },
31750		{ "MultiListen0", 25, 1 },
31751		{ "Priority3", 22, 3 },
31752		{ "Priority2", 19, 3 },
31753		{ "Priority1", 16, 3 },
31754		{ "Priority0", 13, 3 },
31755		{ "Valid", 12, 1 },
31756		{ "Replicate", 11, 1 },
31757		{ "PF", 8, 3 },
31758		{ "VF_Valid", 7, 1 },
31759		{ "VF", 0, 7 },
31760	{ "MPS_CLS_SRAM_L", 0xe728, 0 },
31761		{ "MultiListen3", 28, 1 },
31762		{ "MultiListen2", 27, 1 },
31763		{ "MultiListen1", 26, 1 },
31764		{ "MultiListen0", 25, 1 },
31765		{ "Priority3", 22, 3 },
31766		{ "Priority2", 19, 3 },
31767		{ "Priority1", 16, 3 },
31768		{ "Priority0", 13, 3 },
31769		{ "Valid", 12, 1 },
31770		{ "Replicate", 11, 1 },
31771		{ "PF", 8, 3 },
31772		{ "VF_Valid", 7, 1 },
31773		{ "VF", 0, 7 },
31774	{ "MPS_CLS_SRAM_L", 0xe730, 0 },
31775		{ "MultiListen3", 28, 1 },
31776		{ "MultiListen2", 27, 1 },
31777		{ "MultiListen1", 26, 1 },
31778		{ "MultiListen0", 25, 1 },
31779		{ "Priority3", 22, 3 },
31780		{ "Priority2", 19, 3 },
31781		{ "Priority1", 16, 3 },
31782		{ "Priority0", 13, 3 },
31783		{ "Valid", 12, 1 },
31784		{ "Replicate", 11, 1 },
31785		{ "PF", 8, 3 },
31786		{ "VF_Valid", 7, 1 },
31787		{ "VF", 0, 7 },
31788	{ "MPS_CLS_SRAM_L", 0xe738, 0 },
31789		{ "MultiListen3", 28, 1 },
31790		{ "MultiListen2", 27, 1 },
31791		{ "MultiListen1", 26, 1 },
31792		{ "MultiListen0", 25, 1 },
31793		{ "Priority3", 22, 3 },
31794		{ "Priority2", 19, 3 },
31795		{ "Priority1", 16, 3 },
31796		{ "Priority0", 13, 3 },
31797		{ "Valid", 12, 1 },
31798		{ "Replicate", 11, 1 },
31799		{ "PF", 8, 3 },
31800		{ "VF_Valid", 7, 1 },
31801		{ "VF", 0, 7 },
31802	{ "MPS_CLS_SRAM_L", 0xe740, 0 },
31803		{ "MultiListen3", 28, 1 },
31804		{ "MultiListen2", 27, 1 },
31805		{ "MultiListen1", 26, 1 },
31806		{ "MultiListen0", 25, 1 },
31807		{ "Priority3", 22, 3 },
31808		{ "Priority2", 19, 3 },
31809		{ "Priority1", 16, 3 },
31810		{ "Priority0", 13, 3 },
31811		{ "Valid", 12, 1 },
31812		{ "Replicate", 11, 1 },
31813		{ "PF", 8, 3 },
31814		{ "VF_Valid", 7, 1 },
31815		{ "VF", 0, 7 },
31816	{ "MPS_CLS_SRAM_L", 0xe748, 0 },
31817		{ "MultiListen3", 28, 1 },
31818		{ "MultiListen2", 27, 1 },
31819		{ "MultiListen1", 26, 1 },
31820		{ "MultiListen0", 25, 1 },
31821		{ "Priority3", 22, 3 },
31822		{ "Priority2", 19, 3 },
31823		{ "Priority1", 16, 3 },
31824		{ "Priority0", 13, 3 },
31825		{ "Valid", 12, 1 },
31826		{ "Replicate", 11, 1 },
31827		{ "PF", 8, 3 },
31828		{ "VF_Valid", 7, 1 },
31829		{ "VF", 0, 7 },
31830	{ "MPS_CLS_SRAM_L", 0xe750, 0 },
31831		{ "MultiListen3", 28, 1 },
31832		{ "MultiListen2", 27, 1 },
31833		{ "MultiListen1", 26, 1 },
31834		{ "MultiListen0", 25, 1 },
31835		{ "Priority3", 22, 3 },
31836		{ "Priority2", 19, 3 },
31837		{ "Priority1", 16, 3 },
31838		{ "Priority0", 13, 3 },
31839		{ "Valid", 12, 1 },
31840		{ "Replicate", 11, 1 },
31841		{ "PF", 8, 3 },
31842		{ "VF_Valid", 7, 1 },
31843		{ "VF", 0, 7 },
31844	{ "MPS_CLS_SRAM_L", 0xe758, 0 },
31845		{ "MultiListen3", 28, 1 },
31846		{ "MultiListen2", 27, 1 },
31847		{ "MultiListen1", 26, 1 },
31848		{ "MultiListen0", 25, 1 },
31849		{ "Priority3", 22, 3 },
31850		{ "Priority2", 19, 3 },
31851		{ "Priority1", 16, 3 },
31852		{ "Priority0", 13, 3 },
31853		{ "Valid", 12, 1 },
31854		{ "Replicate", 11, 1 },
31855		{ "PF", 8, 3 },
31856		{ "VF_Valid", 7, 1 },
31857		{ "VF", 0, 7 },
31858	{ "MPS_CLS_SRAM_L", 0xe760, 0 },
31859		{ "MultiListen3", 28, 1 },
31860		{ "MultiListen2", 27, 1 },
31861		{ "MultiListen1", 26, 1 },
31862		{ "MultiListen0", 25, 1 },
31863		{ "Priority3", 22, 3 },
31864		{ "Priority2", 19, 3 },
31865		{ "Priority1", 16, 3 },
31866		{ "Priority0", 13, 3 },
31867		{ "Valid", 12, 1 },
31868		{ "Replicate", 11, 1 },
31869		{ "PF", 8, 3 },
31870		{ "VF_Valid", 7, 1 },
31871		{ "VF", 0, 7 },
31872	{ "MPS_CLS_SRAM_L", 0xe768, 0 },
31873		{ "MultiListen3", 28, 1 },
31874		{ "MultiListen2", 27, 1 },
31875		{ "MultiListen1", 26, 1 },
31876		{ "MultiListen0", 25, 1 },
31877		{ "Priority3", 22, 3 },
31878		{ "Priority2", 19, 3 },
31879		{ "Priority1", 16, 3 },
31880		{ "Priority0", 13, 3 },
31881		{ "Valid", 12, 1 },
31882		{ "Replicate", 11, 1 },
31883		{ "PF", 8, 3 },
31884		{ "VF_Valid", 7, 1 },
31885		{ "VF", 0, 7 },
31886	{ "MPS_CLS_SRAM_L", 0xe770, 0 },
31887		{ "MultiListen3", 28, 1 },
31888		{ "MultiListen2", 27, 1 },
31889		{ "MultiListen1", 26, 1 },
31890		{ "MultiListen0", 25, 1 },
31891		{ "Priority3", 22, 3 },
31892		{ "Priority2", 19, 3 },
31893		{ "Priority1", 16, 3 },
31894		{ "Priority0", 13, 3 },
31895		{ "Valid", 12, 1 },
31896		{ "Replicate", 11, 1 },
31897		{ "PF", 8, 3 },
31898		{ "VF_Valid", 7, 1 },
31899		{ "VF", 0, 7 },
31900	{ "MPS_CLS_SRAM_L", 0xe778, 0 },
31901		{ "MultiListen3", 28, 1 },
31902		{ "MultiListen2", 27, 1 },
31903		{ "MultiListen1", 26, 1 },
31904		{ "MultiListen0", 25, 1 },
31905		{ "Priority3", 22, 3 },
31906		{ "Priority2", 19, 3 },
31907		{ "Priority1", 16, 3 },
31908		{ "Priority0", 13, 3 },
31909		{ "Valid", 12, 1 },
31910		{ "Replicate", 11, 1 },
31911		{ "PF", 8, 3 },
31912		{ "VF_Valid", 7, 1 },
31913		{ "VF", 0, 7 },
31914	{ "MPS_CLS_SRAM_L", 0xe780, 0 },
31915		{ "MultiListen3", 28, 1 },
31916		{ "MultiListen2", 27, 1 },
31917		{ "MultiListen1", 26, 1 },
31918		{ "MultiListen0", 25, 1 },
31919		{ "Priority3", 22, 3 },
31920		{ "Priority2", 19, 3 },
31921		{ "Priority1", 16, 3 },
31922		{ "Priority0", 13, 3 },
31923		{ "Valid", 12, 1 },
31924		{ "Replicate", 11, 1 },
31925		{ "PF", 8, 3 },
31926		{ "VF_Valid", 7, 1 },
31927		{ "VF", 0, 7 },
31928	{ "MPS_CLS_SRAM_L", 0xe788, 0 },
31929		{ "MultiListen3", 28, 1 },
31930		{ "MultiListen2", 27, 1 },
31931		{ "MultiListen1", 26, 1 },
31932		{ "MultiListen0", 25, 1 },
31933		{ "Priority3", 22, 3 },
31934		{ "Priority2", 19, 3 },
31935		{ "Priority1", 16, 3 },
31936		{ "Priority0", 13, 3 },
31937		{ "Valid", 12, 1 },
31938		{ "Replicate", 11, 1 },
31939		{ "PF", 8, 3 },
31940		{ "VF_Valid", 7, 1 },
31941		{ "VF", 0, 7 },
31942	{ "MPS_CLS_SRAM_L", 0xe790, 0 },
31943		{ "MultiListen3", 28, 1 },
31944		{ "MultiListen2", 27, 1 },
31945		{ "MultiListen1", 26, 1 },
31946		{ "MultiListen0", 25, 1 },
31947		{ "Priority3", 22, 3 },
31948		{ "Priority2", 19, 3 },
31949		{ "Priority1", 16, 3 },
31950		{ "Priority0", 13, 3 },
31951		{ "Valid", 12, 1 },
31952		{ "Replicate", 11, 1 },
31953		{ "PF", 8, 3 },
31954		{ "VF_Valid", 7, 1 },
31955		{ "VF", 0, 7 },
31956	{ "MPS_CLS_SRAM_L", 0xe798, 0 },
31957		{ "MultiListen3", 28, 1 },
31958		{ "MultiListen2", 27, 1 },
31959		{ "MultiListen1", 26, 1 },
31960		{ "MultiListen0", 25, 1 },
31961		{ "Priority3", 22, 3 },
31962		{ "Priority2", 19, 3 },
31963		{ "Priority1", 16, 3 },
31964		{ "Priority0", 13, 3 },
31965		{ "Valid", 12, 1 },
31966		{ "Replicate", 11, 1 },
31967		{ "PF", 8, 3 },
31968		{ "VF_Valid", 7, 1 },
31969		{ "VF", 0, 7 },
31970	{ "MPS_CLS_SRAM_L", 0xe7a0, 0 },
31971		{ "MultiListen3", 28, 1 },
31972		{ "MultiListen2", 27, 1 },
31973		{ "MultiListen1", 26, 1 },
31974		{ "MultiListen0", 25, 1 },
31975		{ "Priority3", 22, 3 },
31976		{ "Priority2", 19, 3 },
31977		{ "Priority1", 16, 3 },
31978		{ "Priority0", 13, 3 },
31979		{ "Valid", 12, 1 },
31980		{ "Replicate", 11, 1 },
31981		{ "PF", 8, 3 },
31982		{ "VF_Valid", 7, 1 },
31983		{ "VF", 0, 7 },
31984	{ "MPS_CLS_SRAM_L", 0xe7a8, 0 },
31985		{ "MultiListen3", 28, 1 },
31986		{ "MultiListen2", 27, 1 },
31987		{ "MultiListen1", 26, 1 },
31988		{ "MultiListen0", 25, 1 },
31989		{ "Priority3", 22, 3 },
31990		{ "Priority2", 19, 3 },
31991		{ "Priority1", 16, 3 },
31992		{ "Priority0", 13, 3 },
31993		{ "Valid", 12, 1 },
31994		{ "Replicate", 11, 1 },
31995		{ "PF", 8, 3 },
31996		{ "VF_Valid", 7, 1 },
31997		{ "VF", 0, 7 },
31998	{ "MPS_CLS_SRAM_L", 0xe7b0, 0 },
31999		{ "MultiListen3", 28, 1 },
32000		{ "MultiListen2", 27, 1 },
32001		{ "MultiListen1", 26, 1 },
32002		{ "MultiListen0", 25, 1 },
32003		{ "Priority3", 22, 3 },
32004		{ "Priority2", 19, 3 },
32005		{ "Priority1", 16, 3 },
32006		{ "Priority0", 13, 3 },
32007		{ "Valid", 12, 1 },
32008		{ "Replicate", 11, 1 },
32009		{ "PF", 8, 3 },
32010		{ "VF_Valid", 7, 1 },
32011		{ "VF", 0, 7 },
32012	{ "MPS_CLS_SRAM_L", 0xe7b8, 0 },
32013		{ "MultiListen3", 28, 1 },
32014		{ "MultiListen2", 27, 1 },
32015		{ "MultiListen1", 26, 1 },
32016		{ "MultiListen0", 25, 1 },
32017		{ "Priority3", 22, 3 },
32018		{ "Priority2", 19, 3 },
32019		{ "Priority1", 16, 3 },
32020		{ "Priority0", 13, 3 },
32021		{ "Valid", 12, 1 },
32022		{ "Replicate", 11, 1 },
32023		{ "PF", 8, 3 },
32024		{ "VF_Valid", 7, 1 },
32025		{ "VF", 0, 7 },
32026	{ "MPS_CLS_SRAM_L", 0xe7c0, 0 },
32027		{ "MultiListen3", 28, 1 },
32028		{ "MultiListen2", 27, 1 },
32029		{ "MultiListen1", 26, 1 },
32030		{ "MultiListen0", 25, 1 },
32031		{ "Priority3", 22, 3 },
32032		{ "Priority2", 19, 3 },
32033		{ "Priority1", 16, 3 },
32034		{ "Priority0", 13, 3 },
32035		{ "Valid", 12, 1 },
32036		{ "Replicate", 11, 1 },
32037		{ "PF", 8, 3 },
32038		{ "VF_Valid", 7, 1 },
32039		{ "VF", 0, 7 },
32040	{ "MPS_CLS_SRAM_L", 0xe7c8, 0 },
32041		{ "MultiListen3", 28, 1 },
32042		{ "MultiListen2", 27, 1 },
32043		{ "MultiListen1", 26, 1 },
32044		{ "MultiListen0", 25, 1 },
32045		{ "Priority3", 22, 3 },
32046		{ "Priority2", 19, 3 },
32047		{ "Priority1", 16, 3 },
32048		{ "Priority0", 13, 3 },
32049		{ "Valid", 12, 1 },
32050		{ "Replicate", 11, 1 },
32051		{ "PF", 8, 3 },
32052		{ "VF_Valid", 7, 1 },
32053		{ "VF", 0, 7 },
32054	{ "MPS_CLS_SRAM_L", 0xe7d0, 0 },
32055		{ "MultiListen3", 28, 1 },
32056		{ "MultiListen2", 27, 1 },
32057		{ "MultiListen1", 26, 1 },
32058		{ "MultiListen0", 25, 1 },
32059		{ "Priority3", 22, 3 },
32060		{ "Priority2", 19, 3 },
32061		{ "Priority1", 16, 3 },
32062		{ "Priority0", 13, 3 },
32063		{ "Valid", 12, 1 },
32064		{ "Replicate", 11, 1 },
32065		{ "PF", 8, 3 },
32066		{ "VF_Valid", 7, 1 },
32067		{ "VF", 0, 7 },
32068	{ "MPS_CLS_SRAM_L", 0xe7d8, 0 },
32069		{ "MultiListen3", 28, 1 },
32070		{ "MultiListen2", 27, 1 },
32071		{ "MultiListen1", 26, 1 },
32072		{ "MultiListen0", 25, 1 },
32073		{ "Priority3", 22, 3 },
32074		{ "Priority2", 19, 3 },
32075		{ "Priority1", 16, 3 },
32076		{ "Priority0", 13, 3 },
32077		{ "Valid", 12, 1 },
32078		{ "Replicate", 11, 1 },
32079		{ "PF", 8, 3 },
32080		{ "VF_Valid", 7, 1 },
32081		{ "VF", 0, 7 },
32082	{ "MPS_CLS_SRAM_L", 0xe7e0, 0 },
32083		{ "MultiListen3", 28, 1 },
32084		{ "MultiListen2", 27, 1 },
32085		{ "MultiListen1", 26, 1 },
32086		{ "MultiListen0", 25, 1 },
32087		{ "Priority3", 22, 3 },
32088		{ "Priority2", 19, 3 },
32089		{ "Priority1", 16, 3 },
32090		{ "Priority0", 13, 3 },
32091		{ "Valid", 12, 1 },
32092		{ "Replicate", 11, 1 },
32093		{ "PF", 8, 3 },
32094		{ "VF_Valid", 7, 1 },
32095		{ "VF", 0, 7 },
32096	{ "MPS_CLS_SRAM_L", 0xe7e8, 0 },
32097		{ "MultiListen3", 28, 1 },
32098		{ "MultiListen2", 27, 1 },
32099		{ "MultiListen1", 26, 1 },
32100		{ "MultiListen0", 25, 1 },
32101		{ "Priority3", 22, 3 },
32102		{ "Priority2", 19, 3 },
32103		{ "Priority1", 16, 3 },
32104		{ "Priority0", 13, 3 },
32105		{ "Valid", 12, 1 },
32106		{ "Replicate", 11, 1 },
32107		{ "PF", 8, 3 },
32108		{ "VF_Valid", 7, 1 },
32109		{ "VF", 0, 7 },
32110	{ "MPS_CLS_SRAM_L", 0xe7f0, 0 },
32111		{ "MultiListen3", 28, 1 },
32112		{ "MultiListen2", 27, 1 },
32113		{ "MultiListen1", 26, 1 },
32114		{ "MultiListen0", 25, 1 },
32115		{ "Priority3", 22, 3 },
32116		{ "Priority2", 19, 3 },
32117		{ "Priority1", 16, 3 },
32118		{ "Priority0", 13, 3 },
32119		{ "Valid", 12, 1 },
32120		{ "Replicate", 11, 1 },
32121		{ "PF", 8, 3 },
32122		{ "VF_Valid", 7, 1 },
32123		{ "VF", 0, 7 },
32124	{ "MPS_CLS_SRAM_L", 0xe7f8, 0 },
32125		{ "MultiListen3", 28, 1 },
32126		{ "MultiListen2", 27, 1 },
32127		{ "MultiListen1", 26, 1 },
32128		{ "MultiListen0", 25, 1 },
32129		{ "Priority3", 22, 3 },
32130		{ "Priority2", 19, 3 },
32131		{ "Priority1", 16, 3 },
32132		{ "Priority0", 13, 3 },
32133		{ "Valid", 12, 1 },
32134		{ "Replicate", 11, 1 },
32135		{ "PF", 8, 3 },
32136		{ "VF_Valid", 7, 1 },
32137		{ "VF", 0, 7 },
32138	{ "MPS_CLS_SRAM_L", 0xe800, 0 },
32139		{ "MultiListen3", 28, 1 },
32140		{ "MultiListen2", 27, 1 },
32141		{ "MultiListen1", 26, 1 },
32142		{ "MultiListen0", 25, 1 },
32143		{ "Priority3", 22, 3 },
32144		{ "Priority2", 19, 3 },
32145		{ "Priority1", 16, 3 },
32146		{ "Priority0", 13, 3 },
32147		{ "Valid", 12, 1 },
32148		{ "Replicate", 11, 1 },
32149		{ "PF", 8, 3 },
32150		{ "VF_Valid", 7, 1 },
32151		{ "VF", 0, 7 },
32152	{ "MPS_CLS_SRAM_L", 0xe808, 0 },
32153		{ "MultiListen3", 28, 1 },
32154		{ "MultiListen2", 27, 1 },
32155		{ "MultiListen1", 26, 1 },
32156		{ "MultiListen0", 25, 1 },
32157		{ "Priority3", 22, 3 },
32158		{ "Priority2", 19, 3 },
32159		{ "Priority1", 16, 3 },
32160		{ "Priority0", 13, 3 },
32161		{ "Valid", 12, 1 },
32162		{ "Replicate", 11, 1 },
32163		{ "PF", 8, 3 },
32164		{ "VF_Valid", 7, 1 },
32165		{ "VF", 0, 7 },
32166	{ "MPS_CLS_SRAM_L", 0xe810, 0 },
32167		{ "MultiListen3", 28, 1 },
32168		{ "MultiListen2", 27, 1 },
32169		{ "MultiListen1", 26, 1 },
32170		{ "MultiListen0", 25, 1 },
32171		{ "Priority3", 22, 3 },
32172		{ "Priority2", 19, 3 },
32173		{ "Priority1", 16, 3 },
32174		{ "Priority0", 13, 3 },
32175		{ "Valid", 12, 1 },
32176		{ "Replicate", 11, 1 },
32177		{ "PF", 8, 3 },
32178		{ "VF_Valid", 7, 1 },
32179		{ "VF", 0, 7 },
32180	{ "MPS_CLS_SRAM_L", 0xe818, 0 },
32181		{ "MultiListen3", 28, 1 },
32182		{ "MultiListen2", 27, 1 },
32183		{ "MultiListen1", 26, 1 },
32184		{ "MultiListen0", 25, 1 },
32185		{ "Priority3", 22, 3 },
32186		{ "Priority2", 19, 3 },
32187		{ "Priority1", 16, 3 },
32188		{ "Priority0", 13, 3 },
32189		{ "Valid", 12, 1 },
32190		{ "Replicate", 11, 1 },
32191		{ "PF", 8, 3 },
32192		{ "VF_Valid", 7, 1 },
32193		{ "VF", 0, 7 },
32194	{ "MPS_CLS_SRAM_L", 0xe820, 0 },
32195		{ "MultiListen3", 28, 1 },
32196		{ "MultiListen2", 27, 1 },
32197		{ "MultiListen1", 26, 1 },
32198		{ "MultiListen0", 25, 1 },
32199		{ "Priority3", 22, 3 },
32200		{ "Priority2", 19, 3 },
32201		{ "Priority1", 16, 3 },
32202		{ "Priority0", 13, 3 },
32203		{ "Valid", 12, 1 },
32204		{ "Replicate", 11, 1 },
32205		{ "PF", 8, 3 },
32206		{ "VF_Valid", 7, 1 },
32207		{ "VF", 0, 7 },
32208	{ "MPS_CLS_SRAM_L", 0xe828, 0 },
32209		{ "MultiListen3", 28, 1 },
32210		{ "MultiListen2", 27, 1 },
32211		{ "MultiListen1", 26, 1 },
32212		{ "MultiListen0", 25, 1 },
32213		{ "Priority3", 22, 3 },
32214		{ "Priority2", 19, 3 },
32215		{ "Priority1", 16, 3 },
32216		{ "Priority0", 13, 3 },
32217		{ "Valid", 12, 1 },
32218		{ "Replicate", 11, 1 },
32219		{ "PF", 8, 3 },
32220		{ "VF_Valid", 7, 1 },
32221		{ "VF", 0, 7 },
32222	{ "MPS_CLS_SRAM_L", 0xe830, 0 },
32223		{ "MultiListen3", 28, 1 },
32224		{ "MultiListen2", 27, 1 },
32225		{ "MultiListen1", 26, 1 },
32226		{ "MultiListen0", 25, 1 },
32227		{ "Priority3", 22, 3 },
32228		{ "Priority2", 19, 3 },
32229		{ "Priority1", 16, 3 },
32230		{ "Priority0", 13, 3 },
32231		{ "Valid", 12, 1 },
32232		{ "Replicate", 11, 1 },
32233		{ "PF", 8, 3 },
32234		{ "VF_Valid", 7, 1 },
32235		{ "VF", 0, 7 },
32236	{ "MPS_CLS_SRAM_L", 0xe838, 0 },
32237		{ "MultiListen3", 28, 1 },
32238		{ "MultiListen2", 27, 1 },
32239		{ "MultiListen1", 26, 1 },
32240		{ "MultiListen0", 25, 1 },
32241		{ "Priority3", 22, 3 },
32242		{ "Priority2", 19, 3 },
32243		{ "Priority1", 16, 3 },
32244		{ "Priority0", 13, 3 },
32245		{ "Valid", 12, 1 },
32246		{ "Replicate", 11, 1 },
32247		{ "PF", 8, 3 },
32248		{ "VF_Valid", 7, 1 },
32249		{ "VF", 0, 7 },
32250	{ "MPS_CLS_SRAM_L", 0xe840, 0 },
32251		{ "MultiListen3", 28, 1 },
32252		{ "MultiListen2", 27, 1 },
32253		{ "MultiListen1", 26, 1 },
32254		{ "MultiListen0", 25, 1 },
32255		{ "Priority3", 22, 3 },
32256		{ "Priority2", 19, 3 },
32257		{ "Priority1", 16, 3 },
32258		{ "Priority0", 13, 3 },
32259		{ "Valid", 12, 1 },
32260		{ "Replicate", 11, 1 },
32261		{ "PF", 8, 3 },
32262		{ "VF_Valid", 7, 1 },
32263		{ "VF", 0, 7 },
32264	{ "MPS_CLS_SRAM_L", 0xe848, 0 },
32265		{ "MultiListen3", 28, 1 },
32266		{ "MultiListen2", 27, 1 },
32267		{ "MultiListen1", 26, 1 },
32268		{ "MultiListen0", 25, 1 },
32269		{ "Priority3", 22, 3 },
32270		{ "Priority2", 19, 3 },
32271		{ "Priority1", 16, 3 },
32272		{ "Priority0", 13, 3 },
32273		{ "Valid", 12, 1 },
32274		{ "Replicate", 11, 1 },
32275		{ "PF", 8, 3 },
32276		{ "VF_Valid", 7, 1 },
32277		{ "VF", 0, 7 },
32278	{ "MPS_CLS_SRAM_L", 0xe850, 0 },
32279		{ "MultiListen3", 28, 1 },
32280		{ "MultiListen2", 27, 1 },
32281		{ "MultiListen1", 26, 1 },
32282		{ "MultiListen0", 25, 1 },
32283		{ "Priority3", 22, 3 },
32284		{ "Priority2", 19, 3 },
32285		{ "Priority1", 16, 3 },
32286		{ "Priority0", 13, 3 },
32287		{ "Valid", 12, 1 },
32288		{ "Replicate", 11, 1 },
32289		{ "PF", 8, 3 },
32290		{ "VF_Valid", 7, 1 },
32291		{ "VF", 0, 7 },
32292	{ "MPS_CLS_SRAM_L", 0xe858, 0 },
32293		{ "MultiListen3", 28, 1 },
32294		{ "MultiListen2", 27, 1 },
32295		{ "MultiListen1", 26, 1 },
32296		{ "MultiListen0", 25, 1 },
32297		{ "Priority3", 22, 3 },
32298		{ "Priority2", 19, 3 },
32299		{ "Priority1", 16, 3 },
32300		{ "Priority0", 13, 3 },
32301		{ "Valid", 12, 1 },
32302		{ "Replicate", 11, 1 },
32303		{ "PF", 8, 3 },
32304		{ "VF_Valid", 7, 1 },
32305		{ "VF", 0, 7 },
32306	{ "MPS_CLS_SRAM_L", 0xe860, 0 },
32307		{ "MultiListen3", 28, 1 },
32308		{ "MultiListen2", 27, 1 },
32309		{ "MultiListen1", 26, 1 },
32310		{ "MultiListen0", 25, 1 },
32311		{ "Priority3", 22, 3 },
32312		{ "Priority2", 19, 3 },
32313		{ "Priority1", 16, 3 },
32314		{ "Priority0", 13, 3 },
32315		{ "Valid", 12, 1 },
32316		{ "Replicate", 11, 1 },
32317		{ "PF", 8, 3 },
32318		{ "VF_Valid", 7, 1 },
32319		{ "VF", 0, 7 },
32320	{ "MPS_CLS_SRAM_L", 0xe868, 0 },
32321		{ "MultiListen3", 28, 1 },
32322		{ "MultiListen2", 27, 1 },
32323		{ "MultiListen1", 26, 1 },
32324		{ "MultiListen0", 25, 1 },
32325		{ "Priority3", 22, 3 },
32326		{ "Priority2", 19, 3 },
32327		{ "Priority1", 16, 3 },
32328		{ "Priority0", 13, 3 },
32329		{ "Valid", 12, 1 },
32330		{ "Replicate", 11, 1 },
32331		{ "PF", 8, 3 },
32332		{ "VF_Valid", 7, 1 },
32333		{ "VF", 0, 7 },
32334	{ "MPS_CLS_SRAM_L", 0xe870, 0 },
32335		{ "MultiListen3", 28, 1 },
32336		{ "MultiListen2", 27, 1 },
32337		{ "MultiListen1", 26, 1 },
32338		{ "MultiListen0", 25, 1 },
32339		{ "Priority3", 22, 3 },
32340		{ "Priority2", 19, 3 },
32341		{ "Priority1", 16, 3 },
32342		{ "Priority0", 13, 3 },
32343		{ "Valid", 12, 1 },
32344		{ "Replicate", 11, 1 },
32345		{ "PF", 8, 3 },
32346		{ "VF_Valid", 7, 1 },
32347		{ "VF", 0, 7 },
32348	{ "MPS_CLS_SRAM_L", 0xe878, 0 },
32349		{ "MultiListen3", 28, 1 },
32350		{ "MultiListen2", 27, 1 },
32351		{ "MultiListen1", 26, 1 },
32352		{ "MultiListen0", 25, 1 },
32353		{ "Priority3", 22, 3 },
32354		{ "Priority2", 19, 3 },
32355		{ "Priority1", 16, 3 },
32356		{ "Priority0", 13, 3 },
32357		{ "Valid", 12, 1 },
32358		{ "Replicate", 11, 1 },
32359		{ "PF", 8, 3 },
32360		{ "VF_Valid", 7, 1 },
32361		{ "VF", 0, 7 },
32362	{ "MPS_CLS_SRAM_L", 0xe880, 0 },
32363		{ "MultiListen3", 28, 1 },
32364		{ "MultiListen2", 27, 1 },
32365		{ "MultiListen1", 26, 1 },
32366		{ "MultiListen0", 25, 1 },
32367		{ "Priority3", 22, 3 },
32368		{ "Priority2", 19, 3 },
32369		{ "Priority1", 16, 3 },
32370		{ "Priority0", 13, 3 },
32371		{ "Valid", 12, 1 },
32372		{ "Replicate", 11, 1 },
32373		{ "PF", 8, 3 },
32374		{ "VF_Valid", 7, 1 },
32375		{ "VF", 0, 7 },
32376	{ "MPS_CLS_SRAM_L", 0xe888, 0 },
32377		{ "MultiListen3", 28, 1 },
32378		{ "MultiListen2", 27, 1 },
32379		{ "MultiListen1", 26, 1 },
32380		{ "MultiListen0", 25, 1 },
32381		{ "Priority3", 22, 3 },
32382		{ "Priority2", 19, 3 },
32383		{ "Priority1", 16, 3 },
32384		{ "Priority0", 13, 3 },
32385		{ "Valid", 12, 1 },
32386		{ "Replicate", 11, 1 },
32387		{ "PF", 8, 3 },
32388		{ "VF_Valid", 7, 1 },
32389		{ "VF", 0, 7 },
32390	{ "MPS_CLS_SRAM_L", 0xe890, 0 },
32391		{ "MultiListen3", 28, 1 },
32392		{ "MultiListen2", 27, 1 },
32393		{ "MultiListen1", 26, 1 },
32394		{ "MultiListen0", 25, 1 },
32395		{ "Priority3", 22, 3 },
32396		{ "Priority2", 19, 3 },
32397		{ "Priority1", 16, 3 },
32398		{ "Priority0", 13, 3 },
32399		{ "Valid", 12, 1 },
32400		{ "Replicate", 11, 1 },
32401		{ "PF", 8, 3 },
32402		{ "VF_Valid", 7, 1 },
32403		{ "VF", 0, 7 },
32404	{ "MPS_CLS_SRAM_L", 0xe898, 0 },
32405		{ "MultiListen3", 28, 1 },
32406		{ "MultiListen2", 27, 1 },
32407		{ "MultiListen1", 26, 1 },
32408		{ "MultiListen0", 25, 1 },
32409		{ "Priority3", 22, 3 },
32410		{ "Priority2", 19, 3 },
32411		{ "Priority1", 16, 3 },
32412		{ "Priority0", 13, 3 },
32413		{ "Valid", 12, 1 },
32414		{ "Replicate", 11, 1 },
32415		{ "PF", 8, 3 },
32416		{ "VF_Valid", 7, 1 },
32417		{ "VF", 0, 7 },
32418	{ "MPS_CLS_SRAM_L", 0xe8a0, 0 },
32419		{ "MultiListen3", 28, 1 },
32420		{ "MultiListen2", 27, 1 },
32421		{ "MultiListen1", 26, 1 },
32422		{ "MultiListen0", 25, 1 },
32423		{ "Priority3", 22, 3 },
32424		{ "Priority2", 19, 3 },
32425		{ "Priority1", 16, 3 },
32426		{ "Priority0", 13, 3 },
32427		{ "Valid", 12, 1 },
32428		{ "Replicate", 11, 1 },
32429		{ "PF", 8, 3 },
32430		{ "VF_Valid", 7, 1 },
32431		{ "VF", 0, 7 },
32432	{ "MPS_CLS_SRAM_L", 0xe8a8, 0 },
32433		{ "MultiListen3", 28, 1 },
32434		{ "MultiListen2", 27, 1 },
32435		{ "MultiListen1", 26, 1 },
32436		{ "MultiListen0", 25, 1 },
32437		{ "Priority3", 22, 3 },
32438		{ "Priority2", 19, 3 },
32439		{ "Priority1", 16, 3 },
32440		{ "Priority0", 13, 3 },
32441		{ "Valid", 12, 1 },
32442		{ "Replicate", 11, 1 },
32443		{ "PF", 8, 3 },
32444		{ "VF_Valid", 7, 1 },
32445		{ "VF", 0, 7 },
32446	{ "MPS_CLS_SRAM_L", 0xe8b0, 0 },
32447		{ "MultiListen3", 28, 1 },
32448		{ "MultiListen2", 27, 1 },
32449		{ "MultiListen1", 26, 1 },
32450		{ "MultiListen0", 25, 1 },
32451		{ "Priority3", 22, 3 },
32452		{ "Priority2", 19, 3 },
32453		{ "Priority1", 16, 3 },
32454		{ "Priority0", 13, 3 },
32455		{ "Valid", 12, 1 },
32456		{ "Replicate", 11, 1 },
32457		{ "PF", 8, 3 },
32458		{ "VF_Valid", 7, 1 },
32459		{ "VF", 0, 7 },
32460	{ "MPS_CLS_SRAM_L", 0xe8b8, 0 },
32461		{ "MultiListen3", 28, 1 },
32462		{ "MultiListen2", 27, 1 },
32463		{ "MultiListen1", 26, 1 },
32464		{ "MultiListen0", 25, 1 },
32465		{ "Priority3", 22, 3 },
32466		{ "Priority2", 19, 3 },
32467		{ "Priority1", 16, 3 },
32468		{ "Priority0", 13, 3 },
32469		{ "Valid", 12, 1 },
32470		{ "Replicate", 11, 1 },
32471		{ "PF", 8, 3 },
32472		{ "VF_Valid", 7, 1 },
32473		{ "VF", 0, 7 },
32474	{ "MPS_CLS_SRAM_L", 0xe8c0, 0 },
32475		{ "MultiListen3", 28, 1 },
32476		{ "MultiListen2", 27, 1 },
32477		{ "MultiListen1", 26, 1 },
32478		{ "MultiListen0", 25, 1 },
32479		{ "Priority3", 22, 3 },
32480		{ "Priority2", 19, 3 },
32481		{ "Priority1", 16, 3 },
32482		{ "Priority0", 13, 3 },
32483		{ "Valid", 12, 1 },
32484		{ "Replicate", 11, 1 },
32485		{ "PF", 8, 3 },
32486		{ "VF_Valid", 7, 1 },
32487		{ "VF", 0, 7 },
32488	{ "MPS_CLS_SRAM_L", 0xe8c8, 0 },
32489		{ "MultiListen3", 28, 1 },
32490		{ "MultiListen2", 27, 1 },
32491		{ "MultiListen1", 26, 1 },
32492		{ "MultiListen0", 25, 1 },
32493		{ "Priority3", 22, 3 },
32494		{ "Priority2", 19, 3 },
32495		{ "Priority1", 16, 3 },
32496		{ "Priority0", 13, 3 },
32497		{ "Valid", 12, 1 },
32498		{ "Replicate", 11, 1 },
32499		{ "PF", 8, 3 },
32500		{ "VF_Valid", 7, 1 },
32501		{ "VF", 0, 7 },
32502	{ "MPS_CLS_SRAM_L", 0xe8d0, 0 },
32503		{ "MultiListen3", 28, 1 },
32504		{ "MultiListen2", 27, 1 },
32505		{ "MultiListen1", 26, 1 },
32506		{ "MultiListen0", 25, 1 },
32507		{ "Priority3", 22, 3 },
32508		{ "Priority2", 19, 3 },
32509		{ "Priority1", 16, 3 },
32510		{ "Priority0", 13, 3 },
32511		{ "Valid", 12, 1 },
32512		{ "Replicate", 11, 1 },
32513		{ "PF", 8, 3 },
32514		{ "VF_Valid", 7, 1 },
32515		{ "VF", 0, 7 },
32516	{ "MPS_CLS_SRAM_L", 0xe8d8, 0 },
32517		{ "MultiListen3", 28, 1 },
32518		{ "MultiListen2", 27, 1 },
32519		{ "MultiListen1", 26, 1 },
32520		{ "MultiListen0", 25, 1 },
32521		{ "Priority3", 22, 3 },
32522		{ "Priority2", 19, 3 },
32523		{ "Priority1", 16, 3 },
32524		{ "Priority0", 13, 3 },
32525		{ "Valid", 12, 1 },
32526		{ "Replicate", 11, 1 },
32527		{ "PF", 8, 3 },
32528		{ "VF_Valid", 7, 1 },
32529		{ "VF", 0, 7 },
32530	{ "MPS_CLS_SRAM_L", 0xe8e0, 0 },
32531		{ "MultiListen3", 28, 1 },
32532		{ "MultiListen2", 27, 1 },
32533		{ "MultiListen1", 26, 1 },
32534		{ "MultiListen0", 25, 1 },
32535		{ "Priority3", 22, 3 },
32536		{ "Priority2", 19, 3 },
32537		{ "Priority1", 16, 3 },
32538		{ "Priority0", 13, 3 },
32539		{ "Valid", 12, 1 },
32540		{ "Replicate", 11, 1 },
32541		{ "PF", 8, 3 },
32542		{ "VF_Valid", 7, 1 },
32543		{ "VF", 0, 7 },
32544	{ "MPS_CLS_SRAM_L", 0xe8e8, 0 },
32545		{ "MultiListen3", 28, 1 },
32546		{ "MultiListen2", 27, 1 },
32547		{ "MultiListen1", 26, 1 },
32548		{ "MultiListen0", 25, 1 },
32549		{ "Priority3", 22, 3 },
32550		{ "Priority2", 19, 3 },
32551		{ "Priority1", 16, 3 },
32552		{ "Priority0", 13, 3 },
32553		{ "Valid", 12, 1 },
32554		{ "Replicate", 11, 1 },
32555		{ "PF", 8, 3 },
32556		{ "VF_Valid", 7, 1 },
32557		{ "VF", 0, 7 },
32558	{ "MPS_CLS_SRAM_L", 0xe8f0, 0 },
32559		{ "MultiListen3", 28, 1 },
32560		{ "MultiListen2", 27, 1 },
32561		{ "MultiListen1", 26, 1 },
32562		{ "MultiListen0", 25, 1 },
32563		{ "Priority3", 22, 3 },
32564		{ "Priority2", 19, 3 },
32565		{ "Priority1", 16, 3 },
32566		{ "Priority0", 13, 3 },
32567		{ "Valid", 12, 1 },
32568		{ "Replicate", 11, 1 },
32569		{ "PF", 8, 3 },
32570		{ "VF_Valid", 7, 1 },
32571		{ "VF", 0, 7 },
32572	{ "MPS_CLS_SRAM_L", 0xe8f8, 0 },
32573		{ "MultiListen3", 28, 1 },
32574		{ "MultiListen2", 27, 1 },
32575		{ "MultiListen1", 26, 1 },
32576		{ "MultiListen0", 25, 1 },
32577		{ "Priority3", 22, 3 },
32578		{ "Priority2", 19, 3 },
32579		{ "Priority1", 16, 3 },
32580		{ "Priority0", 13, 3 },
32581		{ "Valid", 12, 1 },
32582		{ "Replicate", 11, 1 },
32583		{ "PF", 8, 3 },
32584		{ "VF_Valid", 7, 1 },
32585		{ "VF", 0, 7 },
32586	{ "MPS_CLS_SRAM_L", 0xe900, 0 },
32587		{ "MultiListen3", 28, 1 },
32588		{ "MultiListen2", 27, 1 },
32589		{ "MultiListen1", 26, 1 },
32590		{ "MultiListen0", 25, 1 },
32591		{ "Priority3", 22, 3 },
32592		{ "Priority2", 19, 3 },
32593		{ "Priority1", 16, 3 },
32594		{ "Priority0", 13, 3 },
32595		{ "Valid", 12, 1 },
32596		{ "Replicate", 11, 1 },
32597		{ "PF", 8, 3 },
32598		{ "VF_Valid", 7, 1 },
32599		{ "VF", 0, 7 },
32600	{ "MPS_CLS_SRAM_L", 0xe908, 0 },
32601		{ "MultiListen3", 28, 1 },
32602		{ "MultiListen2", 27, 1 },
32603		{ "MultiListen1", 26, 1 },
32604		{ "MultiListen0", 25, 1 },
32605		{ "Priority3", 22, 3 },
32606		{ "Priority2", 19, 3 },
32607		{ "Priority1", 16, 3 },
32608		{ "Priority0", 13, 3 },
32609		{ "Valid", 12, 1 },
32610		{ "Replicate", 11, 1 },
32611		{ "PF", 8, 3 },
32612		{ "VF_Valid", 7, 1 },
32613		{ "VF", 0, 7 },
32614	{ "MPS_CLS_SRAM_L", 0xe910, 0 },
32615		{ "MultiListen3", 28, 1 },
32616		{ "MultiListen2", 27, 1 },
32617		{ "MultiListen1", 26, 1 },
32618		{ "MultiListen0", 25, 1 },
32619		{ "Priority3", 22, 3 },
32620		{ "Priority2", 19, 3 },
32621		{ "Priority1", 16, 3 },
32622		{ "Priority0", 13, 3 },
32623		{ "Valid", 12, 1 },
32624		{ "Replicate", 11, 1 },
32625		{ "PF", 8, 3 },
32626		{ "VF_Valid", 7, 1 },
32627		{ "VF", 0, 7 },
32628	{ "MPS_CLS_SRAM_L", 0xe918, 0 },
32629		{ "MultiListen3", 28, 1 },
32630		{ "MultiListen2", 27, 1 },
32631		{ "MultiListen1", 26, 1 },
32632		{ "MultiListen0", 25, 1 },
32633		{ "Priority3", 22, 3 },
32634		{ "Priority2", 19, 3 },
32635		{ "Priority1", 16, 3 },
32636		{ "Priority0", 13, 3 },
32637		{ "Valid", 12, 1 },
32638		{ "Replicate", 11, 1 },
32639		{ "PF", 8, 3 },
32640		{ "VF_Valid", 7, 1 },
32641		{ "VF", 0, 7 },
32642	{ "MPS_CLS_SRAM_L", 0xe920, 0 },
32643		{ "MultiListen3", 28, 1 },
32644		{ "MultiListen2", 27, 1 },
32645		{ "MultiListen1", 26, 1 },
32646		{ "MultiListen0", 25, 1 },
32647		{ "Priority3", 22, 3 },
32648		{ "Priority2", 19, 3 },
32649		{ "Priority1", 16, 3 },
32650		{ "Priority0", 13, 3 },
32651		{ "Valid", 12, 1 },
32652		{ "Replicate", 11, 1 },
32653		{ "PF", 8, 3 },
32654		{ "VF_Valid", 7, 1 },
32655		{ "VF", 0, 7 },
32656	{ "MPS_CLS_SRAM_L", 0xe928, 0 },
32657		{ "MultiListen3", 28, 1 },
32658		{ "MultiListen2", 27, 1 },
32659		{ "MultiListen1", 26, 1 },
32660		{ "MultiListen0", 25, 1 },
32661		{ "Priority3", 22, 3 },
32662		{ "Priority2", 19, 3 },
32663		{ "Priority1", 16, 3 },
32664		{ "Priority0", 13, 3 },
32665		{ "Valid", 12, 1 },
32666		{ "Replicate", 11, 1 },
32667		{ "PF", 8, 3 },
32668		{ "VF_Valid", 7, 1 },
32669		{ "VF", 0, 7 },
32670	{ "MPS_CLS_SRAM_L", 0xe930, 0 },
32671		{ "MultiListen3", 28, 1 },
32672		{ "MultiListen2", 27, 1 },
32673		{ "MultiListen1", 26, 1 },
32674		{ "MultiListen0", 25, 1 },
32675		{ "Priority3", 22, 3 },
32676		{ "Priority2", 19, 3 },
32677		{ "Priority1", 16, 3 },
32678		{ "Priority0", 13, 3 },
32679		{ "Valid", 12, 1 },
32680		{ "Replicate", 11, 1 },
32681		{ "PF", 8, 3 },
32682		{ "VF_Valid", 7, 1 },
32683		{ "VF", 0, 7 },
32684	{ "MPS_CLS_SRAM_L", 0xe938, 0 },
32685		{ "MultiListen3", 28, 1 },
32686		{ "MultiListen2", 27, 1 },
32687		{ "MultiListen1", 26, 1 },
32688		{ "MultiListen0", 25, 1 },
32689		{ "Priority3", 22, 3 },
32690		{ "Priority2", 19, 3 },
32691		{ "Priority1", 16, 3 },
32692		{ "Priority0", 13, 3 },
32693		{ "Valid", 12, 1 },
32694		{ "Replicate", 11, 1 },
32695		{ "PF", 8, 3 },
32696		{ "VF_Valid", 7, 1 },
32697		{ "VF", 0, 7 },
32698	{ "MPS_CLS_SRAM_L", 0xe940, 0 },
32699		{ "MultiListen3", 28, 1 },
32700		{ "MultiListen2", 27, 1 },
32701		{ "MultiListen1", 26, 1 },
32702		{ "MultiListen0", 25, 1 },
32703		{ "Priority3", 22, 3 },
32704		{ "Priority2", 19, 3 },
32705		{ "Priority1", 16, 3 },
32706		{ "Priority0", 13, 3 },
32707		{ "Valid", 12, 1 },
32708		{ "Replicate", 11, 1 },
32709		{ "PF", 8, 3 },
32710		{ "VF_Valid", 7, 1 },
32711		{ "VF", 0, 7 },
32712	{ "MPS_CLS_SRAM_L", 0xe948, 0 },
32713		{ "MultiListen3", 28, 1 },
32714		{ "MultiListen2", 27, 1 },
32715		{ "MultiListen1", 26, 1 },
32716		{ "MultiListen0", 25, 1 },
32717		{ "Priority3", 22, 3 },
32718		{ "Priority2", 19, 3 },
32719		{ "Priority1", 16, 3 },
32720		{ "Priority0", 13, 3 },
32721		{ "Valid", 12, 1 },
32722		{ "Replicate", 11, 1 },
32723		{ "PF", 8, 3 },
32724		{ "VF_Valid", 7, 1 },
32725		{ "VF", 0, 7 },
32726	{ "MPS_CLS_SRAM_L", 0xe950, 0 },
32727		{ "MultiListen3", 28, 1 },
32728		{ "MultiListen2", 27, 1 },
32729		{ "MultiListen1", 26, 1 },
32730		{ "MultiListen0", 25, 1 },
32731		{ "Priority3", 22, 3 },
32732		{ "Priority2", 19, 3 },
32733		{ "Priority1", 16, 3 },
32734		{ "Priority0", 13, 3 },
32735		{ "Valid", 12, 1 },
32736		{ "Replicate", 11, 1 },
32737		{ "PF", 8, 3 },
32738		{ "VF_Valid", 7, 1 },
32739		{ "VF", 0, 7 },
32740	{ "MPS_CLS_SRAM_L", 0xe958, 0 },
32741		{ "MultiListen3", 28, 1 },
32742		{ "MultiListen2", 27, 1 },
32743		{ "MultiListen1", 26, 1 },
32744		{ "MultiListen0", 25, 1 },
32745		{ "Priority3", 22, 3 },
32746		{ "Priority2", 19, 3 },
32747		{ "Priority1", 16, 3 },
32748		{ "Priority0", 13, 3 },
32749		{ "Valid", 12, 1 },
32750		{ "Replicate", 11, 1 },
32751		{ "PF", 8, 3 },
32752		{ "VF_Valid", 7, 1 },
32753		{ "VF", 0, 7 },
32754	{ "MPS_CLS_SRAM_L", 0xe960, 0 },
32755		{ "MultiListen3", 28, 1 },
32756		{ "MultiListen2", 27, 1 },
32757		{ "MultiListen1", 26, 1 },
32758		{ "MultiListen0", 25, 1 },
32759		{ "Priority3", 22, 3 },
32760		{ "Priority2", 19, 3 },
32761		{ "Priority1", 16, 3 },
32762		{ "Priority0", 13, 3 },
32763		{ "Valid", 12, 1 },
32764		{ "Replicate", 11, 1 },
32765		{ "PF", 8, 3 },
32766		{ "VF_Valid", 7, 1 },
32767		{ "VF", 0, 7 },
32768	{ "MPS_CLS_SRAM_L", 0xe968, 0 },
32769		{ "MultiListen3", 28, 1 },
32770		{ "MultiListen2", 27, 1 },
32771		{ "MultiListen1", 26, 1 },
32772		{ "MultiListen0", 25, 1 },
32773		{ "Priority3", 22, 3 },
32774		{ "Priority2", 19, 3 },
32775		{ "Priority1", 16, 3 },
32776		{ "Priority0", 13, 3 },
32777		{ "Valid", 12, 1 },
32778		{ "Replicate", 11, 1 },
32779		{ "PF", 8, 3 },
32780		{ "VF_Valid", 7, 1 },
32781		{ "VF", 0, 7 },
32782	{ "MPS_CLS_SRAM_L", 0xe970, 0 },
32783		{ "MultiListen3", 28, 1 },
32784		{ "MultiListen2", 27, 1 },
32785		{ "MultiListen1", 26, 1 },
32786		{ "MultiListen0", 25, 1 },
32787		{ "Priority3", 22, 3 },
32788		{ "Priority2", 19, 3 },
32789		{ "Priority1", 16, 3 },
32790		{ "Priority0", 13, 3 },
32791		{ "Valid", 12, 1 },
32792		{ "Replicate", 11, 1 },
32793		{ "PF", 8, 3 },
32794		{ "VF_Valid", 7, 1 },
32795		{ "VF", 0, 7 },
32796	{ "MPS_CLS_SRAM_L", 0xe978, 0 },
32797		{ "MultiListen3", 28, 1 },
32798		{ "MultiListen2", 27, 1 },
32799		{ "MultiListen1", 26, 1 },
32800		{ "MultiListen0", 25, 1 },
32801		{ "Priority3", 22, 3 },
32802		{ "Priority2", 19, 3 },
32803		{ "Priority1", 16, 3 },
32804		{ "Priority0", 13, 3 },
32805		{ "Valid", 12, 1 },
32806		{ "Replicate", 11, 1 },
32807		{ "PF", 8, 3 },
32808		{ "VF_Valid", 7, 1 },
32809		{ "VF", 0, 7 },
32810	{ "MPS_CLS_SRAM_L", 0xe980, 0 },
32811		{ "MultiListen3", 28, 1 },
32812		{ "MultiListen2", 27, 1 },
32813		{ "MultiListen1", 26, 1 },
32814		{ "MultiListen0", 25, 1 },
32815		{ "Priority3", 22, 3 },
32816		{ "Priority2", 19, 3 },
32817		{ "Priority1", 16, 3 },
32818		{ "Priority0", 13, 3 },
32819		{ "Valid", 12, 1 },
32820		{ "Replicate", 11, 1 },
32821		{ "PF", 8, 3 },
32822		{ "VF_Valid", 7, 1 },
32823		{ "VF", 0, 7 },
32824	{ "MPS_CLS_SRAM_L", 0xe988, 0 },
32825		{ "MultiListen3", 28, 1 },
32826		{ "MultiListen2", 27, 1 },
32827		{ "MultiListen1", 26, 1 },
32828		{ "MultiListen0", 25, 1 },
32829		{ "Priority3", 22, 3 },
32830		{ "Priority2", 19, 3 },
32831		{ "Priority1", 16, 3 },
32832		{ "Priority0", 13, 3 },
32833		{ "Valid", 12, 1 },
32834		{ "Replicate", 11, 1 },
32835		{ "PF", 8, 3 },
32836		{ "VF_Valid", 7, 1 },
32837		{ "VF", 0, 7 },
32838	{ "MPS_CLS_SRAM_L", 0xe990, 0 },
32839		{ "MultiListen3", 28, 1 },
32840		{ "MultiListen2", 27, 1 },
32841		{ "MultiListen1", 26, 1 },
32842		{ "MultiListen0", 25, 1 },
32843		{ "Priority3", 22, 3 },
32844		{ "Priority2", 19, 3 },
32845		{ "Priority1", 16, 3 },
32846		{ "Priority0", 13, 3 },
32847		{ "Valid", 12, 1 },
32848		{ "Replicate", 11, 1 },
32849		{ "PF", 8, 3 },
32850		{ "VF_Valid", 7, 1 },
32851		{ "VF", 0, 7 },
32852	{ "MPS_CLS_SRAM_L", 0xe998, 0 },
32853		{ "MultiListen3", 28, 1 },
32854		{ "MultiListen2", 27, 1 },
32855		{ "MultiListen1", 26, 1 },
32856		{ "MultiListen0", 25, 1 },
32857		{ "Priority3", 22, 3 },
32858		{ "Priority2", 19, 3 },
32859		{ "Priority1", 16, 3 },
32860		{ "Priority0", 13, 3 },
32861		{ "Valid", 12, 1 },
32862		{ "Replicate", 11, 1 },
32863		{ "PF", 8, 3 },
32864		{ "VF_Valid", 7, 1 },
32865		{ "VF", 0, 7 },
32866	{ "MPS_CLS_SRAM_L", 0xe9a0, 0 },
32867		{ "MultiListen3", 28, 1 },
32868		{ "MultiListen2", 27, 1 },
32869		{ "MultiListen1", 26, 1 },
32870		{ "MultiListen0", 25, 1 },
32871		{ "Priority3", 22, 3 },
32872		{ "Priority2", 19, 3 },
32873		{ "Priority1", 16, 3 },
32874		{ "Priority0", 13, 3 },
32875		{ "Valid", 12, 1 },
32876		{ "Replicate", 11, 1 },
32877		{ "PF", 8, 3 },
32878		{ "VF_Valid", 7, 1 },
32879		{ "VF", 0, 7 },
32880	{ "MPS_CLS_SRAM_L", 0xe9a8, 0 },
32881		{ "MultiListen3", 28, 1 },
32882		{ "MultiListen2", 27, 1 },
32883		{ "MultiListen1", 26, 1 },
32884		{ "MultiListen0", 25, 1 },
32885		{ "Priority3", 22, 3 },
32886		{ "Priority2", 19, 3 },
32887		{ "Priority1", 16, 3 },
32888		{ "Priority0", 13, 3 },
32889		{ "Valid", 12, 1 },
32890		{ "Replicate", 11, 1 },
32891		{ "PF", 8, 3 },
32892		{ "VF_Valid", 7, 1 },
32893		{ "VF", 0, 7 },
32894	{ "MPS_CLS_SRAM_L", 0xe9b0, 0 },
32895		{ "MultiListen3", 28, 1 },
32896		{ "MultiListen2", 27, 1 },
32897		{ "MultiListen1", 26, 1 },
32898		{ "MultiListen0", 25, 1 },
32899		{ "Priority3", 22, 3 },
32900		{ "Priority2", 19, 3 },
32901		{ "Priority1", 16, 3 },
32902		{ "Priority0", 13, 3 },
32903		{ "Valid", 12, 1 },
32904		{ "Replicate", 11, 1 },
32905		{ "PF", 8, 3 },
32906		{ "VF_Valid", 7, 1 },
32907		{ "VF", 0, 7 },
32908	{ "MPS_CLS_SRAM_L", 0xe9b8, 0 },
32909		{ "MultiListen3", 28, 1 },
32910		{ "MultiListen2", 27, 1 },
32911		{ "MultiListen1", 26, 1 },
32912		{ "MultiListen0", 25, 1 },
32913		{ "Priority3", 22, 3 },
32914		{ "Priority2", 19, 3 },
32915		{ "Priority1", 16, 3 },
32916		{ "Priority0", 13, 3 },
32917		{ "Valid", 12, 1 },
32918		{ "Replicate", 11, 1 },
32919		{ "PF", 8, 3 },
32920		{ "VF_Valid", 7, 1 },
32921		{ "VF", 0, 7 },
32922	{ "MPS_CLS_SRAM_L", 0xe9c0, 0 },
32923		{ "MultiListen3", 28, 1 },
32924		{ "MultiListen2", 27, 1 },
32925		{ "MultiListen1", 26, 1 },
32926		{ "MultiListen0", 25, 1 },
32927		{ "Priority3", 22, 3 },
32928		{ "Priority2", 19, 3 },
32929		{ "Priority1", 16, 3 },
32930		{ "Priority0", 13, 3 },
32931		{ "Valid", 12, 1 },
32932		{ "Replicate", 11, 1 },
32933		{ "PF", 8, 3 },
32934		{ "VF_Valid", 7, 1 },
32935		{ "VF", 0, 7 },
32936	{ "MPS_CLS_SRAM_L", 0xe9c8, 0 },
32937		{ "MultiListen3", 28, 1 },
32938		{ "MultiListen2", 27, 1 },
32939		{ "MultiListen1", 26, 1 },
32940		{ "MultiListen0", 25, 1 },
32941		{ "Priority3", 22, 3 },
32942		{ "Priority2", 19, 3 },
32943		{ "Priority1", 16, 3 },
32944		{ "Priority0", 13, 3 },
32945		{ "Valid", 12, 1 },
32946		{ "Replicate", 11, 1 },
32947		{ "PF", 8, 3 },
32948		{ "VF_Valid", 7, 1 },
32949		{ "VF", 0, 7 },
32950	{ "MPS_CLS_SRAM_L", 0xe9d0, 0 },
32951		{ "MultiListen3", 28, 1 },
32952		{ "MultiListen2", 27, 1 },
32953		{ "MultiListen1", 26, 1 },
32954		{ "MultiListen0", 25, 1 },
32955		{ "Priority3", 22, 3 },
32956		{ "Priority2", 19, 3 },
32957		{ "Priority1", 16, 3 },
32958		{ "Priority0", 13, 3 },
32959		{ "Valid", 12, 1 },
32960		{ "Replicate", 11, 1 },
32961		{ "PF", 8, 3 },
32962		{ "VF_Valid", 7, 1 },
32963		{ "VF", 0, 7 },
32964	{ "MPS_CLS_SRAM_L", 0xe9d8, 0 },
32965		{ "MultiListen3", 28, 1 },
32966		{ "MultiListen2", 27, 1 },
32967		{ "MultiListen1", 26, 1 },
32968		{ "MultiListen0", 25, 1 },
32969		{ "Priority3", 22, 3 },
32970		{ "Priority2", 19, 3 },
32971		{ "Priority1", 16, 3 },
32972		{ "Priority0", 13, 3 },
32973		{ "Valid", 12, 1 },
32974		{ "Replicate", 11, 1 },
32975		{ "PF", 8, 3 },
32976		{ "VF_Valid", 7, 1 },
32977		{ "VF", 0, 7 },
32978	{ "MPS_CLS_SRAM_L", 0xe9e0, 0 },
32979		{ "MultiListen3", 28, 1 },
32980		{ "MultiListen2", 27, 1 },
32981		{ "MultiListen1", 26, 1 },
32982		{ "MultiListen0", 25, 1 },
32983		{ "Priority3", 22, 3 },
32984		{ "Priority2", 19, 3 },
32985		{ "Priority1", 16, 3 },
32986		{ "Priority0", 13, 3 },
32987		{ "Valid", 12, 1 },
32988		{ "Replicate", 11, 1 },
32989		{ "PF", 8, 3 },
32990		{ "VF_Valid", 7, 1 },
32991		{ "VF", 0, 7 },
32992	{ "MPS_CLS_SRAM_L", 0xe9e8, 0 },
32993		{ "MultiListen3", 28, 1 },
32994		{ "MultiListen2", 27, 1 },
32995		{ "MultiListen1", 26, 1 },
32996		{ "MultiListen0", 25, 1 },
32997		{ "Priority3", 22, 3 },
32998		{ "Priority2", 19, 3 },
32999		{ "Priority1", 16, 3 },
33000		{ "Priority0", 13, 3 },
33001		{ "Valid", 12, 1 },
33002		{ "Replicate", 11, 1 },
33003		{ "PF", 8, 3 },
33004		{ "VF_Valid", 7, 1 },
33005		{ "VF", 0, 7 },
33006	{ "MPS_CLS_SRAM_L", 0xe9f0, 0 },
33007		{ "MultiListen3", 28, 1 },
33008		{ "MultiListen2", 27, 1 },
33009		{ "MultiListen1", 26, 1 },
33010		{ "MultiListen0", 25, 1 },
33011		{ "Priority3", 22, 3 },
33012		{ "Priority2", 19, 3 },
33013		{ "Priority1", 16, 3 },
33014		{ "Priority0", 13, 3 },
33015		{ "Valid", 12, 1 },
33016		{ "Replicate", 11, 1 },
33017		{ "PF", 8, 3 },
33018		{ "VF_Valid", 7, 1 },
33019		{ "VF", 0, 7 },
33020	{ "MPS_CLS_SRAM_L", 0xe9f8, 0 },
33021		{ "MultiListen3", 28, 1 },
33022		{ "MultiListen2", 27, 1 },
33023		{ "MultiListen1", 26, 1 },
33024		{ "MultiListen0", 25, 1 },
33025		{ "Priority3", 22, 3 },
33026		{ "Priority2", 19, 3 },
33027		{ "Priority1", 16, 3 },
33028		{ "Priority0", 13, 3 },
33029		{ "Valid", 12, 1 },
33030		{ "Replicate", 11, 1 },
33031		{ "PF", 8, 3 },
33032		{ "VF_Valid", 7, 1 },
33033		{ "VF", 0, 7 },
33034	{ "MPS_CLS_SRAM_L", 0xea00, 0 },
33035		{ "MultiListen3", 28, 1 },
33036		{ "MultiListen2", 27, 1 },
33037		{ "MultiListen1", 26, 1 },
33038		{ "MultiListen0", 25, 1 },
33039		{ "Priority3", 22, 3 },
33040		{ "Priority2", 19, 3 },
33041		{ "Priority1", 16, 3 },
33042		{ "Priority0", 13, 3 },
33043		{ "Valid", 12, 1 },
33044		{ "Replicate", 11, 1 },
33045		{ "PF", 8, 3 },
33046		{ "VF_Valid", 7, 1 },
33047		{ "VF", 0, 7 },
33048	{ "MPS_CLS_SRAM_L", 0xea08, 0 },
33049		{ "MultiListen3", 28, 1 },
33050		{ "MultiListen2", 27, 1 },
33051		{ "MultiListen1", 26, 1 },
33052		{ "MultiListen0", 25, 1 },
33053		{ "Priority3", 22, 3 },
33054		{ "Priority2", 19, 3 },
33055		{ "Priority1", 16, 3 },
33056		{ "Priority0", 13, 3 },
33057		{ "Valid", 12, 1 },
33058		{ "Replicate", 11, 1 },
33059		{ "PF", 8, 3 },
33060		{ "VF_Valid", 7, 1 },
33061		{ "VF", 0, 7 },
33062	{ "MPS_CLS_SRAM_L", 0xea10, 0 },
33063		{ "MultiListen3", 28, 1 },
33064		{ "MultiListen2", 27, 1 },
33065		{ "MultiListen1", 26, 1 },
33066		{ "MultiListen0", 25, 1 },
33067		{ "Priority3", 22, 3 },
33068		{ "Priority2", 19, 3 },
33069		{ "Priority1", 16, 3 },
33070		{ "Priority0", 13, 3 },
33071		{ "Valid", 12, 1 },
33072		{ "Replicate", 11, 1 },
33073		{ "PF", 8, 3 },
33074		{ "VF_Valid", 7, 1 },
33075		{ "VF", 0, 7 },
33076	{ "MPS_CLS_SRAM_L", 0xea18, 0 },
33077		{ "MultiListen3", 28, 1 },
33078		{ "MultiListen2", 27, 1 },
33079		{ "MultiListen1", 26, 1 },
33080		{ "MultiListen0", 25, 1 },
33081		{ "Priority3", 22, 3 },
33082		{ "Priority2", 19, 3 },
33083		{ "Priority1", 16, 3 },
33084		{ "Priority0", 13, 3 },
33085		{ "Valid", 12, 1 },
33086		{ "Replicate", 11, 1 },
33087		{ "PF", 8, 3 },
33088		{ "VF_Valid", 7, 1 },
33089		{ "VF", 0, 7 },
33090	{ "MPS_CLS_SRAM_L", 0xea20, 0 },
33091		{ "MultiListen3", 28, 1 },
33092		{ "MultiListen2", 27, 1 },
33093		{ "MultiListen1", 26, 1 },
33094		{ "MultiListen0", 25, 1 },
33095		{ "Priority3", 22, 3 },
33096		{ "Priority2", 19, 3 },
33097		{ "Priority1", 16, 3 },
33098		{ "Priority0", 13, 3 },
33099		{ "Valid", 12, 1 },
33100		{ "Replicate", 11, 1 },
33101		{ "PF", 8, 3 },
33102		{ "VF_Valid", 7, 1 },
33103		{ "VF", 0, 7 },
33104	{ "MPS_CLS_SRAM_L", 0xea28, 0 },
33105		{ "MultiListen3", 28, 1 },
33106		{ "MultiListen2", 27, 1 },
33107		{ "MultiListen1", 26, 1 },
33108		{ "MultiListen0", 25, 1 },
33109		{ "Priority3", 22, 3 },
33110		{ "Priority2", 19, 3 },
33111		{ "Priority1", 16, 3 },
33112		{ "Priority0", 13, 3 },
33113		{ "Valid", 12, 1 },
33114		{ "Replicate", 11, 1 },
33115		{ "PF", 8, 3 },
33116		{ "VF_Valid", 7, 1 },
33117		{ "VF", 0, 7 },
33118	{ "MPS_CLS_SRAM_L", 0xea30, 0 },
33119		{ "MultiListen3", 28, 1 },
33120		{ "MultiListen2", 27, 1 },
33121		{ "MultiListen1", 26, 1 },
33122		{ "MultiListen0", 25, 1 },
33123		{ "Priority3", 22, 3 },
33124		{ "Priority2", 19, 3 },
33125		{ "Priority1", 16, 3 },
33126		{ "Priority0", 13, 3 },
33127		{ "Valid", 12, 1 },
33128		{ "Replicate", 11, 1 },
33129		{ "PF", 8, 3 },
33130		{ "VF_Valid", 7, 1 },
33131		{ "VF", 0, 7 },
33132	{ "MPS_CLS_SRAM_L", 0xea38, 0 },
33133		{ "MultiListen3", 28, 1 },
33134		{ "MultiListen2", 27, 1 },
33135		{ "MultiListen1", 26, 1 },
33136		{ "MultiListen0", 25, 1 },
33137		{ "Priority3", 22, 3 },
33138		{ "Priority2", 19, 3 },
33139		{ "Priority1", 16, 3 },
33140		{ "Priority0", 13, 3 },
33141		{ "Valid", 12, 1 },
33142		{ "Replicate", 11, 1 },
33143		{ "PF", 8, 3 },
33144		{ "VF_Valid", 7, 1 },
33145		{ "VF", 0, 7 },
33146	{ "MPS_CLS_SRAM_L", 0xea40, 0 },
33147		{ "MultiListen3", 28, 1 },
33148		{ "MultiListen2", 27, 1 },
33149		{ "MultiListen1", 26, 1 },
33150		{ "MultiListen0", 25, 1 },
33151		{ "Priority3", 22, 3 },
33152		{ "Priority2", 19, 3 },
33153		{ "Priority1", 16, 3 },
33154		{ "Priority0", 13, 3 },
33155		{ "Valid", 12, 1 },
33156		{ "Replicate", 11, 1 },
33157		{ "PF", 8, 3 },
33158		{ "VF_Valid", 7, 1 },
33159		{ "VF", 0, 7 },
33160	{ "MPS_CLS_SRAM_L", 0xea48, 0 },
33161		{ "MultiListen3", 28, 1 },
33162		{ "MultiListen2", 27, 1 },
33163		{ "MultiListen1", 26, 1 },
33164		{ "MultiListen0", 25, 1 },
33165		{ "Priority3", 22, 3 },
33166		{ "Priority2", 19, 3 },
33167		{ "Priority1", 16, 3 },
33168		{ "Priority0", 13, 3 },
33169		{ "Valid", 12, 1 },
33170		{ "Replicate", 11, 1 },
33171		{ "PF", 8, 3 },
33172		{ "VF_Valid", 7, 1 },
33173		{ "VF", 0, 7 },
33174	{ "MPS_CLS_SRAM_L", 0xea50, 0 },
33175		{ "MultiListen3", 28, 1 },
33176		{ "MultiListen2", 27, 1 },
33177		{ "MultiListen1", 26, 1 },
33178		{ "MultiListen0", 25, 1 },
33179		{ "Priority3", 22, 3 },
33180		{ "Priority2", 19, 3 },
33181		{ "Priority1", 16, 3 },
33182		{ "Priority0", 13, 3 },
33183		{ "Valid", 12, 1 },
33184		{ "Replicate", 11, 1 },
33185		{ "PF", 8, 3 },
33186		{ "VF_Valid", 7, 1 },
33187		{ "VF", 0, 7 },
33188	{ "MPS_CLS_SRAM_L", 0xea58, 0 },
33189		{ "MultiListen3", 28, 1 },
33190		{ "MultiListen2", 27, 1 },
33191		{ "MultiListen1", 26, 1 },
33192		{ "MultiListen0", 25, 1 },
33193		{ "Priority3", 22, 3 },
33194		{ "Priority2", 19, 3 },
33195		{ "Priority1", 16, 3 },
33196		{ "Priority0", 13, 3 },
33197		{ "Valid", 12, 1 },
33198		{ "Replicate", 11, 1 },
33199		{ "PF", 8, 3 },
33200		{ "VF_Valid", 7, 1 },
33201		{ "VF", 0, 7 },
33202	{ "MPS_CLS_SRAM_L", 0xea60, 0 },
33203		{ "MultiListen3", 28, 1 },
33204		{ "MultiListen2", 27, 1 },
33205		{ "MultiListen1", 26, 1 },
33206		{ "MultiListen0", 25, 1 },
33207		{ "Priority3", 22, 3 },
33208		{ "Priority2", 19, 3 },
33209		{ "Priority1", 16, 3 },
33210		{ "Priority0", 13, 3 },
33211		{ "Valid", 12, 1 },
33212		{ "Replicate", 11, 1 },
33213		{ "PF", 8, 3 },
33214		{ "VF_Valid", 7, 1 },
33215		{ "VF", 0, 7 },
33216	{ "MPS_CLS_SRAM_L", 0xea68, 0 },
33217		{ "MultiListen3", 28, 1 },
33218		{ "MultiListen2", 27, 1 },
33219		{ "MultiListen1", 26, 1 },
33220		{ "MultiListen0", 25, 1 },
33221		{ "Priority3", 22, 3 },
33222		{ "Priority2", 19, 3 },
33223		{ "Priority1", 16, 3 },
33224		{ "Priority0", 13, 3 },
33225		{ "Valid", 12, 1 },
33226		{ "Replicate", 11, 1 },
33227		{ "PF", 8, 3 },
33228		{ "VF_Valid", 7, 1 },
33229		{ "VF", 0, 7 },
33230	{ "MPS_CLS_SRAM_L", 0xea70, 0 },
33231		{ "MultiListen3", 28, 1 },
33232		{ "MultiListen2", 27, 1 },
33233		{ "MultiListen1", 26, 1 },
33234		{ "MultiListen0", 25, 1 },
33235		{ "Priority3", 22, 3 },
33236		{ "Priority2", 19, 3 },
33237		{ "Priority1", 16, 3 },
33238		{ "Priority0", 13, 3 },
33239		{ "Valid", 12, 1 },
33240		{ "Replicate", 11, 1 },
33241		{ "PF", 8, 3 },
33242		{ "VF_Valid", 7, 1 },
33243		{ "VF", 0, 7 },
33244	{ "MPS_CLS_SRAM_L", 0xea78, 0 },
33245		{ "MultiListen3", 28, 1 },
33246		{ "MultiListen2", 27, 1 },
33247		{ "MultiListen1", 26, 1 },
33248		{ "MultiListen0", 25, 1 },
33249		{ "Priority3", 22, 3 },
33250		{ "Priority2", 19, 3 },
33251		{ "Priority1", 16, 3 },
33252		{ "Priority0", 13, 3 },
33253		{ "Valid", 12, 1 },
33254		{ "Replicate", 11, 1 },
33255		{ "PF", 8, 3 },
33256		{ "VF_Valid", 7, 1 },
33257		{ "VF", 0, 7 },
33258	{ "MPS_CLS_SRAM_L", 0xea80, 0 },
33259		{ "MultiListen3", 28, 1 },
33260		{ "MultiListen2", 27, 1 },
33261		{ "MultiListen1", 26, 1 },
33262		{ "MultiListen0", 25, 1 },
33263		{ "Priority3", 22, 3 },
33264		{ "Priority2", 19, 3 },
33265		{ "Priority1", 16, 3 },
33266		{ "Priority0", 13, 3 },
33267		{ "Valid", 12, 1 },
33268		{ "Replicate", 11, 1 },
33269		{ "PF", 8, 3 },
33270		{ "VF_Valid", 7, 1 },
33271		{ "VF", 0, 7 },
33272	{ "MPS_CLS_SRAM_L", 0xea88, 0 },
33273		{ "MultiListen3", 28, 1 },
33274		{ "MultiListen2", 27, 1 },
33275		{ "MultiListen1", 26, 1 },
33276		{ "MultiListen0", 25, 1 },
33277		{ "Priority3", 22, 3 },
33278		{ "Priority2", 19, 3 },
33279		{ "Priority1", 16, 3 },
33280		{ "Priority0", 13, 3 },
33281		{ "Valid", 12, 1 },
33282		{ "Replicate", 11, 1 },
33283		{ "PF", 8, 3 },
33284		{ "VF_Valid", 7, 1 },
33285		{ "VF", 0, 7 },
33286	{ "MPS_CLS_SRAM_L", 0xea90, 0 },
33287		{ "MultiListen3", 28, 1 },
33288		{ "MultiListen2", 27, 1 },
33289		{ "MultiListen1", 26, 1 },
33290		{ "MultiListen0", 25, 1 },
33291		{ "Priority3", 22, 3 },
33292		{ "Priority2", 19, 3 },
33293		{ "Priority1", 16, 3 },
33294		{ "Priority0", 13, 3 },
33295		{ "Valid", 12, 1 },
33296		{ "Replicate", 11, 1 },
33297		{ "PF", 8, 3 },
33298		{ "VF_Valid", 7, 1 },
33299		{ "VF", 0, 7 },
33300	{ "MPS_CLS_SRAM_L", 0xea98, 0 },
33301		{ "MultiListen3", 28, 1 },
33302		{ "MultiListen2", 27, 1 },
33303		{ "MultiListen1", 26, 1 },
33304		{ "MultiListen0", 25, 1 },
33305		{ "Priority3", 22, 3 },
33306		{ "Priority2", 19, 3 },
33307		{ "Priority1", 16, 3 },
33308		{ "Priority0", 13, 3 },
33309		{ "Valid", 12, 1 },
33310		{ "Replicate", 11, 1 },
33311		{ "PF", 8, 3 },
33312		{ "VF_Valid", 7, 1 },
33313		{ "VF", 0, 7 },
33314	{ "MPS_CLS_SRAM_L", 0xeaa0, 0 },
33315		{ "MultiListen3", 28, 1 },
33316		{ "MultiListen2", 27, 1 },
33317		{ "MultiListen1", 26, 1 },
33318		{ "MultiListen0", 25, 1 },
33319		{ "Priority3", 22, 3 },
33320		{ "Priority2", 19, 3 },
33321		{ "Priority1", 16, 3 },
33322		{ "Priority0", 13, 3 },
33323		{ "Valid", 12, 1 },
33324		{ "Replicate", 11, 1 },
33325		{ "PF", 8, 3 },
33326		{ "VF_Valid", 7, 1 },
33327		{ "VF", 0, 7 },
33328	{ "MPS_CLS_SRAM_L", 0xeaa8, 0 },
33329		{ "MultiListen3", 28, 1 },
33330		{ "MultiListen2", 27, 1 },
33331		{ "MultiListen1", 26, 1 },
33332		{ "MultiListen0", 25, 1 },
33333		{ "Priority3", 22, 3 },
33334		{ "Priority2", 19, 3 },
33335		{ "Priority1", 16, 3 },
33336		{ "Priority0", 13, 3 },
33337		{ "Valid", 12, 1 },
33338		{ "Replicate", 11, 1 },
33339		{ "PF", 8, 3 },
33340		{ "VF_Valid", 7, 1 },
33341		{ "VF", 0, 7 },
33342	{ "MPS_CLS_SRAM_L", 0xeab0, 0 },
33343		{ "MultiListen3", 28, 1 },
33344		{ "MultiListen2", 27, 1 },
33345		{ "MultiListen1", 26, 1 },
33346		{ "MultiListen0", 25, 1 },
33347		{ "Priority3", 22, 3 },
33348		{ "Priority2", 19, 3 },
33349		{ "Priority1", 16, 3 },
33350		{ "Priority0", 13, 3 },
33351		{ "Valid", 12, 1 },
33352		{ "Replicate", 11, 1 },
33353		{ "PF", 8, 3 },
33354		{ "VF_Valid", 7, 1 },
33355		{ "VF", 0, 7 },
33356	{ "MPS_CLS_SRAM_L", 0xeab8, 0 },
33357		{ "MultiListen3", 28, 1 },
33358		{ "MultiListen2", 27, 1 },
33359		{ "MultiListen1", 26, 1 },
33360		{ "MultiListen0", 25, 1 },
33361		{ "Priority3", 22, 3 },
33362		{ "Priority2", 19, 3 },
33363		{ "Priority1", 16, 3 },
33364		{ "Priority0", 13, 3 },
33365		{ "Valid", 12, 1 },
33366		{ "Replicate", 11, 1 },
33367		{ "PF", 8, 3 },
33368		{ "VF_Valid", 7, 1 },
33369		{ "VF", 0, 7 },
33370	{ "MPS_CLS_SRAM_L", 0xeac0, 0 },
33371		{ "MultiListen3", 28, 1 },
33372		{ "MultiListen2", 27, 1 },
33373		{ "MultiListen1", 26, 1 },
33374		{ "MultiListen0", 25, 1 },
33375		{ "Priority3", 22, 3 },
33376		{ "Priority2", 19, 3 },
33377		{ "Priority1", 16, 3 },
33378		{ "Priority0", 13, 3 },
33379		{ "Valid", 12, 1 },
33380		{ "Replicate", 11, 1 },
33381		{ "PF", 8, 3 },
33382		{ "VF_Valid", 7, 1 },
33383		{ "VF", 0, 7 },
33384	{ "MPS_CLS_SRAM_L", 0xeac8, 0 },
33385		{ "MultiListen3", 28, 1 },
33386		{ "MultiListen2", 27, 1 },
33387		{ "MultiListen1", 26, 1 },
33388		{ "MultiListen0", 25, 1 },
33389		{ "Priority3", 22, 3 },
33390		{ "Priority2", 19, 3 },
33391		{ "Priority1", 16, 3 },
33392		{ "Priority0", 13, 3 },
33393		{ "Valid", 12, 1 },
33394		{ "Replicate", 11, 1 },
33395		{ "PF", 8, 3 },
33396		{ "VF_Valid", 7, 1 },
33397		{ "VF", 0, 7 },
33398	{ "MPS_CLS_SRAM_L", 0xead0, 0 },
33399		{ "MultiListen3", 28, 1 },
33400		{ "MultiListen2", 27, 1 },
33401		{ "MultiListen1", 26, 1 },
33402		{ "MultiListen0", 25, 1 },
33403		{ "Priority3", 22, 3 },
33404		{ "Priority2", 19, 3 },
33405		{ "Priority1", 16, 3 },
33406		{ "Priority0", 13, 3 },
33407		{ "Valid", 12, 1 },
33408		{ "Replicate", 11, 1 },
33409		{ "PF", 8, 3 },
33410		{ "VF_Valid", 7, 1 },
33411		{ "VF", 0, 7 },
33412	{ "MPS_CLS_SRAM_L", 0xead8, 0 },
33413		{ "MultiListen3", 28, 1 },
33414		{ "MultiListen2", 27, 1 },
33415		{ "MultiListen1", 26, 1 },
33416		{ "MultiListen0", 25, 1 },
33417		{ "Priority3", 22, 3 },
33418		{ "Priority2", 19, 3 },
33419		{ "Priority1", 16, 3 },
33420		{ "Priority0", 13, 3 },
33421		{ "Valid", 12, 1 },
33422		{ "Replicate", 11, 1 },
33423		{ "PF", 8, 3 },
33424		{ "VF_Valid", 7, 1 },
33425		{ "VF", 0, 7 },
33426	{ "MPS_CLS_SRAM_L", 0xeae0, 0 },
33427		{ "MultiListen3", 28, 1 },
33428		{ "MultiListen2", 27, 1 },
33429		{ "MultiListen1", 26, 1 },
33430		{ "MultiListen0", 25, 1 },
33431		{ "Priority3", 22, 3 },
33432		{ "Priority2", 19, 3 },
33433		{ "Priority1", 16, 3 },
33434		{ "Priority0", 13, 3 },
33435		{ "Valid", 12, 1 },
33436		{ "Replicate", 11, 1 },
33437		{ "PF", 8, 3 },
33438		{ "VF_Valid", 7, 1 },
33439		{ "VF", 0, 7 },
33440	{ "MPS_CLS_SRAM_L", 0xeae8, 0 },
33441		{ "MultiListen3", 28, 1 },
33442		{ "MultiListen2", 27, 1 },
33443		{ "MultiListen1", 26, 1 },
33444		{ "MultiListen0", 25, 1 },
33445		{ "Priority3", 22, 3 },
33446		{ "Priority2", 19, 3 },
33447		{ "Priority1", 16, 3 },
33448		{ "Priority0", 13, 3 },
33449		{ "Valid", 12, 1 },
33450		{ "Replicate", 11, 1 },
33451		{ "PF", 8, 3 },
33452		{ "VF_Valid", 7, 1 },
33453		{ "VF", 0, 7 },
33454	{ "MPS_CLS_SRAM_L", 0xeaf0, 0 },
33455		{ "MultiListen3", 28, 1 },
33456		{ "MultiListen2", 27, 1 },
33457		{ "MultiListen1", 26, 1 },
33458		{ "MultiListen0", 25, 1 },
33459		{ "Priority3", 22, 3 },
33460		{ "Priority2", 19, 3 },
33461		{ "Priority1", 16, 3 },
33462		{ "Priority0", 13, 3 },
33463		{ "Valid", 12, 1 },
33464		{ "Replicate", 11, 1 },
33465		{ "PF", 8, 3 },
33466		{ "VF_Valid", 7, 1 },
33467		{ "VF", 0, 7 },
33468	{ "MPS_CLS_SRAM_L", 0xeaf8, 0 },
33469		{ "MultiListen3", 28, 1 },
33470		{ "MultiListen2", 27, 1 },
33471		{ "MultiListen1", 26, 1 },
33472		{ "MultiListen0", 25, 1 },
33473		{ "Priority3", 22, 3 },
33474		{ "Priority2", 19, 3 },
33475		{ "Priority1", 16, 3 },
33476		{ "Priority0", 13, 3 },
33477		{ "Valid", 12, 1 },
33478		{ "Replicate", 11, 1 },
33479		{ "PF", 8, 3 },
33480		{ "VF_Valid", 7, 1 },
33481		{ "VF", 0, 7 },
33482	{ "MPS_CLS_SRAM_L", 0xeb00, 0 },
33483		{ "MultiListen3", 28, 1 },
33484		{ "MultiListen2", 27, 1 },
33485		{ "MultiListen1", 26, 1 },
33486		{ "MultiListen0", 25, 1 },
33487		{ "Priority3", 22, 3 },
33488		{ "Priority2", 19, 3 },
33489		{ "Priority1", 16, 3 },
33490		{ "Priority0", 13, 3 },
33491		{ "Valid", 12, 1 },
33492		{ "Replicate", 11, 1 },
33493		{ "PF", 8, 3 },
33494		{ "VF_Valid", 7, 1 },
33495		{ "VF", 0, 7 },
33496	{ "MPS_CLS_SRAM_L", 0xeb08, 0 },
33497		{ "MultiListen3", 28, 1 },
33498		{ "MultiListen2", 27, 1 },
33499		{ "MultiListen1", 26, 1 },
33500		{ "MultiListen0", 25, 1 },
33501		{ "Priority3", 22, 3 },
33502		{ "Priority2", 19, 3 },
33503		{ "Priority1", 16, 3 },
33504		{ "Priority0", 13, 3 },
33505		{ "Valid", 12, 1 },
33506		{ "Replicate", 11, 1 },
33507		{ "PF", 8, 3 },
33508		{ "VF_Valid", 7, 1 },
33509		{ "VF", 0, 7 },
33510	{ "MPS_CLS_SRAM_L", 0xeb10, 0 },
33511		{ "MultiListen3", 28, 1 },
33512		{ "MultiListen2", 27, 1 },
33513		{ "MultiListen1", 26, 1 },
33514		{ "MultiListen0", 25, 1 },
33515		{ "Priority3", 22, 3 },
33516		{ "Priority2", 19, 3 },
33517		{ "Priority1", 16, 3 },
33518		{ "Priority0", 13, 3 },
33519		{ "Valid", 12, 1 },
33520		{ "Replicate", 11, 1 },
33521		{ "PF", 8, 3 },
33522		{ "VF_Valid", 7, 1 },
33523		{ "VF", 0, 7 },
33524	{ "MPS_CLS_SRAM_L", 0xeb18, 0 },
33525		{ "MultiListen3", 28, 1 },
33526		{ "MultiListen2", 27, 1 },
33527		{ "MultiListen1", 26, 1 },
33528		{ "MultiListen0", 25, 1 },
33529		{ "Priority3", 22, 3 },
33530		{ "Priority2", 19, 3 },
33531		{ "Priority1", 16, 3 },
33532		{ "Priority0", 13, 3 },
33533		{ "Valid", 12, 1 },
33534		{ "Replicate", 11, 1 },
33535		{ "PF", 8, 3 },
33536		{ "VF_Valid", 7, 1 },
33537		{ "VF", 0, 7 },
33538	{ "MPS_CLS_SRAM_L", 0xeb20, 0 },
33539		{ "MultiListen3", 28, 1 },
33540		{ "MultiListen2", 27, 1 },
33541		{ "MultiListen1", 26, 1 },
33542		{ "MultiListen0", 25, 1 },
33543		{ "Priority3", 22, 3 },
33544		{ "Priority2", 19, 3 },
33545		{ "Priority1", 16, 3 },
33546		{ "Priority0", 13, 3 },
33547		{ "Valid", 12, 1 },
33548		{ "Replicate", 11, 1 },
33549		{ "PF", 8, 3 },
33550		{ "VF_Valid", 7, 1 },
33551		{ "VF", 0, 7 },
33552	{ "MPS_CLS_SRAM_L", 0xeb28, 0 },
33553		{ "MultiListen3", 28, 1 },
33554		{ "MultiListen2", 27, 1 },
33555		{ "MultiListen1", 26, 1 },
33556		{ "MultiListen0", 25, 1 },
33557		{ "Priority3", 22, 3 },
33558		{ "Priority2", 19, 3 },
33559		{ "Priority1", 16, 3 },
33560		{ "Priority0", 13, 3 },
33561		{ "Valid", 12, 1 },
33562		{ "Replicate", 11, 1 },
33563		{ "PF", 8, 3 },
33564		{ "VF_Valid", 7, 1 },
33565		{ "VF", 0, 7 },
33566	{ "MPS_CLS_SRAM_L", 0xeb30, 0 },
33567		{ "MultiListen3", 28, 1 },
33568		{ "MultiListen2", 27, 1 },
33569		{ "MultiListen1", 26, 1 },
33570		{ "MultiListen0", 25, 1 },
33571		{ "Priority3", 22, 3 },
33572		{ "Priority2", 19, 3 },
33573		{ "Priority1", 16, 3 },
33574		{ "Priority0", 13, 3 },
33575		{ "Valid", 12, 1 },
33576		{ "Replicate", 11, 1 },
33577		{ "PF", 8, 3 },
33578		{ "VF_Valid", 7, 1 },
33579		{ "VF", 0, 7 },
33580	{ "MPS_CLS_SRAM_L", 0xeb38, 0 },
33581		{ "MultiListen3", 28, 1 },
33582		{ "MultiListen2", 27, 1 },
33583		{ "MultiListen1", 26, 1 },
33584		{ "MultiListen0", 25, 1 },
33585		{ "Priority3", 22, 3 },
33586		{ "Priority2", 19, 3 },
33587		{ "Priority1", 16, 3 },
33588		{ "Priority0", 13, 3 },
33589		{ "Valid", 12, 1 },
33590		{ "Replicate", 11, 1 },
33591		{ "PF", 8, 3 },
33592		{ "VF_Valid", 7, 1 },
33593		{ "VF", 0, 7 },
33594	{ "MPS_CLS_SRAM_L", 0xeb40, 0 },
33595		{ "MultiListen3", 28, 1 },
33596		{ "MultiListen2", 27, 1 },
33597		{ "MultiListen1", 26, 1 },
33598		{ "MultiListen0", 25, 1 },
33599		{ "Priority3", 22, 3 },
33600		{ "Priority2", 19, 3 },
33601		{ "Priority1", 16, 3 },
33602		{ "Priority0", 13, 3 },
33603		{ "Valid", 12, 1 },
33604		{ "Replicate", 11, 1 },
33605		{ "PF", 8, 3 },
33606		{ "VF_Valid", 7, 1 },
33607		{ "VF", 0, 7 },
33608	{ "MPS_CLS_SRAM_L", 0xeb48, 0 },
33609		{ "MultiListen3", 28, 1 },
33610		{ "MultiListen2", 27, 1 },
33611		{ "MultiListen1", 26, 1 },
33612		{ "MultiListen0", 25, 1 },
33613		{ "Priority3", 22, 3 },
33614		{ "Priority2", 19, 3 },
33615		{ "Priority1", 16, 3 },
33616		{ "Priority0", 13, 3 },
33617		{ "Valid", 12, 1 },
33618		{ "Replicate", 11, 1 },
33619		{ "PF", 8, 3 },
33620		{ "VF_Valid", 7, 1 },
33621		{ "VF", 0, 7 },
33622	{ "MPS_CLS_SRAM_L", 0xeb50, 0 },
33623		{ "MultiListen3", 28, 1 },
33624		{ "MultiListen2", 27, 1 },
33625		{ "MultiListen1", 26, 1 },
33626		{ "MultiListen0", 25, 1 },
33627		{ "Priority3", 22, 3 },
33628		{ "Priority2", 19, 3 },
33629		{ "Priority1", 16, 3 },
33630		{ "Priority0", 13, 3 },
33631		{ "Valid", 12, 1 },
33632		{ "Replicate", 11, 1 },
33633		{ "PF", 8, 3 },
33634		{ "VF_Valid", 7, 1 },
33635		{ "VF", 0, 7 },
33636	{ "MPS_CLS_SRAM_L", 0xeb58, 0 },
33637		{ "MultiListen3", 28, 1 },
33638		{ "MultiListen2", 27, 1 },
33639		{ "MultiListen1", 26, 1 },
33640		{ "MultiListen0", 25, 1 },
33641		{ "Priority3", 22, 3 },
33642		{ "Priority2", 19, 3 },
33643		{ "Priority1", 16, 3 },
33644		{ "Priority0", 13, 3 },
33645		{ "Valid", 12, 1 },
33646		{ "Replicate", 11, 1 },
33647		{ "PF", 8, 3 },
33648		{ "VF_Valid", 7, 1 },
33649		{ "VF", 0, 7 },
33650	{ "MPS_CLS_SRAM_L", 0xeb60, 0 },
33651		{ "MultiListen3", 28, 1 },
33652		{ "MultiListen2", 27, 1 },
33653		{ "MultiListen1", 26, 1 },
33654		{ "MultiListen0", 25, 1 },
33655		{ "Priority3", 22, 3 },
33656		{ "Priority2", 19, 3 },
33657		{ "Priority1", 16, 3 },
33658		{ "Priority0", 13, 3 },
33659		{ "Valid", 12, 1 },
33660		{ "Replicate", 11, 1 },
33661		{ "PF", 8, 3 },
33662		{ "VF_Valid", 7, 1 },
33663		{ "VF", 0, 7 },
33664	{ "MPS_CLS_SRAM_L", 0xeb68, 0 },
33665		{ "MultiListen3", 28, 1 },
33666		{ "MultiListen2", 27, 1 },
33667		{ "MultiListen1", 26, 1 },
33668		{ "MultiListen0", 25, 1 },
33669		{ "Priority3", 22, 3 },
33670		{ "Priority2", 19, 3 },
33671		{ "Priority1", 16, 3 },
33672		{ "Priority0", 13, 3 },
33673		{ "Valid", 12, 1 },
33674		{ "Replicate", 11, 1 },
33675		{ "PF", 8, 3 },
33676		{ "VF_Valid", 7, 1 },
33677		{ "VF", 0, 7 },
33678	{ "MPS_CLS_SRAM_L", 0xeb70, 0 },
33679		{ "MultiListen3", 28, 1 },
33680		{ "MultiListen2", 27, 1 },
33681		{ "MultiListen1", 26, 1 },
33682		{ "MultiListen0", 25, 1 },
33683		{ "Priority3", 22, 3 },
33684		{ "Priority2", 19, 3 },
33685		{ "Priority1", 16, 3 },
33686		{ "Priority0", 13, 3 },
33687		{ "Valid", 12, 1 },
33688		{ "Replicate", 11, 1 },
33689		{ "PF", 8, 3 },
33690		{ "VF_Valid", 7, 1 },
33691		{ "VF", 0, 7 },
33692	{ "MPS_CLS_SRAM_L", 0xeb78, 0 },
33693		{ "MultiListen3", 28, 1 },
33694		{ "MultiListen2", 27, 1 },
33695		{ "MultiListen1", 26, 1 },
33696		{ "MultiListen0", 25, 1 },
33697		{ "Priority3", 22, 3 },
33698		{ "Priority2", 19, 3 },
33699		{ "Priority1", 16, 3 },
33700		{ "Priority0", 13, 3 },
33701		{ "Valid", 12, 1 },
33702		{ "Replicate", 11, 1 },
33703		{ "PF", 8, 3 },
33704		{ "VF_Valid", 7, 1 },
33705		{ "VF", 0, 7 },
33706	{ "MPS_CLS_SRAM_L", 0xeb80, 0 },
33707		{ "MultiListen3", 28, 1 },
33708		{ "MultiListen2", 27, 1 },
33709		{ "MultiListen1", 26, 1 },
33710		{ "MultiListen0", 25, 1 },
33711		{ "Priority3", 22, 3 },
33712		{ "Priority2", 19, 3 },
33713		{ "Priority1", 16, 3 },
33714		{ "Priority0", 13, 3 },
33715		{ "Valid", 12, 1 },
33716		{ "Replicate", 11, 1 },
33717		{ "PF", 8, 3 },
33718		{ "VF_Valid", 7, 1 },
33719		{ "VF", 0, 7 },
33720	{ "MPS_CLS_SRAM_L", 0xeb88, 0 },
33721		{ "MultiListen3", 28, 1 },
33722		{ "MultiListen2", 27, 1 },
33723		{ "MultiListen1", 26, 1 },
33724		{ "MultiListen0", 25, 1 },
33725		{ "Priority3", 22, 3 },
33726		{ "Priority2", 19, 3 },
33727		{ "Priority1", 16, 3 },
33728		{ "Priority0", 13, 3 },
33729		{ "Valid", 12, 1 },
33730		{ "Replicate", 11, 1 },
33731		{ "PF", 8, 3 },
33732		{ "VF_Valid", 7, 1 },
33733		{ "VF", 0, 7 },
33734	{ "MPS_CLS_SRAM_L", 0xeb90, 0 },
33735		{ "MultiListen3", 28, 1 },
33736		{ "MultiListen2", 27, 1 },
33737		{ "MultiListen1", 26, 1 },
33738		{ "MultiListen0", 25, 1 },
33739		{ "Priority3", 22, 3 },
33740		{ "Priority2", 19, 3 },
33741		{ "Priority1", 16, 3 },
33742		{ "Priority0", 13, 3 },
33743		{ "Valid", 12, 1 },
33744		{ "Replicate", 11, 1 },
33745		{ "PF", 8, 3 },
33746		{ "VF_Valid", 7, 1 },
33747		{ "VF", 0, 7 },
33748	{ "MPS_CLS_SRAM_L", 0xeb98, 0 },
33749		{ "MultiListen3", 28, 1 },
33750		{ "MultiListen2", 27, 1 },
33751		{ "MultiListen1", 26, 1 },
33752		{ "MultiListen0", 25, 1 },
33753		{ "Priority3", 22, 3 },
33754		{ "Priority2", 19, 3 },
33755		{ "Priority1", 16, 3 },
33756		{ "Priority0", 13, 3 },
33757		{ "Valid", 12, 1 },
33758		{ "Replicate", 11, 1 },
33759		{ "PF", 8, 3 },
33760		{ "VF_Valid", 7, 1 },
33761		{ "VF", 0, 7 },
33762	{ "MPS_CLS_SRAM_L", 0xeba0, 0 },
33763		{ "MultiListen3", 28, 1 },
33764		{ "MultiListen2", 27, 1 },
33765		{ "MultiListen1", 26, 1 },
33766		{ "MultiListen0", 25, 1 },
33767		{ "Priority3", 22, 3 },
33768		{ "Priority2", 19, 3 },
33769		{ "Priority1", 16, 3 },
33770		{ "Priority0", 13, 3 },
33771		{ "Valid", 12, 1 },
33772		{ "Replicate", 11, 1 },
33773		{ "PF", 8, 3 },
33774		{ "VF_Valid", 7, 1 },
33775		{ "VF", 0, 7 },
33776	{ "MPS_CLS_SRAM_L", 0xeba8, 0 },
33777		{ "MultiListen3", 28, 1 },
33778		{ "MultiListen2", 27, 1 },
33779		{ "MultiListen1", 26, 1 },
33780		{ "MultiListen0", 25, 1 },
33781		{ "Priority3", 22, 3 },
33782		{ "Priority2", 19, 3 },
33783		{ "Priority1", 16, 3 },
33784		{ "Priority0", 13, 3 },
33785		{ "Valid", 12, 1 },
33786		{ "Replicate", 11, 1 },
33787		{ "PF", 8, 3 },
33788		{ "VF_Valid", 7, 1 },
33789		{ "VF", 0, 7 },
33790	{ "MPS_CLS_SRAM_L", 0xebb0, 0 },
33791		{ "MultiListen3", 28, 1 },
33792		{ "MultiListen2", 27, 1 },
33793		{ "MultiListen1", 26, 1 },
33794		{ "MultiListen0", 25, 1 },
33795		{ "Priority3", 22, 3 },
33796		{ "Priority2", 19, 3 },
33797		{ "Priority1", 16, 3 },
33798		{ "Priority0", 13, 3 },
33799		{ "Valid", 12, 1 },
33800		{ "Replicate", 11, 1 },
33801		{ "PF", 8, 3 },
33802		{ "VF_Valid", 7, 1 },
33803		{ "VF", 0, 7 },
33804	{ "MPS_CLS_SRAM_L", 0xebb8, 0 },
33805		{ "MultiListen3", 28, 1 },
33806		{ "MultiListen2", 27, 1 },
33807		{ "MultiListen1", 26, 1 },
33808		{ "MultiListen0", 25, 1 },
33809		{ "Priority3", 22, 3 },
33810		{ "Priority2", 19, 3 },
33811		{ "Priority1", 16, 3 },
33812		{ "Priority0", 13, 3 },
33813		{ "Valid", 12, 1 },
33814		{ "Replicate", 11, 1 },
33815		{ "PF", 8, 3 },
33816		{ "VF_Valid", 7, 1 },
33817		{ "VF", 0, 7 },
33818	{ "MPS_CLS_SRAM_L", 0xebc0, 0 },
33819		{ "MultiListen3", 28, 1 },
33820		{ "MultiListen2", 27, 1 },
33821		{ "MultiListen1", 26, 1 },
33822		{ "MultiListen0", 25, 1 },
33823		{ "Priority3", 22, 3 },
33824		{ "Priority2", 19, 3 },
33825		{ "Priority1", 16, 3 },
33826		{ "Priority0", 13, 3 },
33827		{ "Valid", 12, 1 },
33828		{ "Replicate", 11, 1 },
33829		{ "PF", 8, 3 },
33830		{ "VF_Valid", 7, 1 },
33831		{ "VF", 0, 7 },
33832	{ "MPS_CLS_SRAM_L", 0xebc8, 0 },
33833		{ "MultiListen3", 28, 1 },
33834		{ "MultiListen2", 27, 1 },
33835		{ "MultiListen1", 26, 1 },
33836		{ "MultiListen0", 25, 1 },
33837		{ "Priority3", 22, 3 },
33838		{ "Priority2", 19, 3 },
33839		{ "Priority1", 16, 3 },
33840		{ "Priority0", 13, 3 },
33841		{ "Valid", 12, 1 },
33842		{ "Replicate", 11, 1 },
33843		{ "PF", 8, 3 },
33844		{ "VF_Valid", 7, 1 },
33845		{ "VF", 0, 7 },
33846	{ "MPS_CLS_SRAM_L", 0xebd0, 0 },
33847		{ "MultiListen3", 28, 1 },
33848		{ "MultiListen2", 27, 1 },
33849		{ "MultiListen1", 26, 1 },
33850		{ "MultiListen0", 25, 1 },
33851		{ "Priority3", 22, 3 },
33852		{ "Priority2", 19, 3 },
33853		{ "Priority1", 16, 3 },
33854		{ "Priority0", 13, 3 },
33855		{ "Valid", 12, 1 },
33856		{ "Replicate", 11, 1 },
33857		{ "PF", 8, 3 },
33858		{ "VF_Valid", 7, 1 },
33859		{ "VF", 0, 7 },
33860	{ "MPS_CLS_SRAM_L", 0xebd8, 0 },
33861		{ "MultiListen3", 28, 1 },
33862		{ "MultiListen2", 27, 1 },
33863		{ "MultiListen1", 26, 1 },
33864		{ "MultiListen0", 25, 1 },
33865		{ "Priority3", 22, 3 },
33866		{ "Priority2", 19, 3 },
33867		{ "Priority1", 16, 3 },
33868		{ "Priority0", 13, 3 },
33869		{ "Valid", 12, 1 },
33870		{ "Replicate", 11, 1 },
33871		{ "PF", 8, 3 },
33872		{ "VF_Valid", 7, 1 },
33873		{ "VF", 0, 7 },
33874	{ "MPS_CLS_SRAM_L", 0xebe0, 0 },
33875		{ "MultiListen3", 28, 1 },
33876		{ "MultiListen2", 27, 1 },
33877		{ "MultiListen1", 26, 1 },
33878		{ "MultiListen0", 25, 1 },
33879		{ "Priority3", 22, 3 },
33880		{ "Priority2", 19, 3 },
33881		{ "Priority1", 16, 3 },
33882		{ "Priority0", 13, 3 },
33883		{ "Valid", 12, 1 },
33884		{ "Replicate", 11, 1 },
33885		{ "PF", 8, 3 },
33886		{ "VF_Valid", 7, 1 },
33887		{ "VF", 0, 7 },
33888	{ "MPS_CLS_SRAM_L", 0xebe8, 0 },
33889		{ "MultiListen3", 28, 1 },
33890		{ "MultiListen2", 27, 1 },
33891		{ "MultiListen1", 26, 1 },
33892		{ "MultiListen0", 25, 1 },
33893		{ "Priority3", 22, 3 },
33894		{ "Priority2", 19, 3 },
33895		{ "Priority1", 16, 3 },
33896		{ "Priority0", 13, 3 },
33897		{ "Valid", 12, 1 },
33898		{ "Replicate", 11, 1 },
33899		{ "PF", 8, 3 },
33900		{ "VF_Valid", 7, 1 },
33901		{ "VF", 0, 7 },
33902	{ "MPS_CLS_SRAM_L", 0xebf0, 0 },
33903		{ "MultiListen3", 28, 1 },
33904		{ "MultiListen2", 27, 1 },
33905		{ "MultiListen1", 26, 1 },
33906		{ "MultiListen0", 25, 1 },
33907		{ "Priority3", 22, 3 },
33908		{ "Priority2", 19, 3 },
33909		{ "Priority1", 16, 3 },
33910		{ "Priority0", 13, 3 },
33911		{ "Valid", 12, 1 },
33912		{ "Replicate", 11, 1 },
33913		{ "PF", 8, 3 },
33914		{ "VF_Valid", 7, 1 },
33915		{ "VF", 0, 7 },
33916	{ "MPS_CLS_SRAM_L", 0xebf8, 0 },
33917		{ "MultiListen3", 28, 1 },
33918		{ "MultiListen2", 27, 1 },
33919		{ "MultiListen1", 26, 1 },
33920		{ "MultiListen0", 25, 1 },
33921		{ "Priority3", 22, 3 },
33922		{ "Priority2", 19, 3 },
33923		{ "Priority1", 16, 3 },
33924		{ "Priority0", 13, 3 },
33925		{ "Valid", 12, 1 },
33926		{ "Replicate", 11, 1 },
33927		{ "PF", 8, 3 },
33928		{ "VF_Valid", 7, 1 },
33929		{ "VF", 0, 7 },
33930	{ "MPS_CLS_SRAM_L", 0xec00, 0 },
33931		{ "MultiListen3", 28, 1 },
33932		{ "MultiListen2", 27, 1 },
33933		{ "MultiListen1", 26, 1 },
33934		{ "MultiListen0", 25, 1 },
33935		{ "Priority3", 22, 3 },
33936		{ "Priority2", 19, 3 },
33937		{ "Priority1", 16, 3 },
33938		{ "Priority0", 13, 3 },
33939		{ "Valid", 12, 1 },
33940		{ "Replicate", 11, 1 },
33941		{ "PF", 8, 3 },
33942		{ "VF_Valid", 7, 1 },
33943		{ "VF", 0, 7 },
33944	{ "MPS_CLS_SRAM_L", 0xec08, 0 },
33945		{ "MultiListen3", 28, 1 },
33946		{ "MultiListen2", 27, 1 },
33947		{ "MultiListen1", 26, 1 },
33948		{ "MultiListen0", 25, 1 },
33949		{ "Priority3", 22, 3 },
33950		{ "Priority2", 19, 3 },
33951		{ "Priority1", 16, 3 },
33952		{ "Priority0", 13, 3 },
33953		{ "Valid", 12, 1 },
33954		{ "Replicate", 11, 1 },
33955		{ "PF", 8, 3 },
33956		{ "VF_Valid", 7, 1 },
33957		{ "VF", 0, 7 },
33958	{ "MPS_CLS_SRAM_L", 0xec10, 0 },
33959		{ "MultiListen3", 28, 1 },
33960		{ "MultiListen2", 27, 1 },
33961		{ "MultiListen1", 26, 1 },
33962		{ "MultiListen0", 25, 1 },
33963		{ "Priority3", 22, 3 },
33964		{ "Priority2", 19, 3 },
33965		{ "Priority1", 16, 3 },
33966		{ "Priority0", 13, 3 },
33967		{ "Valid", 12, 1 },
33968		{ "Replicate", 11, 1 },
33969		{ "PF", 8, 3 },
33970		{ "VF_Valid", 7, 1 },
33971		{ "VF", 0, 7 },
33972	{ "MPS_CLS_SRAM_L", 0xec18, 0 },
33973		{ "MultiListen3", 28, 1 },
33974		{ "MultiListen2", 27, 1 },
33975		{ "MultiListen1", 26, 1 },
33976		{ "MultiListen0", 25, 1 },
33977		{ "Priority3", 22, 3 },
33978		{ "Priority2", 19, 3 },
33979		{ "Priority1", 16, 3 },
33980		{ "Priority0", 13, 3 },
33981		{ "Valid", 12, 1 },
33982		{ "Replicate", 11, 1 },
33983		{ "PF", 8, 3 },
33984		{ "VF_Valid", 7, 1 },
33985		{ "VF", 0, 7 },
33986	{ "MPS_CLS_SRAM_L", 0xec20, 0 },
33987		{ "MultiListen3", 28, 1 },
33988		{ "MultiListen2", 27, 1 },
33989		{ "MultiListen1", 26, 1 },
33990		{ "MultiListen0", 25, 1 },
33991		{ "Priority3", 22, 3 },
33992		{ "Priority2", 19, 3 },
33993		{ "Priority1", 16, 3 },
33994		{ "Priority0", 13, 3 },
33995		{ "Valid", 12, 1 },
33996		{ "Replicate", 11, 1 },
33997		{ "PF", 8, 3 },
33998		{ "VF_Valid", 7, 1 },
33999		{ "VF", 0, 7 },
34000	{ "MPS_CLS_SRAM_L", 0xec28, 0 },
34001		{ "MultiListen3", 28, 1 },
34002		{ "MultiListen2", 27, 1 },
34003		{ "MultiListen1", 26, 1 },
34004		{ "MultiListen0", 25, 1 },
34005		{ "Priority3", 22, 3 },
34006		{ "Priority2", 19, 3 },
34007		{ "Priority1", 16, 3 },
34008		{ "Priority0", 13, 3 },
34009		{ "Valid", 12, 1 },
34010		{ "Replicate", 11, 1 },
34011		{ "PF", 8, 3 },
34012		{ "VF_Valid", 7, 1 },
34013		{ "VF", 0, 7 },
34014	{ "MPS_CLS_SRAM_L", 0xec30, 0 },
34015		{ "MultiListen3", 28, 1 },
34016		{ "MultiListen2", 27, 1 },
34017		{ "MultiListen1", 26, 1 },
34018		{ "MultiListen0", 25, 1 },
34019		{ "Priority3", 22, 3 },
34020		{ "Priority2", 19, 3 },
34021		{ "Priority1", 16, 3 },
34022		{ "Priority0", 13, 3 },
34023		{ "Valid", 12, 1 },
34024		{ "Replicate", 11, 1 },
34025		{ "PF", 8, 3 },
34026		{ "VF_Valid", 7, 1 },
34027		{ "VF", 0, 7 },
34028	{ "MPS_CLS_SRAM_L", 0xec38, 0 },
34029		{ "MultiListen3", 28, 1 },
34030		{ "MultiListen2", 27, 1 },
34031		{ "MultiListen1", 26, 1 },
34032		{ "MultiListen0", 25, 1 },
34033		{ "Priority3", 22, 3 },
34034		{ "Priority2", 19, 3 },
34035		{ "Priority1", 16, 3 },
34036		{ "Priority0", 13, 3 },
34037		{ "Valid", 12, 1 },
34038		{ "Replicate", 11, 1 },
34039		{ "PF", 8, 3 },
34040		{ "VF_Valid", 7, 1 },
34041		{ "VF", 0, 7 },
34042	{ "MPS_CLS_SRAM_L", 0xec40, 0 },
34043		{ "MultiListen3", 28, 1 },
34044		{ "MultiListen2", 27, 1 },
34045		{ "MultiListen1", 26, 1 },
34046		{ "MultiListen0", 25, 1 },
34047		{ "Priority3", 22, 3 },
34048		{ "Priority2", 19, 3 },
34049		{ "Priority1", 16, 3 },
34050		{ "Priority0", 13, 3 },
34051		{ "Valid", 12, 1 },
34052		{ "Replicate", 11, 1 },
34053		{ "PF", 8, 3 },
34054		{ "VF_Valid", 7, 1 },
34055		{ "VF", 0, 7 },
34056	{ "MPS_CLS_SRAM_L", 0xec48, 0 },
34057		{ "MultiListen3", 28, 1 },
34058		{ "MultiListen2", 27, 1 },
34059		{ "MultiListen1", 26, 1 },
34060		{ "MultiListen0", 25, 1 },
34061		{ "Priority3", 22, 3 },
34062		{ "Priority2", 19, 3 },
34063		{ "Priority1", 16, 3 },
34064		{ "Priority0", 13, 3 },
34065		{ "Valid", 12, 1 },
34066		{ "Replicate", 11, 1 },
34067		{ "PF", 8, 3 },
34068		{ "VF_Valid", 7, 1 },
34069		{ "VF", 0, 7 },
34070	{ "MPS_CLS_SRAM_L", 0xec50, 0 },
34071		{ "MultiListen3", 28, 1 },
34072		{ "MultiListen2", 27, 1 },
34073		{ "MultiListen1", 26, 1 },
34074		{ "MultiListen0", 25, 1 },
34075		{ "Priority3", 22, 3 },
34076		{ "Priority2", 19, 3 },
34077		{ "Priority1", 16, 3 },
34078		{ "Priority0", 13, 3 },
34079		{ "Valid", 12, 1 },
34080		{ "Replicate", 11, 1 },
34081		{ "PF", 8, 3 },
34082		{ "VF_Valid", 7, 1 },
34083		{ "VF", 0, 7 },
34084	{ "MPS_CLS_SRAM_L", 0xec58, 0 },
34085		{ "MultiListen3", 28, 1 },
34086		{ "MultiListen2", 27, 1 },
34087		{ "MultiListen1", 26, 1 },
34088		{ "MultiListen0", 25, 1 },
34089		{ "Priority3", 22, 3 },
34090		{ "Priority2", 19, 3 },
34091		{ "Priority1", 16, 3 },
34092		{ "Priority0", 13, 3 },
34093		{ "Valid", 12, 1 },
34094		{ "Replicate", 11, 1 },
34095		{ "PF", 8, 3 },
34096		{ "VF_Valid", 7, 1 },
34097		{ "VF", 0, 7 },
34098	{ "MPS_CLS_SRAM_L", 0xec60, 0 },
34099		{ "MultiListen3", 28, 1 },
34100		{ "MultiListen2", 27, 1 },
34101		{ "MultiListen1", 26, 1 },
34102		{ "MultiListen0", 25, 1 },
34103		{ "Priority3", 22, 3 },
34104		{ "Priority2", 19, 3 },
34105		{ "Priority1", 16, 3 },
34106		{ "Priority0", 13, 3 },
34107		{ "Valid", 12, 1 },
34108		{ "Replicate", 11, 1 },
34109		{ "PF", 8, 3 },
34110		{ "VF_Valid", 7, 1 },
34111		{ "VF", 0, 7 },
34112	{ "MPS_CLS_SRAM_L", 0xec68, 0 },
34113		{ "MultiListen3", 28, 1 },
34114		{ "MultiListen2", 27, 1 },
34115		{ "MultiListen1", 26, 1 },
34116		{ "MultiListen0", 25, 1 },
34117		{ "Priority3", 22, 3 },
34118		{ "Priority2", 19, 3 },
34119		{ "Priority1", 16, 3 },
34120		{ "Priority0", 13, 3 },
34121		{ "Valid", 12, 1 },
34122		{ "Replicate", 11, 1 },
34123		{ "PF", 8, 3 },
34124		{ "VF_Valid", 7, 1 },
34125		{ "VF", 0, 7 },
34126	{ "MPS_CLS_SRAM_L", 0xec70, 0 },
34127		{ "MultiListen3", 28, 1 },
34128		{ "MultiListen2", 27, 1 },
34129		{ "MultiListen1", 26, 1 },
34130		{ "MultiListen0", 25, 1 },
34131		{ "Priority3", 22, 3 },
34132		{ "Priority2", 19, 3 },
34133		{ "Priority1", 16, 3 },
34134		{ "Priority0", 13, 3 },
34135		{ "Valid", 12, 1 },
34136		{ "Replicate", 11, 1 },
34137		{ "PF", 8, 3 },
34138		{ "VF_Valid", 7, 1 },
34139		{ "VF", 0, 7 },
34140	{ "MPS_CLS_SRAM_L", 0xec78, 0 },
34141		{ "MultiListen3", 28, 1 },
34142		{ "MultiListen2", 27, 1 },
34143		{ "MultiListen1", 26, 1 },
34144		{ "MultiListen0", 25, 1 },
34145		{ "Priority3", 22, 3 },
34146		{ "Priority2", 19, 3 },
34147		{ "Priority1", 16, 3 },
34148		{ "Priority0", 13, 3 },
34149		{ "Valid", 12, 1 },
34150		{ "Replicate", 11, 1 },
34151		{ "PF", 8, 3 },
34152		{ "VF_Valid", 7, 1 },
34153		{ "VF", 0, 7 },
34154	{ "MPS_CLS_SRAM_L", 0xec80, 0 },
34155		{ "MultiListen3", 28, 1 },
34156		{ "MultiListen2", 27, 1 },
34157		{ "MultiListen1", 26, 1 },
34158		{ "MultiListen0", 25, 1 },
34159		{ "Priority3", 22, 3 },
34160		{ "Priority2", 19, 3 },
34161		{ "Priority1", 16, 3 },
34162		{ "Priority0", 13, 3 },
34163		{ "Valid", 12, 1 },
34164		{ "Replicate", 11, 1 },
34165		{ "PF", 8, 3 },
34166		{ "VF_Valid", 7, 1 },
34167		{ "VF", 0, 7 },
34168	{ "MPS_CLS_SRAM_L", 0xec88, 0 },
34169		{ "MultiListen3", 28, 1 },
34170		{ "MultiListen2", 27, 1 },
34171		{ "MultiListen1", 26, 1 },
34172		{ "MultiListen0", 25, 1 },
34173		{ "Priority3", 22, 3 },
34174		{ "Priority2", 19, 3 },
34175		{ "Priority1", 16, 3 },
34176		{ "Priority0", 13, 3 },
34177		{ "Valid", 12, 1 },
34178		{ "Replicate", 11, 1 },
34179		{ "PF", 8, 3 },
34180		{ "VF_Valid", 7, 1 },
34181		{ "VF", 0, 7 },
34182	{ "MPS_CLS_SRAM_L", 0xec90, 0 },
34183		{ "MultiListen3", 28, 1 },
34184		{ "MultiListen2", 27, 1 },
34185		{ "MultiListen1", 26, 1 },
34186		{ "MultiListen0", 25, 1 },
34187		{ "Priority3", 22, 3 },
34188		{ "Priority2", 19, 3 },
34189		{ "Priority1", 16, 3 },
34190		{ "Priority0", 13, 3 },
34191		{ "Valid", 12, 1 },
34192		{ "Replicate", 11, 1 },
34193		{ "PF", 8, 3 },
34194		{ "VF_Valid", 7, 1 },
34195		{ "VF", 0, 7 },
34196	{ "MPS_CLS_SRAM_L", 0xec98, 0 },
34197		{ "MultiListen3", 28, 1 },
34198		{ "MultiListen2", 27, 1 },
34199		{ "MultiListen1", 26, 1 },
34200		{ "MultiListen0", 25, 1 },
34201		{ "Priority3", 22, 3 },
34202		{ "Priority2", 19, 3 },
34203		{ "Priority1", 16, 3 },
34204		{ "Priority0", 13, 3 },
34205		{ "Valid", 12, 1 },
34206		{ "Replicate", 11, 1 },
34207		{ "PF", 8, 3 },
34208		{ "VF_Valid", 7, 1 },
34209		{ "VF", 0, 7 },
34210	{ "MPS_CLS_SRAM_L", 0xeca0, 0 },
34211		{ "MultiListen3", 28, 1 },
34212		{ "MultiListen2", 27, 1 },
34213		{ "MultiListen1", 26, 1 },
34214		{ "MultiListen0", 25, 1 },
34215		{ "Priority3", 22, 3 },
34216		{ "Priority2", 19, 3 },
34217		{ "Priority1", 16, 3 },
34218		{ "Priority0", 13, 3 },
34219		{ "Valid", 12, 1 },
34220		{ "Replicate", 11, 1 },
34221		{ "PF", 8, 3 },
34222		{ "VF_Valid", 7, 1 },
34223		{ "VF", 0, 7 },
34224	{ "MPS_CLS_SRAM_L", 0xeca8, 0 },
34225		{ "MultiListen3", 28, 1 },
34226		{ "MultiListen2", 27, 1 },
34227		{ "MultiListen1", 26, 1 },
34228		{ "MultiListen0", 25, 1 },
34229		{ "Priority3", 22, 3 },
34230		{ "Priority2", 19, 3 },
34231		{ "Priority1", 16, 3 },
34232		{ "Priority0", 13, 3 },
34233		{ "Valid", 12, 1 },
34234		{ "Replicate", 11, 1 },
34235		{ "PF", 8, 3 },
34236		{ "VF_Valid", 7, 1 },
34237		{ "VF", 0, 7 },
34238	{ "MPS_CLS_SRAM_L", 0xecb0, 0 },
34239		{ "MultiListen3", 28, 1 },
34240		{ "MultiListen2", 27, 1 },
34241		{ "MultiListen1", 26, 1 },
34242		{ "MultiListen0", 25, 1 },
34243		{ "Priority3", 22, 3 },
34244		{ "Priority2", 19, 3 },
34245		{ "Priority1", 16, 3 },
34246		{ "Priority0", 13, 3 },
34247		{ "Valid", 12, 1 },
34248		{ "Replicate", 11, 1 },
34249		{ "PF", 8, 3 },
34250		{ "VF_Valid", 7, 1 },
34251		{ "VF", 0, 7 },
34252	{ "MPS_CLS_SRAM_L", 0xecb8, 0 },
34253		{ "MultiListen3", 28, 1 },
34254		{ "MultiListen2", 27, 1 },
34255		{ "MultiListen1", 26, 1 },
34256		{ "MultiListen0", 25, 1 },
34257		{ "Priority3", 22, 3 },
34258		{ "Priority2", 19, 3 },
34259		{ "Priority1", 16, 3 },
34260		{ "Priority0", 13, 3 },
34261		{ "Valid", 12, 1 },
34262		{ "Replicate", 11, 1 },
34263		{ "PF", 8, 3 },
34264		{ "VF_Valid", 7, 1 },
34265		{ "VF", 0, 7 },
34266	{ "MPS_CLS_SRAM_L", 0xecc0, 0 },
34267		{ "MultiListen3", 28, 1 },
34268		{ "MultiListen2", 27, 1 },
34269		{ "MultiListen1", 26, 1 },
34270		{ "MultiListen0", 25, 1 },
34271		{ "Priority3", 22, 3 },
34272		{ "Priority2", 19, 3 },
34273		{ "Priority1", 16, 3 },
34274		{ "Priority0", 13, 3 },
34275		{ "Valid", 12, 1 },
34276		{ "Replicate", 11, 1 },
34277		{ "PF", 8, 3 },
34278		{ "VF_Valid", 7, 1 },
34279		{ "VF", 0, 7 },
34280	{ "MPS_CLS_SRAM_L", 0xecc8, 0 },
34281		{ "MultiListen3", 28, 1 },
34282		{ "MultiListen2", 27, 1 },
34283		{ "MultiListen1", 26, 1 },
34284		{ "MultiListen0", 25, 1 },
34285		{ "Priority3", 22, 3 },
34286		{ "Priority2", 19, 3 },
34287		{ "Priority1", 16, 3 },
34288		{ "Priority0", 13, 3 },
34289		{ "Valid", 12, 1 },
34290		{ "Replicate", 11, 1 },
34291		{ "PF", 8, 3 },
34292		{ "VF_Valid", 7, 1 },
34293		{ "VF", 0, 7 },
34294	{ "MPS_CLS_SRAM_L", 0xecd0, 0 },
34295		{ "MultiListen3", 28, 1 },
34296		{ "MultiListen2", 27, 1 },
34297		{ "MultiListen1", 26, 1 },
34298		{ "MultiListen0", 25, 1 },
34299		{ "Priority3", 22, 3 },
34300		{ "Priority2", 19, 3 },
34301		{ "Priority1", 16, 3 },
34302		{ "Priority0", 13, 3 },
34303		{ "Valid", 12, 1 },
34304		{ "Replicate", 11, 1 },
34305		{ "PF", 8, 3 },
34306		{ "VF_Valid", 7, 1 },
34307		{ "VF", 0, 7 },
34308	{ "MPS_CLS_SRAM_L", 0xecd8, 0 },
34309		{ "MultiListen3", 28, 1 },
34310		{ "MultiListen2", 27, 1 },
34311		{ "MultiListen1", 26, 1 },
34312		{ "MultiListen0", 25, 1 },
34313		{ "Priority3", 22, 3 },
34314		{ "Priority2", 19, 3 },
34315		{ "Priority1", 16, 3 },
34316		{ "Priority0", 13, 3 },
34317		{ "Valid", 12, 1 },
34318		{ "Replicate", 11, 1 },
34319		{ "PF", 8, 3 },
34320		{ "VF_Valid", 7, 1 },
34321		{ "VF", 0, 7 },
34322	{ "MPS_CLS_SRAM_L", 0xece0, 0 },
34323		{ "MultiListen3", 28, 1 },
34324		{ "MultiListen2", 27, 1 },
34325		{ "MultiListen1", 26, 1 },
34326		{ "MultiListen0", 25, 1 },
34327		{ "Priority3", 22, 3 },
34328		{ "Priority2", 19, 3 },
34329		{ "Priority1", 16, 3 },
34330		{ "Priority0", 13, 3 },
34331		{ "Valid", 12, 1 },
34332		{ "Replicate", 11, 1 },
34333		{ "PF", 8, 3 },
34334		{ "VF_Valid", 7, 1 },
34335		{ "VF", 0, 7 },
34336	{ "MPS_CLS_SRAM_L", 0xece8, 0 },
34337		{ "MultiListen3", 28, 1 },
34338		{ "MultiListen2", 27, 1 },
34339		{ "MultiListen1", 26, 1 },
34340		{ "MultiListen0", 25, 1 },
34341		{ "Priority3", 22, 3 },
34342		{ "Priority2", 19, 3 },
34343		{ "Priority1", 16, 3 },
34344		{ "Priority0", 13, 3 },
34345		{ "Valid", 12, 1 },
34346		{ "Replicate", 11, 1 },
34347		{ "PF", 8, 3 },
34348		{ "VF_Valid", 7, 1 },
34349		{ "VF", 0, 7 },
34350	{ "MPS_CLS_SRAM_L", 0xecf0, 0 },
34351		{ "MultiListen3", 28, 1 },
34352		{ "MultiListen2", 27, 1 },
34353		{ "MultiListen1", 26, 1 },
34354		{ "MultiListen0", 25, 1 },
34355		{ "Priority3", 22, 3 },
34356		{ "Priority2", 19, 3 },
34357		{ "Priority1", 16, 3 },
34358		{ "Priority0", 13, 3 },
34359		{ "Valid", 12, 1 },
34360		{ "Replicate", 11, 1 },
34361		{ "PF", 8, 3 },
34362		{ "VF_Valid", 7, 1 },
34363		{ "VF", 0, 7 },
34364	{ "MPS_CLS_SRAM_L", 0xecf8, 0 },
34365		{ "MultiListen3", 28, 1 },
34366		{ "MultiListen2", 27, 1 },
34367		{ "MultiListen1", 26, 1 },
34368		{ "MultiListen0", 25, 1 },
34369		{ "Priority3", 22, 3 },
34370		{ "Priority2", 19, 3 },
34371		{ "Priority1", 16, 3 },
34372		{ "Priority0", 13, 3 },
34373		{ "Valid", 12, 1 },
34374		{ "Replicate", 11, 1 },
34375		{ "PF", 8, 3 },
34376		{ "VF_Valid", 7, 1 },
34377		{ "VF", 0, 7 },
34378	{ "MPS_CLS_SRAM_L", 0xed00, 0 },
34379		{ "MultiListen3", 28, 1 },
34380		{ "MultiListen2", 27, 1 },
34381		{ "MultiListen1", 26, 1 },
34382		{ "MultiListen0", 25, 1 },
34383		{ "Priority3", 22, 3 },
34384		{ "Priority2", 19, 3 },
34385		{ "Priority1", 16, 3 },
34386		{ "Priority0", 13, 3 },
34387		{ "Valid", 12, 1 },
34388		{ "Replicate", 11, 1 },
34389		{ "PF", 8, 3 },
34390		{ "VF_Valid", 7, 1 },
34391		{ "VF", 0, 7 },
34392	{ "MPS_CLS_SRAM_L", 0xed08, 0 },
34393		{ "MultiListen3", 28, 1 },
34394		{ "MultiListen2", 27, 1 },
34395		{ "MultiListen1", 26, 1 },
34396		{ "MultiListen0", 25, 1 },
34397		{ "Priority3", 22, 3 },
34398		{ "Priority2", 19, 3 },
34399		{ "Priority1", 16, 3 },
34400		{ "Priority0", 13, 3 },
34401		{ "Valid", 12, 1 },
34402		{ "Replicate", 11, 1 },
34403		{ "PF", 8, 3 },
34404		{ "VF_Valid", 7, 1 },
34405		{ "VF", 0, 7 },
34406	{ "MPS_CLS_SRAM_L", 0xed10, 0 },
34407		{ "MultiListen3", 28, 1 },
34408		{ "MultiListen2", 27, 1 },
34409		{ "MultiListen1", 26, 1 },
34410		{ "MultiListen0", 25, 1 },
34411		{ "Priority3", 22, 3 },
34412		{ "Priority2", 19, 3 },
34413		{ "Priority1", 16, 3 },
34414		{ "Priority0", 13, 3 },
34415		{ "Valid", 12, 1 },
34416		{ "Replicate", 11, 1 },
34417		{ "PF", 8, 3 },
34418		{ "VF_Valid", 7, 1 },
34419		{ "VF", 0, 7 },
34420	{ "MPS_CLS_SRAM_L", 0xed18, 0 },
34421		{ "MultiListen3", 28, 1 },
34422		{ "MultiListen2", 27, 1 },
34423		{ "MultiListen1", 26, 1 },
34424		{ "MultiListen0", 25, 1 },
34425		{ "Priority3", 22, 3 },
34426		{ "Priority2", 19, 3 },
34427		{ "Priority1", 16, 3 },
34428		{ "Priority0", 13, 3 },
34429		{ "Valid", 12, 1 },
34430		{ "Replicate", 11, 1 },
34431		{ "PF", 8, 3 },
34432		{ "VF_Valid", 7, 1 },
34433		{ "VF", 0, 7 },
34434	{ "MPS_CLS_SRAM_L", 0xed20, 0 },
34435		{ "MultiListen3", 28, 1 },
34436		{ "MultiListen2", 27, 1 },
34437		{ "MultiListen1", 26, 1 },
34438		{ "MultiListen0", 25, 1 },
34439		{ "Priority3", 22, 3 },
34440		{ "Priority2", 19, 3 },
34441		{ "Priority1", 16, 3 },
34442		{ "Priority0", 13, 3 },
34443		{ "Valid", 12, 1 },
34444		{ "Replicate", 11, 1 },
34445		{ "PF", 8, 3 },
34446		{ "VF_Valid", 7, 1 },
34447		{ "VF", 0, 7 },
34448	{ "MPS_CLS_SRAM_L", 0xed28, 0 },
34449		{ "MultiListen3", 28, 1 },
34450		{ "MultiListen2", 27, 1 },
34451		{ "MultiListen1", 26, 1 },
34452		{ "MultiListen0", 25, 1 },
34453		{ "Priority3", 22, 3 },
34454		{ "Priority2", 19, 3 },
34455		{ "Priority1", 16, 3 },
34456		{ "Priority0", 13, 3 },
34457		{ "Valid", 12, 1 },
34458		{ "Replicate", 11, 1 },
34459		{ "PF", 8, 3 },
34460		{ "VF_Valid", 7, 1 },
34461		{ "VF", 0, 7 },
34462	{ "MPS_CLS_SRAM_L", 0xed30, 0 },
34463		{ "MultiListen3", 28, 1 },
34464		{ "MultiListen2", 27, 1 },
34465		{ "MultiListen1", 26, 1 },
34466		{ "MultiListen0", 25, 1 },
34467		{ "Priority3", 22, 3 },
34468		{ "Priority2", 19, 3 },
34469		{ "Priority1", 16, 3 },
34470		{ "Priority0", 13, 3 },
34471		{ "Valid", 12, 1 },
34472		{ "Replicate", 11, 1 },
34473		{ "PF", 8, 3 },
34474		{ "VF_Valid", 7, 1 },
34475		{ "VF", 0, 7 },
34476	{ "MPS_CLS_SRAM_L", 0xed38, 0 },
34477		{ "MultiListen3", 28, 1 },
34478		{ "MultiListen2", 27, 1 },
34479		{ "MultiListen1", 26, 1 },
34480		{ "MultiListen0", 25, 1 },
34481		{ "Priority3", 22, 3 },
34482		{ "Priority2", 19, 3 },
34483		{ "Priority1", 16, 3 },
34484		{ "Priority0", 13, 3 },
34485		{ "Valid", 12, 1 },
34486		{ "Replicate", 11, 1 },
34487		{ "PF", 8, 3 },
34488		{ "VF_Valid", 7, 1 },
34489		{ "VF", 0, 7 },
34490	{ "MPS_CLS_SRAM_L", 0xed40, 0 },
34491		{ "MultiListen3", 28, 1 },
34492		{ "MultiListen2", 27, 1 },
34493		{ "MultiListen1", 26, 1 },
34494		{ "MultiListen0", 25, 1 },
34495		{ "Priority3", 22, 3 },
34496		{ "Priority2", 19, 3 },
34497		{ "Priority1", 16, 3 },
34498		{ "Priority0", 13, 3 },
34499		{ "Valid", 12, 1 },
34500		{ "Replicate", 11, 1 },
34501		{ "PF", 8, 3 },
34502		{ "VF_Valid", 7, 1 },
34503		{ "VF", 0, 7 },
34504	{ "MPS_CLS_SRAM_L", 0xed48, 0 },
34505		{ "MultiListen3", 28, 1 },
34506		{ "MultiListen2", 27, 1 },
34507		{ "MultiListen1", 26, 1 },
34508		{ "MultiListen0", 25, 1 },
34509		{ "Priority3", 22, 3 },
34510		{ "Priority2", 19, 3 },
34511		{ "Priority1", 16, 3 },
34512		{ "Priority0", 13, 3 },
34513		{ "Valid", 12, 1 },
34514		{ "Replicate", 11, 1 },
34515		{ "PF", 8, 3 },
34516		{ "VF_Valid", 7, 1 },
34517		{ "VF", 0, 7 },
34518	{ "MPS_CLS_SRAM_L", 0xed50, 0 },
34519		{ "MultiListen3", 28, 1 },
34520		{ "MultiListen2", 27, 1 },
34521		{ "MultiListen1", 26, 1 },
34522		{ "MultiListen0", 25, 1 },
34523		{ "Priority3", 22, 3 },
34524		{ "Priority2", 19, 3 },
34525		{ "Priority1", 16, 3 },
34526		{ "Priority0", 13, 3 },
34527		{ "Valid", 12, 1 },
34528		{ "Replicate", 11, 1 },
34529		{ "PF", 8, 3 },
34530		{ "VF_Valid", 7, 1 },
34531		{ "VF", 0, 7 },
34532	{ "MPS_CLS_SRAM_L", 0xed58, 0 },
34533		{ "MultiListen3", 28, 1 },
34534		{ "MultiListen2", 27, 1 },
34535		{ "MultiListen1", 26, 1 },
34536		{ "MultiListen0", 25, 1 },
34537		{ "Priority3", 22, 3 },
34538		{ "Priority2", 19, 3 },
34539		{ "Priority1", 16, 3 },
34540		{ "Priority0", 13, 3 },
34541		{ "Valid", 12, 1 },
34542		{ "Replicate", 11, 1 },
34543		{ "PF", 8, 3 },
34544		{ "VF_Valid", 7, 1 },
34545		{ "VF", 0, 7 },
34546	{ "MPS_CLS_SRAM_L", 0xed60, 0 },
34547		{ "MultiListen3", 28, 1 },
34548		{ "MultiListen2", 27, 1 },
34549		{ "MultiListen1", 26, 1 },
34550		{ "MultiListen0", 25, 1 },
34551		{ "Priority3", 22, 3 },
34552		{ "Priority2", 19, 3 },
34553		{ "Priority1", 16, 3 },
34554		{ "Priority0", 13, 3 },
34555		{ "Valid", 12, 1 },
34556		{ "Replicate", 11, 1 },
34557		{ "PF", 8, 3 },
34558		{ "VF_Valid", 7, 1 },
34559		{ "VF", 0, 7 },
34560	{ "MPS_CLS_SRAM_L", 0xed68, 0 },
34561		{ "MultiListen3", 28, 1 },
34562		{ "MultiListen2", 27, 1 },
34563		{ "MultiListen1", 26, 1 },
34564		{ "MultiListen0", 25, 1 },
34565		{ "Priority3", 22, 3 },
34566		{ "Priority2", 19, 3 },
34567		{ "Priority1", 16, 3 },
34568		{ "Priority0", 13, 3 },
34569		{ "Valid", 12, 1 },
34570		{ "Replicate", 11, 1 },
34571		{ "PF", 8, 3 },
34572		{ "VF_Valid", 7, 1 },
34573		{ "VF", 0, 7 },
34574	{ "MPS_CLS_SRAM_L", 0xed70, 0 },
34575		{ "MultiListen3", 28, 1 },
34576		{ "MultiListen2", 27, 1 },
34577		{ "MultiListen1", 26, 1 },
34578		{ "MultiListen0", 25, 1 },
34579		{ "Priority3", 22, 3 },
34580		{ "Priority2", 19, 3 },
34581		{ "Priority1", 16, 3 },
34582		{ "Priority0", 13, 3 },
34583		{ "Valid", 12, 1 },
34584		{ "Replicate", 11, 1 },
34585		{ "PF", 8, 3 },
34586		{ "VF_Valid", 7, 1 },
34587		{ "VF", 0, 7 },
34588	{ "MPS_CLS_SRAM_L", 0xed78, 0 },
34589		{ "MultiListen3", 28, 1 },
34590		{ "MultiListen2", 27, 1 },
34591		{ "MultiListen1", 26, 1 },
34592		{ "MultiListen0", 25, 1 },
34593		{ "Priority3", 22, 3 },
34594		{ "Priority2", 19, 3 },
34595		{ "Priority1", 16, 3 },
34596		{ "Priority0", 13, 3 },
34597		{ "Valid", 12, 1 },
34598		{ "Replicate", 11, 1 },
34599		{ "PF", 8, 3 },
34600		{ "VF_Valid", 7, 1 },
34601		{ "VF", 0, 7 },
34602	{ "MPS_CLS_SRAM_L", 0xed80, 0 },
34603		{ "MultiListen3", 28, 1 },
34604		{ "MultiListen2", 27, 1 },
34605		{ "MultiListen1", 26, 1 },
34606		{ "MultiListen0", 25, 1 },
34607		{ "Priority3", 22, 3 },
34608		{ "Priority2", 19, 3 },
34609		{ "Priority1", 16, 3 },
34610		{ "Priority0", 13, 3 },
34611		{ "Valid", 12, 1 },
34612		{ "Replicate", 11, 1 },
34613		{ "PF", 8, 3 },
34614		{ "VF_Valid", 7, 1 },
34615		{ "VF", 0, 7 },
34616	{ "MPS_CLS_SRAM_L", 0xed88, 0 },
34617		{ "MultiListen3", 28, 1 },
34618		{ "MultiListen2", 27, 1 },
34619		{ "MultiListen1", 26, 1 },
34620		{ "MultiListen0", 25, 1 },
34621		{ "Priority3", 22, 3 },
34622		{ "Priority2", 19, 3 },
34623		{ "Priority1", 16, 3 },
34624		{ "Priority0", 13, 3 },
34625		{ "Valid", 12, 1 },
34626		{ "Replicate", 11, 1 },
34627		{ "PF", 8, 3 },
34628		{ "VF_Valid", 7, 1 },
34629		{ "VF", 0, 7 },
34630	{ "MPS_CLS_SRAM_L", 0xed90, 0 },
34631		{ "MultiListen3", 28, 1 },
34632		{ "MultiListen2", 27, 1 },
34633		{ "MultiListen1", 26, 1 },
34634		{ "MultiListen0", 25, 1 },
34635		{ "Priority3", 22, 3 },
34636		{ "Priority2", 19, 3 },
34637		{ "Priority1", 16, 3 },
34638		{ "Priority0", 13, 3 },
34639		{ "Valid", 12, 1 },
34640		{ "Replicate", 11, 1 },
34641		{ "PF", 8, 3 },
34642		{ "VF_Valid", 7, 1 },
34643		{ "VF", 0, 7 },
34644	{ "MPS_CLS_SRAM_L", 0xed98, 0 },
34645		{ "MultiListen3", 28, 1 },
34646		{ "MultiListen2", 27, 1 },
34647		{ "MultiListen1", 26, 1 },
34648		{ "MultiListen0", 25, 1 },
34649		{ "Priority3", 22, 3 },
34650		{ "Priority2", 19, 3 },
34651		{ "Priority1", 16, 3 },
34652		{ "Priority0", 13, 3 },
34653		{ "Valid", 12, 1 },
34654		{ "Replicate", 11, 1 },
34655		{ "PF", 8, 3 },
34656		{ "VF_Valid", 7, 1 },
34657		{ "VF", 0, 7 },
34658	{ "MPS_CLS_SRAM_L", 0xeda0, 0 },
34659		{ "MultiListen3", 28, 1 },
34660		{ "MultiListen2", 27, 1 },
34661		{ "MultiListen1", 26, 1 },
34662		{ "MultiListen0", 25, 1 },
34663		{ "Priority3", 22, 3 },
34664		{ "Priority2", 19, 3 },
34665		{ "Priority1", 16, 3 },
34666		{ "Priority0", 13, 3 },
34667		{ "Valid", 12, 1 },
34668		{ "Replicate", 11, 1 },
34669		{ "PF", 8, 3 },
34670		{ "VF_Valid", 7, 1 },
34671		{ "VF", 0, 7 },
34672	{ "MPS_CLS_SRAM_L", 0xeda8, 0 },
34673		{ "MultiListen3", 28, 1 },
34674		{ "MultiListen2", 27, 1 },
34675		{ "MultiListen1", 26, 1 },
34676		{ "MultiListen0", 25, 1 },
34677		{ "Priority3", 22, 3 },
34678		{ "Priority2", 19, 3 },
34679		{ "Priority1", 16, 3 },
34680		{ "Priority0", 13, 3 },
34681		{ "Valid", 12, 1 },
34682		{ "Replicate", 11, 1 },
34683		{ "PF", 8, 3 },
34684		{ "VF_Valid", 7, 1 },
34685		{ "VF", 0, 7 },
34686	{ "MPS_CLS_SRAM_L", 0xedb0, 0 },
34687		{ "MultiListen3", 28, 1 },
34688		{ "MultiListen2", 27, 1 },
34689		{ "MultiListen1", 26, 1 },
34690		{ "MultiListen0", 25, 1 },
34691		{ "Priority3", 22, 3 },
34692		{ "Priority2", 19, 3 },
34693		{ "Priority1", 16, 3 },
34694		{ "Priority0", 13, 3 },
34695		{ "Valid", 12, 1 },
34696		{ "Replicate", 11, 1 },
34697		{ "PF", 8, 3 },
34698		{ "VF_Valid", 7, 1 },
34699		{ "VF", 0, 7 },
34700	{ "MPS_CLS_SRAM_L", 0xedb8, 0 },
34701		{ "MultiListen3", 28, 1 },
34702		{ "MultiListen2", 27, 1 },
34703		{ "MultiListen1", 26, 1 },
34704		{ "MultiListen0", 25, 1 },
34705		{ "Priority3", 22, 3 },
34706		{ "Priority2", 19, 3 },
34707		{ "Priority1", 16, 3 },
34708		{ "Priority0", 13, 3 },
34709		{ "Valid", 12, 1 },
34710		{ "Replicate", 11, 1 },
34711		{ "PF", 8, 3 },
34712		{ "VF_Valid", 7, 1 },
34713		{ "VF", 0, 7 },
34714	{ "MPS_CLS_SRAM_L", 0xedc0, 0 },
34715		{ "MultiListen3", 28, 1 },
34716		{ "MultiListen2", 27, 1 },
34717		{ "MultiListen1", 26, 1 },
34718		{ "MultiListen0", 25, 1 },
34719		{ "Priority3", 22, 3 },
34720		{ "Priority2", 19, 3 },
34721		{ "Priority1", 16, 3 },
34722		{ "Priority0", 13, 3 },
34723		{ "Valid", 12, 1 },
34724		{ "Replicate", 11, 1 },
34725		{ "PF", 8, 3 },
34726		{ "VF_Valid", 7, 1 },
34727		{ "VF", 0, 7 },
34728	{ "MPS_CLS_SRAM_L", 0xedc8, 0 },
34729		{ "MultiListen3", 28, 1 },
34730		{ "MultiListen2", 27, 1 },
34731		{ "MultiListen1", 26, 1 },
34732		{ "MultiListen0", 25, 1 },
34733		{ "Priority3", 22, 3 },
34734		{ "Priority2", 19, 3 },
34735		{ "Priority1", 16, 3 },
34736		{ "Priority0", 13, 3 },
34737		{ "Valid", 12, 1 },
34738		{ "Replicate", 11, 1 },
34739		{ "PF", 8, 3 },
34740		{ "VF_Valid", 7, 1 },
34741		{ "VF", 0, 7 },
34742	{ "MPS_CLS_SRAM_L", 0xedd0, 0 },
34743		{ "MultiListen3", 28, 1 },
34744		{ "MultiListen2", 27, 1 },
34745		{ "MultiListen1", 26, 1 },
34746		{ "MultiListen0", 25, 1 },
34747		{ "Priority3", 22, 3 },
34748		{ "Priority2", 19, 3 },
34749		{ "Priority1", 16, 3 },
34750		{ "Priority0", 13, 3 },
34751		{ "Valid", 12, 1 },
34752		{ "Replicate", 11, 1 },
34753		{ "PF", 8, 3 },
34754		{ "VF_Valid", 7, 1 },
34755		{ "VF", 0, 7 },
34756	{ "MPS_CLS_SRAM_L", 0xedd8, 0 },
34757		{ "MultiListen3", 28, 1 },
34758		{ "MultiListen2", 27, 1 },
34759		{ "MultiListen1", 26, 1 },
34760		{ "MultiListen0", 25, 1 },
34761		{ "Priority3", 22, 3 },
34762		{ "Priority2", 19, 3 },
34763		{ "Priority1", 16, 3 },
34764		{ "Priority0", 13, 3 },
34765		{ "Valid", 12, 1 },
34766		{ "Replicate", 11, 1 },
34767		{ "PF", 8, 3 },
34768		{ "VF_Valid", 7, 1 },
34769		{ "VF", 0, 7 },
34770	{ "MPS_CLS_SRAM_L", 0xede0, 0 },
34771		{ "MultiListen3", 28, 1 },
34772		{ "MultiListen2", 27, 1 },
34773		{ "MultiListen1", 26, 1 },
34774		{ "MultiListen0", 25, 1 },
34775		{ "Priority3", 22, 3 },
34776		{ "Priority2", 19, 3 },
34777		{ "Priority1", 16, 3 },
34778		{ "Priority0", 13, 3 },
34779		{ "Valid", 12, 1 },
34780		{ "Replicate", 11, 1 },
34781		{ "PF", 8, 3 },
34782		{ "VF_Valid", 7, 1 },
34783		{ "VF", 0, 7 },
34784	{ "MPS_CLS_SRAM_L", 0xede8, 0 },
34785		{ "MultiListen3", 28, 1 },
34786		{ "MultiListen2", 27, 1 },
34787		{ "MultiListen1", 26, 1 },
34788		{ "MultiListen0", 25, 1 },
34789		{ "Priority3", 22, 3 },
34790		{ "Priority2", 19, 3 },
34791		{ "Priority1", 16, 3 },
34792		{ "Priority0", 13, 3 },
34793		{ "Valid", 12, 1 },
34794		{ "Replicate", 11, 1 },
34795		{ "PF", 8, 3 },
34796		{ "VF_Valid", 7, 1 },
34797		{ "VF", 0, 7 },
34798	{ "MPS_CLS_SRAM_L", 0xedf0, 0 },
34799		{ "MultiListen3", 28, 1 },
34800		{ "MultiListen2", 27, 1 },
34801		{ "MultiListen1", 26, 1 },
34802		{ "MultiListen0", 25, 1 },
34803		{ "Priority3", 22, 3 },
34804		{ "Priority2", 19, 3 },
34805		{ "Priority1", 16, 3 },
34806		{ "Priority0", 13, 3 },
34807		{ "Valid", 12, 1 },
34808		{ "Replicate", 11, 1 },
34809		{ "PF", 8, 3 },
34810		{ "VF_Valid", 7, 1 },
34811		{ "VF", 0, 7 },
34812	{ "MPS_CLS_SRAM_L", 0xedf8, 0 },
34813		{ "MultiListen3", 28, 1 },
34814		{ "MultiListen2", 27, 1 },
34815		{ "MultiListen1", 26, 1 },
34816		{ "MultiListen0", 25, 1 },
34817		{ "Priority3", 22, 3 },
34818		{ "Priority2", 19, 3 },
34819		{ "Priority1", 16, 3 },
34820		{ "Priority0", 13, 3 },
34821		{ "Valid", 12, 1 },
34822		{ "Replicate", 11, 1 },
34823		{ "PF", 8, 3 },
34824		{ "VF_Valid", 7, 1 },
34825		{ "VF", 0, 7 },
34826	{ "MPS_CLS_SRAM_L", 0xee00, 0 },
34827		{ "MultiListen3", 28, 1 },
34828		{ "MultiListen2", 27, 1 },
34829		{ "MultiListen1", 26, 1 },
34830		{ "MultiListen0", 25, 1 },
34831		{ "Priority3", 22, 3 },
34832		{ "Priority2", 19, 3 },
34833		{ "Priority1", 16, 3 },
34834		{ "Priority0", 13, 3 },
34835		{ "Valid", 12, 1 },
34836		{ "Replicate", 11, 1 },
34837		{ "PF", 8, 3 },
34838		{ "VF_Valid", 7, 1 },
34839		{ "VF", 0, 7 },
34840	{ "MPS_CLS_SRAM_L", 0xee08, 0 },
34841		{ "MultiListen3", 28, 1 },
34842		{ "MultiListen2", 27, 1 },
34843		{ "MultiListen1", 26, 1 },
34844		{ "MultiListen0", 25, 1 },
34845		{ "Priority3", 22, 3 },
34846		{ "Priority2", 19, 3 },
34847		{ "Priority1", 16, 3 },
34848		{ "Priority0", 13, 3 },
34849		{ "Valid", 12, 1 },
34850		{ "Replicate", 11, 1 },
34851		{ "PF", 8, 3 },
34852		{ "VF_Valid", 7, 1 },
34853		{ "VF", 0, 7 },
34854	{ "MPS_CLS_SRAM_L", 0xee10, 0 },
34855		{ "MultiListen3", 28, 1 },
34856		{ "MultiListen2", 27, 1 },
34857		{ "MultiListen1", 26, 1 },
34858		{ "MultiListen0", 25, 1 },
34859		{ "Priority3", 22, 3 },
34860		{ "Priority2", 19, 3 },
34861		{ "Priority1", 16, 3 },
34862		{ "Priority0", 13, 3 },
34863		{ "Valid", 12, 1 },
34864		{ "Replicate", 11, 1 },
34865		{ "PF", 8, 3 },
34866		{ "VF_Valid", 7, 1 },
34867		{ "VF", 0, 7 },
34868	{ "MPS_CLS_SRAM_L", 0xee18, 0 },
34869		{ "MultiListen3", 28, 1 },
34870		{ "MultiListen2", 27, 1 },
34871		{ "MultiListen1", 26, 1 },
34872		{ "MultiListen0", 25, 1 },
34873		{ "Priority3", 22, 3 },
34874		{ "Priority2", 19, 3 },
34875		{ "Priority1", 16, 3 },
34876		{ "Priority0", 13, 3 },
34877		{ "Valid", 12, 1 },
34878		{ "Replicate", 11, 1 },
34879		{ "PF", 8, 3 },
34880		{ "VF_Valid", 7, 1 },
34881		{ "VF", 0, 7 },
34882	{ "MPS_CLS_SRAM_L", 0xee20, 0 },
34883		{ "MultiListen3", 28, 1 },
34884		{ "MultiListen2", 27, 1 },
34885		{ "MultiListen1", 26, 1 },
34886		{ "MultiListen0", 25, 1 },
34887		{ "Priority3", 22, 3 },
34888		{ "Priority2", 19, 3 },
34889		{ "Priority1", 16, 3 },
34890		{ "Priority0", 13, 3 },
34891		{ "Valid", 12, 1 },
34892		{ "Replicate", 11, 1 },
34893		{ "PF", 8, 3 },
34894		{ "VF_Valid", 7, 1 },
34895		{ "VF", 0, 7 },
34896	{ "MPS_CLS_SRAM_L", 0xee28, 0 },
34897		{ "MultiListen3", 28, 1 },
34898		{ "MultiListen2", 27, 1 },
34899		{ "MultiListen1", 26, 1 },
34900		{ "MultiListen0", 25, 1 },
34901		{ "Priority3", 22, 3 },
34902		{ "Priority2", 19, 3 },
34903		{ "Priority1", 16, 3 },
34904		{ "Priority0", 13, 3 },
34905		{ "Valid", 12, 1 },
34906		{ "Replicate", 11, 1 },
34907		{ "PF", 8, 3 },
34908		{ "VF_Valid", 7, 1 },
34909		{ "VF", 0, 7 },
34910	{ "MPS_CLS_SRAM_L", 0xee30, 0 },
34911		{ "MultiListen3", 28, 1 },
34912		{ "MultiListen2", 27, 1 },
34913		{ "MultiListen1", 26, 1 },
34914		{ "MultiListen0", 25, 1 },
34915		{ "Priority3", 22, 3 },
34916		{ "Priority2", 19, 3 },
34917		{ "Priority1", 16, 3 },
34918		{ "Priority0", 13, 3 },
34919		{ "Valid", 12, 1 },
34920		{ "Replicate", 11, 1 },
34921		{ "PF", 8, 3 },
34922		{ "VF_Valid", 7, 1 },
34923		{ "VF", 0, 7 },
34924	{ "MPS_CLS_SRAM_L", 0xee38, 0 },
34925		{ "MultiListen3", 28, 1 },
34926		{ "MultiListen2", 27, 1 },
34927		{ "MultiListen1", 26, 1 },
34928		{ "MultiListen0", 25, 1 },
34929		{ "Priority3", 22, 3 },
34930		{ "Priority2", 19, 3 },
34931		{ "Priority1", 16, 3 },
34932		{ "Priority0", 13, 3 },
34933		{ "Valid", 12, 1 },
34934		{ "Replicate", 11, 1 },
34935		{ "PF", 8, 3 },
34936		{ "VF_Valid", 7, 1 },
34937		{ "VF", 0, 7 },
34938	{ "MPS_CLS_SRAM_L", 0xee40, 0 },
34939		{ "MultiListen3", 28, 1 },
34940		{ "MultiListen2", 27, 1 },
34941		{ "MultiListen1", 26, 1 },
34942		{ "MultiListen0", 25, 1 },
34943		{ "Priority3", 22, 3 },
34944		{ "Priority2", 19, 3 },
34945		{ "Priority1", 16, 3 },
34946		{ "Priority0", 13, 3 },
34947		{ "Valid", 12, 1 },
34948		{ "Replicate", 11, 1 },
34949		{ "PF", 8, 3 },
34950		{ "VF_Valid", 7, 1 },
34951		{ "VF", 0, 7 },
34952	{ "MPS_CLS_SRAM_L", 0xee48, 0 },
34953		{ "MultiListen3", 28, 1 },
34954		{ "MultiListen2", 27, 1 },
34955		{ "MultiListen1", 26, 1 },
34956		{ "MultiListen0", 25, 1 },
34957		{ "Priority3", 22, 3 },
34958		{ "Priority2", 19, 3 },
34959		{ "Priority1", 16, 3 },
34960		{ "Priority0", 13, 3 },
34961		{ "Valid", 12, 1 },
34962		{ "Replicate", 11, 1 },
34963		{ "PF", 8, 3 },
34964		{ "VF_Valid", 7, 1 },
34965		{ "VF", 0, 7 },
34966	{ "MPS_CLS_SRAM_L", 0xee50, 0 },
34967		{ "MultiListen3", 28, 1 },
34968		{ "MultiListen2", 27, 1 },
34969		{ "MultiListen1", 26, 1 },
34970		{ "MultiListen0", 25, 1 },
34971		{ "Priority3", 22, 3 },
34972		{ "Priority2", 19, 3 },
34973		{ "Priority1", 16, 3 },
34974		{ "Priority0", 13, 3 },
34975		{ "Valid", 12, 1 },
34976		{ "Replicate", 11, 1 },
34977		{ "PF", 8, 3 },
34978		{ "VF_Valid", 7, 1 },
34979		{ "VF", 0, 7 },
34980	{ "MPS_CLS_SRAM_L", 0xee58, 0 },
34981		{ "MultiListen3", 28, 1 },
34982		{ "MultiListen2", 27, 1 },
34983		{ "MultiListen1", 26, 1 },
34984		{ "MultiListen0", 25, 1 },
34985		{ "Priority3", 22, 3 },
34986		{ "Priority2", 19, 3 },
34987		{ "Priority1", 16, 3 },
34988		{ "Priority0", 13, 3 },
34989		{ "Valid", 12, 1 },
34990		{ "Replicate", 11, 1 },
34991		{ "PF", 8, 3 },
34992		{ "VF_Valid", 7, 1 },
34993		{ "VF", 0, 7 },
34994	{ "MPS_CLS_SRAM_L", 0xee60, 0 },
34995		{ "MultiListen3", 28, 1 },
34996		{ "MultiListen2", 27, 1 },
34997		{ "MultiListen1", 26, 1 },
34998		{ "MultiListen0", 25, 1 },
34999		{ "Priority3", 22, 3 },
35000		{ "Priority2", 19, 3 },
35001		{ "Priority1", 16, 3 },
35002		{ "Priority0", 13, 3 },
35003		{ "Valid", 12, 1 },
35004		{ "Replicate", 11, 1 },
35005		{ "PF", 8, 3 },
35006		{ "VF_Valid", 7, 1 },
35007		{ "VF", 0, 7 },
35008	{ "MPS_CLS_SRAM_L", 0xee68, 0 },
35009		{ "MultiListen3", 28, 1 },
35010		{ "MultiListen2", 27, 1 },
35011		{ "MultiListen1", 26, 1 },
35012		{ "MultiListen0", 25, 1 },
35013		{ "Priority3", 22, 3 },
35014		{ "Priority2", 19, 3 },
35015		{ "Priority1", 16, 3 },
35016		{ "Priority0", 13, 3 },
35017		{ "Valid", 12, 1 },
35018		{ "Replicate", 11, 1 },
35019		{ "PF", 8, 3 },
35020		{ "VF_Valid", 7, 1 },
35021		{ "VF", 0, 7 },
35022	{ "MPS_CLS_SRAM_L", 0xee70, 0 },
35023		{ "MultiListen3", 28, 1 },
35024		{ "MultiListen2", 27, 1 },
35025		{ "MultiListen1", 26, 1 },
35026		{ "MultiListen0", 25, 1 },
35027		{ "Priority3", 22, 3 },
35028		{ "Priority2", 19, 3 },
35029		{ "Priority1", 16, 3 },
35030		{ "Priority0", 13, 3 },
35031		{ "Valid", 12, 1 },
35032		{ "Replicate", 11, 1 },
35033		{ "PF", 8, 3 },
35034		{ "VF_Valid", 7, 1 },
35035		{ "VF", 0, 7 },
35036	{ "MPS_CLS_SRAM_L", 0xee78, 0 },
35037		{ "MultiListen3", 28, 1 },
35038		{ "MultiListen2", 27, 1 },
35039		{ "MultiListen1", 26, 1 },
35040		{ "MultiListen0", 25, 1 },
35041		{ "Priority3", 22, 3 },
35042		{ "Priority2", 19, 3 },
35043		{ "Priority1", 16, 3 },
35044		{ "Priority0", 13, 3 },
35045		{ "Valid", 12, 1 },
35046		{ "Replicate", 11, 1 },
35047		{ "PF", 8, 3 },
35048		{ "VF_Valid", 7, 1 },
35049		{ "VF", 0, 7 },
35050	{ "MPS_CLS_SRAM_L", 0xee80, 0 },
35051		{ "MultiListen3", 28, 1 },
35052		{ "MultiListen2", 27, 1 },
35053		{ "MultiListen1", 26, 1 },
35054		{ "MultiListen0", 25, 1 },
35055		{ "Priority3", 22, 3 },
35056		{ "Priority2", 19, 3 },
35057		{ "Priority1", 16, 3 },
35058		{ "Priority0", 13, 3 },
35059		{ "Valid", 12, 1 },
35060		{ "Replicate", 11, 1 },
35061		{ "PF", 8, 3 },
35062		{ "VF_Valid", 7, 1 },
35063		{ "VF", 0, 7 },
35064	{ "MPS_CLS_SRAM_L", 0xee88, 0 },
35065		{ "MultiListen3", 28, 1 },
35066		{ "MultiListen2", 27, 1 },
35067		{ "MultiListen1", 26, 1 },
35068		{ "MultiListen0", 25, 1 },
35069		{ "Priority3", 22, 3 },
35070		{ "Priority2", 19, 3 },
35071		{ "Priority1", 16, 3 },
35072		{ "Priority0", 13, 3 },
35073		{ "Valid", 12, 1 },
35074		{ "Replicate", 11, 1 },
35075		{ "PF", 8, 3 },
35076		{ "VF_Valid", 7, 1 },
35077		{ "VF", 0, 7 },
35078	{ "MPS_CLS_SRAM_L", 0xee90, 0 },
35079		{ "MultiListen3", 28, 1 },
35080		{ "MultiListen2", 27, 1 },
35081		{ "MultiListen1", 26, 1 },
35082		{ "MultiListen0", 25, 1 },
35083		{ "Priority3", 22, 3 },
35084		{ "Priority2", 19, 3 },
35085		{ "Priority1", 16, 3 },
35086		{ "Priority0", 13, 3 },
35087		{ "Valid", 12, 1 },
35088		{ "Replicate", 11, 1 },
35089		{ "PF", 8, 3 },
35090		{ "VF_Valid", 7, 1 },
35091		{ "VF", 0, 7 },
35092	{ "MPS_CLS_SRAM_L", 0xee98, 0 },
35093		{ "MultiListen3", 28, 1 },
35094		{ "MultiListen2", 27, 1 },
35095		{ "MultiListen1", 26, 1 },
35096		{ "MultiListen0", 25, 1 },
35097		{ "Priority3", 22, 3 },
35098		{ "Priority2", 19, 3 },
35099		{ "Priority1", 16, 3 },
35100		{ "Priority0", 13, 3 },
35101		{ "Valid", 12, 1 },
35102		{ "Replicate", 11, 1 },
35103		{ "PF", 8, 3 },
35104		{ "VF_Valid", 7, 1 },
35105		{ "VF", 0, 7 },
35106	{ "MPS_CLS_SRAM_L", 0xeea0, 0 },
35107		{ "MultiListen3", 28, 1 },
35108		{ "MultiListen2", 27, 1 },
35109		{ "MultiListen1", 26, 1 },
35110		{ "MultiListen0", 25, 1 },
35111		{ "Priority3", 22, 3 },
35112		{ "Priority2", 19, 3 },
35113		{ "Priority1", 16, 3 },
35114		{ "Priority0", 13, 3 },
35115		{ "Valid", 12, 1 },
35116		{ "Replicate", 11, 1 },
35117		{ "PF", 8, 3 },
35118		{ "VF_Valid", 7, 1 },
35119		{ "VF", 0, 7 },
35120	{ "MPS_CLS_SRAM_L", 0xeea8, 0 },
35121		{ "MultiListen3", 28, 1 },
35122		{ "MultiListen2", 27, 1 },
35123		{ "MultiListen1", 26, 1 },
35124		{ "MultiListen0", 25, 1 },
35125		{ "Priority3", 22, 3 },
35126		{ "Priority2", 19, 3 },
35127		{ "Priority1", 16, 3 },
35128		{ "Priority0", 13, 3 },
35129		{ "Valid", 12, 1 },
35130		{ "Replicate", 11, 1 },
35131		{ "PF", 8, 3 },
35132		{ "VF_Valid", 7, 1 },
35133		{ "VF", 0, 7 },
35134	{ "MPS_CLS_SRAM_L", 0xeeb0, 0 },
35135		{ "MultiListen3", 28, 1 },
35136		{ "MultiListen2", 27, 1 },
35137		{ "MultiListen1", 26, 1 },
35138		{ "MultiListen0", 25, 1 },
35139		{ "Priority3", 22, 3 },
35140		{ "Priority2", 19, 3 },
35141		{ "Priority1", 16, 3 },
35142		{ "Priority0", 13, 3 },
35143		{ "Valid", 12, 1 },
35144		{ "Replicate", 11, 1 },
35145		{ "PF", 8, 3 },
35146		{ "VF_Valid", 7, 1 },
35147		{ "VF", 0, 7 },
35148	{ "MPS_CLS_SRAM_L", 0xeeb8, 0 },
35149		{ "MultiListen3", 28, 1 },
35150		{ "MultiListen2", 27, 1 },
35151		{ "MultiListen1", 26, 1 },
35152		{ "MultiListen0", 25, 1 },
35153		{ "Priority3", 22, 3 },
35154		{ "Priority2", 19, 3 },
35155		{ "Priority1", 16, 3 },
35156		{ "Priority0", 13, 3 },
35157		{ "Valid", 12, 1 },
35158		{ "Replicate", 11, 1 },
35159		{ "PF", 8, 3 },
35160		{ "VF_Valid", 7, 1 },
35161		{ "VF", 0, 7 },
35162	{ "MPS_CLS_SRAM_L", 0xeec0, 0 },
35163		{ "MultiListen3", 28, 1 },
35164		{ "MultiListen2", 27, 1 },
35165		{ "MultiListen1", 26, 1 },
35166		{ "MultiListen0", 25, 1 },
35167		{ "Priority3", 22, 3 },
35168		{ "Priority2", 19, 3 },
35169		{ "Priority1", 16, 3 },
35170		{ "Priority0", 13, 3 },
35171		{ "Valid", 12, 1 },
35172		{ "Replicate", 11, 1 },
35173		{ "PF", 8, 3 },
35174		{ "VF_Valid", 7, 1 },
35175		{ "VF", 0, 7 },
35176	{ "MPS_CLS_SRAM_L", 0xeec8, 0 },
35177		{ "MultiListen3", 28, 1 },
35178		{ "MultiListen2", 27, 1 },
35179		{ "MultiListen1", 26, 1 },
35180		{ "MultiListen0", 25, 1 },
35181		{ "Priority3", 22, 3 },
35182		{ "Priority2", 19, 3 },
35183		{ "Priority1", 16, 3 },
35184		{ "Priority0", 13, 3 },
35185		{ "Valid", 12, 1 },
35186		{ "Replicate", 11, 1 },
35187		{ "PF", 8, 3 },
35188		{ "VF_Valid", 7, 1 },
35189		{ "VF", 0, 7 },
35190	{ "MPS_CLS_SRAM_L", 0xeed0, 0 },
35191		{ "MultiListen3", 28, 1 },
35192		{ "MultiListen2", 27, 1 },
35193		{ "MultiListen1", 26, 1 },
35194		{ "MultiListen0", 25, 1 },
35195		{ "Priority3", 22, 3 },
35196		{ "Priority2", 19, 3 },
35197		{ "Priority1", 16, 3 },
35198		{ "Priority0", 13, 3 },
35199		{ "Valid", 12, 1 },
35200		{ "Replicate", 11, 1 },
35201		{ "PF", 8, 3 },
35202		{ "VF_Valid", 7, 1 },
35203		{ "VF", 0, 7 },
35204	{ "MPS_CLS_SRAM_L", 0xeed8, 0 },
35205		{ "MultiListen3", 28, 1 },
35206		{ "MultiListen2", 27, 1 },
35207		{ "MultiListen1", 26, 1 },
35208		{ "MultiListen0", 25, 1 },
35209		{ "Priority3", 22, 3 },
35210		{ "Priority2", 19, 3 },
35211		{ "Priority1", 16, 3 },
35212		{ "Priority0", 13, 3 },
35213		{ "Valid", 12, 1 },
35214		{ "Replicate", 11, 1 },
35215		{ "PF", 8, 3 },
35216		{ "VF_Valid", 7, 1 },
35217		{ "VF", 0, 7 },
35218	{ "MPS_CLS_SRAM_L", 0xeee0, 0 },
35219		{ "MultiListen3", 28, 1 },
35220		{ "MultiListen2", 27, 1 },
35221		{ "MultiListen1", 26, 1 },
35222		{ "MultiListen0", 25, 1 },
35223		{ "Priority3", 22, 3 },
35224		{ "Priority2", 19, 3 },
35225		{ "Priority1", 16, 3 },
35226		{ "Priority0", 13, 3 },
35227		{ "Valid", 12, 1 },
35228		{ "Replicate", 11, 1 },
35229		{ "PF", 8, 3 },
35230		{ "VF_Valid", 7, 1 },
35231		{ "VF", 0, 7 },
35232	{ "MPS_CLS_SRAM_L", 0xeee8, 0 },
35233		{ "MultiListen3", 28, 1 },
35234		{ "MultiListen2", 27, 1 },
35235		{ "MultiListen1", 26, 1 },
35236		{ "MultiListen0", 25, 1 },
35237		{ "Priority3", 22, 3 },
35238		{ "Priority2", 19, 3 },
35239		{ "Priority1", 16, 3 },
35240		{ "Priority0", 13, 3 },
35241		{ "Valid", 12, 1 },
35242		{ "Replicate", 11, 1 },
35243		{ "PF", 8, 3 },
35244		{ "VF_Valid", 7, 1 },
35245		{ "VF", 0, 7 },
35246	{ "MPS_CLS_SRAM_L", 0xeef0, 0 },
35247		{ "MultiListen3", 28, 1 },
35248		{ "MultiListen2", 27, 1 },
35249		{ "MultiListen1", 26, 1 },
35250		{ "MultiListen0", 25, 1 },
35251		{ "Priority3", 22, 3 },
35252		{ "Priority2", 19, 3 },
35253		{ "Priority1", 16, 3 },
35254		{ "Priority0", 13, 3 },
35255		{ "Valid", 12, 1 },
35256		{ "Replicate", 11, 1 },
35257		{ "PF", 8, 3 },
35258		{ "VF_Valid", 7, 1 },
35259		{ "VF", 0, 7 },
35260	{ "MPS_CLS_SRAM_L", 0xeef8, 0 },
35261		{ "MultiListen3", 28, 1 },
35262		{ "MultiListen2", 27, 1 },
35263		{ "MultiListen1", 26, 1 },
35264		{ "MultiListen0", 25, 1 },
35265		{ "Priority3", 22, 3 },
35266		{ "Priority2", 19, 3 },
35267		{ "Priority1", 16, 3 },
35268		{ "Priority0", 13, 3 },
35269		{ "Valid", 12, 1 },
35270		{ "Replicate", 11, 1 },
35271		{ "PF", 8, 3 },
35272		{ "VF_Valid", 7, 1 },
35273		{ "VF", 0, 7 },
35274	{ "MPS_CLS_SRAM_L", 0xef00, 0 },
35275		{ "MultiListen3", 28, 1 },
35276		{ "MultiListen2", 27, 1 },
35277		{ "MultiListen1", 26, 1 },
35278		{ "MultiListen0", 25, 1 },
35279		{ "Priority3", 22, 3 },
35280		{ "Priority2", 19, 3 },
35281		{ "Priority1", 16, 3 },
35282		{ "Priority0", 13, 3 },
35283		{ "Valid", 12, 1 },
35284		{ "Replicate", 11, 1 },
35285		{ "PF", 8, 3 },
35286		{ "VF_Valid", 7, 1 },
35287		{ "VF", 0, 7 },
35288	{ "MPS_CLS_SRAM_L", 0xef08, 0 },
35289		{ "MultiListen3", 28, 1 },
35290		{ "MultiListen2", 27, 1 },
35291		{ "MultiListen1", 26, 1 },
35292		{ "MultiListen0", 25, 1 },
35293		{ "Priority3", 22, 3 },
35294		{ "Priority2", 19, 3 },
35295		{ "Priority1", 16, 3 },
35296		{ "Priority0", 13, 3 },
35297		{ "Valid", 12, 1 },
35298		{ "Replicate", 11, 1 },
35299		{ "PF", 8, 3 },
35300		{ "VF_Valid", 7, 1 },
35301		{ "VF", 0, 7 },
35302	{ "MPS_CLS_SRAM_L", 0xef10, 0 },
35303		{ "MultiListen3", 28, 1 },
35304		{ "MultiListen2", 27, 1 },
35305		{ "MultiListen1", 26, 1 },
35306		{ "MultiListen0", 25, 1 },
35307		{ "Priority3", 22, 3 },
35308		{ "Priority2", 19, 3 },
35309		{ "Priority1", 16, 3 },
35310		{ "Priority0", 13, 3 },
35311		{ "Valid", 12, 1 },
35312		{ "Replicate", 11, 1 },
35313		{ "PF", 8, 3 },
35314		{ "VF_Valid", 7, 1 },
35315		{ "VF", 0, 7 },
35316	{ "MPS_CLS_SRAM_L", 0xef18, 0 },
35317		{ "MultiListen3", 28, 1 },
35318		{ "MultiListen2", 27, 1 },
35319		{ "MultiListen1", 26, 1 },
35320		{ "MultiListen0", 25, 1 },
35321		{ "Priority3", 22, 3 },
35322		{ "Priority2", 19, 3 },
35323		{ "Priority1", 16, 3 },
35324		{ "Priority0", 13, 3 },
35325		{ "Valid", 12, 1 },
35326		{ "Replicate", 11, 1 },
35327		{ "PF", 8, 3 },
35328		{ "VF_Valid", 7, 1 },
35329		{ "VF", 0, 7 },
35330	{ "MPS_CLS_SRAM_L", 0xef20, 0 },
35331		{ "MultiListen3", 28, 1 },
35332		{ "MultiListen2", 27, 1 },
35333		{ "MultiListen1", 26, 1 },
35334		{ "MultiListen0", 25, 1 },
35335		{ "Priority3", 22, 3 },
35336		{ "Priority2", 19, 3 },
35337		{ "Priority1", 16, 3 },
35338		{ "Priority0", 13, 3 },
35339		{ "Valid", 12, 1 },
35340		{ "Replicate", 11, 1 },
35341		{ "PF", 8, 3 },
35342		{ "VF_Valid", 7, 1 },
35343		{ "VF", 0, 7 },
35344	{ "MPS_CLS_SRAM_L", 0xef28, 0 },
35345		{ "MultiListen3", 28, 1 },
35346		{ "MultiListen2", 27, 1 },
35347		{ "MultiListen1", 26, 1 },
35348		{ "MultiListen0", 25, 1 },
35349		{ "Priority3", 22, 3 },
35350		{ "Priority2", 19, 3 },
35351		{ "Priority1", 16, 3 },
35352		{ "Priority0", 13, 3 },
35353		{ "Valid", 12, 1 },
35354		{ "Replicate", 11, 1 },
35355		{ "PF", 8, 3 },
35356		{ "VF_Valid", 7, 1 },
35357		{ "VF", 0, 7 },
35358	{ "MPS_CLS_SRAM_L", 0xef30, 0 },
35359		{ "MultiListen3", 28, 1 },
35360		{ "MultiListen2", 27, 1 },
35361		{ "MultiListen1", 26, 1 },
35362		{ "MultiListen0", 25, 1 },
35363		{ "Priority3", 22, 3 },
35364		{ "Priority2", 19, 3 },
35365		{ "Priority1", 16, 3 },
35366		{ "Priority0", 13, 3 },
35367		{ "Valid", 12, 1 },
35368		{ "Replicate", 11, 1 },
35369		{ "PF", 8, 3 },
35370		{ "VF_Valid", 7, 1 },
35371		{ "VF", 0, 7 },
35372	{ "MPS_CLS_SRAM_L", 0xef38, 0 },
35373		{ "MultiListen3", 28, 1 },
35374		{ "MultiListen2", 27, 1 },
35375		{ "MultiListen1", 26, 1 },
35376		{ "MultiListen0", 25, 1 },
35377		{ "Priority3", 22, 3 },
35378		{ "Priority2", 19, 3 },
35379		{ "Priority1", 16, 3 },
35380		{ "Priority0", 13, 3 },
35381		{ "Valid", 12, 1 },
35382		{ "Replicate", 11, 1 },
35383		{ "PF", 8, 3 },
35384		{ "VF_Valid", 7, 1 },
35385		{ "VF", 0, 7 },
35386	{ "MPS_CLS_SRAM_L", 0xef40, 0 },
35387		{ "MultiListen3", 28, 1 },
35388		{ "MultiListen2", 27, 1 },
35389		{ "MultiListen1", 26, 1 },
35390		{ "MultiListen0", 25, 1 },
35391		{ "Priority3", 22, 3 },
35392		{ "Priority2", 19, 3 },
35393		{ "Priority1", 16, 3 },
35394		{ "Priority0", 13, 3 },
35395		{ "Valid", 12, 1 },
35396		{ "Replicate", 11, 1 },
35397		{ "PF", 8, 3 },
35398		{ "VF_Valid", 7, 1 },
35399		{ "VF", 0, 7 },
35400	{ "MPS_CLS_SRAM_L", 0xef48, 0 },
35401		{ "MultiListen3", 28, 1 },
35402		{ "MultiListen2", 27, 1 },
35403		{ "MultiListen1", 26, 1 },
35404		{ "MultiListen0", 25, 1 },
35405		{ "Priority3", 22, 3 },
35406		{ "Priority2", 19, 3 },
35407		{ "Priority1", 16, 3 },
35408		{ "Priority0", 13, 3 },
35409		{ "Valid", 12, 1 },
35410		{ "Replicate", 11, 1 },
35411		{ "PF", 8, 3 },
35412		{ "VF_Valid", 7, 1 },
35413		{ "VF", 0, 7 },
35414	{ "MPS_CLS_SRAM_L", 0xef50, 0 },
35415		{ "MultiListen3", 28, 1 },
35416		{ "MultiListen2", 27, 1 },
35417		{ "MultiListen1", 26, 1 },
35418		{ "MultiListen0", 25, 1 },
35419		{ "Priority3", 22, 3 },
35420		{ "Priority2", 19, 3 },
35421		{ "Priority1", 16, 3 },
35422		{ "Priority0", 13, 3 },
35423		{ "Valid", 12, 1 },
35424		{ "Replicate", 11, 1 },
35425		{ "PF", 8, 3 },
35426		{ "VF_Valid", 7, 1 },
35427		{ "VF", 0, 7 },
35428	{ "MPS_CLS_SRAM_L", 0xef58, 0 },
35429		{ "MultiListen3", 28, 1 },
35430		{ "MultiListen2", 27, 1 },
35431		{ "MultiListen1", 26, 1 },
35432		{ "MultiListen0", 25, 1 },
35433		{ "Priority3", 22, 3 },
35434		{ "Priority2", 19, 3 },
35435		{ "Priority1", 16, 3 },
35436		{ "Priority0", 13, 3 },
35437		{ "Valid", 12, 1 },
35438		{ "Replicate", 11, 1 },
35439		{ "PF", 8, 3 },
35440		{ "VF_Valid", 7, 1 },
35441		{ "VF", 0, 7 },
35442	{ "MPS_CLS_SRAM_L", 0xef60, 0 },
35443		{ "MultiListen3", 28, 1 },
35444		{ "MultiListen2", 27, 1 },
35445		{ "MultiListen1", 26, 1 },
35446		{ "MultiListen0", 25, 1 },
35447		{ "Priority3", 22, 3 },
35448		{ "Priority2", 19, 3 },
35449		{ "Priority1", 16, 3 },
35450		{ "Priority0", 13, 3 },
35451		{ "Valid", 12, 1 },
35452		{ "Replicate", 11, 1 },
35453		{ "PF", 8, 3 },
35454		{ "VF_Valid", 7, 1 },
35455		{ "VF", 0, 7 },
35456	{ "MPS_CLS_SRAM_L", 0xef68, 0 },
35457		{ "MultiListen3", 28, 1 },
35458		{ "MultiListen2", 27, 1 },
35459		{ "MultiListen1", 26, 1 },
35460		{ "MultiListen0", 25, 1 },
35461		{ "Priority3", 22, 3 },
35462		{ "Priority2", 19, 3 },
35463		{ "Priority1", 16, 3 },
35464		{ "Priority0", 13, 3 },
35465		{ "Valid", 12, 1 },
35466		{ "Replicate", 11, 1 },
35467		{ "PF", 8, 3 },
35468		{ "VF_Valid", 7, 1 },
35469		{ "VF", 0, 7 },
35470	{ "MPS_CLS_SRAM_L", 0xef70, 0 },
35471		{ "MultiListen3", 28, 1 },
35472		{ "MultiListen2", 27, 1 },
35473		{ "MultiListen1", 26, 1 },
35474		{ "MultiListen0", 25, 1 },
35475		{ "Priority3", 22, 3 },
35476		{ "Priority2", 19, 3 },
35477		{ "Priority1", 16, 3 },
35478		{ "Priority0", 13, 3 },
35479		{ "Valid", 12, 1 },
35480		{ "Replicate", 11, 1 },
35481		{ "PF", 8, 3 },
35482		{ "VF_Valid", 7, 1 },
35483		{ "VF", 0, 7 },
35484	{ "MPS_CLS_SRAM_L", 0xef78, 0 },
35485		{ "MultiListen3", 28, 1 },
35486		{ "MultiListen2", 27, 1 },
35487		{ "MultiListen1", 26, 1 },
35488		{ "MultiListen0", 25, 1 },
35489		{ "Priority3", 22, 3 },
35490		{ "Priority2", 19, 3 },
35491		{ "Priority1", 16, 3 },
35492		{ "Priority0", 13, 3 },
35493		{ "Valid", 12, 1 },
35494		{ "Replicate", 11, 1 },
35495		{ "PF", 8, 3 },
35496		{ "VF_Valid", 7, 1 },
35497		{ "VF", 0, 7 },
35498	{ "MPS_CLS_SRAM_L", 0xef80, 0 },
35499		{ "MultiListen3", 28, 1 },
35500		{ "MultiListen2", 27, 1 },
35501		{ "MultiListen1", 26, 1 },
35502		{ "MultiListen0", 25, 1 },
35503		{ "Priority3", 22, 3 },
35504		{ "Priority2", 19, 3 },
35505		{ "Priority1", 16, 3 },
35506		{ "Priority0", 13, 3 },
35507		{ "Valid", 12, 1 },
35508		{ "Replicate", 11, 1 },
35509		{ "PF", 8, 3 },
35510		{ "VF_Valid", 7, 1 },
35511		{ "VF", 0, 7 },
35512	{ "MPS_CLS_SRAM_L", 0xef88, 0 },
35513		{ "MultiListen3", 28, 1 },
35514		{ "MultiListen2", 27, 1 },
35515		{ "MultiListen1", 26, 1 },
35516		{ "MultiListen0", 25, 1 },
35517		{ "Priority3", 22, 3 },
35518		{ "Priority2", 19, 3 },
35519		{ "Priority1", 16, 3 },
35520		{ "Priority0", 13, 3 },
35521		{ "Valid", 12, 1 },
35522		{ "Replicate", 11, 1 },
35523		{ "PF", 8, 3 },
35524		{ "VF_Valid", 7, 1 },
35525		{ "VF", 0, 7 },
35526	{ "MPS_CLS_SRAM_L", 0xef90, 0 },
35527		{ "MultiListen3", 28, 1 },
35528		{ "MultiListen2", 27, 1 },
35529		{ "MultiListen1", 26, 1 },
35530		{ "MultiListen0", 25, 1 },
35531		{ "Priority3", 22, 3 },
35532		{ "Priority2", 19, 3 },
35533		{ "Priority1", 16, 3 },
35534		{ "Priority0", 13, 3 },
35535		{ "Valid", 12, 1 },
35536		{ "Replicate", 11, 1 },
35537		{ "PF", 8, 3 },
35538		{ "VF_Valid", 7, 1 },
35539		{ "VF", 0, 7 },
35540	{ "MPS_CLS_SRAM_L", 0xef98, 0 },
35541		{ "MultiListen3", 28, 1 },
35542		{ "MultiListen2", 27, 1 },
35543		{ "MultiListen1", 26, 1 },
35544		{ "MultiListen0", 25, 1 },
35545		{ "Priority3", 22, 3 },
35546		{ "Priority2", 19, 3 },
35547		{ "Priority1", 16, 3 },
35548		{ "Priority0", 13, 3 },
35549		{ "Valid", 12, 1 },
35550		{ "Replicate", 11, 1 },
35551		{ "PF", 8, 3 },
35552		{ "VF_Valid", 7, 1 },
35553		{ "VF", 0, 7 },
35554	{ "MPS_CLS_SRAM_L", 0xefa0, 0 },
35555		{ "MultiListen3", 28, 1 },
35556		{ "MultiListen2", 27, 1 },
35557		{ "MultiListen1", 26, 1 },
35558		{ "MultiListen0", 25, 1 },
35559		{ "Priority3", 22, 3 },
35560		{ "Priority2", 19, 3 },
35561		{ "Priority1", 16, 3 },
35562		{ "Priority0", 13, 3 },
35563		{ "Valid", 12, 1 },
35564		{ "Replicate", 11, 1 },
35565		{ "PF", 8, 3 },
35566		{ "VF_Valid", 7, 1 },
35567		{ "VF", 0, 7 },
35568	{ "MPS_CLS_SRAM_L", 0xefa8, 0 },
35569		{ "MultiListen3", 28, 1 },
35570		{ "MultiListen2", 27, 1 },
35571		{ "MultiListen1", 26, 1 },
35572		{ "MultiListen0", 25, 1 },
35573		{ "Priority3", 22, 3 },
35574		{ "Priority2", 19, 3 },
35575		{ "Priority1", 16, 3 },
35576		{ "Priority0", 13, 3 },
35577		{ "Valid", 12, 1 },
35578		{ "Replicate", 11, 1 },
35579		{ "PF", 8, 3 },
35580		{ "VF_Valid", 7, 1 },
35581		{ "VF", 0, 7 },
35582	{ "MPS_CLS_SRAM_L", 0xefb0, 0 },
35583		{ "MultiListen3", 28, 1 },
35584		{ "MultiListen2", 27, 1 },
35585		{ "MultiListen1", 26, 1 },
35586		{ "MultiListen0", 25, 1 },
35587		{ "Priority3", 22, 3 },
35588		{ "Priority2", 19, 3 },
35589		{ "Priority1", 16, 3 },
35590		{ "Priority0", 13, 3 },
35591		{ "Valid", 12, 1 },
35592		{ "Replicate", 11, 1 },
35593		{ "PF", 8, 3 },
35594		{ "VF_Valid", 7, 1 },
35595		{ "VF", 0, 7 },
35596	{ "MPS_CLS_SRAM_L", 0xefb8, 0 },
35597		{ "MultiListen3", 28, 1 },
35598		{ "MultiListen2", 27, 1 },
35599		{ "MultiListen1", 26, 1 },
35600		{ "MultiListen0", 25, 1 },
35601		{ "Priority3", 22, 3 },
35602		{ "Priority2", 19, 3 },
35603		{ "Priority1", 16, 3 },
35604		{ "Priority0", 13, 3 },
35605		{ "Valid", 12, 1 },
35606		{ "Replicate", 11, 1 },
35607		{ "PF", 8, 3 },
35608		{ "VF_Valid", 7, 1 },
35609		{ "VF", 0, 7 },
35610	{ "MPS_CLS_SRAM_L", 0xefc0, 0 },
35611		{ "MultiListen3", 28, 1 },
35612		{ "MultiListen2", 27, 1 },
35613		{ "MultiListen1", 26, 1 },
35614		{ "MultiListen0", 25, 1 },
35615		{ "Priority3", 22, 3 },
35616		{ "Priority2", 19, 3 },
35617		{ "Priority1", 16, 3 },
35618		{ "Priority0", 13, 3 },
35619		{ "Valid", 12, 1 },
35620		{ "Replicate", 11, 1 },
35621		{ "PF", 8, 3 },
35622		{ "VF_Valid", 7, 1 },
35623		{ "VF", 0, 7 },
35624	{ "MPS_CLS_SRAM_L", 0xefc8, 0 },
35625		{ "MultiListen3", 28, 1 },
35626		{ "MultiListen2", 27, 1 },
35627		{ "MultiListen1", 26, 1 },
35628		{ "MultiListen0", 25, 1 },
35629		{ "Priority3", 22, 3 },
35630		{ "Priority2", 19, 3 },
35631		{ "Priority1", 16, 3 },
35632		{ "Priority0", 13, 3 },
35633		{ "Valid", 12, 1 },
35634		{ "Replicate", 11, 1 },
35635		{ "PF", 8, 3 },
35636		{ "VF_Valid", 7, 1 },
35637		{ "VF", 0, 7 },
35638	{ "MPS_CLS_SRAM_L", 0xefd0, 0 },
35639		{ "MultiListen3", 28, 1 },
35640		{ "MultiListen2", 27, 1 },
35641		{ "MultiListen1", 26, 1 },
35642		{ "MultiListen0", 25, 1 },
35643		{ "Priority3", 22, 3 },
35644		{ "Priority2", 19, 3 },
35645		{ "Priority1", 16, 3 },
35646		{ "Priority0", 13, 3 },
35647		{ "Valid", 12, 1 },
35648		{ "Replicate", 11, 1 },
35649		{ "PF", 8, 3 },
35650		{ "VF_Valid", 7, 1 },
35651		{ "VF", 0, 7 },
35652	{ "MPS_CLS_SRAM_L", 0xefd8, 0 },
35653		{ "MultiListen3", 28, 1 },
35654		{ "MultiListen2", 27, 1 },
35655		{ "MultiListen1", 26, 1 },
35656		{ "MultiListen0", 25, 1 },
35657		{ "Priority3", 22, 3 },
35658		{ "Priority2", 19, 3 },
35659		{ "Priority1", 16, 3 },
35660		{ "Priority0", 13, 3 },
35661		{ "Valid", 12, 1 },
35662		{ "Replicate", 11, 1 },
35663		{ "PF", 8, 3 },
35664		{ "VF_Valid", 7, 1 },
35665		{ "VF", 0, 7 },
35666	{ "MPS_CLS_SRAM_L", 0xefe0, 0 },
35667		{ "MultiListen3", 28, 1 },
35668		{ "MultiListen2", 27, 1 },
35669		{ "MultiListen1", 26, 1 },
35670		{ "MultiListen0", 25, 1 },
35671		{ "Priority3", 22, 3 },
35672		{ "Priority2", 19, 3 },
35673		{ "Priority1", 16, 3 },
35674		{ "Priority0", 13, 3 },
35675		{ "Valid", 12, 1 },
35676		{ "Replicate", 11, 1 },
35677		{ "PF", 8, 3 },
35678		{ "VF_Valid", 7, 1 },
35679		{ "VF", 0, 7 },
35680	{ "MPS_CLS_SRAM_L", 0xefe8, 0 },
35681		{ "MultiListen3", 28, 1 },
35682		{ "MultiListen2", 27, 1 },
35683		{ "MultiListen1", 26, 1 },
35684		{ "MultiListen0", 25, 1 },
35685		{ "Priority3", 22, 3 },
35686		{ "Priority2", 19, 3 },
35687		{ "Priority1", 16, 3 },
35688		{ "Priority0", 13, 3 },
35689		{ "Valid", 12, 1 },
35690		{ "Replicate", 11, 1 },
35691		{ "PF", 8, 3 },
35692		{ "VF_Valid", 7, 1 },
35693		{ "VF", 0, 7 },
35694	{ "MPS_CLS_SRAM_L", 0xeff0, 0 },
35695		{ "MultiListen3", 28, 1 },
35696		{ "MultiListen2", 27, 1 },
35697		{ "MultiListen1", 26, 1 },
35698		{ "MultiListen0", 25, 1 },
35699		{ "Priority3", 22, 3 },
35700		{ "Priority2", 19, 3 },
35701		{ "Priority1", 16, 3 },
35702		{ "Priority0", 13, 3 },
35703		{ "Valid", 12, 1 },
35704		{ "Replicate", 11, 1 },
35705		{ "PF", 8, 3 },
35706		{ "VF_Valid", 7, 1 },
35707		{ "VF", 0, 7 },
35708	{ "MPS_CLS_SRAM_L", 0xeff8, 0 },
35709		{ "MultiListen3", 28, 1 },
35710		{ "MultiListen2", 27, 1 },
35711		{ "MultiListen1", 26, 1 },
35712		{ "MultiListen0", 25, 1 },
35713		{ "Priority3", 22, 3 },
35714		{ "Priority2", 19, 3 },
35715		{ "Priority1", 16, 3 },
35716		{ "Priority0", 13, 3 },
35717		{ "Valid", 12, 1 },
35718		{ "Replicate", 11, 1 },
35719		{ "PF", 8, 3 },
35720		{ "VF_Valid", 7, 1 },
35721		{ "VF", 0, 7 },
35722	{ "MPS_CLS_SRAM_H", 0xe004, 0 },
35723		{ "MacParity1", 9, 1 },
35724		{ "MacParity0", 8, 1 },
35725		{ "MacParityMaskSize", 4, 4 },
35726		{ "PortMap", 0, 4 },
35727	{ "MPS_CLS_SRAM_H", 0xe00c, 0 },
35728		{ "MacParity1", 9, 1 },
35729		{ "MacParity0", 8, 1 },
35730		{ "MacParityMaskSize", 4, 4 },
35731		{ "PortMap", 0, 4 },
35732	{ "MPS_CLS_SRAM_H", 0xe014, 0 },
35733		{ "MacParity1", 9, 1 },
35734		{ "MacParity0", 8, 1 },
35735		{ "MacParityMaskSize", 4, 4 },
35736		{ "PortMap", 0, 4 },
35737	{ "MPS_CLS_SRAM_H", 0xe01c, 0 },
35738		{ "MacParity1", 9, 1 },
35739		{ "MacParity0", 8, 1 },
35740		{ "MacParityMaskSize", 4, 4 },
35741		{ "PortMap", 0, 4 },
35742	{ "MPS_CLS_SRAM_H", 0xe024, 0 },
35743		{ "MacParity1", 9, 1 },
35744		{ "MacParity0", 8, 1 },
35745		{ "MacParityMaskSize", 4, 4 },
35746		{ "PortMap", 0, 4 },
35747	{ "MPS_CLS_SRAM_H", 0xe02c, 0 },
35748		{ "MacParity1", 9, 1 },
35749		{ "MacParity0", 8, 1 },
35750		{ "MacParityMaskSize", 4, 4 },
35751		{ "PortMap", 0, 4 },
35752	{ "MPS_CLS_SRAM_H", 0xe034, 0 },
35753		{ "MacParity1", 9, 1 },
35754		{ "MacParity0", 8, 1 },
35755		{ "MacParityMaskSize", 4, 4 },
35756		{ "PortMap", 0, 4 },
35757	{ "MPS_CLS_SRAM_H", 0xe03c, 0 },
35758		{ "MacParity1", 9, 1 },
35759		{ "MacParity0", 8, 1 },
35760		{ "MacParityMaskSize", 4, 4 },
35761		{ "PortMap", 0, 4 },
35762	{ "MPS_CLS_SRAM_H", 0xe044, 0 },
35763		{ "MacParity1", 9, 1 },
35764		{ "MacParity0", 8, 1 },
35765		{ "MacParityMaskSize", 4, 4 },
35766		{ "PortMap", 0, 4 },
35767	{ "MPS_CLS_SRAM_H", 0xe04c, 0 },
35768		{ "MacParity1", 9, 1 },
35769		{ "MacParity0", 8, 1 },
35770		{ "MacParityMaskSize", 4, 4 },
35771		{ "PortMap", 0, 4 },
35772	{ "MPS_CLS_SRAM_H", 0xe054, 0 },
35773		{ "MacParity1", 9, 1 },
35774		{ "MacParity0", 8, 1 },
35775		{ "MacParityMaskSize", 4, 4 },
35776		{ "PortMap", 0, 4 },
35777	{ "MPS_CLS_SRAM_H", 0xe05c, 0 },
35778		{ "MacParity1", 9, 1 },
35779		{ "MacParity0", 8, 1 },
35780		{ "MacParityMaskSize", 4, 4 },
35781		{ "PortMap", 0, 4 },
35782	{ "MPS_CLS_SRAM_H", 0xe064, 0 },
35783		{ "MacParity1", 9, 1 },
35784		{ "MacParity0", 8, 1 },
35785		{ "MacParityMaskSize", 4, 4 },
35786		{ "PortMap", 0, 4 },
35787	{ "MPS_CLS_SRAM_H", 0xe06c, 0 },
35788		{ "MacParity1", 9, 1 },
35789		{ "MacParity0", 8, 1 },
35790		{ "MacParityMaskSize", 4, 4 },
35791		{ "PortMap", 0, 4 },
35792	{ "MPS_CLS_SRAM_H", 0xe074, 0 },
35793		{ "MacParity1", 9, 1 },
35794		{ "MacParity0", 8, 1 },
35795		{ "MacParityMaskSize", 4, 4 },
35796		{ "PortMap", 0, 4 },
35797	{ "MPS_CLS_SRAM_H", 0xe07c, 0 },
35798		{ "MacParity1", 9, 1 },
35799		{ "MacParity0", 8, 1 },
35800		{ "MacParityMaskSize", 4, 4 },
35801		{ "PortMap", 0, 4 },
35802	{ "MPS_CLS_SRAM_H", 0xe084, 0 },
35803		{ "MacParity1", 9, 1 },
35804		{ "MacParity0", 8, 1 },
35805		{ "MacParityMaskSize", 4, 4 },
35806		{ "PortMap", 0, 4 },
35807	{ "MPS_CLS_SRAM_H", 0xe08c, 0 },
35808		{ "MacParity1", 9, 1 },
35809		{ "MacParity0", 8, 1 },
35810		{ "MacParityMaskSize", 4, 4 },
35811		{ "PortMap", 0, 4 },
35812	{ "MPS_CLS_SRAM_H", 0xe094, 0 },
35813		{ "MacParity1", 9, 1 },
35814		{ "MacParity0", 8, 1 },
35815		{ "MacParityMaskSize", 4, 4 },
35816		{ "PortMap", 0, 4 },
35817	{ "MPS_CLS_SRAM_H", 0xe09c, 0 },
35818		{ "MacParity1", 9, 1 },
35819		{ "MacParity0", 8, 1 },
35820		{ "MacParityMaskSize", 4, 4 },
35821		{ "PortMap", 0, 4 },
35822	{ "MPS_CLS_SRAM_H", 0xe0a4, 0 },
35823		{ "MacParity1", 9, 1 },
35824		{ "MacParity0", 8, 1 },
35825		{ "MacParityMaskSize", 4, 4 },
35826		{ "PortMap", 0, 4 },
35827	{ "MPS_CLS_SRAM_H", 0xe0ac, 0 },
35828		{ "MacParity1", 9, 1 },
35829		{ "MacParity0", 8, 1 },
35830		{ "MacParityMaskSize", 4, 4 },
35831		{ "PortMap", 0, 4 },
35832	{ "MPS_CLS_SRAM_H", 0xe0b4, 0 },
35833		{ "MacParity1", 9, 1 },
35834		{ "MacParity0", 8, 1 },
35835		{ "MacParityMaskSize", 4, 4 },
35836		{ "PortMap", 0, 4 },
35837	{ "MPS_CLS_SRAM_H", 0xe0bc, 0 },
35838		{ "MacParity1", 9, 1 },
35839		{ "MacParity0", 8, 1 },
35840		{ "MacParityMaskSize", 4, 4 },
35841		{ "PortMap", 0, 4 },
35842	{ "MPS_CLS_SRAM_H", 0xe0c4, 0 },
35843		{ "MacParity1", 9, 1 },
35844		{ "MacParity0", 8, 1 },
35845		{ "MacParityMaskSize", 4, 4 },
35846		{ "PortMap", 0, 4 },
35847	{ "MPS_CLS_SRAM_H", 0xe0cc, 0 },
35848		{ "MacParity1", 9, 1 },
35849		{ "MacParity0", 8, 1 },
35850		{ "MacParityMaskSize", 4, 4 },
35851		{ "PortMap", 0, 4 },
35852	{ "MPS_CLS_SRAM_H", 0xe0d4, 0 },
35853		{ "MacParity1", 9, 1 },
35854		{ "MacParity0", 8, 1 },
35855		{ "MacParityMaskSize", 4, 4 },
35856		{ "PortMap", 0, 4 },
35857	{ "MPS_CLS_SRAM_H", 0xe0dc, 0 },
35858		{ "MacParity1", 9, 1 },
35859		{ "MacParity0", 8, 1 },
35860		{ "MacParityMaskSize", 4, 4 },
35861		{ "PortMap", 0, 4 },
35862	{ "MPS_CLS_SRAM_H", 0xe0e4, 0 },
35863		{ "MacParity1", 9, 1 },
35864		{ "MacParity0", 8, 1 },
35865		{ "MacParityMaskSize", 4, 4 },
35866		{ "PortMap", 0, 4 },
35867	{ "MPS_CLS_SRAM_H", 0xe0ec, 0 },
35868		{ "MacParity1", 9, 1 },
35869		{ "MacParity0", 8, 1 },
35870		{ "MacParityMaskSize", 4, 4 },
35871		{ "PortMap", 0, 4 },
35872	{ "MPS_CLS_SRAM_H", 0xe0f4, 0 },
35873		{ "MacParity1", 9, 1 },
35874		{ "MacParity0", 8, 1 },
35875		{ "MacParityMaskSize", 4, 4 },
35876		{ "PortMap", 0, 4 },
35877	{ "MPS_CLS_SRAM_H", 0xe0fc, 0 },
35878		{ "MacParity1", 9, 1 },
35879		{ "MacParity0", 8, 1 },
35880		{ "MacParityMaskSize", 4, 4 },
35881		{ "PortMap", 0, 4 },
35882	{ "MPS_CLS_SRAM_H", 0xe104, 0 },
35883		{ "MacParity1", 9, 1 },
35884		{ "MacParity0", 8, 1 },
35885		{ "MacParityMaskSize", 4, 4 },
35886		{ "PortMap", 0, 4 },
35887	{ "MPS_CLS_SRAM_H", 0xe10c, 0 },
35888		{ "MacParity1", 9, 1 },
35889		{ "MacParity0", 8, 1 },
35890		{ "MacParityMaskSize", 4, 4 },
35891		{ "PortMap", 0, 4 },
35892	{ "MPS_CLS_SRAM_H", 0xe114, 0 },
35893		{ "MacParity1", 9, 1 },
35894		{ "MacParity0", 8, 1 },
35895		{ "MacParityMaskSize", 4, 4 },
35896		{ "PortMap", 0, 4 },
35897	{ "MPS_CLS_SRAM_H", 0xe11c, 0 },
35898		{ "MacParity1", 9, 1 },
35899		{ "MacParity0", 8, 1 },
35900		{ "MacParityMaskSize", 4, 4 },
35901		{ "PortMap", 0, 4 },
35902	{ "MPS_CLS_SRAM_H", 0xe124, 0 },
35903		{ "MacParity1", 9, 1 },
35904		{ "MacParity0", 8, 1 },
35905		{ "MacParityMaskSize", 4, 4 },
35906		{ "PortMap", 0, 4 },
35907	{ "MPS_CLS_SRAM_H", 0xe12c, 0 },
35908		{ "MacParity1", 9, 1 },
35909		{ "MacParity0", 8, 1 },
35910		{ "MacParityMaskSize", 4, 4 },
35911		{ "PortMap", 0, 4 },
35912	{ "MPS_CLS_SRAM_H", 0xe134, 0 },
35913		{ "MacParity1", 9, 1 },
35914		{ "MacParity0", 8, 1 },
35915		{ "MacParityMaskSize", 4, 4 },
35916		{ "PortMap", 0, 4 },
35917	{ "MPS_CLS_SRAM_H", 0xe13c, 0 },
35918		{ "MacParity1", 9, 1 },
35919		{ "MacParity0", 8, 1 },
35920		{ "MacParityMaskSize", 4, 4 },
35921		{ "PortMap", 0, 4 },
35922	{ "MPS_CLS_SRAM_H", 0xe144, 0 },
35923		{ "MacParity1", 9, 1 },
35924		{ "MacParity0", 8, 1 },
35925		{ "MacParityMaskSize", 4, 4 },
35926		{ "PortMap", 0, 4 },
35927	{ "MPS_CLS_SRAM_H", 0xe14c, 0 },
35928		{ "MacParity1", 9, 1 },
35929		{ "MacParity0", 8, 1 },
35930		{ "MacParityMaskSize", 4, 4 },
35931		{ "PortMap", 0, 4 },
35932	{ "MPS_CLS_SRAM_H", 0xe154, 0 },
35933		{ "MacParity1", 9, 1 },
35934		{ "MacParity0", 8, 1 },
35935		{ "MacParityMaskSize", 4, 4 },
35936		{ "PortMap", 0, 4 },
35937	{ "MPS_CLS_SRAM_H", 0xe15c, 0 },
35938		{ "MacParity1", 9, 1 },
35939		{ "MacParity0", 8, 1 },
35940		{ "MacParityMaskSize", 4, 4 },
35941		{ "PortMap", 0, 4 },
35942	{ "MPS_CLS_SRAM_H", 0xe164, 0 },
35943		{ "MacParity1", 9, 1 },
35944		{ "MacParity0", 8, 1 },
35945		{ "MacParityMaskSize", 4, 4 },
35946		{ "PortMap", 0, 4 },
35947	{ "MPS_CLS_SRAM_H", 0xe16c, 0 },
35948		{ "MacParity1", 9, 1 },
35949		{ "MacParity0", 8, 1 },
35950		{ "MacParityMaskSize", 4, 4 },
35951		{ "PortMap", 0, 4 },
35952	{ "MPS_CLS_SRAM_H", 0xe174, 0 },
35953		{ "MacParity1", 9, 1 },
35954		{ "MacParity0", 8, 1 },
35955		{ "MacParityMaskSize", 4, 4 },
35956		{ "PortMap", 0, 4 },
35957	{ "MPS_CLS_SRAM_H", 0xe17c, 0 },
35958		{ "MacParity1", 9, 1 },
35959		{ "MacParity0", 8, 1 },
35960		{ "MacParityMaskSize", 4, 4 },
35961		{ "PortMap", 0, 4 },
35962	{ "MPS_CLS_SRAM_H", 0xe184, 0 },
35963		{ "MacParity1", 9, 1 },
35964		{ "MacParity0", 8, 1 },
35965		{ "MacParityMaskSize", 4, 4 },
35966		{ "PortMap", 0, 4 },
35967	{ "MPS_CLS_SRAM_H", 0xe18c, 0 },
35968		{ "MacParity1", 9, 1 },
35969		{ "MacParity0", 8, 1 },
35970		{ "MacParityMaskSize", 4, 4 },
35971		{ "PortMap", 0, 4 },
35972	{ "MPS_CLS_SRAM_H", 0xe194, 0 },
35973		{ "MacParity1", 9, 1 },
35974		{ "MacParity0", 8, 1 },
35975		{ "MacParityMaskSize", 4, 4 },
35976		{ "PortMap", 0, 4 },
35977	{ "MPS_CLS_SRAM_H", 0xe19c, 0 },
35978		{ "MacParity1", 9, 1 },
35979		{ "MacParity0", 8, 1 },
35980		{ "MacParityMaskSize", 4, 4 },
35981		{ "PortMap", 0, 4 },
35982	{ "MPS_CLS_SRAM_H", 0xe1a4, 0 },
35983		{ "MacParity1", 9, 1 },
35984		{ "MacParity0", 8, 1 },
35985		{ "MacParityMaskSize", 4, 4 },
35986		{ "PortMap", 0, 4 },
35987	{ "MPS_CLS_SRAM_H", 0xe1ac, 0 },
35988		{ "MacParity1", 9, 1 },
35989		{ "MacParity0", 8, 1 },
35990		{ "MacParityMaskSize", 4, 4 },
35991		{ "PortMap", 0, 4 },
35992	{ "MPS_CLS_SRAM_H", 0xe1b4, 0 },
35993		{ "MacParity1", 9, 1 },
35994		{ "MacParity0", 8, 1 },
35995		{ "MacParityMaskSize", 4, 4 },
35996		{ "PortMap", 0, 4 },
35997	{ "MPS_CLS_SRAM_H", 0xe1bc, 0 },
35998		{ "MacParity1", 9, 1 },
35999		{ "MacParity0", 8, 1 },
36000		{ "MacParityMaskSize", 4, 4 },
36001		{ "PortMap", 0, 4 },
36002	{ "MPS_CLS_SRAM_H", 0xe1c4, 0 },
36003		{ "MacParity1", 9, 1 },
36004		{ "MacParity0", 8, 1 },
36005		{ "MacParityMaskSize", 4, 4 },
36006		{ "PortMap", 0, 4 },
36007	{ "MPS_CLS_SRAM_H", 0xe1cc, 0 },
36008		{ "MacParity1", 9, 1 },
36009		{ "MacParity0", 8, 1 },
36010		{ "MacParityMaskSize", 4, 4 },
36011		{ "PortMap", 0, 4 },
36012	{ "MPS_CLS_SRAM_H", 0xe1d4, 0 },
36013		{ "MacParity1", 9, 1 },
36014		{ "MacParity0", 8, 1 },
36015		{ "MacParityMaskSize", 4, 4 },
36016		{ "PortMap", 0, 4 },
36017	{ "MPS_CLS_SRAM_H", 0xe1dc, 0 },
36018		{ "MacParity1", 9, 1 },
36019		{ "MacParity0", 8, 1 },
36020		{ "MacParityMaskSize", 4, 4 },
36021		{ "PortMap", 0, 4 },
36022	{ "MPS_CLS_SRAM_H", 0xe1e4, 0 },
36023		{ "MacParity1", 9, 1 },
36024		{ "MacParity0", 8, 1 },
36025		{ "MacParityMaskSize", 4, 4 },
36026		{ "PortMap", 0, 4 },
36027	{ "MPS_CLS_SRAM_H", 0xe1ec, 0 },
36028		{ "MacParity1", 9, 1 },
36029		{ "MacParity0", 8, 1 },
36030		{ "MacParityMaskSize", 4, 4 },
36031		{ "PortMap", 0, 4 },
36032	{ "MPS_CLS_SRAM_H", 0xe1f4, 0 },
36033		{ "MacParity1", 9, 1 },
36034		{ "MacParity0", 8, 1 },
36035		{ "MacParityMaskSize", 4, 4 },
36036		{ "PortMap", 0, 4 },
36037	{ "MPS_CLS_SRAM_H", 0xe1fc, 0 },
36038		{ "MacParity1", 9, 1 },
36039		{ "MacParity0", 8, 1 },
36040		{ "MacParityMaskSize", 4, 4 },
36041		{ "PortMap", 0, 4 },
36042	{ "MPS_CLS_SRAM_H", 0xe204, 0 },
36043		{ "MacParity1", 9, 1 },
36044		{ "MacParity0", 8, 1 },
36045		{ "MacParityMaskSize", 4, 4 },
36046		{ "PortMap", 0, 4 },
36047	{ "MPS_CLS_SRAM_H", 0xe20c, 0 },
36048		{ "MacParity1", 9, 1 },
36049		{ "MacParity0", 8, 1 },
36050		{ "MacParityMaskSize", 4, 4 },
36051		{ "PortMap", 0, 4 },
36052	{ "MPS_CLS_SRAM_H", 0xe214, 0 },
36053		{ "MacParity1", 9, 1 },
36054		{ "MacParity0", 8, 1 },
36055		{ "MacParityMaskSize", 4, 4 },
36056		{ "PortMap", 0, 4 },
36057	{ "MPS_CLS_SRAM_H", 0xe21c, 0 },
36058		{ "MacParity1", 9, 1 },
36059		{ "MacParity0", 8, 1 },
36060		{ "MacParityMaskSize", 4, 4 },
36061		{ "PortMap", 0, 4 },
36062	{ "MPS_CLS_SRAM_H", 0xe224, 0 },
36063		{ "MacParity1", 9, 1 },
36064		{ "MacParity0", 8, 1 },
36065		{ "MacParityMaskSize", 4, 4 },
36066		{ "PortMap", 0, 4 },
36067	{ "MPS_CLS_SRAM_H", 0xe22c, 0 },
36068		{ "MacParity1", 9, 1 },
36069		{ "MacParity0", 8, 1 },
36070		{ "MacParityMaskSize", 4, 4 },
36071		{ "PortMap", 0, 4 },
36072	{ "MPS_CLS_SRAM_H", 0xe234, 0 },
36073		{ "MacParity1", 9, 1 },
36074		{ "MacParity0", 8, 1 },
36075		{ "MacParityMaskSize", 4, 4 },
36076		{ "PortMap", 0, 4 },
36077	{ "MPS_CLS_SRAM_H", 0xe23c, 0 },
36078		{ "MacParity1", 9, 1 },
36079		{ "MacParity0", 8, 1 },
36080		{ "MacParityMaskSize", 4, 4 },
36081		{ "PortMap", 0, 4 },
36082	{ "MPS_CLS_SRAM_H", 0xe244, 0 },
36083		{ "MacParity1", 9, 1 },
36084		{ "MacParity0", 8, 1 },
36085		{ "MacParityMaskSize", 4, 4 },
36086		{ "PortMap", 0, 4 },
36087	{ "MPS_CLS_SRAM_H", 0xe24c, 0 },
36088		{ "MacParity1", 9, 1 },
36089		{ "MacParity0", 8, 1 },
36090		{ "MacParityMaskSize", 4, 4 },
36091		{ "PortMap", 0, 4 },
36092	{ "MPS_CLS_SRAM_H", 0xe254, 0 },
36093		{ "MacParity1", 9, 1 },
36094		{ "MacParity0", 8, 1 },
36095		{ "MacParityMaskSize", 4, 4 },
36096		{ "PortMap", 0, 4 },
36097	{ "MPS_CLS_SRAM_H", 0xe25c, 0 },
36098		{ "MacParity1", 9, 1 },
36099		{ "MacParity0", 8, 1 },
36100		{ "MacParityMaskSize", 4, 4 },
36101		{ "PortMap", 0, 4 },
36102	{ "MPS_CLS_SRAM_H", 0xe264, 0 },
36103		{ "MacParity1", 9, 1 },
36104		{ "MacParity0", 8, 1 },
36105		{ "MacParityMaskSize", 4, 4 },
36106		{ "PortMap", 0, 4 },
36107	{ "MPS_CLS_SRAM_H", 0xe26c, 0 },
36108		{ "MacParity1", 9, 1 },
36109		{ "MacParity0", 8, 1 },
36110		{ "MacParityMaskSize", 4, 4 },
36111		{ "PortMap", 0, 4 },
36112	{ "MPS_CLS_SRAM_H", 0xe274, 0 },
36113		{ "MacParity1", 9, 1 },
36114		{ "MacParity0", 8, 1 },
36115		{ "MacParityMaskSize", 4, 4 },
36116		{ "PortMap", 0, 4 },
36117	{ "MPS_CLS_SRAM_H", 0xe27c, 0 },
36118		{ "MacParity1", 9, 1 },
36119		{ "MacParity0", 8, 1 },
36120		{ "MacParityMaskSize", 4, 4 },
36121		{ "PortMap", 0, 4 },
36122	{ "MPS_CLS_SRAM_H", 0xe284, 0 },
36123		{ "MacParity1", 9, 1 },
36124		{ "MacParity0", 8, 1 },
36125		{ "MacParityMaskSize", 4, 4 },
36126		{ "PortMap", 0, 4 },
36127	{ "MPS_CLS_SRAM_H", 0xe28c, 0 },
36128		{ "MacParity1", 9, 1 },
36129		{ "MacParity0", 8, 1 },
36130		{ "MacParityMaskSize", 4, 4 },
36131		{ "PortMap", 0, 4 },
36132	{ "MPS_CLS_SRAM_H", 0xe294, 0 },
36133		{ "MacParity1", 9, 1 },
36134		{ "MacParity0", 8, 1 },
36135		{ "MacParityMaskSize", 4, 4 },
36136		{ "PortMap", 0, 4 },
36137	{ "MPS_CLS_SRAM_H", 0xe29c, 0 },
36138		{ "MacParity1", 9, 1 },
36139		{ "MacParity0", 8, 1 },
36140		{ "MacParityMaskSize", 4, 4 },
36141		{ "PortMap", 0, 4 },
36142	{ "MPS_CLS_SRAM_H", 0xe2a4, 0 },
36143		{ "MacParity1", 9, 1 },
36144		{ "MacParity0", 8, 1 },
36145		{ "MacParityMaskSize", 4, 4 },
36146		{ "PortMap", 0, 4 },
36147	{ "MPS_CLS_SRAM_H", 0xe2ac, 0 },
36148		{ "MacParity1", 9, 1 },
36149		{ "MacParity0", 8, 1 },
36150		{ "MacParityMaskSize", 4, 4 },
36151		{ "PortMap", 0, 4 },
36152	{ "MPS_CLS_SRAM_H", 0xe2b4, 0 },
36153		{ "MacParity1", 9, 1 },
36154		{ "MacParity0", 8, 1 },
36155		{ "MacParityMaskSize", 4, 4 },
36156		{ "PortMap", 0, 4 },
36157	{ "MPS_CLS_SRAM_H", 0xe2bc, 0 },
36158		{ "MacParity1", 9, 1 },
36159		{ "MacParity0", 8, 1 },
36160		{ "MacParityMaskSize", 4, 4 },
36161		{ "PortMap", 0, 4 },
36162	{ "MPS_CLS_SRAM_H", 0xe2c4, 0 },
36163		{ "MacParity1", 9, 1 },
36164		{ "MacParity0", 8, 1 },
36165		{ "MacParityMaskSize", 4, 4 },
36166		{ "PortMap", 0, 4 },
36167	{ "MPS_CLS_SRAM_H", 0xe2cc, 0 },
36168		{ "MacParity1", 9, 1 },
36169		{ "MacParity0", 8, 1 },
36170		{ "MacParityMaskSize", 4, 4 },
36171		{ "PortMap", 0, 4 },
36172	{ "MPS_CLS_SRAM_H", 0xe2d4, 0 },
36173		{ "MacParity1", 9, 1 },
36174		{ "MacParity0", 8, 1 },
36175		{ "MacParityMaskSize", 4, 4 },
36176		{ "PortMap", 0, 4 },
36177	{ "MPS_CLS_SRAM_H", 0xe2dc, 0 },
36178		{ "MacParity1", 9, 1 },
36179		{ "MacParity0", 8, 1 },
36180		{ "MacParityMaskSize", 4, 4 },
36181		{ "PortMap", 0, 4 },
36182	{ "MPS_CLS_SRAM_H", 0xe2e4, 0 },
36183		{ "MacParity1", 9, 1 },
36184		{ "MacParity0", 8, 1 },
36185		{ "MacParityMaskSize", 4, 4 },
36186		{ "PortMap", 0, 4 },
36187	{ "MPS_CLS_SRAM_H", 0xe2ec, 0 },
36188		{ "MacParity1", 9, 1 },
36189		{ "MacParity0", 8, 1 },
36190		{ "MacParityMaskSize", 4, 4 },
36191		{ "PortMap", 0, 4 },
36192	{ "MPS_CLS_SRAM_H", 0xe2f4, 0 },
36193		{ "MacParity1", 9, 1 },
36194		{ "MacParity0", 8, 1 },
36195		{ "MacParityMaskSize", 4, 4 },
36196		{ "PortMap", 0, 4 },
36197	{ "MPS_CLS_SRAM_H", 0xe2fc, 0 },
36198		{ "MacParity1", 9, 1 },
36199		{ "MacParity0", 8, 1 },
36200		{ "MacParityMaskSize", 4, 4 },
36201		{ "PortMap", 0, 4 },
36202	{ "MPS_CLS_SRAM_H", 0xe304, 0 },
36203		{ "MacParity1", 9, 1 },
36204		{ "MacParity0", 8, 1 },
36205		{ "MacParityMaskSize", 4, 4 },
36206		{ "PortMap", 0, 4 },
36207	{ "MPS_CLS_SRAM_H", 0xe30c, 0 },
36208		{ "MacParity1", 9, 1 },
36209		{ "MacParity0", 8, 1 },
36210		{ "MacParityMaskSize", 4, 4 },
36211		{ "PortMap", 0, 4 },
36212	{ "MPS_CLS_SRAM_H", 0xe314, 0 },
36213		{ "MacParity1", 9, 1 },
36214		{ "MacParity0", 8, 1 },
36215		{ "MacParityMaskSize", 4, 4 },
36216		{ "PortMap", 0, 4 },
36217	{ "MPS_CLS_SRAM_H", 0xe31c, 0 },
36218		{ "MacParity1", 9, 1 },
36219		{ "MacParity0", 8, 1 },
36220		{ "MacParityMaskSize", 4, 4 },
36221		{ "PortMap", 0, 4 },
36222	{ "MPS_CLS_SRAM_H", 0xe324, 0 },
36223		{ "MacParity1", 9, 1 },
36224		{ "MacParity0", 8, 1 },
36225		{ "MacParityMaskSize", 4, 4 },
36226		{ "PortMap", 0, 4 },
36227	{ "MPS_CLS_SRAM_H", 0xe32c, 0 },
36228		{ "MacParity1", 9, 1 },
36229		{ "MacParity0", 8, 1 },
36230		{ "MacParityMaskSize", 4, 4 },
36231		{ "PortMap", 0, 4 },
36232	{ "MPS_CLS_SRAM_H", 0xe334, 0 },
36233		{ "MacParity1", 9, 1 },
36234		{ "MacParity0", 8, 1 },
36235		{ "MacParityMaskSize", 4, 4 },
36236		{ "PortMap", 0, 4 },
36237	{ "MPS_CLS_SRAM_H", 0xe33c, 0 },
36238		{ "MacParity1", 9, 1 },
36239		{ "MacParity0", 8, 1 },
36240		{ "MacParityMaskSize", 4, 4 },
36241		{ "PortMap", 0, 4 },
36242	{ "MPS_CLS_SRAM_H", 0xe344, 0 },
36243		{ "MacParity1", 9, 1 },
36244		{ "MacParity0", 8, 1 },
36245		{ "MacParityMaskSize", 4, 4 },
36246		{ "PortMap", 0, 4 },
36247	{ "MPS_CLS_SRAM_H", 0xe34c, 0 },
36248		{ "MacParity1", 9, 1 },
36249		{ "MacParity0", 8, 1 },
36250		{ "MacParityMaskSize", 4, 4 },
36251		{ "PortMap", 0, 4 },
36252	{ "MPS_CLS_SRAM_H", 0xe354, 0 },
36253		{ "MacParity1", 9, 1 },
36254		{ "MacParity0", 8, 1 },
36255		{ "MacParityMaskSize", 4, 4 },
36256		{ "PortMap", 0, 4 },
36257	{ "MPS_CLS_SRAM_H", 0xe35c, 0 },
36258		{ "MacParity1", 9, 1 },
36259		{ "MacParity0", 8, 1 },
36260		{ "MacParityMaskSize", 4, 4 },
36261		{ "PortMap", 0, 4 },
36262	{ "MPS_CLS_SRAM_H", 0xe364, 0 },
36263		{ "MacParity1", 9, 1 },
36264		{ "MacParity0", 8, 1 },
36265		{ "MacParityMaskSize", 4, 4 },
36266		{ "PortMap", 0, 4 },
36267	{ "MPS_CLS_SRAM_H", 0xe36c, 0 },
36268		{ "MacParity1", 9, 1 },
36269		{ "MacParity0", 8, 1 },
36270		{ "MacParityMaskSize", 4, 4 },
36271		{ "PortMap", 0, 4 },
36272	{ "MPS_CLS_SRAM_H", 0xe374, 0 },
36273		{ "MacParity1", 9, 1 },
36274		{ "MacParity0", 8, 1 },
36275		{ "MacParityMaskSize", 4, 4 },
36276		{ "PortMap", 0, 4 },
36277	{ "MPS_CLS_SRAM_H", 0xe37c, 0 },
36278		{ "MacParity1", 9, 1 },
36279		{ "MacParity0", 8, 1 },
36280		{ "MacParityMaskSize", 4, 4 },
36281		{ "PortMap", 0, 4 },
36282	{ "MPS_CLS_SRAM_H", 0xe384, 0 },
36283		{ "MacParity1", 9, 1 },
36284		{ "MacParity0", 8, 1 },
36285		{ "MacParityMaskSize", 4, 4 },
36286		{ "PortMap", 0, 4 },
36287	{ "MPS_CLS_SRAM_H", 0xe38c, 0 },
36288		{ "MacParity1", 9, 1 },
36289		{ "MacParity0", 8, 1 },
36290		{ "MacParityMaskSize", 4, 4 },
36291		{ "PortMap", 0, 4 },
36292	{ "MPS_CLS_SRAM_H", 0xe394, 0 },
36293		{ "MacParity1", 9, 1 },
36294		{ "MacParity0", 8, 1 },
36295		{ "MacParityMaskSize", 4, 4 },
36296		{ "PortMap", 0, 4 },
36297	{ "MPS_CLS_SRAM_H", 0xe39c, 0 },
36298		{ "MacParity1", 9, 1 },
36299		{ "MacParity0", 8, 1 },
36300		{ "MacParityMaskSize", 4, 4 },
36301		{ "PortMap", 0, 4 },
36302	{ "MPS_CLS_SRAM_H", 0xe3a4, 0 },
36303		{ "MacParity1", 9, 1 },
36304		{ "MacParity0", 8, 1 },
36305		{ "MacParityMaskSize", 4, 4 },
36306		{ "PortMap", 0, 4 },
36307	{ "MPS_CLS_SRAM_H", 0xe3ac, 0 },
36308		{ "MacParity1", 9, 1 },
36309		{ "MacParity0", 8, 1 },
36310		{ "MacParityMaskSize", 4, 4 },
36311		{ "PortMap", 0, 4 },
36312	{ "MPS_CLS_SRAM_H", 0xe3b4, 0 },
36313		{ "MacParity1", 9, 1 },
36314		{ "MacParity0", 8, 1 },
36315		{ "MacParityMaskSize", 4, 4 },
36316		{ "PortMap", 0, 4 },
36317	{ "MPS_CLS_SRAM_H", 0xe3bc, 0 },
36318		{ "MacParity1", 9, 1 },
36319		{ "MacParity0", 8, 1 },
36320		{ "MacParityMaskSize", 4, 4 },
36321		{ "PortMap", 0, 4 },
36322	{ "MPS_CLS_SRAM_H", 0xe3c4, 0 },
36323		{ "MacParity1", 9, 1 },
36324		{ "MacParity0", 8, 1 },
36325		{ "MacParityMaskSize", 4, 4 },
36326		{ "PortMap", 0, 4 },
36327	{ "MPS_CLS_SRAM_H", 0xe3cc, 0 },
36328		{ "MacParity1", 9, 1 },
36329		{ "MacParity0", 8, 1 },
36330		{ "MacParityMaskSize", 4, 4 },
36331		{ "PortMap", 0, 4 },
36332	{ "MPS_CLS_SRAM_H", 0xe3d4, 0 },
36333		{ "MacParity1", 9, 1 },
36334		{ "MacParity0", 8, 1 },
36335		{ "MacParityMaskSize", 4, 4 },
36336		{ "PortMap", 0, 4 },
36337	{ "MPS_CLS_SRAM_H", 0xe3dc, 0 },
36338		{ "MacParity1", 9, 1 },
36339		{ "MacParity0", 8, 1 },
36340		{ "MacParityMaskSize", 4, 4 },
36341		{ "PortMap", 0, 4 },
36342	{ "MPS_CLS_SRAM_H", 0xe3e4, 0 },
36343		{ "MacParity1", 9, 1 },
36344		{ "MacParity0", 8, 1 },
36345		{ "MacParityMaskSize", 4, 4 },
36346		{ "PortMap", 0, 4 },
36347	{ "MPS_CLS_SRAM_H", 0xe3ec, 0 },
36348		{ "MacParity1", 9, 1 },
36349		{ "MacParity0", 8, 1 },
36350		{ "MacParityMaskSize", 4, 4 },
36351		{ "PortMap", 0, 4 },
36352	{ "MPS_CLS_SRAM_H", 0xe3f4, 0 },
36353		{ "MacParity1", 9, 1 },
36354		{ "MacParity0", 8, 1 },
36355		{ "MacParityMaskSize", 4, 4 },
36356		{ "PortMap", 0, 4 },
36357	{ "MPS_CLS_SRAM_H", 0xe3fc, 0 },
36358		{ "MacParity1", 9, 1 },
36359		{ "MacParity0", 8, 1 },
36360		{ "MacParityMaskSize", 4, 4 },
36361		{ "PortMap", 0, 4 },
36362	{ "MPS_CLS_SRAM_H", 0xe404, 0 },
36363		{ "MacParity1", 9, 1 },
36364		{ "MacParity0", 8, 1 },
36365		{ "MacParityMaskSize", 4, 4 },
36366		{ "PortMap", 0, 4 },
36367	{ "MPS_CLS_SRAM_H", 0xe40c, 0 },
36368		{ "MacParity1", 9, 1 },
36369		{ "MacParity0", 8, 1 },
36370		{ "MacParityMaskSize", 4, 4 },
36371		{ "PortMap", 0, 4 },
36372	{ "MPS_CLS_SRAM_H", 0xe414, 0 },
36373		{ "MacParity1", 9, 1 },
36374		{ "MacParity0", 8, 1 },
36375		{ "MacParityMaskSize", 4, 4 },
36376		{ "PortMap", 0, 4 },
36377	{ "MPS_CLS_SRAM_H", 0xe41c, 0 },
36378		{ "MacParity1", 9, 1 },
36379		{ "MacParity0", 8, 1 },
36380		{ "MacParityMaskSize", 4, 4 },
36381		{ "PortMap", 0, 4 },
36382	{ "MPS_CLS_SRAM_H", 0xe424, 0 },
36383		{ "MacParity1", 9, 1 },
36384		{ "MacParity0", 8, 1 },
36385		{ "MacParityMaskSize", 4, 4 },
36386		{ "PortMap", 0, 4 },
36387	{ "MPS_CLS_SRAM_H", 0xe42c, 0 },
36388		{ "MacParity1", 9, 1 },
36389		{ "MacParity0", 8, 1 },
36390		{ "MacParityMaskSize", 4, 4 },
36391		{ "PortMap", 0, 4 },
36392	{ "MPS_CLS_SRAM_H", 0xe434, 0 },
36393		{ "MacParity1", 9, 1 },
36394		{ "MacParity0", 8, 1 },
36395		{ "MacParityMaskSize", 4, 4 },
36396		{ "PortMap", 0, 4 },
36397	{ "MPS_CLS_SRAM_H", 0xe43c, 0 },
36398		{ "MacParity1", 9, 1 },
36399		{ "MacParity0", 8, 1 },
36400		{ "MacParityMaskSize", 4, 4 },
36401		{ "PortMap", 0, 4 },
36402	{ "MPS_CLS_SRAM_H", 0xe444, 0 },
36403		{ "MacParity1", 9, 1 },
36404		{ "MacParity0", 8, 1 },
36405		{ "MacParityMaskSize", 4, 4 },
36406		{ "PortMap", 0, 4 },
36407	{ "MPS_CLS_SRAM_H", 0xe44c, 0 },
36408		{ "MacParity1", 9, 1 },
36409		{ "MacParity0", 8, 1 },
36410		{ "MacParityMaskSize", 4, 4 },
36411		{ "PortMap", 0, 4 },
36412	{ "MPS_CLS_SRAM_H", 0xe454, 0 },
36413		{ "MacParity1", 9, 1 },
36414		{ "MacParity0", 8, 1 },
36415		{ "MacParityMaskSize", 4, 4 },
36416		{ "PortMap", 0, 4 },
36417	{ "MPS_CLS_SRAM_H", 0xe45c, 0 },
36418		{ "MacParity1", 9, 1 },
36419		{ "MacParity0", 8, 1 },
36420		{ "MacParityMaskSize", 4, 4 },
36421		{ "PortMap", 0, 4 },
36422	{ "MPS_CLS_SRAM_H", 0xe464, 0 },
36423		{ "MacParity1", 9, 1 },
36424		{ "MacParity0", 8, 1 },
36425		{ "MacParityMaskSize", 4, 4 },
36426		{ "PortMap", 0, 4 },
36427	{ "MPS_CLS_SRAM_H", 0xe46c, 0 },
36428		{ "MacParity1", 9, 1 },
36429		{ "MacParity0", 8, 1 },
36430		{ "MacParityMaskSize", 4, 4 },
36431		{ "PortMap", 0, 4 },
36432	{ "MPS_CLS_SRAM_H", 0xe474, 0 },
36433		{ "MacParity1", 9, 1 },
36434		{ "MacParity0", 8, 1 },
36435		{ "MacParityMaskSize", 4, 4 },
36436		{ "PortMap", 0, 4 },
36437	{ "MPS_CLS_SRAM_H", 0xe47c, 0 },
36438		{ "MacParity1", 9, 1 },
36439		{ "MacParity0", 8, 1 },
36440		{ "MacParityMaskSize", 4, 4 },
36441		{ "PortMap", 0, 4 },
36442	{ "MPS_CLS_SRAM_H", 0xe484, 0 },
36443		{ "MacParity1", 9, 1 },
36444		{ "MacParity0", 8, 1 },
36445		{ "MacParityMaskSize", 4, 4 },
36446		{ "PortMap", 0, 4 },
36447	{ "MPS_CLS_SRAM_H", 0xe48c, 0 },
36448		{ "MacParity1", 9, 1 },
36449		{ "MacParity0", 8, 1 },
36450		{ "MacParityMaskSize", 4, 4 },
36451		{ "PortMap", 0, 4 },
36452	{ "MPS_CLS_SRAM_H", 0xe494, 0 },
36453		{ "MacParity1", 9, 1 },
36454		{ "MacParity0", 8, 1 },
36455		{ "MacParityMaskSize", 4, 4 },
36456		{ "PortMap", 0, 4 },
36457	{ "MPS_CLS_SRAM_H", 0xe49c, 0 },
36458		{ "MacParity1", 9, 1 },
36459		{ "MacParity0", 8, 1 },
36460		{ "MacParityMaskSize", 4, 4 },
36461		{ "PortMap", 0, 4 },
36462	{ "MPS_CLS_SRAM_H", 0xe4a4, 0 },
36463		{ "MacParity1", 9, 1 },
36464		{ "MacParity0", 8, 1 },
36465		{ "MacParityMaskSize", 4, 4 },
36466		{ "PortMap", 0, 4 },
36467	{ "MPS_CLS_SRAM_H", 0xe4ac, 0 },
36468		{ "MacParity1", 9, 1 },
36469		{ "MacParity0", 8, 1 },
36470		{ "MacParityMaskSize", 4, 4 },
36471		{ "PortMap", 0, 4 },
36472	{ "MPS_CLS_SRAM_H", 0xe4b4, 0 },
36473		{ "MacParity1", 9, 1 },
36474		{ "MacParity0", 8, 1 },
36475		{ "MacParityMaskSize", 4, 4 },
36476		{ "PortMap", 0, 4 },
36477	{ "MPS_CLS_SRAM_H", 0xe4bc, 0 },
36478		{ "MacParity1", 9, 1 },
36479		{ "MacParity0", 8, 1 },
36480		{ "MacParityMaskSize", 4, 4 },
36481		{ "PortMap", 0, 4 },
36482	{ "MPS_CLS_SRAM_H", 0xe4c4, 0 },
36483		{ "MacParity1", 9, 1 },
36484		{ "MacParity0", 8, 1 },
36485		{ "MacParityMaskSize", 4, 4 },
36486		{ "PortMap", 0, 4 },
36487	{ "MPS_CLS_SRAM_H", 0xe4cc, 0 },
36488		{ "MacParity1", 9, 1 },
36489		{ "MacParity0", 8, 1 },
36490		{ "MacParityMaskSize", 4, 4 },
36491		{ "PortMap", 0, 4 },
36492	{ "MPS_CLS_SRAM_H", 0xe4d4, 0 },
36493		{ "MacParity1", 9, 1 },
36494		{ "MacParity0", 8, 1 },
36495		{ "MacParityMaskSize", 4, 4 },
36496		{ "PortMap", 0, 4 },
36497	{ "MPS_CLS_SRAM_H", 0xe4dc, 0 },
36498		{ "MacParity1", 9, 1 },
36499		{ "MacParity0", 8, 1 },
36500		{ "MacParityMaskSize", 4, 4 },
36501		{ "PortMap", 0, 4 },
36502	{ "MPS_CLS_SRAM_H", 0xe4e4, 0 },
36503		{ "MacParity1", 9, 1 },
36504		{ "MacParity0", 8, 1 },
36505		{ "MacParityMaskSize", 4, 4 },
36506		{ "PortMap", 0, 4 },
36507	{ "MPS_CLS_SRAM_H", 0xe4ec, 0 },
36508		{ "MacParity1", 9, 1 },
36509		{ "MacParity0", 8, 1 },
36510		{ "MacParityMaskSize", 4, 4 },
36511		{ "PortMap", 0, 4 },
36512	{ "MPS_CLS_SRAM_H", 0xe4f4, 0 },
36513		{ "MacParity1", 9, 1 },
36514		{ "MacParity0", 8, 1 },
36515		{ "MacParityMaskSize", 4, 4 },
36516		{ "PortMap", 0, 4 },
36517	{ "MPS_CLS_SRAM_H", 0xe4fc, 0 },
36518		{ "MacParity1", 9, 1 },
36519		{ "MacParity0", 8, 1 },
36520		{ "MacParityMaskSize", 4, 4 },
36521		{ "PortMap", 0, 4 },
36522	{ "MPS_CLS_SRAM_H", 0xe504, 0 },
36523		{ "MacParity1", 9, 1 },
36524		{ "MacParity0", 8, 1 },
36525		{ "MacParityMaskSize", 4, 4 },
36526		{ "PortMap", 0, 4 },
36527	{ "MPS_CLS_SRAM_H", 0xe50c, 0 },
36528		{ "MacParity1", 9, 1 },
36529		{ "MacParity0", 8, 1 },
36530		{ "MacParityMaskSize", 4, 4 },
36531		{ "PortMap", 0, 4 },
36532	{ "MPS_CLS_SRAM_H", 0xe514, 0 },
36533		{ "MacParity1", 9, 1 },
36534		{ "MacParity0", 8, 1 },
36535		{ "MacParityMaskSize", 4, 4 },
36536		{ "PortMap", 0, 4 },
36537	{ "MPS_CLS_SRAM_H", 0xe51c, 0 },
36538		{ "MacParity1", 9, 1 },
36539		{ "MacParity0", 8, 1 },
36540		{ "MacParityMaskSize", 4, 4 },
36541		{ "PortMap", 0, 4 },
36542	{ "MPS_CLS_SRAM_H", 0xe524, 0 },
36543		{ "MacParity1", 9, 1 },
36544		{ "MacParity0", 8, 1 },
36545		{ "MacParityMaskSize", 4, 4 },
36546		{ "PortMap", 0, 4 },
36547	{ "MPS_CLS_SRAM_H", 0xe52c, 0 },
36548		{ "MacParity1", 9, 1 },
36549		{ "MacParity0", 8, 1 },
36550		{ "MacParityMaskSize", 4, 4 },
36551		{ "PortMap", 0, 4 },
36552	{ "MPS_CLS_SRAM_H", 0xe534, 0 },
36553		{ "MacParity1", 9, 1 },
36554		{ "MacParity0", 8, 1 },
36555		{ "MacParityMaskSize", 4, 4 },
36556		{ "PortMap", 0, 4 },
36557	{ "MPS_CLS_SRAM_H", 0xe53c, 0 },
36558		{ "MacParity1", 9, 1 },
36559		{ "MacParity0", 8, 1 },
36560		{ "MacParityMaskSize", 4, 4 },
36561		{ "PortMap", 0, 4 },
36562	{ "MPS_CLS_SRAM_H", 0xe544, 0 },
36563		{ "MacParity1", 9, 1 },
36564		{ "MacParity0", 8, 1 },
36565		{ "MacParityMaskSize", 4, 4 },
36566		{ "PortMap", 0, 4 },
36567	{ "MPS_CLS_SRAM_H", 0xe54c, 0 },
36568		{ "MacParity1", 9, 1 },
36569		{ "MacParity0", 8, 1 },
36570		{ "MacParityMaskSize", 4, 4 },
36571		{ "PortMap", 0, 4 },
36572	{ "MPS_CLS_SRAM_H", 0xe554, 0 },
36573		{ "MacParity1", 9, 1 },
36574		{ "MacParity0", 8, 1 },
36575		{ "MacParityMaskSize", 4, 4 },
36576		{ "PortMap", 0, 4 },
36577	{ "MPS_CLS_SRAM_H", 0xe55c, 0 },
36578		{ "MacParity1", 9, 1 },
36579		{ "MacParity0", 8, 1 },
36580		{ "MacParityMaskSize", 4, 4 },
36581		{ "PortMap", 0, 4 },
36582	{ "MPS_CLS_SRAM_H", 0xe564, 0 },
36583		{ "MacParity1", 9, 1 },
36584		{ "MacParity0", 8, 1 },
36585		{ "MacParityMaskSize", 4, 4 },
36586		{ "PortMap", 0, 4 },
36587	{ "MPS_CLS_SRAM_H", 0xe56c, 0 },
36588		{ "MacParity1", 9, 1 },
36589		{ "MacParity0", 8, 1 },
36590		{ "MacParityMaskSize", 4, 4 },
36591		{ "PortMap", 0, 4 },
36592	{ "MPS_CLS_SRAM_H", 0xe574, 0 },
36593		{ "MacParity1", 9, 1 },
36594		{ "MacParity0", 8, 1 },
36595		{ "MacParityMaskSize", 4, 4 },
36596		{ "PortMap", 0, 4 },
36597	{ "MPS_CLS_SRAM_H", 0xe57c, 0 },
36598		{ "MacParity1", 9, 1 },
36599		{ "MacParity0", 8, 1 },
36600		{ "MacParityMaskSize", 4, 4 },
36601		{ "PortMap", 0, 4 },
36602	{ "MPS_CLS_SRAM_H", 0xe584, 0 },
36603		{ "MacParity1", 9, 1 },
36604		{ "MacParity0", 8, 1 },
36605		{ "MacParityMaskSize", 4, 4 },
36606		{ "PortMap", 0, 4 },
36607	{ "MPS_CLS_SRAM_H", 0xe58c, 0 },
36608		{ "MacParity1", 9, 1 },
36609		{ "MacParity0", 8, 1 },
36610		{ "MacParityMaskSize", 4, 4 },
36611		{ "PortMap", 0, 4 },
36612	{ "MPS_CLS_SRAM_H", 0xe594, 0 },
36613		{ "MacParity1", 9, 1 },
36614		{ "MacParity0", 8, 1 },
36615		{ "MacParityMaskSize", 4, 4 },
36616		{ "PortMap", 0, 4 },
36617	{ "MPS_CLS_SRAM_H", 0xe59c, 0 },
36618		{ "MacParity1", 9, 1 },
36619		{ "MacParity0", 8, 1 },
36620		{ "MacParityMaskSize", 4, 4 },
36621		{ "PortMap", 0, 4 },
36622	{ "MPS_CLS_SRAM_H", 0xe5a4, 0 },
36623		{ "MacParity1", 9, 1 },
36624		{ "MacParity0", 8, 1 },
36625		{ "MacParityMaskSize", 4, 4 },
36626		{ "PortMap", 0, 4 },
36627	{ "MPS_CLS_SRAM_H", 0xe5ac, 0 },
36628		{ "MacParity1", 9, 1 },
36629		{ "MacParity0", 8, 1 },
36630		{ "MacParityMaskSize", 4, 4 },
36631		{ "PortMap", 0, 4 },
36632	{ "MPS_CLS_SRAM_H", 0xe5b4, 0 },
36633		{ "MacParity1", 9, 1 },
36634		{ "MacParity0", 8, 1 },
36635		{ "MacParityMaskSize", 4, 4 },
36636		{ "PortMap", 0, 4 },
36637	{ "MPS_CLS_SRAM_H", 0xe5bc, 0 },
36638		{ "MacParity1", 9, 1 },
36639		{ "MacParity0", 8, 1 },
36640		{ "MacParityMaskSize", 4, 4 },
36641		{ "PortMap", 0, 4 },
36642	{ "MPS_CLS_SRAM_H", 0xe5c4, 0 },
36643		{ "MacParity1", 9, 1 },
36644		{ "MacParity0", 8, 1 },
36645		{ "MacParityMaskSize", 4, 4 },
36646		{ "PortMap", 0, 4 },
36647	{ "MPS_CLS_SRAM_H", 0xe5cc, 0 },
36648		{ "MacParity1", 9, 1 },
36649		{ "MacParity0", 8, 1 },
36650		{ "MacParityMaskSize", 4, 4 },
36651		{ "PortMap", 0, 4 },
36652	{ "MPS_CLS_SRAM_H", 0xe5d4, 0 },
36653		{ "MacParity1", 9, 1 },
36654		{ "MacParity0", 8, 1 },
36655		{ "MacParityMaskSize", 4, 4 },
36656		{ "PortMap", 0, 4 },
36657	{ "MPS_CLS_SRAM_H", 0xe5dc, 0 },
36658		{ "MacParity1", 9, 1 },
36659		{ "MacParity0", 8, 1 },
36660		{ "MacParityMaskSize", 4, 4 },
36661		{ "PortMap", 0, 4 },
36662	{ "MPS_CLS_SRAM_H", 0xe5e4, 0 },
36663		{ "MacParity1", 9, 1 },
36664		{ "MacParity0", 8, 1 },
36665		{ "MacParityMaskSize", 4, 4 },
36666		{ "PortMap", 0, 4 },
36667	{ "MPS_CLS_SRAM_H", 0xe5ec, 0 },
36668		{ "MacParity1", 9, 1 },
36669		{ "MacParity0", 8, 1 },
36670		{ "MacParityMaskSize", 4, 4 },
36671		{ "PortMap", 0, 4 },
36672	{ "MPS_CLS_SRAM_H", 0xe5f4, 0 },
36673		{ "MacParity1", 9, 1 },
36674		{ "MacParity0", 8, 1 },
36675		{ "MacParityMaskSize", 4, 4 },
36676		{ "PortMap", 0, 4 },
36677	{ "MPS_CLS_SRAM_H", 0xe5fc, 0 },
36678		{ "MacParity1", 9, 1 },
36679		{ "MacParity0", 8, 1 },
36680		{ "MacParityMaskSize", 4, 4 },
36681		{ "PortMap", 0, 4 },
36682	{ "MPS_CLS_SRAM_H", 0xe604, 0 },
36683		{ "MacParity1", 9, 1 },
36684		{ "MacParity0", 8, 1 },
36685		{ "MacParityMaskSize", 4, 4 },
36686		{ "PortMap", 0, 4 },
36687	{ "MPS_CLS_SRAM_H", 0xe60c, 0 },
36688		{ "MacParity1", 9, 1 },
36689		{ "MacParity0", 8, 1 },
36690		{ "MacParityMaskSize", 4, 4 },
36691		{ "PortMap", 0, 4 },
36692	{ "MPS_CLS_SRAM_H", 0xe614, 0 },
36693		{ "MacParity1", 9, 1 },
36694		{ "MacParity0", 8, 1 },
36695		{ "MacParityMaskSize", 4, 4 },
36696		{ "PortMap", 0, 4 },
36697	{ "MPS_CLS_SRAM_H", 0xe61c, 0 },
36698		{ "MacParity1", 9, 1 },
36699		{ "MacParity0", 8, 1 },
36700		{ "MacParityMaskSize", 4, 4 },
36701		{ "PortMap", 0, 4 },
36702	{ "MPS_CLS_SRAM_H", 0xe624, 0 },
36703		{ "MacParity1", 9, 1 },
36704		{ "MacParity0", 8, 1 },
36705		{ "MacParityMaskSize", 4, 4 },
36706		{ "PortMap", 0, 4 },
36707	{ "MPS_CLS_SRAM_H", 0xe62c, 0 },
36708		{ "MacParity1", 9, 1 },
36709		{ "MacParity0", 8, 1 },
36710		{ "MacParityMaskSize", 4, 4 },
36711		{ "PortMap", 0, 4 },
36712	{ "MPS_CLS_SRAM_H", 0xe634, 0 },
36713		{ "MacParity1", 9, 1 },
36714		{ "MacParity0", 8, 1 },
36715		{ "MacParityMaskSize", 4, 4 },
36716		{ "PortMap", 0, 4 },
36717	{ "MPS_CLS_SRAM_H", 0xe63c, 0 },
36718		{ "MacParity1", 9, 1 },
36719		{ "MacParity0", 8, 1 },
36720		{ "MacParityMaskSize", 4, 4 },
36721		{ "PortMap", 0, 4 },
36722	{ "MPS_CLS_SRAM_H", 0xe644, 0 },
36723		{ "MacParity1", 9, 1 },
36724		{ "MacParity0", 8, 1 },
36725		{ "MacParityMaskSize", 4, 4 },
36726		{ "PortMap", 0, 4 },
36727	{ "MPS_CLS_SRAM_H", 0xe64c, 0 },
36728		{ "MacParity1", 9, 1 },
36729		{ "MacParity0", 8, 1 },
36730		{ "MacParityMaskSize", 4, 4 },
36731		{ "PortMap", 0, 4 },
36732	{ "MPS_CLS_SRAM_H", 0xe654, 0 },
36733		{ "MacParity1", 9, 1 },
36734		{ "MacParity0", 8, 1 },
36735		{ "MacParityMaskSize", 4, 4 },
36736		{ "PortMap", 0, 4 },
36737	{ "MPS_CLS_SRAM_H", 0xe65c, 0 },
36738		{ "MacParity1", 9, 1 },
36739		{ "MacParity0", 8, 1 },
36740		{ "MacParityMaskSize", 4, 4 },
36741		{ "PortMap", 0, 4 },
36742	{ "MPS_CLS_SRAM_H", 0xe664, 0 },
36743		{ "MacParity1", 9, 1 },
36744		{ "MacParity0", 8, 1 },
36745		{ "MacParityMaskSize", 4, 4 },
36746		{ "PortMap", 0, 4 },
36747	{ "MPS_CLS_SRAM_H", 0xe66c, 0 },
36748		{ "MacParity1", 9, 1 },
36749		{ "MacParity0", 8, 1 },
36750		{ "MacParityMaskSize", 4, 4 },
36751		{ "PortMap", 0, 4 },
36752	{ "MPS_CLS_SRAM_H", 0xe674, 0 },
36753		{ "MacParity1", 9, 1 },
36754		{ "MacParity0", 8, 1 },
36755		{ "MacParityMaskSize", 4, 4 },
36756		{ "PortMap", 0, 4 },
36757	{ "MPS_CLS_SRAM_H", 0xe67c, 0 },
36758		{ "MacParity1", 9, 1 },
36759		{ "MacParity0", 8, 1 },
36760		{ "MacParityMaskSize", 4, 4 },
36761		{ "PortMap", 0, 4 },
36762	{ "MPS_CLS_SRAM_H", 0xe684, 0 },
36763		{ "MacParity1", 9, 1 },
36764		{ "MacParity0", 8, 1 },
36765		{ "MacParityMaskSize", 4, 4 },
36766		{ "PortMap", 0, 4 },
36767	{ "MPS_CLS_SRAM_H", 0xe68c, 0 },
36768		{ "MacParity1", 9, 1 },
36769		{ "MacParity0", 8, 1 },
36770		{ "MacParityMaskSize", 4, 4 },
36771		{ "PortMap", 0, 4 },
36772	{ "MPS_CLS_SRAM_H", 0xe694, 0 },
36773		{ "MacParity1", 9, 1 },
36774		{ "MacParity0", 8, 1 },
36775		{ "MacParityMaskSize", 4, 4 },
36776		{ "PortMap", 0, 4 },
36777	{ "MPS_CLS_SRAM_H", 0xe69c, 0 },
36778		{ "MacParity1", 9, 1 },
36779		{ "MacParity0", 8, 1 },
36780		{ "MacParityMaskSize", 4, 4 },
36781		{ "PortMap", 0, 4 },
36782	{ "MPS_CLS_SRAM_H", 0xe6a4, 0 },
36783		{ "MacParity1", 9, 1 },
36784		{ "MacParity0", 8, 1 },
36785		{ "MacParityMaskSize", 4, 4 },
36786		{ "PortMap", 0, 4 },
36787	{ "MPS_CLS_SRAM_H", 0xe6ac, 0 },
36788		{ "MacParity1", 9, 1 },
36789		{ "MacParity0", 8, 1 },
36790		{ "MacParityMaskSize", 4, 4 },
36791		{ "PortMap", 0, 4 },
36792	{ "MPS_CLS_SRAM_H", 0xe6b4, 0 },
36793		{ "MacParity1", 9, 1 },
36794		{ "MacParity0", 8, 1 },
36795		{ "MacParityMaskSize", 4, 4 },
36796		{ "PortMap", 0, 4 },
36797	{ "MPS_CLS_SRAM_H", 0xe6bc, 0 },
36798		{ "MacParity1", 9, 1 },
36799		{ "MacParity0", 8, 1 },
36800		{ "MacParityMaskSize", 4, 4 },
36801		{ "PortMap", 0, 4 },
36802	{ "MPS_CLS_SRAM_H", 0xe6c4, 0 },
36803		{ "MacParity1", 9, 1 },
36804		{ "MacParity0", 8, 1 },
36805		{ "MacParityMaskSize", 4, 4 },
36806		{ "PortMap", 0, 4 },
36807	{ "MPS_CLS_SRAM_H", 0xe6cc, 0 },
36808		{ "MacParity1", 9, 1 },
36809		{ "MacParity0", 8, 1 },
36810		{ "MacParityMaskSize", 4, 4 },
36811		{ "PortMap", 0, 4 },
36812	{ "MPS_CLS_SRAM_H", 0xe6d4, 0 },
36813		{ "MacParity1", 9, 1 },
36814		{ "MacParity0", 8, 1 },
36815		{ "MacParityMaskSize", 4, 4 },
36816		{ "PortMap", 0, 4 },
36817	{ "MPS_CLS_SRAM_H", 0xe6dc, 0 },
36818		{ "MacParity1", 9, 1 },
36819		{ "MacParity0", 8, 1 },
36820		{ "MacParityMaskSize", 4, 4 },
36821		{ "PortMap", 0, 4 },
36822	{ "MPS_CLS_SRAM_H", 0xe6e4, 0 },
36823		{ "MacParity1", 9, 1 },
36824		{ "MacParity0", 8, 1 },
36825		{ "MacParityMaskSize", 4, 4 },
36826		{ "PortMap", 0, 4 },
36827	{ "MPS_CLS_SRAM_H", 0xe6ec, 0 },
36828		{ "MacParity1", 9, 1 },
36829		{ "MacParity0", 8, 1 },
36830		{ "MacParityMaskSize", 4, 4 },
36831		{ "PortMap", 0, 4 },
36832	{ "MPS_CLS_SRAM_H", 0xe6f4, 0 },
36833		{ "MacParity1", 9, 1 },
36834		{ "MacParity0", 8, 1 },
36835		{ "MacParityMaskSize", 4, 4 },
36836		{ "PortMap", 0, 4 },
36837	{ "MPS_CLS_SRAM_H", 0xe6fc, 0 },
36838		{ "MacParity1", 9, 1 },
36839		{ "MacParity0", 8, 1 },
36840		{ "MacParityMaskSize", 4, 4 },
36841		{ "PortMap", 0, 4 },
36842	{ "MPS_CLS_SRAM_H", 0xe704, 0 },
36843		{ "MacParity1", 9, 1 },
36844		{ "MacParity0", 8, 1 },
36845		{ "MacParityMaskSize", 4, 4 },
36846		{ "PortMap", 0, 4 },
36847	{ "MPS_CLS_SRAM_H", 0xe70c, 0 },
36848		{ "MacParity1", 9, 1 },
36849		{ "MacParity0", 8, 1 },
36850		{ "MacParityMaskSize", 4, 4 },
36851		{ "PortMap", 0, 4 },
36852	{ "MPS_CLS_SRAM_H", 0xe714, 0 },
36853		{ "MacParity1", 9, 1 },
36854		{ "MacParity0", 8, 1 },
36855		{ "MacParityMaskSize", 4, 4 },
36856		{ "PortMap", 0, 4 },
36857	{ "MPS_CLS_SRAM_H", 0xe71c, 0 },
36858		{ "MacParity1", 9, 1 },
36859		{ "MacParity0", 8, 1 },
36860		{ "MacParityMaskSize", 4, 4 },
36861		{ "PortMap", 0, 4 },
36862	{ "MPS_CLS_SRAM_H", 0xe724, 0 },
36863		{ "MacParity1", 9, 1 },
36864		{ "MacParity0", 8, 1 },
36865		{ "MacParityMaskSize", 4, 4 },
36866		{ "PortMap", 0, 4 },
36867	{ "MPS_CLS_SRAM_H", 0xe72c, 0 },
36868		{ "MacParity1", 9, 1 },
36869		{ "MacParity0", 8, 1 },
36870		{ "MacParityMaskSize", 4, 4 },
36871		{ "PortMap", 0, 4 },
36872	{ "MPS_CLS_SRAM_H", 0xe734, 0 },
36873		{ "MacParity1", 9, 1 },
36874		{ "MacParity0", 8, 1 },
36875		{ "MacParityMaskSize", 4, 4 },
36876		{ "PortMap", 0, 4 },
36877	{ "MPS_CLS_SRAM_H", 0xe73c, 0 },
36878		{ "MacParity1", 9, 1 },
36879		{ "MacParity0", 8, 1 },
36880		{ "MacParityMaskSize", 4, 4 },
36881		{ "PortMap", 0, 4 },
36882	{ "MPS_CLS_SRAM_H", 0xe744, 0 },
36883		{ "MacParity1", 9, 1 },
36884		{ "MacParity0", 8, 1 },
36885		{ "MacParityMaskSize", 4, 4 },
36886		{ "PortMap", 0, 4 },
36887	{ "MPS_CLS_SRAM_H", 0xe74c, 0 },
36888		{ "MacParity1", 9, 1 },
36889		{ "MacParity0", 8, 1 },
36890		{ "MacParityMaskSize", 4, 4 },
36891		{ "PortMap", 0, 4 },
36892	{ "MPS_CLS_SRAM_H", 0xe754, 0 },
36893		{ "MacParity1", 9, 1 },
36894		{ "MacParity0", 8, 1 },
36895		{ "MacParityMaskSize", 4, 4 },
36896		{ "PortMap", 0, 4 },
36897	{ "MPS_CLS_SRAM_H", 0xe75c, 0 },
36898		{ "MacParity1", 9, 1 },
36899		{ "MacParity0", 8, 1 },
36900		{ "MacParityMaskSize", 4, 4 },
36901		{ "PortMap", 0, 4 },
36902	{ "MPS_CLS_SRAM_H", 0xe764, 0 },
36903		{ "MacParity1", 9, 1 },
36904		{ "MacParity0", 8, 1 },
36905		{ "MacParityMaskSize", 4, 4 },
36906		{ "PortMap", 0, 4 },
36907	{ "MPS_CLS_SRAM_H", 0xe76c, 0 },
36908		{ "MacParity1", 9, 1 },
36909		{ "MacParity0", 8, 1 },
36910		{ "MacParityMaskSize", 4, 4 },
36911		{ "PortMap", 0, 4 },
36912	{ "MPS_CLS_SRAM_H", 0xe774, 0 },
36913		{ "MacParity1", 9, 1 },
36914		{ "MacParity0", 8, 1 },
36915		{ "MacParityMaskSize", 4, 4 },
36916		{ "PortMap", 0, 4 },
36917	{ "MPS_CLS_SRAM_H", 0xe77c, 0 },
36918		{ "MacParity1", 9, 1 },
36919		{ "MacParity0", 8, 1 },
36920		{ "MacParityMaskSize", 4, 4 },
36921		{ "PortMap", 0, 4 },
36922	{ "MPS_CLS_SRAM_H", 0xe784, 0 },
36923		{ "MacParity1", 9, 1 },
36924		{ "MacParity0", 8, 1 },
36925		{ "MacParityMaskSize", 4, 4 },
36926		{ "PortMap", 0, 4 },
36927	{ "MPS_CLS_SRAM_H", 0xe78c, 0 },
36928		{ "MacParity1", 9, 1 },
36929		{ "MacParity0", 8, 1 },
36930		{ "MacParityMaskSize", 4, 4 },
36931		{ "PortMap", 0, 4 },
36932	{ "MPS_CLS_SRAM_H", 0xe794, 0 },
36933		{ "MacParity1", 9, 1 },
36934		{ "MacParity0", 8, 1 },
36935		{ "MacParityMaskSize", 4, 4 },
36936		{ "PortMap", 0, 4 },
36937	{ "MPS_CLS_SRAM_H", 0xe79c, 0 },
36938		{ "MacParity1", 9, 1 },
36939		{ "MacParity0", 8, 1 },
36940		{ "MacParityMaskSize", 4, 4 },
36941		{ "PortMap", 0, 4 },
36942	{ "MPS_CLS_SRAM_H", 0xe7a4, 0 },
36943		{ "MacParity1", 9, 1 },
36944		{ "MacParity0", 8, 1 },
36945		{ "MacParityMaskSize", 4, 4 },
36946		{ "PortMap", 0, 4 },
36947	{ "MPS_CLS_SRAM_H", 0xe7ac, 0 },
36948		{ "MacParity1", 9, 1 },
36949		{ "MacParity0", 8, 1 },
36950		{ "MacParityMaskSize", 4, 4 },
36951		{ "PortMap", 0, 4 },
36952	{ "MPS_CLS_SRAM_H", 0xe7b4, 0 },
36953		{ "MacParity1", 9, 1 },
36954		{ "MacParity0", 8, 1 },
36955		{ "MacParityMaskSize", 4, 4 },
36956		{ "PortMap", 0, 4 },
36957	{ "MPS_CLS_SRAM_H", 0xe7bc, 0 },
36958		{ "MacParity1", 9, 1 },
36959		{ "MacParity0", 8, 1 },
36960		{ "MacParityMaskSize", 4, 4 },
36961		{ "PortMap", 0, 4 },
36962	{ "MPS_CLS_SRAM_H", 0xe7c4, 0 },
36963		{ "MacParity1", 9, 1 },
36964		{ "MacParity0", 8, 1 },
36965		{ "MacParityMaskSize", 4, 4 },
36966		{ "PortMap", 0, 4 },
36967	{ "MPS_CLS_SRAM_H", 0xe7cc, 0 },
36968		{ "MacParity1", 9, 1 },
36969		{ "MacParity0", 8, 1 },
36970		{ "MacParityMaskSize", 4, 4 },
36971		{ "PortMap", 0, 4 },
36972	{ "MPS_CLS_SRAM_H", 0xe7d4, 0 },
36973		{ "MacParity1", 9, 1 },
36974		{ "MacParity0", 8, 1 },
36975		{ "MacParityMaskSize", 4, 4 },
36976		{ "PortMap", 0, 4 },
36977	{ "MPS_CLS_SRAM_H", 0xe7dc, 0 },
36978		{ "MacParity1", 9, 1 },
36979		{ "MacParity0", 8, 1 },
36980		{ "MacParityMaskSize", 4, 4 },
36981		{ "PortMap", 0, 4 },
36982	{ "MPS_CLS_SRAM_H", 0xe7e4, 0 },
36983		{ "MacParity1", 9, 1 },
36984		{ "MacParity0", 8, 1 },
36985		{ "MacParityMaskSize", 4, 4 },
36986		{ "PortMap", 0, 4 },
36987	{ "MPS_CLS_SRAM_H", 0xe7ec, 0 },
36988		{ "MacParity1", 9, 1 },
36989		{ "MacParity0", 8, 1 },
36990		{ "MacParityMaskSize", 4, 4 },
36991		{ "PortMap", 0, 4 },
36992	{ "MPS_CLS_SRAM_H", 0xe7f4, 0 },
36993		{ "MacParity1", 9, 1 },
36994		{ "MacParity0", 8, 1 },
36995		{ "MacParityMaskSize", 4, 4 },
36996		{ "PortMap", 0, 4 },
36997	{ "MPS_CLS_SRAM_H", 0xe7fc, 0 },
36998		{ "MacParity1", 9, 1 },
36999		{ "MacParity0", 8, 1 },
37000		{ "MacParityMaskSize", 4, 4 },
37001		{ "PortMap", 0, 4 },
37002	{ "MPS_CLS_SRAM_H", 0xe804, 0 },
37003		{ "MacParity1", 9, 1 },
37004		{ "MacParity0", 8, 1 },
37005		{ "MacParityMaskSize", 4, 4 },
37006		{ "PortMap", 0, 4 },
37007	{ "MPS_CLS_SRAM_H", 0xe80c, 0 },
37008		{ "MacParity1", 9, 1 },
37009		{ "MacParity0", 8, 1 },
37010		{ "MacParityMaskSize", 4, 4 },
37011		{ "PortMap", 0, 4 },
37012	{ "MPS_CLS_SRAM_H", 0xe814, 0 },
37013		{ "MacParity1", 9, 1 },
37014		{ "MacParity0", 8, 1 },
37015		{ "MacParityMaskSize", 4, 4 },
37016		{ "PortMap", 0, 4 },
37017	{ "MPS_CLS_SRAM_H", 0xe81c, 0 },
37018		{ "MacParity1", 9, 1 },
37019		{ "MacParity0", 8, 1 },
37020		{ "MacParityMaskSize", 4, 4 },
37021		{ "PortMap", 0, 4 },
37022	{ "MPS_CLS_SRAM_H", 0xe824, 0 },
37023		{ "MacParity1", 9, 1 },
37024		{ "MacParity0", 8, 1 },
37025		{ "MacParityMaskSize", 4, 4 },
37026		{ "PortMap", 0, 4 },
37027	{ "MPS_CLS_SRAM_H", 0xe82c, 0 },
37028		{ "MacParity1", 9, 1 },
37029		{ "MacParity0", 8, 1 },
37030		{ "MacParityMaskSize", 4, 4 },
37031		{ "PortMap", 0, 4 },
37032	{ "MPS_CLS_SRAM_H", 0xe834, 0 },
37033		{ "MacParity1", 9, 1 },
37034		{ "MacParity0", 8, 1 },
37035		{ "MacParityMaskSize", 4, 4 },
37036		{ "PortMap", 0, 4 },
37037	{ "MPS_CLS_SRAM_H", 0xe83c, 0 },
37038		{ "MacParity1", 9, 1 },
37039		{ "MacParity0", 8, 1 },
37040		{ "MacParityMaskSize", 4, 4 },
37041		{ "PortMap", 0, 4 },
37042	{ "MPS_CLS_SRAM_H", 0xe844, 0 },
37043		{ "MacParity1", 9, 1 },
37044		{ "MacParity0", 8, 1 },
37045		{ "MacParityMaskSize", 4, 4 },
37046		{ "PortMap", 0, 4 },
37047	{ "MPS_CLS_SRAM_H", 0xe84c, 0 },
37048		{ "MacParity1", 9, 1 },
37049		{ "MacParity0", 8, 1 },
37050		{ "MacParityMaskSize", 4, 4 },
37051		{ "PortMap", 0, 4 },
37052	{ "MPS_CLS_SRAM_H", 0xe854, 0 },
37053		{ "MacParity1", 9, 1 },
37054		{ "MacParity0", 8, 1 },
37055		{ "MacParityMaskSize", 4, 4 },
37056		{ "PortMap", 0, 4 },
37057	{ "MPS_CLS_SRAM_H", 0xe85c, 0 },
37058		{ "MacParity1", 9, 1 },
37059		{ "MacParity0", 8, 1 },
37060		{ "MacParityMaskSize", 4, 4 },
37061		{ "PortMap", 0, 4 },
37062	{ "MPS_CLS_SRAM_H", 0xe864, 0 },
37063		{ "MacParity1", 9, 1 },
37064		{ "MacParity0", 8, 1 },
37065		{ "MacParityMaskSize", 4, 4 },
37066		{ "PortMap", 0, 4 },
37067	{ "MPS_CLS_SRAM_H", 0xe86c, 0 },
37068		{ "MacParity1", 9, 1 },
37069		{ "MacParity0", 8, 1 },
37070		{ "MacParityMaskSize", 4, 4 },
37071		{ "PortMap", 0, 4 },
37072	{ "MPS_CLS_SRAM_H", 0xe874, 0 },
37073		{ "MacParity1", 9, 1 },
37074		{ "MacParity0", 8, 1 },
37075		{ "MacParityMaskSize", 4, 4 },
37076		{ "PortMap", 0, 4 },
37077	{ "MPS_CLS_SRAM_H", 0xe87c, 0 },
37078		{ "MacParity1", 9, 1 },
37079		{ "MacParity0", 8, 1 },
37080		{ "MacParityMaskSize", 4, 4 },
37081		{ "PortMap", 0, 4 },
37082	{ "MPS_CLS_SRAM_H", 0xe884, 0 },
37083		{ "MacParity1", 9, 1 },
37084		{ "MacParity0", 8, 1 },
37085		{ "MacParityMaskSize", 4, 4 },
37086		{ "PortMap", 0, 4 },
37087	{ "MPS_CLS_SRAM_H", 0xe88c, 0 },
37088		{ "MacParity1", 9, 1 },
37089		{ "MacParity0", 8, 1 },
37090		{ "MacParityMaskSize", 4, 4 },
37091		{ "PortMap", 0, 4 },
37092	{ "MPS_CLS_SRAM_H", 0xe894, 0 },
37093		{ "MacParity1", 9, 1 },
37094		{ "MacParity0", 8, 1 },
37095		{ "MacParityMaskSize", 4, 4 },
37096		{ "PortMap", 0, 4 },
37097	{ "MPS_CLS_SRAM_H", 0xe89c, 0 },
37098		{ "MacParity1", 9, 1 },
37099		{ "MacParity0", 8, 1 },
37100		{ "MacParityMaskSize", 4, 4 },
37101		{ "PortMap", 0, 4 },
37102	{ "MPS_CLS_SRAM_H", 0xe8a4, 0 },
37103		{ "MacParity1", 9, 1 },
37104		{ "MacParity0", 8, 1 },
37105		{ "MacParityMaskSize", 4, 4 },
37106		{ "PortMap", 0, 4 },
37107	{ "MPS_CLS_SRAM_H", 0xe8ac, 0 },
37108		{ "MacParity1", 9, 1 },
37109		{ "MacParity0", 8, 1 },
37110		{ "MacParityMaskSize", 4, 4 },
37111		{ "PortMap", 0, 4 },
37112	{ "MPS_CLS_SRAM_H", 0xe8b4, 0 },
37113		{ "MacParity1", 9, 1 },
37114		{ "MacParity0", 8, 1 },
37115		{ "MacParityMaskSize", 4, 4 },
37116		{ "PortMap", 0, 4 },
37117	{ "MPS_CLS_SRAM_H", 0xe8bc, 0 },
37118		{ "MacParity1", 9, 1 },
37119		{ "MacParity0", 8, 1 },
37120		{ "MacParityMaskSize", 4, 4 },
37121		{ "PortMap", 0, 4 },
37122	{ "MPS_CLS_SRAM_H", 0xe8c4, 0 },
37123		{ "MacParity1", 9, 1 },
37124		{ "MacParity0", 8, 1 },
37125		{ "MacParityMaskSize", 4, 4 },
37126		{ "PortMap", 0, 4 },
37127	{ "MPS_CLS_SRAM_H", 0xe8cc, 0 },
37128		{ "MacParity1", 9, 1 },
37129		{ "MacParity0", 8, 1 },
37130		{ "MacParityMaskSize", 4, 4 },
37131		{ "PortMap", 0, 4 },
37132	{ "MPS_CLS_SRAM_H", 0xe8d4, 0 },
37133		{ "MacParity1", 9, 1 },
37134		{ "MacParity0", 8, 1 },
37135		{ "MacParityMaskSize", 4, 4 },
37136		{ "PortMap", 0, 4 },
37137	{ "MPS_CLS_SRAM_H", 0xe8dc, 0 },
37138		{ "MacParity1", 9, 1 },
37139		{ "MacParity0", 8, 1 },
37140		{ "MacParityMaskSize", 4, 4 },
37141		{ "PortMap", 0, 4 },
37142	{ "MPS_CLS_SRAM_H", 0xe8e4, 0 },
37143		{ "MacParity1", 9, 1 },
37144		{ "MacParity0", 8, 1 },
37145		{ "MacParityMaskSize", 4, 4 },
37146		{ "PortMap", 0, 4 },
37147	{ "MPS_CLS_SRAM_H", 0xe8ec, 0 },
37148		{ "MacParity1", 9, 1 },
37149		{ "MacParity0", 8, 1 },
37150		{ "MacParityMaskSize", 4, 4 },
37151		{ "PortMap", 0, 4 },
37152	{ "MPS_CLS_SRAM_H", 0xe8f4, 0 },
37153		{ "MacParity1", 9, 1 },
37154		{ "MacParity0", 8, 1 },
37155		{ "MacParityMaskSize", 4, 4 },
37156		{ "PortMap", 0, 4 },
37157	{ "MPS_CLS_SRAM_H", 0xe8fc, 0 },
37158		{ "MacParity1", 9, 1 },
37159		{ "MacParity0", 8, 1 },
37160		{ "MacParityMaskSize", 4, 4 },
37161		{ "PortMap", 0, 4 },
37162	{ "MPS_CLS_SRAM_H", 0xe904, 0 },
37163		{ "MacParity1", 9, 1 },
37164		{ "MacParity0", 8, 1 },
37165		{ "MacParityMaskSize", 4, 4 },
37166		{ "PortMap", 0, 4 },
37167	{ "MPS_CLS_SRAM_H", 0xe90c, 0 },
37168		{ "MacParity1", 9, 1 },
37169		{ "MacParity0", 8, 1 },
37170		{ "MacParityMaskSize", 4, 4 },
37171		{ "PortMap", 0, 4 },
37172	{ "MPS_CLS_SRAM_H", 0xe914, 0 },
37173		{ "MacParity1", 9, 1 },
37174		{ "MacParity0", 8, 1 },
37175		{ "MacParityMaskSize", 4, 4 },
37176		{ "PortMap", 0, 4 },
37177	{ "MPS_CLS_SRAM_H", 0xe91c, 0 },
37178		{ "MacParity1", 9, 1 },
37179		{ "MacParity0", 8, 1 },
37180		{ "MacParityMaskSize", 4, 4 },
37181		{ "PortMap", 0, 4 },
37182	{ "MPS_CLS_SRAM_H", 0xe924, 0 },
37183		{ "MacParity1", 9, 1 },
37184		{ "MacParity0", 8, 1 },
37185		{ "MacParityMaskSize", 4, 4 },
37186		{ "PortMap", 0, 4 },
37187	{ "MPS_CLS_SRAM_H", 0xe92c, 0 },
37188		{ "MacParity1", 9, 1 },
37189		{ "MacParity0", 8, 1 },
37190		{ "MacParityMaskSize", 4, 4 },
37191		{ "PortMap", 0, 4 },
37192	{ "MPS_CLS_SRAM_H", 0xe934, 0 },
37193		{ "MacParity1", 9, 1 },
37194		{ "MacParity0", 8, 1 },
37195		{ "MacParityMaskSize", 4, 4 },
37196		{ "PortMap", 0, 4 },
37197	{ "MPS_CLS_SRAM_H", 0xe93c, 0 },
37198		{ "MacParity1", 9, 1 },
37199		{ "MacParity0", 8, 1 },
37200		{ "MacParityMaskSize", 4, 4 },
37201		{ "PortMap", 0, 4 },
37202	{ "MPS_CLS_SRAM_H", 0xe944, 0 },
37203		{ "MacParity1", 9, 1 },
37204		{ "MacParity0", 8, 1 },
37205		{ "MacParityMaskSize", 4, 4 },
37206		{ "PortMap", 0, 4 },
37207	{ "MPS_CLS_SRAM_H", 0xe94c, 0 },
37208		{ "MacParity1", 9, 1 },
37209		{ "MacParity0", 8, 1 },
37210		{ "MacParityMaskSize", 4, 4 },
37211		{ "PortMap", 0, 4 },
37212	{ "MPS_CLS_SRAM_H", 0xe954, 0 },
37213		{ "MacParity1", 9, 1 },
37214		{ "MacParity0", 8, 1 },
37215		{ "MacParityMaskSize", 4, 4 },
37216		{ "PortMap", 0, 4 },
37217	{ "MPS_CLS_SRAM_H", 0xe95c, 0 },
37218		{ "MacParity1", 9, 1 },
37219		{ "MacParity0", 8, 1 },
37220		{ "MacParityMaskSize", 4, 4 },
37221		{ "PortMap", 0, 4 },
37222	{ "MPS_CLS_SRAM_H", 0xe964, 0 },
37223		{ "MacParity1", 9, 1 },
37224		{ "MacParity0", 8, 1 },
37225		{ "MacParityMaskSize", 4, 4 },
37226		{ "PortMap", 0, 4 },
37227	{ "MPS_CLS_SRAM_H", 0xe96c, 0 },
37228		{ "MacParity1", 9, 1 },
37229		{ "MacParity0", 8, 1 },
37230		{ "MacParityMaskSize", 4, 4 },
37231		{ "PortMap", 0, 4 },
37232	{ "MPS_CLS_SRAM_H", 0xe974, 0 },
37233		{ "MacParity1", 9, 1 },
37234		{ "MacParity0", 8, 1 },
37235		{ "MacParityMaskSize", 4, 4 },
37236		{ "PortMap", 0, 4 },
37237	{ "MPS_CLS_SRAM_H", 0xe97c, 0 },
37238		{ "MacParity1", 9, 1 },
37239		{ "MacParity0", 8, 1 },
37240		{ "MacParityMaskSize", 4, 4 },
37241		{ "PortMap", 0, 4 },
37242	{ "MPS_CLS_SRAM_H", 0xe984, 0 },
37243		{ "MacParity1", 9, 1 },
37244		{ "MacParity0", 8, 1 },
37245		{ "MacParityMaskSize", 4, 4 },
37246		{ "PortMap", 0, 4 },
37247	{ "MPS_CLS_SRAM_H", 0xe98c, 0 },
37248		{ "MacParity1", 9, 1 },
37249		{ "MacParity0", 8, 1 },
37250		{ "MacParityMaskSize", 4, 4 },
37251		{ "PortMap", 0, 4 },
37252	{ "MPS_CLS_SRAM_H", 0xe994, 0 },
37253		{ "MacParity1", 9, 1 },
37254		{ "MacParity0", 8, 1 },
37255		{ "MacParityMaskSize", 4, 4 },
37256		{ "PortMap", 0, 4 },
37257	{ "MPS_CLS_SRAM_H", 0xe99c, 0 },
37258		{ "MacParity1", 9, 1 },
37259		{ "MacParity0", 8, 1 },
37260		{ "MacParityMaskSize", 4, 4 },
37261		{ "PortMap", 0, 4 },
37262	{ "MPS_CLS_SRAM_H", 0xe9a4, 0 },
37263		{ "MacParity1", 9, 1 },
37264		{ "MacParity0", 8, 1 },
37265		{ "MacParityMaskSize", 4, 4 },
37266		{ "PortMap", 0, 4 },
37267	{ "MPS_CLS_SRAM_H", 0xe9ac, 0 },
37268		{ "MacParity1", 9, 1 },
37269		{ "MacParity0", 8, 1 },
37270		{ "MacParityMaskSize", 4, 4 },
37271		{ "PortMap", 0, 4 },
37272	{ "MPS_CLS_SRAM_H", 0xe9b4, 0 },
37273		{ "MacParity1", 9, 1 },
37274		{ "MacParity0", 8, 1 },
37275		{ "MacParityMaskSize", 4, 4 },
37276		{ "PortMap", 0, 4 },
37277	{ "MPS_CLS_SRAM_H", 0xe9bc, 0 },
37278		{ "MacParity1", 9, 1 },
37279		{ "MacParity0", 8, 1 },
37280		{ "MacParityMaskSize", 4, 4 },
37281		{ "PortMap", 0, 4 },
37282	{ "MPS_CLS_SRAM_H", 0xe9c4, 0 },
37283		{ "MacParity1", 9, 1 },
37284		{ "MacParity0", 8, 1 },
37285		{ "MacParityMaskSize", 4, 4 },
37286		{ "PortMap", 0, 4 },
37287	{ "MPS_CLS_SRAM_H", 0xe9cc, 0 },
37288		{ "MacParity1", 9, 1 },
37289		{ "MacParity0", 8, 1 },
37290		{ "MacParityMaskSize", 4, 4 },
37291		{ "PortMap", 0, 4 },
37292	{ "MPS_CLS_SRAM_H", 0xe9d4, 0 },
37293		{ "MacParity1", 9, 1 },
37294		{ "MacParity0", 8, 1 },
37295		{ "MacParityMaskSize", 4, 4 },
37296		{ "PortMap", 0, 4 },
37297	{ "MPS_CLS_SRAM_H", 0xe9dc, 0 },
37298		{ "MacParity1", 9, 1 },
37299		{ "MacParity0", 8, 1 },
37300		{ "MacParityMaskSize", 4, 4 },
37301		{ "PortMap", 0, 4 },
37302	{ "MPS_CLS_SRAM_H", 0xe9e4, 0 },
37303		{ "MacParity1", 9, 1 },
37304		{ "MacParity0", 8, 1 },
37305		{ "MacParityMaskSize", 4, 4 },
37306		{ "PortMap", 0, 4 },
37307	{ "MPS_CLS_SRAM_H", 0xe9ec, 0 },
37308		{ "MacParity1", 9, 1 },
37309		{ "MacParity0", 8, 1 },
37310		{ "MacParityMaskSize", 4, 4 },
37311		{ "PortMap", 0, 4 },
37312	{ "MPS_CLS_SRAM_H", 0xe9f4, 0 },
37313		{ "MacParity1", 9, 1 },
37314		{ "MacParity0", 8, 1 },
37315		{ "MacParityMaskSize", 4, 4 },
37316		{ "PortMap", 0, 4 },
37317	{ "MPS_CLS_SRAM_H", 0xe9fc, 0 },
37318		{ "MacParity1", 9, 1 },
37319		{ "MacParity0", 8, 1 },
37320		{ "MacParityMaskSize", 4, 4 },
37321		{ "PortMap", 0, 4 },
37322	{ "MPS_CLS_SRAM_H", 0xea04, 0 },
37323		{ "MacParity1", 9, 1 },
37324		{ "MacParity0", 8, 1 },
37325		{ "MacParityMaskSize", 4, 4 },
37326		{ "PortMap", 0, 4 },
37327	{ "MPS_CLS_SRAM_H", 0xea0c, 0 },
37328		{ "MacParity1", 9, 1 },
37329		{ "MacParity0", 8, 1 },
37330		{ "MacParityMaskSize", 4, 4 },
37331		{ "PortMap", 0, 4 },
37332	{ "MPS_CLS_SRAM_H", 0xea14, 0 },
37333		{ "MacParity1", 9, 1 },
37334		{ "MacParity0", 8, 1 },
37335		{ "MacParityMaskSize", 4, 4 },
37336		{ "PortMap", 0, 4 },
37337	{ "MPS_CLS_SRAM_H", 0xea1c, 0 },
37338		{ "MacParity1", 9, 1 },
37339		{ "MacParity0", 8, 1 },
37340		{ "MacParityMaskSize", 4, 4 },
37341		{ "PortMap", 0, 4 },
37342	{ "MPS_CLS_SRAM_H", 0xea24, 0 },
37343		{ "MacParity1", 9, 1 },
37344		{ "MacParity0", 8, 1 },
37345		{ "MacParityMaskSize", 4, 4 },
37346		{ "PortMap", 0, 4 },
37347	{ "MPS_CLS_SRAM_H", 0xea2c, 0 },
37348		{ "MacParity1", 9, 1 },
37349		{ "MacParity0", 8, 1 },
37350		{ "MacParityMaskSize", 4, 4 },
37351		{ "PortMap", 0, 4 },
37352	{ "MPS_CLS_SRAM_H", 0xea34, 0 },
37353		{ "MacParity1", 9, 1 },
37354		{ "MacParity0", 8, 1 },
37355		{ "MacParityMaskSize", 4, 4 },
37356		{ "PortMap", 0, 4 },
37357	{ "MPS_CLS_SRAM_H", 0xea3c, 0 },
37358		{ "MacParity1", 9, 1 },
37359		{ "MacParity0", 8, 1 },
37360		{ "MacParityMaskSize", 4, 4 },
37361		{ "PortMap", 0, 4 },
37362	{ "MPS_CLS_SRAM_H", 0xea44, 0 },
37363		{ "MacParity1", 9, 1 },
37364		{ "MacParity0", 8, 1 },
37365		{ "MacParityMaskSize", 4, 4 },
37366		{ "PortMap", 0, 4 },
37367	{ "MPS_CLS_SRAM_H", 0xea4c, 0 },
37368		{ "MacParity1", 9, 1 },
37369		{ "MacParity0", 8, 1 },
37370		{ "MacParityMaskSize", 4, 4 },
37371		{ "PortMap", 0, 4 },
37372	{ "MPS_CLS_SRAM_H", 0xea54, 0 },
37373		{ "MacParity1", 9, 1 },
37374		{ "MacParity0", 8, 1 },
37375		{ "MacParityMaskSize", 4, 4 },
37376		{ "PortMap", 0, 4 },
37377	{ "MPS_CLS_SRAM_H", 0xea5c, 0 },
37378		{ "MacParity1", 9, 1 },
37379		{ "MacParity0", 8, 1 },
37380		{ "MacParityMaskSize", 4, 4 },
37381		{ "PortMap", 0, 4 },
37382	{ "MPS_CLS_SRAM_H", 0xea64, 0 },
37383		{ "MacParity1", 9, 1 },
37384		{ "MacParity0", 8, 1 },
37385		{ "MacParityMaskSize", 4, 4 },
37386		{ "PortMap", 0, 4 },
37387	{ "MPS_CLS_SRAM_H", 0xea6c, 0 },
37388		{ "MacParity1", 9, 1 },
37389		{ "MacParity0", 8, 1 },
37390		{ "MacParityMaskSize", 4, 4 },
37391		{ "PortMap", 0, 4 },
37392	{ "MPS_CLS_SRAM_H", 0xea74, 0 },
37393		{ "MacParity1", 9, 1 },
37394		{ "MacParity0", 8, 1 },
37395		{ "MacParityMaskSize", 4, 4 },
37396		{ "PortMap", 0, 4 },
37397	{ "MPS_CLS_SRAM_H", 0xea7c, 0 },
37398		{ "MacParity1", 9, 1 },
37399		{ "MacParity0", 8, 1 },
37400		{ "MacParityMaskSize", 4, 4 },
37401		{ "PortMap", 0, 4 },
37402	{ "MPS_CLS_SRAM_H", 0xea84, 0 },
37403		{ "MacParity1", 9, 1 },
37404		{ "MacParity0", 8, 1 },
37405		{ "MacParityMaskSize", 4, 4 },
37406		{ "PortMap", 0, 4 },
37407	{ "MPS_CLS_SRAM_H", 0xea8c, 0 },
37408		{ "MacParity1", 9, 1 },
37409		{ "MacParity0", 8, 1 },
37410		{ "MacParityMaskSize", 4, 4 },
37411		{ "PortMap", 0, 4 },
37412	{ "MPS_CLS_SRAM_H", 0xea94, 0 },
37413		{ "MacParity1", 9, 1 },
37414		{ "MacParity0", 8, 1 },
37415		{ "MacParityMaskSize", 4, 4 },
37416		{ "PortMap", 0, 4 },
37417	{ "MPS_CLS_SRAM_H", 0xea9c, 0 },
37418		{ "MacParity1", 9, 1 },
37419		{ "MacParity0", 8, 1 },
37420		{ "MacParityMaskSize", 4, 4 },
37421		{ "PortMap", 0, 4 },
37422	{ "MPS_CLS_SRAM_H", 0xeaa4, 0 },
37423		{ "MacParity1", 9, 1 },
37424		{ "MacParity0", 8, 1 },
37425		{ "MacParityMaskSize", 4, 4 },
37426		{ "PortMap", 0, 4 },
37427	{ "MPS_CLS_SRAM_H", 0xeaac, 0 },
37428		{ "MacParity1", 9, 1 },
37429		{ "MacParity0", 8, 1 },
37430		{ "MacParityMaskSize", 4, 4 },
37431		{ "PortMap", 0, 4 },
37432	{ "MPS_CLS_SRAM_H", 0xeab4, 0 },
37433		{ "MacParity1", 9, 1 },
37434		{ "MacParity0", 8, 1 },
37435		{ "MacParityMaskSize", 4, 4 },
37436		{ "PortMap", 0, 4 },
37437	{ "MPS_CLS_SRAM_H", 0xeabc, 0 },
37438		{ "MacParity1", 9, 1 },
37439		{ "MacParity0", 8, 1 },
37440		{ "MacParityMaskSize", 4, 4 },
37441		{ "PortMap", 0, 4 },
37442	{ "MPS_CLS_SRAM_H", 0xeac4, 0 },
37443		{ "MacParity1", 9, 1 },
37444		{ "MacParity0", 8, 1 },
37445		{ "MacParityMaskSize", 4, 4 },
37446		{ "PortMap", 0, 4 },
37447	{ "MPS_CLS_SRAM_H", 0xeacc, 0 },
37448		{ "MacParity1", 9, 1 },
37449		{ "MacParity0", 8, 1 },
37450		{ "MacParityMaskSize", 4, 4 },
37451		{ "PortMap", 0, 4 },
37452	{ "MPS_CLS_SRAM_H", 0xead4, 0 },
37453		{ "MacParity1", 9, 1 },
37454		{ "MacParity0", 8, 1 },
37455		{ "MacParityMaskSize", 4, 4 },
37456		{ "PortMap", 0, 4 },
37457	{ "MPS_CLS_SRAM_H", 0xeadc, 0 },
37458		{ "MacParity1", 9, 1 },
37459		{ "MacParity0", 8, 1 },
37460		{ "MacParityMaskSize", 4, 4 },
37461		{ "PortMap", 0, 4 },
37462	{ "MPS_CLS_SRAM_H", 0xeae4, 0 },
37463		{ "MacParity1", 9, 1 },
37464		{ "MacParity0", 8, 1 },
37465		{ "MacParityMaskSize", 4, 4 },
37466		{ "PortMap", 0, 4 },
37467	{ "MPS_CLS_SRAM_H", 0xeaec, 0 },
37468		{ "MacParity1", 9, 1 },
37469		{ "MacParity0", 8, 1 },
37470		{ "MacParityMaskSize", 4, 4 },
37471		{ "PortMap", 0, 4 },
37472	{ "MPS_CLS_SRAM_H", 0xeaf4, 0 },
37473		{ "MacParity1", 9, 1 },
37474		{ "MacParity0", 8, 1 },
37475		{ "MacParityMaskSize", 4, 4 },
37476		{ "PortMap", 0, 4 },
37477	{ "MPS_CLS_SRAM_H", 0xeafc, 0 },
37478		{ "MacParity1", 9, 1 },
37479		{ "MacParity0", 8, 1 },
37480		{ "MacParityMaskSize", 4, 4 },
37481		{ "PortMap", 0, 4 },
37482	{ "MPS_CLS_SRAM_H", 0xeb04, 0 },
37483		{ "MacParity1", 9, 1 },
37484		{ "MacParity0", 8, 1 },
37485		{ "MacParityMaskSize", 4, 4 },
37486		{ "PortMap", 0, 4 },
37487	{ "MPS_CLS_SRAM_H", 0xeb0c, 0 },
37488		{ "MacParity1", 9, 1 },
37489		{ "MacParity0", 8, 1 },
37490		{ "MacParityMaskSize", 4, 4 },
37491		{ "PortMap", 0, 4 },
37492	{ "MPS_CLS_SRAM_H", 0xeb14, 0 },
37493		{ "MacParity1", 9, 1 },
37494		{ "MacParity0", 8, 1 },
37495		{ "MacParityMaskSize", 4, 4 },
37496		{ "PortMap", 0, 4 },
37497	{ "MPS_CLS_SRAM_H", 0xeb1c, 0 },
37498		{ "MacParity1", 9, 1 },
37499		{ "MacParity0", 8, 1 },
37500		{ "MacParityMaskSize", 4, 4 },
37501		{ "PortMap", 0, 4 },
37502	{ "MPS_CLS_SRAM_H", 0xeb24, 0 },
37503		{ "MacParity1", 9, 1 },
37504		{ "MacParity0", 8, 1 },
37505		{ "MacParityMaskSize", 4, 4 },
37506		{ "PortMap", 0, 4 },
37507	{ "MPS_CLS_SRAM_H", 0xeb2c, 0 },
37508		{ "MacParity1", 9, 1 },
37509		{ "MacParity0", 8, 1 },
37510		{ "MacParityMaskSize", 4, 4 },
37511		{ "PortMap", 0, 4 },
37512	{ "MPS_CLS_SRAM_H", 0xeb34, 0 },
37513		{ "MacParity1", 9, 1 },
37514		{ "MacParity0", 8, 1 },
37515		{ "MacParityMaskSize", 4, 4 },
37516		{ "PortMap", 0, 4 },
37517	{ "MPS_CLS_SRAM_H", 0xeb3c, 0 },
37518		{ "MacParity1", 9, 1 },
37519		{ "MacParity0", 8, 1 },
37520		{ "MacParityMaskSize", 4, 4 },
37521		{ "PortMap", 0, 4 },
37522	{ "MPS_CLS_SRAM_H", 0xeb44, 0 },
37523		{ "MacParity1", 9, 1 },
37524		{ "MacParity0", 8, 1 },
37525		{ "MacParityMaskSize", 4, 4 },
37526		{ "PortMap", 0, 4 },
37527	{ "MPS_CLS_SRAM_H", 0xeb4c, 0 },
37528		{ "MacParity1", 9, 1 },
37529		{ "MacParity0", 8, 1 },
37530		{ "MacParityMaskSize", 4, 4 },
37531		{ "PortMap", 0, 4 },
37532	{ "MPS_CLS_SRAM_H", 0xeb54, 0 },
37533		{ "MacParity1", 9, 1 },
37534		{ "MacParity0", 8, 1 },
37535		{ "MacParityMaskSize", 4, 4 },
37536		{ "PortMap", 0, 4 },
37537	{ "MPS_CLS_SRAM_H", 0xeb5c, 0 },
37538		{ "MacParity1", 9, 1 },
37539		{ "MacParity0", 8, 1 },
37540		{ "MacParityMaskSize", 4, 4 },
37541		{ "PortMap", 0, 4 },
37542	{ "MPS_CLS_SRAM_H", 0xeb64, 0 },
37543		{ "MacParity1", 9, 1 },
37544		{ "MacParity0", 8, 1 },
37545		{ "MacParityMaskSize", 4, 4 },
37546		{ "PortMap", 0, 4 },
37547	{ "MPS_CLS_SRAM_H", 0xeb6c, 0 },
37548		{ "MacParity1", 9, 1 },
37549		{ "MacParity0", 8, 1 },
37550		{ "MacParityMaskSize", 4, 4 },
37551		{ "PortMap", 0, 4 },
37552	{ "MPS_CLS_SRAM_H", 0xeb74, 0 },
37553		{ "MacParity1", 9, 1 },
37554		{ "MacParity0", 8, 1 },
37555		{ "MacParityMaskSize", 4, 4 },
37556		{ "PortMap", 0, 4 },
37557	{ "MPS_CLS_SRAM_H", 0xeb7c, 0 },
37558		{ "MacParity1", 9, 1 },
37559		{ "MacParity0", 8, 1 },
37560		{ "MacParityMaskSize", 4, 4 },
37561		{ "PortMap", 0, 4 },
37562	{ "MPS_CLS_SRAM_H", 0xeb84, 0 },
37563		{ "MacParity1", 9, 1 },
37564		{ "MacParity0", 8, 1 },
37565		{ "MacParityMaskSize", 4, 4 },
37566		{ "PortMap", 0, 4 },
37567	{ "MPS_CLS_SRAM_H", 0xeb8c, 0 },
37568		{ "MacParity1", 9, 1 },
37569		{ "MacParity0", 8, 1 },
37570		{ "MacParityMaskSize", 4, 4 },
37571		{ "PortMap", 0, 4 },
37572	{ "MPS_CLS_SRAM_H", 0xeb94, 0 },
37573		{ "MacParity1", 9, 1 },
37574		{ "MacParity0", 8, 1 },
37575		{ "MacParityMaskSize", 4, 4 },
37576		{ "PortMap", 0, 4 },
37577	{ "MPS_CLS_SRAM_H", 0xeb9c, 0 },
37578		{ "MacParity1", 9, 1 },
37579		{ "MacParity0", 8, 1 },
37580		{ "MacParityMaskSize", 4, 4 },
37581		{ "PortMap", 0, 4 },
37582	{ "MPS_CLS_SRAM_H", 0xeba4, 0 },
37583		{ "MacParity1", 9, 1 },
37584		{ "MacParity0", 8, 1 },
37585		{ "MacParityMaskSize", 4, 4 },
37586		{ "PortMap", 0, 4 },
37587	{ "MPS_CLS_SRAM_H", 0xebac, 0 },
37588		{ "MacParity1", 9, 1 },
37589		{ "MacParity0", 8, 1 },
37590		{ "MacParityMaskSize", 4, 4 },
37591		{ "PortMap", 0, 4 },
37592	{ "MPS_CLS_SRAM_H", 0xebb4, 0 },
37593		{ "MacParity1", 9, 1 },
37594		{ "MacParity0", 8, 1 },
37595		{ "MacParityMaskSize", 4, 4 },
37596		{ "PortMap", 0, 4 },
37597	{ "MPS_CLS_SRAM_H", 0xebbc, 0 },
37598		{ "MacParity1", 9, 1 },
37599		{ "MacParity0", 8, 1 },
37600		{ "MacParityMaskSize", 4, 4 },
37601		{ "PortMap", 0, 4 },
37602	{ "MPS_CLS_SRAM_H", 0xebc4, 0 },
37603		{ "MacParity1", 9, 1 },
37604		{ "MacParity0", 8, 1 },
37605		{ "MacParityMaskSize", 4, 4 },
37606		{ "PortMap", 0, 4 },
37607	{ "MPS_CLS_SRAM_H", 0xebcc, 0 },
37608		{ "MacParity1", 9, 1 },
37609		{ "MacParity0", 8, 1 },
37610		{ "MacParityMaskSize", 4, 4 },
37611		{ "PortMap", 0, 4 },
37612	{ "MPS_CLS_SRAM_H", 0xebd4, 0 },
37613		{ "MacParity1", 9, 1 },
37614		{ "MacParity0", 8, 1 },
37615		{ "MacParityMaskSize", 4, 4 },
37616		{ "PortMap", 0, 4 },
37617	{ "MPS_CLS_SRAM_H", 0xebdc, 0 },
37618		{ "MacParity1", 9, 1 },
37619		{ "MacParity0", 8, 1 },
37620		{ "MacParityMaskSize", 4, 4 },
37621		{ "PortMap", 0, 4 },
37622	{ "MPS_CLS_SRAM_H", 0xebe4, 0 },
37623		{ "MacParity1", 9, 1 },
37624		{ "MacParity0", 8, 1 },
37625		{ "MacParityMaskSize", 4, 4 },
37626		{ "PortMap", 0, 4 },
37627	{ "MPS_CLS_SRAM_H", 0xebec, 0 },
37628		{ "MacParity1", 9, 1 },
37629		{ "MacParity0", 8, 1 },
37630		{ "MacParityMaskSize", 4, 4 },
37631		{ "PortMap", 0, 4 },
37632	{ "MPS_CLS_SRAM_H", 0xebf4, 0 },
37633		{ "MacParity1", 9, 1 },
37634		{ "MacParity0", 8, 1 },
37635		{ "MacParityMaskSize", 4, 4 },
37636		{ "PortMap", 0, 4 },
37637	{ "MPS_CLS_SRAM_H", 0xebfc, 0 },
37638		{ "MacParity1", 9, 1 },
37639		{ "MacParity0", 8, 1 },
37640		{ "MacParityMaskSize", 4, 4 },
37641		{ "PortMap", 0, 4 },
37642	{ "MPS_CLS_SRAM_H", 0xec04, 0 },
37643		{ "MacParity1", 9, 1 },
37644		{ "MacParity0", 8, 1 },
37645		{ "MacParityMaskSize", 4, 4 },
37646		{ "PortMap", 0, 4 },
37647	{ "MPS_CLS_SRAM_H", 0xec0c, 0 },
37648		{ "MacParity1", 9, 1 },
37649		{ "MacParity0", 8, 1 },
37650		{ "MacParityMaskSize", 4, 4 },
37651		{ "PortMap", 0, 4 },
37652	{ "MPS_CLS_SRAM_H", 0xec14, 0 },
37653		{ "MacParity1", 9, 1 },
37654		{ "MacParity0", 8, 1 },
37655		{ "MacParityMaskSize", 4, 4 },
37656		{ "PortMap", 0, 4 },
37657	{ "MPS_CLS_SRAM_H", 0xec1c, 0 },
37658		{ "MacParity1", 9, 1 },
37659		{ "MacParity0", 8, 1 },
37660		{ "MacParityMaskSize", 4, 4 },
37661		{ "PortMap", 0, 4 },
37662	{ "MPS_CLS_SRAM_H", 0xec24, 0 },
37663		{ "MacParity1", 9, 1 },
37664		{ "MacParity0", 8, 1 },
37665		{ "MacParityMaskSize", 4, 4 },
37666		{ "PortMap", 0, 4 },
37667	{ "MPS_CLS_SRAM_H", 0xec2c, 0 },
37668		{ "MacParity1", 9, 1 },
37669		{ "MacParity0", 8, 1 },
37670		{ "MacParityMaskSize", 4, 4 },
37671		{ "PortMap", 0, 4 },
37672	{ "MPS_CLS_SRAM_H", 0xec34, 0 },
37673		{ "MacParity1", 9, 1 },
37674		{ "MacParity0", 8, 1 },
37675		{ "MacParityMaskSize", 4, 4 },
37676		{ "PortMap", 0, 4 },
37677	{ "MPS_CLS_SRAM_H", 0xec3c, 0 },
37678		{ "MacParity1", 9, 1 },
37679		{ "MacParity0", 8, 1 },
37680		{ "MacParityMaskSize", 4, 4 },
37681		{ "PortMap", 0, 4 },
37682	{ "MPS_CLS_SRAM_H", 0xec44, 0 },
37683		{ "MacParity1", 9, 1 },
37684		{ "MacParity0", 8, 1 },
37685		{ "MacParityMaskSize", 4, 4 },
37686		{ "PortMap", 0, 4 },
37687	{ "MPS_CLS_SRAM_H", 0xec4c, 0 },
37688		{ "MacParity1", 9, 1 },
37689		{ "MacParity0", 8, 1 },
37690		{ "MacParityMaskSize", 4, 4 },
37691		{ "PortMap", 0, 4 },
37692	{ "MPS_CLS_SRAM_H", 0xec54, 0 },
37693		{ "MacParity1", 9, 1 },
37694		{ "MacParity0", 8, 1 },
37695		{ "MacParityMaskSize", 4, 4 },
37696		{ "PortMap", 0, 4 },
37697	{ "MPS_CLS_SRAM_H", 0xec5c, 0 },
37698		{ "MacParity1", 9, 1 },
37699		{ "MacParity0", 8, 1 },
37700		{ "MacParityMaskSize", 4, 4 },
37701		{ "PortMap", 0, 4 },
37702	{ "MPS_CLS_SRAM_H", 0xec64, 0 },
37703		{ "MacParity1", 9, 1 },
37704		{ "MacParity0", 8, 1 },
37705		{ "MacParityMaskSize", 4, 4 },
37706		{ "PortMap", 0, 4 },
37707	{ "MPS_CLS_SRAM_H", 0xec6c, 0 },
37708		{ "MacParity1", 9, 1 },
37709		{ "MacParity0", 8, 1 },
37710		{ "MacParityMaskSize", 4, 4 },
37711		{ "PortMap", 0, 4 },
37712	{ "MPS_CLS_SRAM_H", 0xec74, 0 },
37713		{ "MacParity1", 9, 1 },
37714		{ "MacParity0", 8, 1 },
37715		{ "MacParityMaskSize", 4, 4 },
37716		{ "PortMap", 0, 4 },
37717	{ "MPS_CLS_SRAM_H", 0xec7c, 0 },
37718		{ "MacParity1", 9, 1 },
37719		{ "MacParity0", 8, 1 },
37720		{ "MacParityMaskSize", 4, 4 },
37721		{ "PortMap", 0, 4 },
37722	{ "MPS_CLS_SRAM_H", 0xec84, 0 },
37723		{ "MacParity1", 9, 1 },
37724		{ "MacParity0", 8, 1 },
37725		{ "MacParityMaskSize", 4, 4 },
37726		{ "PortMap", 0, 4 },
37727	{ "MPS_CLS_SRAM_H", 0xec8c, 0 },
37728		{ "MacParity1", 9, 1 },
37729		{ "MacParity0", 8, 1 },
37730		{ "MacParityMaskSize", 4, 4 },
37731		{ "PortMap", 0, 4 },
37732	{ "MPS_CLS_SRAM_H", 0xec94, 0 },
37733		{ "MacParity1", 9, 1 },
37734		{ "MacParity0", 8, 1 },
37735		{ "MacParityMaskSize", 4, 4 },
37736		{ "PortMap", 0, 4 },
37737	{ "MPS_CLS_SRAM_H", 0xec9c, 0 },
37738		{ "MacParity1", 9, 1 },
37739		{ "MacParity0", 8, 1 },
37740		{ "MacParityMaskSize", 4, 4 },
37741		{ "PortMap", 0, 4 },
37742	{ "MPS_CLS_SRAM_H", 0xeca4, 0 },
37743		{ "MacParity1", 9, 1 },
37744		{ "MacParity0", 8, 1 },
37745		{ "MacParityMaskSize", 4, 4 },
37746		{ "PortMap", 0, 4 },
37747	{ "MPS_CLS_SRAM_H", 0xecac, 0 },
37748		{ "MacParity1", 9, 1 },
37749		{ "MacParity0", 8, 1 },
37750		{ "MacParityMaskSize", 4, 4 },
37751		{ "PortMap", 0, 4 },
37752	{ "MPS_CLS_SRAM_H", 0xecb4, 0 },
37753		{ "MacParity1", 9, 1 },
37754		{ "MacParity0", 8, 1 },
37755		{ "MacParityMaskSize", 4, 4 },
37756		{ "PortMap", 0, 4 },
37757	{ "MPS_CLS_SRAM_H", 0xecbc, 0 },
37758		{ "MacParity1", 9, 1 },
37759		{ "MacParity0", 8, 1 },
37760		{ "MacParityMaskSize", 4, 4 },
37761		{ "PortMap", 0, 4 },
37762	{ "MPS_CLS_SRAM_H", 0xecc4, 0 },
37763		{ "MacParity1", 9, 1 },
37764		{ "MacParity0", 8, 1 },
37765		{ "MacParityMaskSize", 4, 4 },
37766		{ "PortMap", 0, 4 },
37767	{ "MPS_CLS_SRAM_H", 0xeccc, 0 },
37768		{ "MacParity1", 9, 1 },
37769		{ "MacParity0", 8, 1 },
37770		{ "MacParityMaskSize", 4, 4 },
37771		{ "PortMap", 0, 4 },
37772	{ "MPS_CLS_SRAM_H", 0xecd4, 0 },
37773		{ "MacParity1", 9, 1 },
37774		{ "MacParity0", 8, 1 },
37775		{ "MacParityMaskSize", 4, 4 },
37776		{ "PortMap", 0, 4 },
37777	{ "MPS_CLS_SRAM_H", 0xecdc, 0 },
37778		{ "MacParity1", 9, 1 },
37779		{ "MacParity0", 8, 1 },
37780		{ "MacParityMaskSize", 4, 4 },
37781		{ "PortMap", 0, 4 },
37782	{ "MPS_CLS_SRAM_H", 0xece4, 0 },
37783		{ "MacParity1", 9, 1 },
37784		{ "MacParity0", 8, 1 },
37785		{ "MacParityMaskSize", 4, 4 },
37786		{ "PortMap", 0, 4 },
37787	{ "MPS_CLS_SRAM_H", 0xecec, 0 },
37788		{ "MacParity1", 9, 1 },
37789		{ "MacParity0", 8, 1 },
37790		{ "MacParityMaskSize", 4, 4 },
37791		{ "PortMap", 0, 4 },
37792	{ "MPS_CLS_SRAM_H", 0xecf4, 0 },
37793		{ "MacParity1", 9, 1 },
37794		{ "MacParity0", 8, 1 },
37795		{ "MacParityMaskSize", 4, 4 },
37796		{ "PortMap", 0, 4 },
37797	{ "MPS_CLS_SRAM_H", 0xecfc, 0 },
37798		{ "MacParity1", 9, 1 },
37799		{ "MacParity0", 8, 1 },
37800		{ "MacParityMaskSize", 4, 4 },
37801		{ "PortMap", 0, 4 },
37802	{ "MPS_CLS_SRAM_H", 0xed04, 0 },
37803		{ "MacParity1", 9, 1 },
37804		{ "MacParity0", 8, 1 },
37805		{ "MacParityMaskSize", 4, 4 },
37806		{ "PortMap", 0, 4 },
37807	{ "MPS_CLS_SRAM_H", 0xed0c, 0 },
37808		{ "MacParity1", 9, 1 },
37809		{ "MacParity0", 8, 1 },
37810		{ "MacParityMaskSize", 4, 4 },
37811		{ "PortMap", 0, 4 },
37812	{ "MPS_CLS_SRAM_H", 0xed14, 0 },
37813		{ "MacParity1", 9, 1 },
37814		{ "MacParity0", 8, 1 },
37815		{ "MacParityMaskSize", 4, 4 },
37816		{ "PortMap", 0, 4 },
37817	{ "MPS_CLS_SRAM_H", 0xed1c, 0 },
37818		{ "MacParity1", 9, 1 },
37819		{ "MacParity0", 8, 1 },
37820		{ "MacParityMaskSize", 4, 4 },
37821		{ "PortMap", 0, 4 },
37822	{ "MPS_CLS_SRAM_H", 0xed24, 0 },
37823		{ "MacParity1", 9, 1 },
37824		{ "MacParity0", 8, 1 },
37825		{ "MacParityMaskSize", 4, 4 },
37826		{ "PortMap", 0, 4 },
37827	{ "MPS_CLS_SRAM_H", 0xed2c, 0 },
37828		{ "MacParity1", 9, 1 },
37829		{ "MacParity0", 8, 1 },
37830		{ "MacParityMaskSize", 4, 4 },
37831		{ "PortMap", 0, 4 },
37832	{ "MPS_CLS_SRAM_H", 0xed34, 0 },
37833		{ "MacParity1", 9, 1 },
37834		{ "MacParity0", 8, 1 },
37835		{ "MacParityMaskSize", 4, 4 },
37836		{ "PortMap", 0, 4 },
37837	{ "MPS_CLS_SRAM_H", 0xed3c, 0 },
37838		{ "MacParity1", 9, 1 },
37839		{ "MacParity0", 8, 1 },
37840		{ "MacParityMaskSize", 4, 4 },
37841		{ "PortMap", 0, 4 },
37842	{ "MPS_CLS_SRAM_H", 0xed44, 0 },
37843		{ "MacParity1", 9, 1 },
37844		{ "MacParity0", 8, 1 },
37845		{ "MacParityMaskSize", 4, 4 },
37846		{ "PortMap", 0, 4 },
37847	{ "MPS_CLS_SRAM_H", 0xed4c, 0 },
37848		{ "MacParity1", 9, 1 },
37849		{ "MacParity0", 8, 1 },
37850		{ "MacParityMaskSize", 4, 4 },
37851		{ "PortMap", 0, 4 },
37852	{ "MPS_CLS_SRAM_H", 0xed54, 0 },
37853		{ "MacParity1", 9, 1 },
37854		{ "MacParity0", 8, 1 },
37855		{ "MacParityMaskSize", 4, 4 },
37856		{ "PortMap", 0, 4 },
37857	{ "MPS_CLS_SRAM_H", 0xed5c, 0 },
37858		{ "MacParity1", 9, 1 },
37859		{ "MacParity0", 8, 1 },
37860		{ "MacParityMaskSize", 4, 4 },
37861		{ "PortMap", 0, 4 },
37862	{ "MPS_CLS_SRAM_H", 0xed64, 0 },
37863		{ "MacParity1", 9, 1 },
37864		{ "MacParity0", 8, 1 },
37865		{ "MacParityMaskSize", 4, 4 },
37866		{ "PortMap", 0, 4 },
37867	{ "MPS_CLS_SRAM_H", 0xed6c, 0 },
37868		{ "MacParity1", 9, 1 },
37869		{ "MacParity0", 8, 1 },
37870		{ "MacParityMaskSize", 4, 4 },
37871		{ "PortMap", 0, 4 },
37872	{ "MPS_CLS_SRAM_H", 0xed74, 0 },
37873		{ "MacParity1", 9, 1 },
37874		{ "MacParity0", 8, 1 },
37875		{ "MacParityMaskSize", 4, 4 },
37876		{ "PortMap", 0, 4 },
37877	{ "MPS_CLS_SRAM_H", 0xed7c, 0 },
37878		{ "MacParity1", 9, 1 },
37879		{ "MacParity0", 8, 1 },
37880		{ "MacParityMaskSize", 4, 4 },
37881		{ "PortMap", 0, 4 },
37882	{ "MPS_CLS_SRAM_H", 0xed84, 0 },
37883		{ "MacParity1", 9, 1 },
37884		{ "MacParity0", 8, 1 },
37885		{ "MacParityMaskSize", 4, 4 },
37886		{ "PortMap", 0, 4 },
37887	{ "MPS_CLS_SRAM_H", 0xed8c, 0 },
37888		{ "MacParity1", 9, 1 },
37889		{ "MacParity0", 8, 1 },
37890		{ "MacParityMaskSize", 4, 4 },
37891		{ "PortMap", 0, 4 },
37892	{ "MPS_CLS_SRAM_H", 0xed94, 0 },
37893		{ "MacParity1", 9, 1 },
37894		{ "MacParity0", 8, 1 },
37895		{ "MacParityMaskSize", 4, 4 },
37896		{ "PortMap", 0, 4 },
37897	{ "MPS_CLS_SRAM_H", 0xed9c, 0 },
37898		{ "MacParity1", 9, 1 },
37899		{ "MacParity0", 8, 1 },
37900		{ "MacParityMaskSize", 4, 4 },
37901		{ "PortMap", 0, 4 },
37902	{ "MPS_CLS_SRAM_H", 0xeda4, 0 },
37903		{ "MacParity1", 9, 1 },
37904		{ "MacParity0", 8, 1 },
37905		{ "MacParityMaskSize", 4, 4 },
37906		{ "PortMap", 0, 4 },
37907	{ "MPS_CLS_SRAM_H", 0xedac, 0 },
37908		{ "MacParity1", 9, 1 },
37909		{ "MacParity0", 8, 1 },
37910		{ "MacParityMaskSize", 4, 4 },
37911		{ "PortMap", 0, 4 },
37912	{ "MPS_CLS_SRAM_H", 0xedb4, 0 },
37913		{ "MacParity1", 9, 1 },
37914		{ "MacParity0", 8, 1 },
37915		{ "MacParityMaskSize", 4, 4 },
37916		{ "PortMap", 0, 4 },
37917	{ "MPS_CLS_SRAM_H", 0xedbc, 0 },
37918		{ "MacParity1", 9, 1 },
37919		{ "MacParity0", 8, 1 },
37920		{ "MacParityMaskSize", 4, 4 },
37921		{ "PortMap", 0, 4 },
37922	{ "MPS_CLS_SRAM_H", 0xedc4, 0 },
37923		{ "MacParity1", 9, 1 },
37924		{ "MacParity0", 8, 1 },
37925		{ "MacParityMaskSize", 4, 4 },
37926		{ "PortMap", 0, 4 },
37927	{ "MPS_CLS_SRAM_H", 0xedcc, 0 },
37928		{ "MacParity1", 9, 1 },
37929		{ "MacParity0", 8, 1 },
37930		{ "MacParityMaskSize", 4, 4 },
37931		{ "PortMap", 0, 4 },
37932	{ "MPS_CLS_SRAM_H", 0xedd4, 0 },
37933		{ "MacParity1", 9, 1 },
37934		{ "MacParity0", 8, 1 },
37935		{ "MacParityMaskSize", 4, 4 },
37936		{ "PortMap", 0, 4 },
37937	{ "MPS_CLS_SRAM_H", 0xeddc, 0 },
37938		{ "MacParity1", 9, 1 },
37939		{ "MacParity0", 8, 1 },
37940		{ "MacParityMaskSize", 4, 4 },
37941		{ "PortMap", 0, 4 },
37942	{ "MPS_CLS_SRAM_H", 0xede4, 0 },
37943		{ "MacParity1", 9, 1 },
37944		{ "MacParity0", 8, 1 },
37945		{ "MacParityMaskSize", 4, 4 },
37946		{ "PortMap", 0, 4 },
37947	{ "MPS_CLS_SRAM_H", 0xedec, 0 },
37948		{ "MacParity1", 9, 1 },
37949		{ "MacParity0", 8, 1 },
37950		{ "MacParityMaskSize", 4, 4 },
37951		{ "PortMap", 0, 4 },
37952	{ "MPS_CLS_SRAM_H", 0xedf4, 0 },
37953		{ "MacParity1", 9, 1 },
37954		{ "MacParity0", 8, 1 },
37955		{ "MacParityMaskSize", 4, 4 },
37956		{ "PortMap", 0, 4 },
37957	{ "MPS_CLS_SRAM_H", 0xedfc, 0 },
37958		{ "MacParity1", 9, 1 },
37959		{ "MacParity0", 8, 1 },
37960		{ "MacParityMaskSize", 4, 4 },
37961		{ "PortMap", 0, 4 },
37962	{ "MPS_CLS_SRAM_H", 0xee04, 0 },
37963		{ "MacParity1", 9, 1 },
37964		{ "MacParity0", 8, 1 },
37965		{ "MacParityMaskSize", 4, 4 },
37966		{ "PortMap", 0, 4 },
37967	{ "MPS_CLS_SRAM_H", 0xee0c, 0 },
37968		{ "MacParity1", 9, 1 },
37969		{ "MacParity0", 8, 1 },
37970		{ "MacParityMaskSize", 4, 4 },
37971		{ "PortMap", 0, 4 },
37972	{ "MPS_CLS_SRAM_H", 0xee14, 0 },
37973		{ "MacParity1", 9, 1 },
37974		{ "MacParity0", 8, 1 },
37975		{ "MacParityMaskSize", 4, 4 },
37976		{ "PortMap", 0, 4 },
37977	{ "MPS_CLS_SRAM_H", 0xee1c, 0 },
37978		{ "MacParity1", 9, 1 },
37979		{ "MacParity0", 8, 1 },
37980		{ "MacParityMaskSize", 4, 4 },
37981		{ "PortMap", 0, 4 },
37982	{ "MPS_CLS_SRAM_H", 0xee24, 0 },
37983		{ "MacParity1", 9, 1 },
37984		{ "MacParity0", 8, 1 },
37985		{ "MacParityMaskSize", 4, 4 },
37986		{ "PortMap", 0, 4 },
37987	{ "MPS_CLS_SRAM_H", 0xee2c, 0 },
37988		{ "MacParity1", 9, 1 },
37989		{ "MacParity0", 8, 1 },
37990		{ "MacParityMaskSize", 4, 4 },
37991		{ "PortMap", 0, 4 },
37992	{ "MPS_CLS_SRAM_H", 0xee34, 0 },
37993		{ "MacParity1", 9, 1 },
37994		{ "MacParity0", 8, 1 },
37995		{ "MacParityMaskSize", 4, 4 },
37996		{ "PortMap", 0, 4 },
37997	{ "MPS_CLS_SRAM_H", 0xee3c, 0 },
37998		{ "MacParity1", 9, 1 },
37999		{ "MacParity0", 8, 1 },
38000		{ "MacParityMaskSize", 4, 4 },
38001		{ "PortMap", 0, 4 },
38002	{ "MPS_CLS_SRAM_H", 0xee44, 0 },
38003		{ "MacParity1", 9, 1 },
38004		{ "MacParity0", 8, 1 },
38005		{ "MacParityMaskSize", 4, 4 },
38006		{ "PortMap", 0, 4 },
38007	{ "MPS_CLS_SRAM_H", 0xee4c, 0 },
38008		{ "MacParity1", 9, 1 },
38009		{ "MacParity0", 8, 1 },
38010		{ "MacParityMaskSize", 4, 4 },
38011		{ "PortMap", 0, 4 },
38012	{ "MPS_CLS_SRAM_H", 0xee54, 0 },
38013		{ "MacParity1", 9, 1 },
38014		{ "MacParity0", 8, 1 },
38015		{ "MacParityMaskSize", 4, 4 },
38016		{ "PortMap", 0, 4 },
38017	{ "MPS_CLS_SRAM_H", 0xee5c, 0 },
38018		{ "MacParity1", 9, 1 },
38019		{ "MacParity0", 8, 1 },
38020		{ "MacParityMaskSize", 4, 4 },
38021		{ "PortMap", 0, 4 },
38022	{ "MPS_CLS_SRAM_H", 0xee64, 0 },
38023		{ "MacParity1", 9, 1 },
38024		{ "MacParity0", 8, 1 },
38025		{ "MacParityMaskSize", 4, 4 },
38026		{ "PortMap", 0, 4 },
38027	{ "MPS_CLS_SRAM_H", 0xee6c, 0 },
38028		{ "MacParity1", 9, 1 },
38029		{ "MacParity0", 8, 1 },
38030		{ "MacParityMaskSize", 4, 4 },
38031		{ "PortMap", 0, 4 },
38032	{ "MPS_CLS_SRAM_H", 0xee74, 0 },
38033		{ "MacParity1", 9, 1 },
38034		{ "MacParity0", 8, 1 },
38035		{ "MacParityMaskSize", 4, 4 },
38036		{ "PortMap", 0, 4 },
38037	{ "MPS_CLS_SRAM_H", 0xee7c, 0 },
38038		{ "MacParity1", 9, 1 },
38039		{ "MacParity0", 8, 1 },
38040		{ "MacParityMaskSize", 4, 4 },
38041		{ "PortMap", 0, 4 },
38042	{ "MPS_CLS_SRAM_H", 0xee84, 0 },
38043		{ "MacParity1", 9, 1 },
38044		{ "MacParity0", 8, 1 },
38045		{ "MacParityMaskSize", 4, 4 },
38046		{ "PortMap", 0, 4 },
38047	{ "MPS_CLS_SRAM_H", 0xee8c, 0 },
38048		{ "MacParity1", 9, 1 },
38049		{ "MacParity0", 8, 1 },
38050		{ "MacParityMaskSize", 4, 4 },
38051		{ "PortMap", 0, 4 },
38052	{ "MPS_CLS_SRAM_H", 0xee94, 0 },
38053		{ "MacParity1", 9, 1 },
38054		{ "MacParity0", 8, 1 },
38055		{ "MacParityMaskSize", 4, 4 },
38056		{ "PortMap", 0, 4 },
38057	{ "MPS_CLS_SRAM_H", 0xee9c, 0 },
38058		{ "MacParity1", 9, 1 },
38059		{ "MacParity0", 8, 1 },
38060		{ "MacParityMaskSize", 4, 4 },
38061		{ "PortMap", 0, 4 },
38062	{ "MPS_CLS_SRAM_H", 0xeea4, 0 },
38063		{ "MacParity1", 9, 1 },
38064		{ "MacParity0", 8, 1 },
38065		{ "MacParityMaskSize", 4, 4 },
38066		{ "PortMap", 0, 4 },
38067	{ "MPS_CLS_SRAM_H", 0xeeac, 0 },
38068		{ "MacParity1", 9, 1 },
38069		{ "MacParity0", 8, 1 },
38070		{ "MacParityMaskSize", 4, 4 },
38071		{ "PortMap", 0, 4 },
38072	{ "MPS_CLS_SRAM_H", 0xeeb4, 0 },
38073		{ "MacParity1", 9, 1 },
38074		{ "MacParity0", 8, 1 },
38075		{ "MacParityMaskSize", 4, 4 },
38076		{ "PortMap", 0, 4 },
38077	{ "MPS_CLS_SRAM_H", 0xeebc, 0 },
38078		{ "MacParity1", 9, 1 },
38079		{ "MacParity0", 8, 1 },
38080		{ "MacParityMaskSize", 4, 4 },
38081		{ "PortMap", 0, 4 },
38082	{ "MPS_CLS_SRAM_H", 0xeec4, 0 },
38083		{ "MacParity1", 9, 1 },
38084		{ "MacParity0", 8, 1 },
38085		{ "MacParityMaskSize", 4, 4 },
38086		{ "PortMap", 0, 4 },
38087	{ "MPS_CLS_SRAM_H", 0xeecc, 0 },
38088		{ "MacParity1", 9, 1 },
38089		{ "MacParity0", 8, 1 },
38090		{ "MacParityMaskSize", 4, 4 },
38091		{ "PortMap", 0, 4 },
38092	{ "MPS_CLS_SRAM_H", 0xeed4, 0 },
38093		{ "MacParity1", 9, 1 },
38094		{ "MacParity0", 8, 1 },
38095		{ "MacParityMaskSize", 4, 4 },
38096		{ "PortMap", 0, 4 },
38097	{ "MPS_CLS_SRAM_H", 0xeedc, 0 },
38098		{ "MacParity1", 9, 1 },
38099		{ "MacParity0", 8, 1 },
38100		{ "MacParityMaskSize", 4, 4 },
38101		{ "PortMap", 0, 4 },
38102	{ "MPS_CLS_SRAM_H", 0xeee4, 0 },
38103		{ "MacParity1", 9, 1 },
38104		{ "MacParity0", 8, 1 },
38105		{ "MacParityMaskSize", 4, 4 },
38106		{ "PortMap", 0, 4 },
38107	{ "MPS_CLS_SRAM_H", 0xeeec, 0 },
38108		{ "MacParity1", 9, 1 },
38109		{ "MacParity0", 8, 1 },
38110		{ "MacParityMaskSize", 4, 4 },
38111		{ "PortMap", 0, 4 },
38112	{ "MPS_CLS_SRAM_H", 0xeef4, 0 },
38113		{ "MacParity1", 9, 1 },
38114		{ "MacParity0", 8, 1 },
38115		{ "MacParityMaskSize", 4, 4 },
38116		{ "PortMap", 0, 4 },
38117	{ "MPS_CLS_SRAM_H", 0xeefc, 0 },
38118		{ "MacParity1", 9, 1 },
38119		{ "MacParity0", 8, 1 },
38120		{ "MacParityMaskSize", 4, 4 },
38121		{ "PortMap", 0, 4 },
38122	{ "MPS_CLS_SRAM_H", 0xef04, 0 },
38123		{ "MacParity1", 9, 1 },
38124		{ "MacParity0", 8, 1 },
38125		{ "MacParityMaskSize", 4, 4 },
38126		{ "PortMap", 0, 4 },
38127	{ "MPS_CLS_SRAM_H", 0xef0c, 0 },
38128		{ "MacParity1", 9, 1 },
38129		{ "MacParity0", 8, 1 },
38130		{ "MacParityMaskSize", 4, 4 },
38131		{ "PortMap", 0, 4 },
38132	{ "MPS_CLS_SRAM_H", 0xef14, 0 },
38133		{ "MacParity1", 9, 1 },
38134		{ "MacParity0", 8, 1 },
38135		{ "MacParityMaskSize", 4, 4 },
38136		{ "PortMap", 0, 4 },
38137	{ "MPS_CLS_SRAM_H", 0xef1c, 0 },
38138		{ "MacParity1", 9, 1 },
38139		{ "MacParity0", 8, 1 },
38140		{ "MacParityMaskSize", 4, 4 },
38141		{ "PortMap", 0, 4 },
38142	{ "MPS_CLS_SRAM_H", 0xef24, 0 },
38143		{ "MacParity1", 9, 1 },
38144		{ "MacParity0", 8, 1 },
38145		{ "MacParityMaskSize", 4, 4 },
38146		{ "PortMap", 0, 4 },
38147	{ "MPS_CLS_SRAM_H", 0xef2c, 0 },
38148		{ "MacParity1", 9, 1 },
38149		{ "MacParity0", 8, 1 },
38150		{ "MacParityMaskSize", 4, 4 },
38151		{ "PortMap", 0, 4 },
38152	{ "MPS_CLS_SRAM_H", 0xef34, 0 },
38153		{ "MacParity1", 9, 1 },
38154		{ "MacParity0", 8, 1 },
38155		{ "MacParityMaskSize", 4, 4 },
38156		{ "PortMap", 0, 4 },
38157	{ "MPS_CLS_SRAM_H", 0xef3c, 0 },
38158		{ "MacParity1", 9, 1 },
38159		{ "MacParity0", 8, 1 },
38160		{ "MacParityMaskSize", 4, 4 },
38161		{ "PortMap", 0, 4 },
38162	{ "MPS_CLS_SRAM_H", 0xef44, 0 },
38163		{ "MacParity1", 9, 1 },
38164		{ "MacParity0", 8, 1 },
38165		{ "MacParityMaskSize", 4, 4 },
38166		{ "PortMap", 0, 4 },
38167	{ "MPS_CLS_SRAM_H", 0xef4c, 0 },
38168		{ "MacParity1", 9, 1 },
38169		{ "MacParity0", 8, 1 },
38170		{ "MacParityMaskSize", 4, 4 },
38171		{ "PortMap", 0, 4 },
38172	{ "MPS_CLS_SRAM_H", 0xef54, 0 },
38173		{ "MacParity1", 9, 1 },
38174		{ "MacParity0", 8, 1 },
38175		{ "MacParityMaskSize", 4, 4 },
38176		{ "PortMap", 0, 4 },
38177	{ "MPS_CLS_SRAM_H", 0xef5c, 0 },
38178		{ "MacParity1", 9, 1 },
38179		{ "MacParity0", 8, 1 },
38180		{ "MacParityMaskSize", 4, 4 },
38181		{ "PortMap", 0, 4 },
38182	{ "MPS_CLS_SRAM_H", 0xef64, 0 },
38183		{ "MacParity1", 9, 1 },
38184		{ "MacParity0", 8, 1 },
38185		{ "MacParityMaskSize", 4, 4 },
38186		{ "PortMap", 0, 4 },
38187	{ "MPS_CLS_SRAM_H", 0xef6c, 0 },
38188		{ "MacParity1", 9, 1 },
38189		{ "MacParity0", 8, 1 },
38190		{ "MacParityMaskSize", 4, 4 },
38191		{ "PortMap", 0, 4 },
38192	{ "MPS_CLS_SRAM_H", 0xef74, 0 },
38193		{ "MacParity1", 9, 1 },
38194		{ "MacParity0", 8, 1 },
38195		{ "MacParityMaskSize", 4, 4 },
38196		{ "PortMap", 0, 4 },
38197	{ "MPS_CLS_SRAM_H", 0xef7c, 0 },
38198		{ "MacParity1", 9, 1 },
38199		{ "MacParity0", 8, 1 },
38200		{ "MacParityMaskSize", 4, 4 },
38201		{ "PortMap", 0, 4 },
38202	{ "MPS_CLS_SRAM_H", 0xef84, 0 },
38203		{ "MacParity1", 9, 1 },
38204		{ "MacParity0", 8, 1 },
38205		{ "MacParityMaskSize", 4, 4 },
38206		{ "PortMap", 0, 4 },
38207	{ "MPS_CLS_SRAM_H", 0xef8c, 0 },
38208		{ "MacParity1", 9, 1 },
38209		{ "MacParity0", 8, 1 },
38210		{ "MacParityMaskSize", 4, 4 },
38211		{ "PortMap", 0, 4 },
38212	{ "MPS_CLS_SRAM_H", 0xef94, 0 },
38213		{ "MacParity1", 9, 1 },
38214		{ "MacParity0", 8, 1 },
38215		{ "MacParityMaskSize", 4, 4 },
38216		{ "PortMap", 0, 4 },
38217	{ "MPS_CLS_SRAM_H", 0xef9c, 0 },
38218		{ "MacParity1", 9, 1 },
38219		{ "MacParity0", 8, 1 },
38220		{ "MacParityMaskSize", 4, 4 },
38221		{ "PortMap", 0, 4 },
38222	{ "MPS_CLS_SRAM_H", 0xefa4, 0 },
38223		{ "MacParity1", 9, 1 },
38224		{ "MacParity0", 8, 1 },
38225		{ "MacParityMaskSize", 4, 4 },
38226		{ "PortMap", 0, 4 },
38227	{ "MPS_CLS_SRAM_H", 0xefac, 0 },
38228		{ "MacParity1", 9, 1 },
38229		{ "MacParity0", 8, 1 },
38230		{ "MacParityMaskSize", 4, 4 },
38231		{ "PortMap", 0, 4 },
38232	{ "MPS_CLS_SRAM_H", 0xefb4, 0 },
38233		{ "MacParity1", 9, 1 },
38234		{ "MacParity0", 8, 1 },
38235		{ "MacParityMaskSize", 4, 4 },
38236		{ "PortMap", 0, 4 },
38237	{ "MPS_CLS_SRAM_H", 0xefbc, 0 },
38238		{ "MacParity1", 9, 1 },
38239		{ "MacParity0", 8, 1 },
38240		{ "MacParityMaskSize", 4, 4 },
38241		{ "PortMap", 0, 4 },
38242	{ "MPS_CLS_SRAM_H", 0xefc4, 0 },
38243		{ "MacParity1", 9, 1 },
38244		{ "MacParity0", 8, 1 },
38245		{ "MacParityMaskSize", 4, 4 },
38246		{ "PortMap", 0, 4 },
38247	{ "MPS_CLS_SRAM_H", 0xefcc, 0 },
38248		{ "MacParity1", 9, 1 },
38249		{ "MacParity0", 8, 1 },
38250		{ "MacParityMaskSize", 4, 4 },
38251		{ "PortMap", 0, 4 },
38252	{ "MPS_CLS_SRAM_H", 0xefd4, 0 },
38253		{ "MacParity1", 9, 1 },
38254		{ "MacParity0", 8, 1 },
38255		{ "MacParityMaskSize", 4, 4 },
38256		{ "PortMap", 0, 4 },
38257	{ "MPS_CLS_SRAM_H", 0xefdc, 0 },
38258		{ "MacParity1", 9, 1 },
38259		{ "MacParity0", 8, 1 },
38260		{ "MacParityMaskSize", 4, 4 },
38261		{ "PortMap", 0, 4 },
38262	{ "MPS_CLS_SRAM_H", 0xefe4, 0 },
38263		{ "MacParity1", 9, 1 },
38264		{ "MacParity0", 8, 1 },
38265		{ "MacParityMaskSize", 4, 4 },
38266		{ "PortMap", 0, 4 },
38267	{ "MPS_CLS_SRAM_H", 0xefec, 0 },
38268		{ "MacParity1", 9, 1 },
38269		{ "MacParity0", 8, 1 },
38270		{ "MacParityMaskSize", 4, 4 },
38271		{ "PortMap", 0, 4 },
38272	{ "MPS_CLS_SRAM_H", 0xeff4, 0 },
38273		{ "MacParity1", 9, 1 },
38274		{ "MacParity0", 8, 1 },
38275		{ "MacParityMaskSize", 4, 4 },
38276		{ "PortMap", 0, 4 },
38277	{ "MPS_CLS_SRAM_H", 0xeffc, 0 },
38278		{ "MacParity1", 9, 1 },
38279		{ "MacParity0", 8, 1 },
38280		{ "MacParityMaskSize", 4, 4 },
38281		{ "PortMap", 0, 4 },
38282	{ "MPS_CLS_TCAM_Y_L", 0xf000, 0 },
38283	{ "MPS_CLS_TCAM_Y_L", 0xf010, 0 },
38284	{ "MPS_CLS_TCAM_Y_L", 0xf020, 0 },
38285	{ "MPS_CLS_TCAM_Y_L", 0xf030, 0 },
38286	{ "MPS_CLS_TCAM_Y_L", 0xf040, 0 },
38287	{ "MPS_CLS_TCAM_Y_L", 0xf050, 0 },
38288	{ "MPS_CLS_TCAM_Y_L", 0xf060, 0 },
38289	{ "MPS_CLS_TCAM_Y_L", 0xf070, 0 },
38290	{ "MPS_CLS_TCAM_Y_L", 0xf080, 0 },
38291	{ "MPS_CLS_TCAM_Y_L", 0xf090, 0 },
38292	{ "MPS_CLS_TCAM_Y_L", 0xf0a0, 0 },
38293	{ "MPS_CLS_TCAM_Y_L", 0xf0b0, 0 },
38294	{ "MPS_CLS_TCAM_Y_L", 0xf0c0, 0 },
38295	{ "MPS_CLS_TCAM_Y_L", 0xf0d0, 0 },
38296	{ "MPS_CLS_TCAM_Y_L", 0xf0e0, 0 },
38297	{ "MPS_CLS_TCAM_Y_L", 0xf0f0, 0 },
38298	{ "MPS_CLS_TCAM_Y_L", 0xf100, 0 },
38299	{ "MPS_CLS_TCAM_Y_L", 0xf110, 0 },
38300	{ "MPS_CLS_TCAM_Y_L", 0xf120, 0 },
38301	{ "MPS_CLS_TCAM_Y_L", 0xf130, 0 },
38302	{ "MPS_CLS_TCAM_Y_L", 0xf140, 0 },
38303	{ "MPS_CLS_TCAM_Y_L", 0xf150, 0 },
38304	{ "MPS_CLS_TCAM_Y_L", 0xf160, 0 },
38305	{ "MPS_CLS_TCAM_Y_L", 0xf170, 0 },
38306	{ "MPS_CLS_TCAM_Y_L", 0xf180, 0 },
38307	{ "MPS_CLS_TCAM_Y_L", 0xf190, 0 },
38308	{ "MPS_CLS_TCAM_Y_L", 0xf1a0, 0 },
38309	{ "MPS_CLS_TCAM_Y_L", 0xf1b0, 0 },
38310	{ "MPS_CLS_TCAM_Y_L", 0xf1c0, 0 },
38311	{ "MPS_CLS_TCAM_Y_L", 0xf1d0, 0 },
38312	{ "MPS_CLS_TCAM_Y_L", 0xf1e0, 0 },
38313	{ "MPS_CLS_TCAM_Y_L", 0xf1f0, 0 },
38314	{ "MPS_CLS_TCAM_Y_L", 0xf200, 0 },
38315	{ "MPS_CLS_TCAM_Y_L", 0xf210, 0 },
38316	{ "MPS_CLS_TCAM_Y_L", 0xf220, 0 },
38317	{ "MPS_CLS_TCAM_Y_L", 0xf230, 0 },
38318	{ "MPS_CLS_TCAM_Y_L", 0xf240, 0 },
38319	{ "MPS_CLS_TCAM_Y_L", 0xf250, 0 },
38320	{ "MPS_CLS_TCAM_Y_L", 0xf260, 0 },
38321	{ "MPS_CLS_TCAM_Y_L", 0xf270, 0 },
38322	{ "MPS_CLS_TCAM_Y_L", 0xf280, 0 },
38323	{ "MPS_CLS_TCAM_Y_L", 0xf290, 0 },
38324	{ "MPS_CLS_TCAM_Y_L", 0xf2a0, 0 },
38325	{ "MPS_CLS_TCAM_Y_L", 0xf2b0, 0 },
38326	{ "MPS_CLS_TCAM_Y_L", 0xf2c0, 0 },
38327	{ "MPS_CLS_TCAM_Y_L", 0xf2d0, 0 },
38328	{ "MPS_CLS_TCAM_Y_L", 0xf2e0, 0 },
38329	{ "MPS_CLS_TCAM_Y_L", 0xf2f0, 0 },
38330	{ "MPS_CLS_TCAM_Y_L", 0xf300, 0 },
38331	{ "MPS_CLS_TCAM_Y_L", 0xf310, 0 },
38332	{ "MPS_CLS_TCAM_Y_L", 0xf320, 0 },
38333	{ "MPS_CLS_TCAM_Y_L", 0xf330, 0 },
38334	{ "MPS_CLS_TCAM_Y_L", 0xf340, 0 },
38335	{ "MPS_CLS_TCAM_Y_L", 0xf350, 0 },
38336	{ "MPS_CLS_TCAM_Y_L", 0xf360, 0 },
38337	{ "MPS_CLS_TCAM_Y_L", 0xf370, 0 },
38338	{ "MPS_CLS_TCAM_Y_L", 0xf380, 0 },
38339	{ "MPS_CLS_TCAM_Y_L", 0xf390, 0 },
38340	{ "MPS_CLS_TCAM_Y_L", 0xf3a0, 0 },
38341	{ "MPS_CLS_TCAM_Y_L", 0xf3b0, 0 },
38342	{ "MPS_CLS_TCAM_Y_L", 0xf3c0, 0 },
38343	{ "MPS_CLS_TCAM_Y_L", 0xf3d0, 0 },
38344	{ "MPS_CLS_TCAM_Y_L", 0xf3e0, 0 },
38345	{ "MPS_CLS_TCAM_Y_L", 0xf3f0, 0 },
38346	{ "MPS_CLS_TCAM_Y_L", 0xf400, 0 },
38347	{ "MPS_CLS_TCAM_Y_L", 0xf410, 0 },
38348	{ "MPS_CLS_TCAM_Y_L", 0xf420, 0 },
38349	{ "MPS_CLS_TCAM_Y_L", 0xf430, 0 },
38350	{ "MPS_CLS_TCAM_Y_L", 0xf440, 0 },
38351	{ "MPS_CLS_TCAM_Y_L", 0xf450, 0 },
38352	{ "MPS_CLS_TCAM_Y_L", 0xf460, 0 },
38353	{ "MPS_CLS_TCAM_Y_L", 0xf470, 0 },
38354	{ "MPS_CLS_TCAM_Y_L", 0xf480, 0 },
38355	{ "MPS_CLS_TCAM_Y_L", 0xf490, 0 },
38356	{ "MPS_CLS_TCAM_Y_L", 0xf4a0, 0 },
38357	{ "MPS_CLS_TCAM_Y_L", 0xf4b0, 0 },
38358	{ "MPS_CLS_TCAM_Y_L", 0xf4c0, 0 },
38359	{ "MPS_CLS_TCAM_Y_L", 0xf4d0, 0 },
38360	{ "MPS_CLS_TCAM_Y_L", 0xf4e0, 0 },
38361	{ "MPS_CLS_TCAM_Y_L", 0xf4f0, 0 },
38362	{ "MPS_CLS_TCAM_Y_L", 0xf500, 0 },
38363	{ "MPS_CLS_TCAM_Y_L", 0xf510, 0 },
38364	{ "MPS_CLS_TCAM_Y_L", 0xf520, 0 },
38365	{ "MPS_CLS_TCAM_Y_L", 0xf530, 0 },
38366	{ "MPS_CLS_TCAM_Y_L", 0xf540, 0 },
38367	{ "MPS_CLS_TCAM_Y_L", 0xf550, 0 },
38368	{ "MPS_CLS_TCAM_Y_L", 0xf560, 0 },
38369	{ "MPS_CLS_TCAM_Y_L", 0xf570, 0 },
38370	{ "MPS_CLS_TCAM_Y_L", 0xf580, 0 },
38371	{ "MPS_CLS_TCAM_Y_L", 0xf590, 0 },
38372	{ "MPS_CLS_TCAM_Y_L", 0xf5a0, 0 },
38373	{ "MPS_CLS_TCAM_Y_L", 0xf5b0, 0 },
38374	{ "MPS_CLS_TCAM_Y_L", 0xf5c0, 0 },
38375	{ "MPS_CLS_TCAM_Y_L", 0xf5d0, 0 },
38376	{ "MPS_CLS_TCAM_Y_L", 0xf5e0, 0 },
38377	{ "MPS_CLS_TCAM_Y_L", 0xf5f0, 0 },
38378	{ "MPS_CLS_TCAM_Y_L", 0xf600, 0 },
38379	{ "MPS_CLS_TCAM_Y_L", 0xf610, 0 },
38380	{ "MPS_CLS_TCAM_Y_L", 0xf620, 0 },
38381	{ "MPS_CLS_TCAM_Y_L", 0xf630, 0 },
38382	{ "MPS_CLS_TCAM_Y_L", 0xf640, 0 },
38383	{ "MPS_CLS_TCAM_Y_L", 0xf650, 0 },
38384	{ "MPS_CLS_TCAM_Y_L", 0xf660, 0 },
38385	{ "MPS_CLS_TCAM_Y_L", 0xf670, 0 },
38386	{ "MPS_CLS_TCAM_Y_L", 0xf680, 0 },
38387	{ "MPS_CLS_TCAM_Y_L", 0xf690, 0 },
38388	{ "MPS_CLS_TCAM_Y_L", 0xf6a0, 0 },
38389	{ "MPS_CLS_TCAM_Y_L", 0xf6b0, 0 },
38390	{ "MPS_CLS_TCAM_Y_L", 0xf6c0, 0 },
38391	{ "MPS_CLS_TCAM_Y_L", 0xf6d0, 0 },
38392	{ "MPS_CLS_TCAM_Y_L", 0xf6e0, 0 },
38393	{ "MPS_CLS_TCAM_Y_L", 0xf6f0, 0 },
38394	{ "MPS_CLS_TCAM_Y_L", 0xf700, 0 },
38395	{ "MPS_CLS_TCAM_Y_L", 0xf710, 0 },
38396	{ "MPS_CLS_TCAM_Y_L", 0xf720, 0 },
38397	{ "MPS_CLS_TCAM_Y_L", 0xf730, 0 },
38398	{ "MPS_CLS_TCAM_Y_L", 0xf740, 0 },
38399	{ "MPS_CLS_TCAM_Y_L", 0xf750, 0 },
38400	{ "MPS_CLS_TCAM_Y_L", 0xf760, 0 },
38401	{ "MPS_CLS_TCAM_Y_L", 0xf770, 0 },
38402	{ "MPS_CLS_TCAM_Y_L", 0xf780, 0 },
38403	{ "MPS_CLS_TCAM_Y_L", 0xf790, 0 },
38404	{ "MPS_CLS_TCAM_Y_L", 0xf7a0, 0 },
38405	{ "MPS_CLS_TCAM_Y_L", 0xf7b0, 0 },
38406	{ "MPS_CLS_TCAM_Y_L", 0xf7c0, 0 },
38407	{ "MPS_CLS_TCAM_Y_L", 0xf7d0, 0 },
38408	{ "MPS_CLS_TCAM_Y_L", 0xf7e0, 0 },
38409	{ "MPS_CLS_TCAM_Y_L", 0xf7f0, 0 },
38410	{ "MPS_CLS_TCAM_Y_L", 0xf800, 0 },
38411	{ "MPS_CLS_TCAM_Y_L", 0xf810, 0 },
38412	{ "MPS_CLS_TCAM_Y_L", 0xf820, 0 },
38413	{ "MPS_CLS_TCAM_Y_L", 0xf830, 0 },
38414	{ "MPS_CLS_TCAM_Y_L", 0xf840, 0 },
38415	{ "MPS_CLS_TCAM_Y_L", 0xf850, 0 },
38416	{ "MPS_CLS_TCAM_Y_L", 0xf860, 0 },
38417	{ "MPS_CLS_TCAM_Y_L", 0xf870, 0 },
38418	{ "MPS_CLS_TCAM_Y_L", 0xf880, 0 },
38419	{ "MPS_CLS_TCAM_Y_L", 0xf890, 0 },
38420	{ "MPS_CLS_TCAM_Y_L", 0xf8a0, 0 },
38421	{ "MPS_CLS_TCAM_Y_L", 0xf8b0, 0 },
38422	{ "MPS_CLS_TCAM_Y_L", 0xf8c0, 0 },
38423	{ "MPS_CLS_TCAM_Y_L", 0xf8d0, 0 },
38424	{ "MPS_CLS_TCAM_Y_L", 0xf8e0, 0 },
38425	{ "MPS_CLS_TCAM_Y_L", 0xf8f0, 0 },
38426	{ "MPS_CLS_TCAM_Y_L", 0xf900, 0 },
38427	{ "MPS_CLS_TCAM_Y_L", 0xf910, 0 },
38428	{ "MPS_CLS_TCAM_Y_L", 0xf920, 0 },
38429	{ "MPS_CLS_TCAM_Y_L", 0xf930, 0 },
38430	{ "MPS_CLS_TCAM_Y_L", 0xf940, 0 },
38431	{ "MPS_CLS_TCAM_Y_L", 0xf950, 0 },
38432	{ "MPS_CLS_TCAM_Y_L", 0xf960, 0 },
38433	{ "MPS_CLS_TCAM_Y_L", 0xf970, 0 },
38434	{ "MPS_CLS_TCAM_Y_L", 0xf980, 0 },
38435	{ "MPS_CLS_TCAM_Y_L", 0xf990, 0 },
38436	{ "MPS_CLS_TCAM_Y_L", 0xf9a0, 0 },
38437	{ "MPS_CLS_TCAM_Y_L", 0xf9b0, 0 },
38438	{ "MPS_CLS_TCAM_Y_L", 0xf9c0, 0 },
38439	{ "MPS_CLS_TCAM_Y_L", 0xf9d0, 0 },
38440	{ "MPS_CLS_TCAM_Y_L", 0xf9e0, 0 },
38441	{ "MPS_CLS_TCAM_Y_L", 0xf9f0, 0 },
38442	{ "MPS_CLS_TCAM_Y_L", 0xfa00, 0 },
38443	{ "MPS_CLS_TCAM_Y_L", 0xfa10, 0 },
38444	{ "MPS_CLS_TCAM_Y_L", 0xfa20, 0 },
38445	{ "MPS_CLS_TCAM_Y_L", 0xfa30, 0 },
38446	{ "MPS_CLS_TCAM_Y_L", 0xfa40, 0 },
38447	{ "MPS_CLS_TCAM_Y_L", 0xfa50, 0 },
38448	{ "MPS_CLS_TCAM_Y_L", 0xfa60, 0 },
38449	{ "MPS_CLS_TCAM_Y_L", 0xfa70, 0 },
38450	{ "MPS_CLS_TCAM_Y_L", 0xfa80, 0 },
38451	{ "MPS_CLS_TCAM_Y_L", 0xfa90, 0 },
38452	{ "MPS_CLS_TCAM_Y_L", 0xfaa0, 0 },
38453	{ "MPS_CLS_TCAM_Y_L", 0xfab0, 0 },
38454	{ "MPS_CLS_TCAM_Y_L", 0xfac0, 0 },
38455	{ "MPS_CLS_TCAM_Y_L", 0xfad0, 0 },
38456	{ "MPS_CLS_TCAM_Y_L", 0xfae0, 0 },
38457	{ "MPS_CLS_TCAM_Y_L", 0xfaf0, 0 },
38458	{ "MPS_CLS_TCAM_Y_L", 0xfb00, 0 },
38459	{ "MPS_CLS_TCAM_Y_L", 0xfb10, 0 },
38460	{ "MPS_CLS_TCAM_Y_L", 0xfb20, 0 },
38461	{ "MPS_CLS_TCAM_Y_L", 0xfb30, 0 },
38462	{ "MPS_CLS_TCAM_Y_L", 0xfb40, 0 },
38463	{ "MPS_CLS_TCAM_Y_L", 0xfb50, 0 },
38464	{ "MPS_CLS_TCAM_Y_L", 0xfb60, 0 },
38465	{ "MPS_CLS_TCAM_Y_L", 0xfb70, 0 },
38466	{ "MPS_CLS_TCAM_Y_L", 0xfb80, 0 },
38467	{ "MPS_CLS_TCAM_Y_L", 0xfb90, 0 },
38468	{ "MPS_CLS_TCAM_Y_L", 0xfba0, 0 },
38469	{ "MPS_CLS_TCAM_Y_L", 0xfbb0, 0 },
38470	{ "MPS_CLS_TCAM_Y_L", 0xfbc0, 0 },
38471	{ "MPS_CLS_TCAM_Y_L", 0xfbd0, 0 },
38472	{ "MPS_CLS_TCAM_Y_L", 0xfbe0, 0 },
38473	{ "MPS_CLS_TCAM_Y_L", 0xfbf0, 0 },
38474	{ "MPS_CLS_TCAM_Y_L", 0xfc00, 0 },
38475	{ "MPS_CLS_TCAM_Y_L", 0xfc10, 0 },
38476	{ "MPS_CLS_TCAM_Y_L", 0xfc20, 0 },
38477	{ "MPS_CLS_TCAM_Y_L", 0xfc30, 0 },
38478	{ "MPS_CLS_TCAM_Y_L", 0xfc40, 0 },
38479	{ "MPS_CLS_TCAM_Y_L", 0xfc50, 0 },
38480	{ "MPS_CLS_TCAM_Y_L", 0xfc60, 0 },
38481	{ "MPS_CLS_TCAM_Y_L", 0xfc70, 0 },
38482	{ "MPS_CLS_TCAM_Y_L", 0xfc80, 0 },
38483	{ "MPS_CLS_TCAM_Y_L", 0xfc90, 0 },
38484	{ "MPS_CLS_TCAM_Y_L", 0xfca0, 0 },
38485	{ "MPS_CLS_TCAM_Y_L", 0xfcb0, 0 },
38486	{ "MPS_CLS_TCAM_Y_L", 0xfcc0, 0 },
38487	{ "MPS_CLS_TCAM_Y_L", 0xfcd0, 0 },
38488	{ "MPS_CLS_TCAM_Y_L", 0xfce0, 0 },
38489	{ "MPS_CLS_TCAM_Y_L", 0xfcf0, 0 },
38490	{ "MPS_CLS_TCAM_Y_L", 0xfd00, 0 },
38491	{ "MPS_CLS_TCAM_Y_L", 0xfd10, 0 },
38492	{ "MPS_CLS_TCAM_Y_L", 0xfd20, 0 },
38493	{ "MPS_CLS_TCAM_Y_L", 0xfd30, 0 },
38494	{ "MPS_CLS_TCAM_Y_L", 0xfd40, 0 },
38495	{ "MPS_CLS_TCAM_Y_L", 0xfd50, 0 },
38496	{ "MPS_CLS_TCAM_Y_L", 0xfd60, 0 },
38497	{ "MPS_CLS_TCAM_Y_L", 0xfd70, 0 },
38498	{ "MPS_CLS_TCAM_Y_L", 0xfd80, 0 },
38499	{ "MPS_CLS_TCAM_Y_L", 0xfd90, 0 },
38500	{ "MPS_CLS_TCAM_Y_L", 0xfda0, 0 },
38501	{ "MPS_CLS_TCAM_Y_L", 0xfdb0, 0 },
38502	{ "MPS_CLS_TCAM_Y_L", 0xfdc0, 0 },
38503	{ "MPS_CLS_TCAM_Y_L", 0xfdd0, 0 },
38504	{ "MPS_CLS_TCAM_Y_L", 0xfde0, 0 },
38505	{ "MPS_CLS_TCAM_Y_L", 0xfdf0, 0 },
38506	{ "MPS_CLS_TCAM_Y_L", 0xfe00, 0 },
38507	{ "MPS_CLS_TCAM_Y_L", 0xfe10, 0 },
38508	{ "MPS_CLS_TCAM_Y_L", 0xfe20, 0 },
38509	{ "MPS_CLS_TCAM_Y_L", 0xfe30, 0 },
38510	{ "MPS_CLS_TCAM_Y_L", 0xfe40, 0 },
38511	{ "MPS_CLS_TCAM_Y_L", 0xfe50, 0 },
38512	{ "MPS_CLS_TCAM_Y_L", 0xfe60, 0 },
38513	{ "MPS_CLS_TCAM_Y_L", 0xfe70, 0 },
38514	{ "MPS_CLS_TCAM_Y_L", 0xfe80, 0 },
38515	{ "MPS_CLS_TCAM_Y_L", 0xfe90, 0 },
38516	{ "MPS_CLS_TCAM_Y_L", 0xfea0, 0 },
38517	{ "MPS_CLS_TCAM_Y_L", 0xfeb0, 0 },
38518	{ "MPS_CLS_TCAM_Y_L", 0xfec0, 0 },
38519	{ "MPS_CLS_TCAM_Y_L", 0xfed0, 0 },
38520	{ "MPS_CLS_TCAM_Y_L", 0xfee0, 0 },
38521	{ "MPS_CLS_TCAM_Y_L", 0xfef0, 0 },
38522	{ "MPS_CLS_TCAM_Y_L", 0xff00, 0 },
38523	{ "MPS_CLS_TCAM_Y_L", 0xff10, 0 },
38524	{ "MPS_CLS_TCAM_Y_L", 0xff20, 0 },
38525	{ "MPS_CLS_TCAM_Y_L", 0xff30, 0 },
38526	{ "MPS_CLS_TCAM_Y_L", 0xff40, 0 },
38527	{ "MPS_CLS_TCAM_Y_L", 0xff50, 0 },
38528	{ "MPS_CLS_TCAM_Y_L", 0xff60, 0 },
38529	{ "MPS_CLS_TCAM_Y_L", 0xff70, 0 },
38530	{ "MPS_CLS_TCAM_Y_L", 0xff80, 0 },
38531	{ "MPS_CLS_TCAM_Y_L", 0xff90, 0 },
38532	{ "MPS_CLS_TCAM_Y_L", 0xffa0, 0 },
38533	{ "MPS_CLS_TCAM_Y_L", 0xffb0, 0 },
38534	{ "MPS_CLS_TCAM_Y_L", 0xffc0, 0 },
38535	{ "MPS_CLS_TCAM_Y_L", 0xffd0, 0 },
38536	{ "MPS_CLS_TCAM_Y_L", 0xffe0, 0 },
38537	{ "MPS_CLS_TCAM_Y_L", 0xfff0, 0 },
38538	{ "MPS_CLS_TCAM_Y_L", 0x10000, 0 },
38539	{ "MPS_CLS_TCAM_Y_L", 0x10010, 0 },
38540	{ "MPS_CLS_TCAM_Y_L", 0x10020, 0 },
38541	{ "MPS_CLS_TCAM_Y_L", 0x10030, 0 },
38542	{ "MPS_CLS_TCAM_Y_L", 0x10040, 0 },
38543	{ "MPS_CLS_TCAM_Y_L", 0x10050, 0 },
38544	{ "MPS_CLS_TCAM_Y_L", 0x10060, 0 },
38545	{ "MPS_CLS_TCAM_Y_L", 0x10070, 0 },
38546	{ "MPS_CLS_TCAM_Y_L", 0x10080, 0 },
38547	{ "MPS_CLS_TCAM_Y_L", 0x10090, 0 },
38548	{ "MPS_CLS_TCAM_Y_L", 0x100a0, 0 },
38549	{ "MPS_CLS_TCAM_Y_L", 0x100b0, 0 },
38550	{ "MPS_CLS_TCAM_Y_L", 0x100c0, 0 },
38551	{ "MPS_CLS_TCAM_Y_L", 0x100d0, 0 },
38552	{ "MPS_CLS_TCAM_Y_L", 0x100e0, 0 },
38553	{ "MPS_CLS_TCAM_Y_L", 0x100f0, 0 },
38554	{ "MPS_CLS_TCAM_Y_L", 0x10100, 0 },
38555	{ "MPS_CLS_TCAM_Y_L", 0x10110, 0 },
38556	{ "MPS_CLS_TCAM_Y_L", 0x10120, 0 },
38557	{ "MPS_CLS_TCAM_Y_L", 0x10130, 0 },
38558	{ "MPS_CLS_TCAM_Y_L", 0x10140, 0 },
38559	{ "MPS_CLS_TCAM_Y_L", 0x10150, 0 },
38560	{ "MPS_CLS_TCAM_Y_L", 0x10160, 0 },
38561	{ "MPS_CLS_TCAM_Y_L", 0x10170, 0 },
38562	{ "MPS_CLS_TCAM_Y_L", 0x10180, 0 },
38563	{ "MPS_CLS_TCAM_Y_L", 0x10190, 0 },
38564	{ "MPS_CLS_TCAM_Y_L", 0x101a0, 0 },
38565	{ "MPS_CLS_TCAM_Y_L", 0x101b0, 0 },
38566	{ "MPS_CLS_TCAM_Y_L", 0x101c0, 0 },
38567	{ "MPS_CLS_TCAM_Y_L", 0x101d0, 0 },
38568	{ "MPS_CLS_TCAM_Y_L", 0x101e0, 0 },
38569	{ "MPS_CLS_TCAM_Y_L", 0x101f0, 0 },
38570	{ "MPS_CLS_TCAM_Y_L", 0x10200, 0 },
38571	{ "MPS_CLS_TCAM_Y_L", 0x10210, 0 },
38572	{ "MPS_CLS_TCAM_Y_L", 0x10220, 0 },
38573	{ "MPS_CLS_TCAM_Y_L", 0x10230, 0 },
38574	{ "MPS_CLS_TCAM_Y_L", 0x10240, 0 },
38575	{ "MPS_CLS_TCAM_Y_L", 0x10250, 0 },
38576	{ "MPS_CLS_TCAM_Y_L", 0x10260, 0 },
38577	{ "MPS_CLS_TCAM_Y_L", 0x10270, 0 },
38578	{ "MPS_CLS_TCAM_Y_L", 0x10280, 0 },
38579	{ "MPS_CLS_TCAM_Y_L", 0x10290, 0 },
38580	{ "MPS_CLS_TCAM_Y_L", 0x102a0, 0 },
38581	{ "MPS_CLS_TCAM_Y_L", 0x102b0, 0 },
38582	{ "MPS_CLS_TCAM_Y_L", 0x102c0, 0 },
38583	{ "MPS_CLS_TCAM_Y_L", 0x102d0, 0 },
38584	{ "MPS_CLS_TCAM_Y_L", 0x102e0, 0 },
38585	{ "MPS_CLS_TCAM_Y_L", 0x102f0, 0 },
38586	{ "MPS_CLS_TCAM_Y_L", 0x10300, 0 },
38587	{ "MPS_CLS_TCAM_Y_L", 0x10310, 0 },
38588	{ "MPS_CLS_TCAM_Y_L", 0x10320, 0 },
38589	{ "MPS_CLS_TCAM_Y_L", 0x10330, 0 },
38590	{ "MPS_CLS_TCAM_Y_L", 0x10340, 0 },
38591	{ "MPS_CLS_TCAM_Y_L", 0x10350, 0 },
38592	{ "MPS_CLS_TCAM_Y_L", 0x10360, 0 },
38593	{ "MPS_CLS_TCAM_Y_L", 0x10370, 0 },
38594	{ "MPS_CLS_TCAM_Y_L", 0x10380, 0 },
38595	{ "MPS_CLS_TCAM_Y_L", 0x10390, 0 },
38596	{ "MPS_CLS_TCAM_Y_L", 0x103a0, 0 },
38597	{ "MPS_CLS_TCAM_Y_L", 0x103b0, 0 },
38598	{ "MPS_CLS_TCAM_Y_L", 0x103c0, 0 },
38599	{ "MPS_CLS_TCAM_Y_L", 0x103d0, 0 },
38600	{ "MPS_CLS_TCAM_Y_L", 0x103e0, 0 },
38601	{ "MPS_CLS_TCAM_Y_L", 0x103f0, 0 },
38602	{ "MPS_CLS_TCAM_Y_L", 0x10400, 0 },
38603	{ "MPS_CLS_TCAM_Y_L", 0x10410, 0 },
38604	{ "MPS_CLS_TCAM_Y_L", 0x10420, 0 },
38605	{ "MPS_CLS_TCAM_Y_L", 0x10430, 0 },
38606	{ "MPS_CLS_TCAM_Y_L", 0x10440, 0 },
38607	{ "MPS_CLS_TCAM_Y_L", 0x10450, 0 },
38608	{ "MPS_CLS_TCAM_Y_L", 0x10460, 0 },
38609	{ "MPS_CLS_TCAM_Y_L", 0x10470, 0 },
38610	{ "MPS_CLS_TCAM_Y_L", 0x10480, 0 },
38611	{ "MPS_CLS_TCAM_Y_L", 0x10490, 0 },
38612	{ "MPS_CLS_TCAM_Y_L", 0x104a0, 0 },
38613	{ "MPS_CLS_TCAM_Y_L", 0x104b0, 0 },
38614	{ "MPS_CLS_TCAM_Y_L", 0x104c0, 0 },
38615	{ "MPS_CLS_TCAM_Y_L", 0x104d0, 0 },
38616	{ "MPS_CLS_TCAM_Y_L", 0x104e0, 0 },
38617	{ "MPS_CLS_TCAM_Y_L", 0x104f0, 0 },
38618	{ "MPS_CLS_TCAM_Y_L", 0x10500, 0 },
38619	{ "MPS_CLS_TCAM_Y_L", 0x10510, 0 },
38620	{ "MPS_CLS_TCAM_Y_L", 0x10520, 0 },
38621	{ "MPS_CLS_TCAM_Y_L", 0x10530, 0 },
38622	{ "MPS_CLS_TCAM_Y_L", 0x10540, 0 },
38623	{ "MPS_CLS_TCAM_Y_L", 0x10550, 0 },
38624	{ "MPS_CLS_TCAM_Y_L", 0x10560, 0 },
38625	{ "MPS_CLS_TCAM_Y_L", 0x10570, 0 },
38626	{ "MPS_CLS_TCAM_Y_L", 0x10580, 0 },
38627	{ "MPS_CLS_TCAM_Y_L", 0x10590, 0 },
38628	{ "MPS_CLS_TCAM_Y_L", 0x105a0, 0 },
38629	{ "MPS_CLS_TCAM_Y_L", 0x105b0, 0 },
38630	{ "MPS_CLS_TCAM_Y_L", 0x105c0, 0 },
38631	{ "MPS_CLS_TCAM_Y_L", 0x105d0, 0 },
38632	{ "MPS_CLS_TCAM_Y_L", 0x105e0, 0 },
38633	{ "MPS_CLS_TCAM_Y_L", 0x105f0, 0 },
38634	{ "MPS_CLS_TCAM_Y_L", 0x10600, 0 },
38635	{ "MPS_CLS_TCAM_Y_L", 0x10610, 0 },
38636	{ "MPS_CLS_TCAM_Y_L", 0x10620, 0 },
38637	{ "MPS_CLS_TCAM_Y_L", 0x10630, 0 },
38638	{ "MPS_CLS_TCAM_Y_L", 0x10640, 0 },
38639	{ "MPS_CLS_TCAM_Y_L", 0x10650, 0 },
38640	{ "MPS_CLS_TCAM_Y_L", 0x10660, 0 },
38641	{ "MPS_CLS_TCAM_Y_L", 0x10670, 0 },
38642	{ "MPS_CLS_TCAM_Y_L", 0x10680, 0 },
38643	{ "MPS_CLS_TCAM_Y_L", 0x10690, 0 },
38644	{ "MPS_CLS_TCAM_Y_L", 0x106a0, 0 },
38645	{ "MPS_CLS_TCAM_Y_L", 0x106b0, 0 },
38646	{ "MPS_CLS_TCAM_Y_L", 0x106c0, 0 },
38647	{ "MPS_CLS_TCAM_Y_L", 0x106d0, 0 },
38648	{ "MPS_CLS_TCAM_Y_L", 0x106e0, 0 },
38649	{ "MPS_CLS_TCAM_Y_L", 0x106f0, 0 },
38650	{ "MPS_CLS_TCAM_Y_L", 0x10700, 0 },
38651	{ "MPS_CLS_TCAM_Y_L", 0x10710, 0 },
38652	{ "MPS_CLS_TCAM_Y_L", 0x10720, 0 },
38653	{ "MPS_CLS_TCAM_Y_L", 0x10730, 0 },
38654	{ "MPS_CLS_TCAM_Y_L", 0x10740, 0 },
38655	{ "MPS_CLS_TCAM_Y_L", 0x10750, 0 },
38656	{ "MPS_CLS_TCAM_Y_L", 0x10760, 0 },
38657	{ "MPS_CLS_TCAM_Y_L", 0x10770, 0 },
38658	{ "MPS_CLS_TCAM_Y_L", 0x10780, 0 },
38659	{ "MPS_CLS_TCAM_Y_L", 0x10790, 0 },
38660	{ "MPS_CLS_TCAM_Y_L", 0x107a0, 0 },
38661	{ "MPS_CLS_TCAM_Y_L", 0x107b0, 0 },
38662	{ "MPS_CLS_TCAM_Y_L", 0x107c0, 0 },
38663	{ "MPS_CLS_TCAM_Y_L", 0x107d0, 0 },
38664	{ "MPS_CLS_TCAM_Y_L", 0x107e0, 0 },
38665	{ "MPS_CLS_TCAM_Y_L", 0x107f0, 0 },
38666	{ "MPS_CLS_TCAM_Y_L", 0x10800, 0 },
38667	{ "MPS_CLS_TCAM_Y_L", 0x10810, 0 },
38668	{ "MPS_CLS_TCAM_Y_L", 0x10820, 0 },
38669	{ "MPS_CLS_TCAM_Y_L", 0x10830, 0 },
38670	{ "MPS_CLS_TCAM_Y_L", 0x10840, 0 },
38671	{ "MPS_CLS_TCAM_Y_L", 0x10850, 0 },
38672	{ "MPS_CLS_TCAM_Y_L", 0x10860, 0 },
38673	{ "MPS_CLS_TCAM_Y_L", 0x10870, 0 },
38674	{ "MPS_CLS_TCAM_Y_L", 0x10880, 0 },
38675	{ "MPS_CLS_TCAM_Y_L", 0x10890, 0 },
38676	{ "MPS_CLS_TCAM_Y_L", 0x108a0, 0 },
38677	{ "MPS_CLS_TCAM_Y_L", 0x108b0, 0 },
38678	{ "MPS_CLS_TCAM_Y_L", 0x108c0, 0 },
38679	{ "MPS_CLS_TCAM_Y_L", 0x108d0, 0 },
38680	{ "MPS_CLS_TCAM_Y_L", 0x108e0, 0 },
38681	{ "MPS_CLS_TCAM_Y_L", 0x108f0, 0 },
38682	{ "MPS_CLS_TCAM_Y_L", 0x10900, 0 },
38683	{ "MPS_CLS_TCAM_Y_L", 0x10910, 0 },
38684	{ "MPS_CLS_TCAM_Y_L", 0x10920, 0 },
38685	{ "MPS_CLS_TCAM_Y_L", 0x10930, 0 },
38686	{ "MPS_CLS_TCAM_Y_L", 0x10940, 0 },
38687	{ "MPS_CLS_TCAM_Y_L", 0x10950, 0 },
38688	{ "MPS_CLS_TCAM_Y_L", 0x10960, 0 },
38689	{ "MPS_CLS_TCAM_Y_L", 0x10970, 0 },
38690	{ "MPS_CLS_TCAM_Y_L", 0x10980, 0 },
38691	{ "MPS_CLS_TCAM_Y_L", 0x10990, 0 },
38692	{ "MPS_CLS_TCAM_Y_L", 0x109a0, 0 },
38693	{ "MPS_CLS_TCAM_Y_L", 0x109b0, 0 },
38694	{ "MPS_CLS_TCAM_Y_L", 0x109c0, 0 },
38695	{ "MPS_CLS_TCAM_Y_L", 0x109d0, 0 },
38696	{ "MPS_CLS_TCAM_Y_L", 0x109e0, 0 },
38697	{ "MPS_CLS_TCAM_Y_L", 0x109f0, 0 },
38698	{ "MPS_CLS_TCAM_Y_L", 0x10a00, 0 },
38699	{ "MPS_CLS_TCAM_Y_L", 0x10a10, 0 },
38700	{ "MPS_CLS_TCAM_Y_L", 0x10a20, 0 },
38701	{ "MPS_CLS_TCAM_Y_L", 0x10a30, 0 },
38702	{ "MPS_CLS_TCAM_Y_L", 0x10a40, 0 },
38703	{ "MPS_CLS_TCAM_Y_L", 0x10a50, 0 },
38704	{ "MPS_CLS_TCAM_Y_L", 0x10a60, 0 },
38705	{ "MPS_CLS_TCAM_Y_L", 0x10a70, 0 },
38706	{ "MPS_CLS_TCAM_Y_L", 0x10a80, 0 },
38707	{ "MPS_CLS_TCAM_Y_L", 0x10a90, 0 },
38708	{ "MPS_CLS_TCAM_Y_L", 0x10aa0, 0 },
38709	{ "MPS_CLS_TCAM_Y_L", 0x10ab0, 0 },
38710	{ "MPS_CLS_TCAM_Y_L", 0x10ac0, 0 },
38711	{ "MPS_CLS_TCAM_Y_L", 0x10ad0, 0 },
38712	{ "MPS_CLS_TCAM_Y_L", 0x10ae0, 0 },
38713	{ "MPS_CLS_TCAM_Y_L", 0x10af0, 0 },
38714	{ "MPS_CLS_TCAM_Y_L", 0x10b00, 0 },
38715	{ "MPS_CLS_TCAM_Y_L", 0x10b10, 0 },
38716	{ "MPS_CLS_TCAM_Y_L", 0x10b20, 0 },
38717	{ "MPS_CLS_TCAM_Y_L", 0x10b30, 0 },
38718	{ "MPS_CLS_TCAM_Y_L", 0x10b40, 0 },
38719	{ "MPS_CLS_TCAM_Y_L", 0x10b50, 0 },
38720	{ "MPS_CLS_TCAM_Y_L", 0x10b60, 0 },
38721	{ "MPS_CLS_TCAM_Y_L", 0x10b70, 0 },
38722	{ "MPS_CLS_TCAM_Y_L", 0x10b80, 0 },
38723	{ "MPS_CLS_TCAM_Y_L", 0x10b90, 0 },
38724	{ "MPS_CLS_TCAM_Y_L", 0x10ba0, 0 },
38725	{ "MPS_CLS_TCAM_Y_L", 0x10bb0, 0 },
38726	{ "MPS_CLS_TCAM_Y_L", 0x10bc0, 0 },
38727	{ "MPS_CLS_TCAM_Y_L", 0x10bd0, 0 },
38728	{ "MPS_CLS_TCAM_Y_L", 0x10be0, 0 },
38729	{ "MPS_CLS_TCAM_Y_L", 0x10bf0, 0 },
38730	{ "MPS_CLS_TCAM_Y_L", 0x10c00, 0 },
38731	{ "MPS_CLS_TCAM_Y_L", 0x10c10, 0 },
38732	{ "MPS_CLS_TCAM_Y_L", 0x10c20, 0 },
38733	{ "MPS_CLS_TCAM_Y_L", 0x10c30, 0 },
38734	{ "MPS_CLS_TCAM_Y_L", 0x10c40, 0 },
38735	{ "MPS_CLS_TCAM_Y_L", 0x10c50, 0 },
38736	{ "MPS_CLS_TCAM_Y_L", 0x10c60, 0 },
38737	{ "MPS_CLS_TCAM_Y_L", 0x10c70, 0 },
38738	{ "MPS_CLS_TCAM_Y_L", 0x10c80, 0 },
38739	{ "MPS_CLS_TCAM_Y_L", 0x10c90, 0 },
38740	{ "MPS_CLS_TCAM_Y_L", 0x10ca0, 0 },
38741	{ "MPS_CLS_TCAM_Y_L", 0x10cb0, 0 },
38742	{ "MPS_CLS_TCAM_Y_L", 0x10cc0, 0 },
38743	{ "MPS_CLS_TCAM_Y_L", 0x10cd0, 0 },
38744	{ "MPS_CLS_TCAM_Y_L", 0x10ce0, 0 },
38745	{ "MPS_CLS_TCAM_Y_L", 0x10cf0, 0 },
38746	{ "MPS_CLS_TCAM_Y_L", 0x10d00, 0 },
38747	{ "MPS_CLS_TCAM_Y_L", 0x10d10, 0 },
38748	{ "MPS_CLS_TCAM_Y_L", 0x10d20, 0 },
38749	{ "MPS_CLS_TCAM_Y_L", 0x10d30, 0 },
38750	{ "MPS_CLS_TCAM_Y_L", 0x10d40, 0 },
38751	{ "MPS_CLS_TCAM_Y_L", 0x10d50, 0 },
38752	{ "MPS_CLS_TCAM_Y_L", 0x10d60, 0 },
38753	{ "MPS_CLS_TCAM_Y_L", 0x10d70, 0 },
38754	{ "MPS_CLS_TCAM_Y_L", 0x10d80, 0 },
38755	{ "MPS_CLS_TCAM_Y_L", 0x10d90, 0 },
38756	{ "MPS_CLS_TCAM_Y_L", 0x10da0, 0 },
38757	{ "MPS_CLS_TCAM_Y_L", 0x10db0, 0 },
38758	{ "MPS_CLS_TCAM_Y_L", 0x10dc0, 0 },
38759	{ "MPS_CLS_TCAM_Y_L", 0x10dd0, 0 },
38760	{ "MPS_CLS_TCAM_Y_L", 0x10de0, 0 },
38761	{ "MPS_CLS_TCAM_Y_L", 0x10df0, 0 },
38762	{ "MPS_CLS_TCAM_Y_L", 0x10e00, 0 },
38763	{ "MPS_CLS_TCAM_Y_L", 0x10e10, 0 },
38764	{ "MPS_CLS_TCAM_Y_L", 0x10e20, 0 },
38765	{ "MPS_CLS_TCAM_Y_L", 0x10e30, 0 },
38766	{ "MPS_CLS_TCAM_Y_L", 0x10e40, 0 },
38767	{ "MPS_CLS_TCAM_Y_L", 0x10e50, 0 },
38768	{ "MPS_CLS_TCAM_Y_L", 0x10e60, 0 },
38769	{ "MPS_CLS_TCAM_Y_L", 0x10e70, 0 },
38770	{ "MPS_CLS_TCAM_Y_L", 0x10e80, 0 },
38771	{ "MPS_CLS_TCAM_Y_L", 0x10e90, 0 },
38772	{ "MPS_CLS_TCAM_Y_L", 0x10ea0, 0 },
38773	{ "MPS_CLS_TCAM_Y_L", 0x10eb0, 0 },
38774	{ "MPS_CLS_TCAM_Y_L", 0x10ec0, 0 },
38775	{ "MPS_CLS_TCAM_Y_L", 0x10ed0, 0 },
38776	{ "MPS_CLS_TCAM_Y_L", 0x10ee0, 0 },
38777	{ "MPS_CLS_TCAM_Y_L", 0x10ef0, 0 },
38778	{ "MPS_CLS_TCAM_Y_L", 0x10f00, 0 },
38779	{ "MPS_CLS_TCAM_Y_L", 0x10f10, 0 },
38780	{ "MPS_CLS_TCAM_Y_L", 0x10f20, 0 },
38781	{ "MPS_CLS_TCAM_Y_L", 0x10f30, 0 },
38782	{ "MPS_CLS_TCAM_Y_L", 0x10f40, 0 },
38783	{ "MPS_CLS_TCAM_Y_L", 0x10f50, 0 },
38784	{ "MPS_CLS_TCAM_Y_L", 0x10f60, 0 },
38785	{ "MPS_CLS_TCAM_Y_L", 0x10f70, 0 },
38786	{ "MPS_CLS_TCAM_Y_L", 0x10f80, 0 },
38787	{ "MPS_CLS_TCAM_Y_L", 0x10f90, 0 },
38788	{ "MPS_CLS_TCAM_Y_L", 0x10fa0, 0 },
38789	{ "MPS_CLS_TCAM_Y_L", 0x10fb0, 0 },
38790	{ "MPS_CLS_TCAM_Y_L", 0x10fc0, 0 },
38791	{ "MPS_CLS_TCAM_Y_L", 0x10fd0, 0 },
38792	{ "MPS_CLS_TCAM_Y_L", 0x10fe0, 0 },
38793	{ "MPS_CLS_TCAM_Y_L", 0x10ff0, 0 },
38794	{ "MPS_CLS_TCAM_Y_H", 0xf004, 0 },
38795	{ "MPS_CLS_TCAM_Y_H", 0xf014, 0 },
38796	{ "MPS_CLS_TCAM_Y_H", 0xf024, 0 },
38797	{ "MPS_CLS_TCAM_Y_H", 0xf034, 0 },
38798	{ "MPS_CLS_TCAM_Y_H", 0xf044, 0 },
38799	{ "MPS_CLS_TCAM_Y_H", 0xf054, 0 },
38800	{ "MPS_CLS_TCAM_Y_H", 0xf064, 0 },
38801	{ "MPS_CLS_TCAM_Y_H", 0xf074, 0 },
38802	{ "MPS_CLS_TCAM_Y_H", 0xf084, 0 },
38803	{ "MPS_CLS_TCAM_Y_H", 0xf094, 0 },
38804	{ "MPS_CLS_TCAM_Y_H", 0xf0a4, 0 },
38805	{ "MPS_CLS_TCAM_Y_H", 0xf0b4, 0 },
38806	{ "MPS_CLS_TCAM_Y_H", 0xf0c4, 0 },
38807	{ "MPS_CLS_TCAM_Y_H", 0xf0d4, 0 },
38808	{ "MPS_CLS_TCAM_Y_H", 0xf0e4, 0 },
38809	{ "MPS_CLS_TCAM_Y_H", 0xf0f4, 0 },
38810	{ "MPS_CLS_TCAM_Y_H", 0xf104, 0 },
38811	{ "MPS_CLS_TCAM_Y_H", 0xf114, 0 },
38812	{ "MPS_CLS_TCAM_Y_H", 0xf124, 0 },
38813	{ "MPS_CLS_TCAM_Y_H", 0xf134, 0 },
38814	{ "MPS_CLS_TCAM_Y_H", 0xf144, 0 },
38815	{ "MPS_CLS_TCAM_Y_H", 0xf154, 0 },
38816	{ "MPS_CLS_TCAM_Y_H", 0xf164, 0 },
38817	{ "MPS_CLS_TCAM_Y_H", 0xf174, 0 },
38818	{ "MPS_CLS_TCAM_Y_H", 0xf184, 0 },
38819	{ "MPS_CLS_TCAM_Y_H", 0xf194, 0 },
38820	{ "MPS_CLS_TCAM_Y_H", 0xf1a4, 0 },
38821	{ "MPS_CLS_TCAM_Y_H", 0xf1b4, 0 },
38822	{ "MPS_CLS_TCAM_Y_H", 0xf1c4, 0 },
38823	{ "MPS_CLS_TCAM_Y_H", 0xf1d4, 0 },
38824	{ "MPS_CLS_TCAM_Y_H", 0xf1e4, 0 },
38825	{ "MPS_CLS_TCAM_Y_H", 0xf1f4, 0 },
38826	{ "MPS_CLS_TCAM_Y_H", 0xf204, 0 },
38827	{ "MPS_CLS_TCAM_Y_H", 0xf214, 0 },
38828	{ "MPS_CLS_TCAM_Y_H", 0xf224, 0 },
38829	{ "MPS_CLS_TCAM_Y_H", 0xf234, 0 },
38830	{ "MPS_CLS_TCAM_Y_H", 0xf244, 0 },
38831	{ "MPS_CLS_TCAM_Y_H", 0xf254, 0 },
38832	{ "MPS_CLS_TCAM_Y_H", 0xf264, 0 },
38833	{ "MPS_CLS_TCAM_Y_H", 0xf274, 0 },
38834	{ "MPS_CLS_TCAM_Y_H", 0xf284, 0 },
38835	{ "MPS_CLS_TCAM_Y_H", 0xf294, 0 },
38836	{ "MPS_CLS_TCAM_Y_H", 0xf2a4, 0 },
38837	{ "MPS_CLS_TCAM_Y_H", 0xf2b4, 0 },
38838	{ "MPS_CLS_TCAM_Y_H", 0xf2c4, 0 },
38839	{ "MPS_CLS_TCAM_Y_H", 0xf2d4, 0 },
38840	{ "MPS_CLS_TCAM_Y_H", 0xf2e4, 0 },
38841	{ "MPS_CLS_TCAM_Y_H", 0xf2f4, 0 },
38842	{ "MPS_CLS_TCAM_Y_H", 0xf304, 0 },
38843	{ "MPS_CLS_TCAM_Y_H", 0xf314, 0 },
38844	{ "MPS_CLS_TCAM_Y_H", 0xf324, 0 },
38845	{ "MPS_CLS_TCAM_Y_H", 0xf334, 0 },
38846	{ "MPS_CLS_TCAM_Y_H", 0xf344, 0 },
38847	{ "MPS_CLS_TCAM_Y_H", 0xf354, 0 },
38848	{ "MPS_CLS_TCAM_Y_H", 0xf364, 0 },
38849	{ "MPS_CLS_TCAM_Y_H", 0xf374, 0 },
38850	{ "MPS_CLS_TCAM_Y_H", 0xf384, 0 },
38851	{ "MPS_CLS_TCAM_Y_H", 0xf394, 0 },
38852	{ "MPS_CLS_TCAM_Y_H", 0xf3a4, 0 },
38853	{ "MPS_CLS_TCAM_Y_H", 0xf3b4, 0 },
38854	{ "MPS_CLS_TCAM_Y_H", 0xf3c4, 0 },
38855	{ "MPS_CLS_TCAM_Y_H", 0xf3d4, 0 },
38856	{ "MPS_CLS_TCAM_Y_H", 0xf3e4, 0 },
38857	{ "MPS_CLS_TCAM_Y_H", 0xf3f4, 0 },
38858	{ "MPS_CLS_TCAM_Y_H", 0xf404, 0 },
38859	{ "MPS_CLS_TCAM_Y_H", 0xf414, 0 },
38860	{ "MPS_CLS_TCAM_Y_H", 0xf424, 0 },
38861	{ "MPS_CLS_TCAM_Y_H", 0xf434, 0 },
38862	{ "MPS_CLS_TCAM_Y_H", 0xf444, 0 },
38863	{ "MPS_CLS_TCAM_Y_H", 0xf454, 0 },
38864	{ "MPS_CLS_TCAM_Y_H", 0xf464, 0 },
38865	{ "MPS_CLS_TCAM_Y_H", 0xf474, 0 },
38866	{ "MPS_CLS_TCAM_Y_H", 0xf484, 0 },
38867	{ "MPS_CLS_TCAM_Y_H", 0xf494, 0 },
38868	{ "MPS_CLS_TCAM_Y_H", 0xf4a4, 0 },
38869	{ "MPS_CLS_TCAM_Y_H", 0xf4b4, 0 },
38870	{ "MPS_CLS_TCAM_Y_H", 0xf4c4, 0 },
38871	{ "MPS_CLS_TCAM_Y_H", 0xf4d4, 0 },
38872	{ "MPS_CLS_TCAM_Y_H", 0xf4e4, 0 },
38873	{ "MPS_CLS_TCAM_Y_H", 0xf4f4, 0 },
38874	{ "MPS_CLS_TCAM_Y_H", 0xf504, 0 },
38875	{ "MPS_CLS_TCAM_Y_H", 0xf514, 0 },
38876	{ "MPS_CLS_TCAM_Y_H", 0xf524, 0 },
38877	{ "MPS_CLS_TCAM_Y_H", 0xf534, 0 },
38878	{ "MPS_CLS_TCAM_Y_H", 0xf544, 0 },
38879	{ "MPS_CLS_TCAM_Y_H", 0xf554, 0 },
38880	{ "MPS_CLS_TCAM_Y_H", 0xf564, 0 },
38881	{ "MPS_CLS_TCAM_Y_H", 0xf574, 0 },
38882	{ "MPS_CLS_TCAM_Y_H", 0xf584, 0 },
38883	{ "MPS_CLS_TCAM_Y_H", 0xf594, 0 },
38884	{ "MPS_CLS_TCAM_Y_H", 0xf5a4, 0 },
38885	{ "MPS_CLS_TCAM_Y_H", 0xf5b4, 0 },
38886	{ "MPS_CLS_TCAM_Y_H", 0xf5c4, 0 },
38887	{ "MPS_CLS_TCAM_Y_H", 0xf5d4, 0 },
38888	{ "MPS_CLS_TCAM_Y_H", 0xf5e4, 0 },
38889	{ "MPS_CLS_TCAM_Y_H", 0xf5f4, 0 },
38890	{ "MPS_CLS_TCAM_Y_H", 0xf604, 0 },
38891	{ "MPS_CLS_TCAM_Y_H", 0xf614, 0 },
38892	{ "MPS_CLS_TCAM_Y_H", 0xf624, 0 },
38893	{ "MPS_CLS_TCAM_Y_H", 0xf634, 0 },
38894	{ "MPS_CLS_TCAM_Y_H", 0xf644, 0 },
38895	{ "MPS_CLS_TCAM_Y_H", 0xf654, 0 },
38896	{ "MPS_CLS_TCAM_Y_H", 0xf664, 0 },
38897	{ "MPS_CLS_TCAM_Y_H", 0xf674, 0 },
38898	{ "MPS_CLS_TCAM_Y_H", 0xf684, 0 },
38899	{ "MPS_CLS_TCAM_Y_H", 0xf694, 0 },
38900	{ "MPS_CLS_TCAM_Y_H", 0xf6a4, 0 },
38901	{ "MPS_CLS_TCAM_Y_H", 0xf6b4, 0 },
38902	{ "MPS_CLS_TCAM_Y_H", 0xf6c4, 0 },
38903	{ "MPS_CLS_TCAM_Y_H", 0xf6d4, 0 },
38904	{ "MPS_CLS_TCAM_Y_H", 0xf6e4, 0 },
38905	{ "MPS_CLS_TCAM_Y_H", 0xf6f4, 0 },
38906	{ "MPS_CLS_TCAM_Y_H", 0xf704, 0 },
38907	{ "MPS_CLS_TCAM_Y_H", 0xf714, 0 },
38908	{ "MPS_CLS_TCAM_Y_H", 0xf724, 0 },
38909	{ "MPS_CLS_TCAM_Y_H", 0xf734, 0 },
38910	{ "MPS_CLS_TCAM_Y_H", 0xf744, 0 },
38911	{ "MPS_CLS_TCAM_Y_H", 0xf754, 0 },
38912	{ "MPS_CLS_TCAM_Y_H", 0xf764, 0 },
38913	{ "MPS_CLS_TCAM_Y_H", 0xf774, 0 },
38914	{ "MPS_CLS_TCAM_Y_H", 0xf784, 0 },
38915	{ "MPS_CLS_TCAM_Y_H", 0xf794, 0 },
38916	{ "MPS_CLS_TCAM_Y_H", 0xf7a4, 0 },
38917	{ "MPS_CLS_TCAM_Y_H", 0xf7b4, 0 },
38918	{ "MPS_CLS_TCAM_Y_H", 0xf7c4, 0 },
38919	{ "MPS_CLS_TCAM_Y_H", 0xf7d4, 0 },
38920	{ "MPS_CLS_TCAM_Y_H", 0xf7e4, 0 },
38921	{ "MPS_CLS_TCAM_Y_H", 0xf7f4, 0 },
38922	{ "MPS_CLS_TCAM_Y_H", 0xf804, 0 },
38923	{ "MPS_CLS_TCAM_Y_H", 0xf814, 0 },
38924	{ "MPS_CLS_TCAM_Y_H", 0xf824, 0 },
38925	{ "MPS_CLS_TCAM_Y_H", 0xf834, 0 },
38926	{ "MPS_CLS_TCAM_Y_H", 0xf844, 0 },
38927	{ "MPS_CLS_TCAM_Y_H", 0xf854, 0 },
38928	{ "MPS_CLS_TCAM_Y_H", 0xf864, 0 },
38929	{ "MPS_CLS_TCAM_Y_H", 0xf874, 0 },
38930	{ "MPS_CLS_TCAM_Y_H", 0xf884, 0 },
38931	{ "MPS_CLS_TCAM_Y_H", 0xf894, 0 },
38932	{ "MPS_CLS_TCAM_Y_H", 0xf8a4, 0 },
38933	{ "MPS_CLS_TCAM_Y_H", 0xf8b4, 0 },
38934	{ "MPS_CLS_TCAM_Y_H", 0xf8c4, 0 },
38935	{ "MPS_CLS_TCAM_Y_H", 0xf8d4, 0 },
38936	{ "MPS_CLS_TCAM_Y_H", 0xf8e4, 0 },
38937	{ "MPS_CLS_TCAM_Y_H", 0xf8f4, 0 },
38938	{ "MPS_CLS_TCAM_Y_H", 0xf904, 0 },
38939	{ "MPS_CLS_TCAM_Y_H", 0xf914, 0 },
38940	{ "MPS_CLS_TCAM_Y_H", 0xf924, 0 },
38941	{ "MPS_CLS_TCAM_Y_H", 0xf934, 0 },
38942	{ "MPS_CLS_TCAM_Y_H", 0xf944, 0 },
38943	{ "MPS_CLS_TCAM_Y_H", 0xf954, 0 },
38944	{ "MPS_CLS_TCAM_Y_H", 0xf964, 0 },
38945	{ "MPS_CLS_TCAM_Y_H", 0xf974, 0 },
38946	{ "MPS_CLS_TCAM_Y_H", 0xf984, 0 },
38947	{ "MPS_CLS_TCAM_Y_H", 0xf994, 0 },
38948	{ "MPS_CLS_TCAM_Y_H", 0xf9a4, 0 },
38949	{ "MPS_CLS_TCAM_Y_H", 0xf9b4, 0 },
38950	{ "MPS_CLS_TCAM_Y_H", 0xf9c4, 0 },
38951	{ "MPS_CLS_TCAM_Y_H", 0xf9d4, 0 },
38952	{ "MPS_CLS_TCAM_Y_H", 0xf9e4, 0 },
38953	{ "MPS_CLS_TCAM_Y_H", 0xf9f4, 0 },
38954	{ "MPS_CLS_TCAM_Y_H", 0xfa04, 0 },
38955	{ "MPS_CLS_TCAM_Y_H", 0xfa14, 0 },
38956	{ "MPS_CLS_TCAM_Y_H", 0xfa24, 0 },
38957	{ "MPS_CLS_TCAM_Y_H", 0xfa34, 0 },
38958	{ "MPS_CLS_TCAM_Y_H", 0xfa44, 0 },
38959	{ "MPS_CLS_TCAM_Y_H", 0xfa54, 0 },
38960	{ "MPS_CLS_TCAM_Y_H", 0xfa64, 0 },
38961	{ "MPS_CLS_TCAM_Y_H", 0xfa74, 0 },
38962	{ "MPS_CLS_TCAM_Y_H", 0xfa84, 0 },
38963	{ "MPS_CLS_TCAM_Y_H", 0xfa94, 0 },
38964	{ "MPS_CLS_TCAM_Y_H", 0xfaa4, 0 },
38965	{ "MPS_CLS_TCAM_Y_H", 0xfab4, 0 },
38966	{ "MPS_CLS_TCAM_Y_H", 0xfac4, 0 },
38967	{ "MPS_CLS_TCAM_Y_H", 0xfad4, 0 },
38968	{ "MPS_CLS_TCAM_Y_H", 0xfae4, 0 },
38969	{ "MPS_CLS_TCAM_Y_H", 0xfaf4, 0 },
38970	{ "MPS_CLS_TCAM_Y_H", 0xfb04, 0 },
38971	{ "MPS_CLS_TCAM_Y_H", 0xfb14, 0 },
38972	{ "MPS_CLS_TCAM_Y_H", 0xfb24, 0 },
38973	{ "MPS_CLS_TCAM_Y_H", 0xfb34, 0 },
38974	{ "MPS_CLS_TCAM_Y_H", 0xfb44, 0 },
38975	{ "MPS_CLS_TCAM_Y_H", 0xfb54, 0 },
38976	{ "MPS_CLS_TCAM_Y_H", 0xfb64, 0 },
38977	{ "MPS_CLS_TCAM_Y_H", 0xfb74, 0 },
38978	{ "MPS_CLS_TCAM_Y_H", 0xfb84, 0 },
38979	{ "MPS_CLS_TCAM_Y_H", 0xfb94, 0 },
38980	{ "MPS_CLS_TCAM_Y_H", 0xfba4, 0 },
38981	{ "MPS_CLS_TCAM_Y_H", 0xfbb4, 0 },
38982	{ "MPS_CLS_TCAM_Y_H", 0xfbc4, 0 },
38983	{ "MPS_CLS_TCAM_Y_H", 0xfbd4, 0 },
38984	{ "MPS_CLS_TCAM_Y_H", 0xfbe4, 0 },
38985	{ "MPS_CLS_TCAM_Y_H", 0xfbf4, 0 },
38986	{ "MPS_CLS_TCAM_Y_H", 0xfc04, 0 },
38987	{ "MPS_CLS_TCAM_Y_H", 0xfc14, 0 },
38988	{ "MPS_CLS_TCAM_Y_H", 0xfc24, 0 },
38989	{ "MPS_CLS_TCAM_Y_H", 0xfc34, 0 },
38990	{ "MPS_CLS_TCAM_Y_H", 0xfc44, 0 },
38991	{ "MPS_CLS_TCAM_Y_H", 0xfc54, 0 },
38992	{ "MPS_CLS_TCAM_Y_H", 0xfc64, 0 },
38993	{ "MPS_CLS_TCAM_Y_H", 0xfc74, 0 },
38994	{ "MPS_CLS_TCAM_Y_H", 0xfc84, 0 },
38995	{ "MPS_CLS_TCAM_Y_H", 0xfc94, 0 },
38996	{ "MPS_CLS_TCAM_Y_H", 0xfca4, 0 },
38997	{ "MPS_CLS_TCAM_Y_H", 0xfcb4, 0 },
38998	{ "MPS_CLS_TCAM_Y_H", 0xfcc4, 0 },
38999	{ "MPS_CLS_TCAM_Y_H", 0xfcd4, 0 },
39000	{ "MPS_CLS_TCAM_Y_H", 0xfce4, 0 },
39001	{ "MPS_CLS_TCAM_Y_H", 0xfcf4, 0 },
39002	{ "MPS_CLS_TCAM_Y_H", 0xfd04, 0 },
39003	{ "MPS_CLS_TCAM_Y_H", 0xfd14, 0 },
39004	{ "MPS_CLS_TCAM_Y_H", 0xfd24, 0 },
39005	{ "MPS_CLS_TCAM_Y_H", 0xfd34, 0 },
39006	{ "MPS_CLS_TCAM_Y_H", 0xfd44, 0 },
39007	{ "MPS_CLS_TCAM_Y_H", 0xfd54, 0 },
39008	{ "MPS_CLS_TCAM_Y_H", 0xfd64, 0 },
39009	{ "MPS_CLS_TCAM_Y_H", 0xfd74, 0 },
39010	{ "MPS_CLS_TCAM_Y_H", 0xfd84, 0 },
39011	{ "MPS_CLS_TCAM_Y_H", 0xfd94, 0 },
39012	{ "MPS_CLS_TCAM_Y_H", 0xfda4, 0 },
39013	{ "MPS_CLS_TCAM_Y_H", 0xfdb4, 0 },
39014	{ "MPS_CLS_TCAM_Y_H", 0xfdc4, 0 },
39015	{ "MPS_CLS_TCAM_Y_H", 0xfdd4, 0 },
39016	{ "MPS_CLS_TCAM_Y_H", 0xfde4, 0 },
39017	{ "MPS_CLS_TCAM_Y_H", 0xfdf4, 0 },
39018	{ "MPS_CLS_TCAM_Y_H", 0xfe04, 0 },
39019	{ "MPS_CLS_TCAM_Y_H", 0xfe14, 0 },
39020	{ "MPS_CLS_TCAM_Y_H", 0xfe24, 0 },
39021	{ "MPS_CLS_TCAM_Y_H", 0xfe34, 0 },
39022	{ "MPS_CLS_TCAM_Y_H", 0xfe44, 0 },
39023	{ "MPS_CLS_TCAM_Y_H", 0xfe54, 0 },
39024	{ "MPS_CLS_TCAM_Y_H", 0xfe64, 0 },
39025	{ "MPS_CLS_TCAM_Y_H", 0xfe74, 0 },
39026	{ "MPS_CLS_TCAM_Y_H", 0xfe84, 0 },
39027	{ "MPS_CLS_TCAM_Y_H", 0xfe94, 0 },
39028	{ "MPS_CLS_TCAM_Y_H", 0xfea4, 0 },
39029	{ "MPS_CLS_TCAM_Y_H", 0xfeb4, 0 },
39030	{ "MPS_CLS_TCAM_Y_H", 0xfec4, 0 },
39031	{ "MPS_CLS_TCAM_Y_H", 0xfed4, 0 },
39032	{ "MPS_CLS_TCAM_Y_H", 0xfee4, 0 },
39033	{ "MPS_CLS_TCAM_Y_H", 0xfef4, 0 },
39034	{ "MPS_CLS_TCAM_Y_H", 0xff04, 0 },
39035	{ "MPS_CLS_TCAM_Y_H", 0xff14, 0 },
39036	{ "MPS_CLS_TCAM_Y_H", 0xff24, 0 },
39037	{ "MPS_CLS_TCAM_Y_H", 0xff34, 0 },
39038	{ "MPS_CLS_TCAM_Y_H", 0xff44, 0 },
39039	{ "MPS_CLS_TCAM_Y_H", 0xff54, 0 },
39040	{ "MPS_CLS_TCAM_Y_H", 0xff64, 0 },
39041	{ "MPS_CLS_TCAM_Y_H", 0xff74, 0 },
39042	{ "MPS_CLS_TCAM_Y_H", 0xff84, 0 },
39043	{ "MPS_CLS_TCAM_Y_H", 0xff94, 0 },
39044	{ "MPS_CLS_TCAM_Y_H", 0xffa4, 0 },
39045	{ "MPS_CLS_TCAM_Y_H", 0xffb4, 0 },
39046	{ "MPS_CLS_TCAM_Y_H", 0xffc4, 0 },
39047	{ "MPS_CLS_TCAM_Y_H", 0xffd4, 0 },
39048	{ "MPS_CLS_TCAM_Y_H", 0xffe4, 0 },
39049	{ "MPS_CLS_TCAM_Y_H", 0xfff4, 0 },
39050	{ "MPS_CLS_TCAM_Y_H", 0x10004, 0 },
39051	{ "MPS_CLS_TCAM_Y_H", 0x10014, 0 },
39052	{ "MPS_CLS_TCAM_Y_H", 0x10024, 0 },
39053	{ "MPS_CLS_TCAM_Y_H", 0x10034, 0 },
39054	{ "MPS_CLS_TCAM_Y_H", 0x10044, 0 },
39055	{ "MPS_CLS_TCAM_Y_H", 0x10054, 0 },
39056	{ "MPS_CLS_TCAM_Y_H", 0x10064, 0 },
39057	{ "MPS_CLS_TCAM_Y_H", 0x10074, 0 },
39058	{ "MPS_CLS_TCAM_Y_H", 0x10084, 0 },
39059	{ "MPS_CLS_TCAM_Y_H", 0x10094, 0 },
39060	{ "MPS_CLS_TCAM_Y_H", 0x100a4, 0 },
39061	{ "MPS_CLS_TCAM_Y_H", 0x100b4, 0 },
39062	{ "MPS_CLS_TCAM_Y_H", 0x100c4, 0 },
39063	{ "MPS_CLS_TCAM_Y_H", 0x100d4, 0 },
39064	{ "MPS_CLS_TCAM_Y_H", 0x100e4, 0 },
39065	{ "MPS_CLS_TCAM_Y_H", 0x100f4, 0 },
39066	{ "MPS_CLS_TCAM_Y_H", 0x10104, 0 },
39067	{ "MPS_CLS_TCAM_Y_H", 0x10114, 0 },
39068	{ "MPS_CLS_TCAM_Y_H", 0x10124, 0 },
39069	{ "MPS_CLS_TCAM_Y_H", 0x10134, 0 },
39070	{ "MPS_CLS_TCAM_Y_H", 0x10144, 0 },
39071	{ "MPS_CLS_TCAM_Y_H", 0x10154, 0 },
39072	{ "MPS_CLS_TCAM_Y_H", 0x10164, 0 },
39073	{ "MPS_CLS_TCAM_Y_H", 0x10174, 0 },
39074	{ "MPS_CLS_TCAM_Y_H", 0x10184, 0 },
39075	{ "MPS_CLS_TCAM_Y_H", 0x10194, 0 },
39076	{ "MPS_CLS_TCAM_Y_H", 0x101a4, 0 },
39077	{ "MPS_CLS_TCAM_Y_H", 0x101b4, 0 },
39078	{ "MPS_CLS_TCAM_Y_H", 0x101c4, 0 },
39079	{ "MPS_CLS_TCAM_Y_H", 0x101d4, 0 },
39080	{ "MPS_CLS_TCAM_Y_H", 0x101e4, 0 },
39081	{ "MPS_CLS_TCAM_Y_H", 0x101f4, 0 },
39082	{ "MPS_CLS_TCAM_Y_H", 0x10204, 0 },
39083	{ "MPS_CLS_TCAM_Y_H", 0x10214, 0 },
39084	{ "MPS_CLS_TCAM_Y_H", 0x10224, 0 },
39085	{ "MPS_CLS_TCAM_Y_H", 0x10234, 0 },
39086	{ "MPS_CLS_TCAM_Y_H", 0x10244, 0 },
39087	{ "MPS_CLS_TCAM_Y_H", 0x10254, 0 },
39088	{ "MPS_CLS_TCAM_Y_H", 0x10264, 0 },
39089	{ "MPS_CLS_TCAM_Y_H", 0x10274, 0 },
39090	{ "MPS_CLS_TCAM_Y_H", 0x10284, 0 },
39091	{ "MPS_CLS_TCAM_Y_H", 0x10294, 0 },
39092	{ "MPS_CLS_TCAM_Y_H", 0x102a4, 0 },
39093	{ "MPS_CLS_TCAM_Y_H", 0x102b4, 0 },
39094	{ "MPS_CLS_TCAM_Y_H", 0x102c4, 0 },
39095	{ "MPS_CLS_TCAM_Y_H", 0x102d4, 0 },
39096	{ "MPS_CLS_TCAM_Y_H", 0x102e4, 0 },
39097	{ "MPS_CLS_TCAM_Y_H", 0x102f4, 0 },
39098	{ "MPS_CLS_TCAM_Y_H", 0x10304, 0 },
39099	{ "MPS_CLS_TCAM_Y_H", 0x10314, 0 },
39100	{ "MPS_CLS_TCAM_Y_H", 0x10324, 0 },
39101	{ "MPS_CLS_TCAM_Y_H", 0x10334, 0 },
39102	{ "MPS_CLS_TCAM_Y_H", 0x10344, 0 },
39103	{ "MPS_CLS_TCAM_Y_H", 0x10354, 0 },
39104	{ "MPS_CLS_TCAM_Y_H", 0x10364, 0 },
39105	{ "MPS_CLS_TCAM_Y_H", 0x10374, 0 },
39106	{ "MPS_CLS_TCAM_Y_H", 0x10384, 0 },
39107	{ "MPS_CLS_TCAM_Y_H", 0x10394, 0 },
39108	{ "MPS_CLS_TCAM_Y_H", 0x103a4, 0 },
39109	{ "MPS_CLS_TCAM_Y_H", 0x103b4, 0 },
39110	{ "MPS_CLS_TCAM_Y_H", 0x103c4, 0 },
39111	{ "MPS_CLS_TCAM_Y_H", 0x103d4, 0 },
39112	{ "MPS_CLS_TCAM_Y_H", 0x103e4, 0 },
39113	{ "MPS_CLS_TCAM_Y_H", 0x103f4, 0 },
39114	{ "MPS_CLS_TCAM_Y_H", 0x10404, 0 },
39115	{ "MPS_CLS_TCAM_Y_H", 0x10414, 0 },
39116	{ "MPS_CLS_TCAM_Y_H", 0x10424, 0 },
39117	{ "MPS_CLS_TCAM_Y_H", 0x10434, 0 },
39118	{ "MPS_CLS_TCAM_Y_H", 0x10444, 0 },
39119	{ "MPS_CLS_TCAM_Y_H", 0x10454, 0 },
39120	{ "MPS_CLS_TCAM_Y_H", 0x10464, 0 },
39121	{ "MPS_CLS_TCAM_Y_H", 0x10474, 0 },
39122	{ "MPS_CLS_TCAM_Y_H", 0x10484, 0 },
39123	{ "MPS_CLS_TCAM_Y_H", 0x10494, 0 },
39124	{ "MPS_CLS_TCAM_Y_H", 0x104a4, 0 },
39125	{ "MPS_CLS_TCAM_Y_H", 0x104b4, 0 },
39126	{ "MPS_CLS_TCAM_Y_H", 0x104c4, 0 },
39127	{ "MPS_CLS_TCAM_Y_H", 0x104d4, 0 },
39128	{ "MPS_CLS_TCAM_Y_H", 0x104e4, 0 },
39129	{ "MPS_CLS_TCAM_Y_H", 0x104f4, 0 },
39130	{ "MPS_CLS_TCAM_Y_H", 0x10504, 0 },
39131	{ "MPS_CLS_TCAM_Y_H", 0x10514, 0 },
39132	{ "MPS_CLS_TCAM_Y_H", 0x10524, 0 },
39133	{ "MPS_CLS_TCAM_Y_H", 0x10534, 0 },
39134	{ "MPS_CLS_TCAM_Y_H", 0x10544, 0 },
39135	{ "MPS_CLS_TCAM_Y_H", 0x10554, 0 },
39136	{ "MPS_CLS_TCAM_Y_H", 0x10564, 0 },
39137	{ "MPS_CLS_TCAM_Y_H", 0x10574, 0 },
39138	{ "MPS_CLS_TCAM_Y_H", 0x10584, 0 },
39139	{ "MPS_CLS_TCAM_Y_H", 0x10594, 0 },
39140	{ "MPS_CLS_TCAM_Y_H", 0x105a4, 0 },
39141	{ "MPS_CLS_TCAM_Y_H", 0x105b4, 0 },
39142	{ "MPS_CLS_TCAM_Y_H", 0x105c4, 0 },
39143	{ "MPS_CLS_TCAM_Y_H", 0x105d4, 0 },
39144	{ "MPS_CLS_TCAM_Y_H", 0x105e4, 0 },
39145	{ "MPS_CLS_TCAM_Y_H", 0x105f4, 0 },
39146	{ "MPS_CLS_TCAM_Y_H", 0x10604, 0 },
39147	{ "MPS_CLS_TCAM_Y_H", 0x10614, 0 },
39148	{ "MPS_CLS_TCAM_Y_H", 0x10624, 0 },
39149	{ "MPS_CLS_TCAM_Y_H", 0x10634, 0 },
39150	{ "MPS_CLS_TCAM_Y_H", 0x10644, 0 },
39151	{ "MPS_CLS_TCAM_Y_H", 0x10654, 0 },
39152	{ "MPS_CLS_TCAM_Y_H", 0x10664, 0 },
39153	{ "MPS_CLS_TCAM_Y_H", 0x10674, 0 },
39154	{ "MPS_CLS_TCAM_Y_H", 0x10684, 0 },
39155	{ "MPS_CLS_TCAM_Y_H", 0x10694, 0 },
39156	{ "MPS_CLS_TCAM_Y_H", 0x106a4, 0 },
39157	{ "MPS_CLS_TCAM_Y_H", 0x106b4, 0 },
39158	{ "MPS_CLS_TCAM_Y_H", 0x106c4, 0 },
39159	{ "MPS_CLS_TCAM_Y_H", 0x106d4, 0 },
39160	{ "MPS_CLS_TCAM_Y_H", 0x106e4, 0 },
39161	{ "MPS_CLS_TCAM_Y_H", 0x106f4, 0 },
39162	{ "MPS_CLS_TCAM_Y_H", 0x10704, 0 },
39163	{ "MPS_CLS_TCAM_Y_H", 0x10714, 0 },
39164	{ "MPS_CLS_TCAM_Y_H", 0x10724, 0 },
39165	{ "MPS_CLS_TCAM_Y_H", 0x10734, 0 },
39166	{ "MPS_CLS_TCAM_Y_H", 0x10744, 0 },
39167	{ "MPS_CLS_TCAM_Y_H", 0x10754, 0 },
39168	{ "MPS_CLS_TCAM_Y_H", 0x10764, 0 },
39169	{ "MPS_CLS_TCAM_Y_H", 0x10774, 0 },
39170	{ "MPS_CLS_TCAM_Y_H", 0x10784, 0 },
39171	{ "MPS_CLS_TCAM_Y_H", 0x10794, 0 },
39172	{ "MPS_CLS_TCAM_Y_H", 0x107a4, 0 },
39173	{ "MPS_CLS_TCAM_Y_H", 0x107b4, 0 },
39174	{ "MPS_CLS_TCAM_Y_H", 0x107c4, 0 },
39175	{ "MPS_CLS_TCAM_Y_H", 0x107d4, 0 },
39176	{ "MPS_CLS_TCAM_Y_H", 0x107e4, 0 },
39177	{ "MPS_CLS_TCAM_Y_H", 0x107f4, 0 },
39178	{ "MPS_CLS_TCAM_Y_H", 0x10804, 0 },
39179	{ "MPS_CLS_TCAM_Y_H", 0x10814, 0 },
39180	{ "MPS_CLS_TCAM_Y_H", 0x10824, 0 },
39181	{ "MPS_CLS_TCAM_Y_H", 0x10834, 0 },
39182	{ "MPS_CLS_TCAM_Y_H", 0x10844, 0 },
39183	{ "MPS_CLS_TCAM_Y_H", 0x10854, 0 },
39184	{ "MPS_CLS_TCAM_Y_H", 0x10864, 0 },
39185	{ "MPS_CLS_TCAM_Y_H", 0x10874, 0 },
39186	{ "MPS_CLS_TCAM_Y_H", 0x10884, 0 },
39187	{ "MPS_CLS_TCAM_Y_H", 0x10894, 0 },
39188	{ "MPS_CLS_TCAM_Y_H", 0x108a4, 0 },
39189	{ "MPS_CLS_TCAM_Y_H", 0x108b4, 0 },
39190	{ "MPS_CLS_TCAM_Y_H", 0x108c4, 0 },
39191	{ "MPS_CLS_TCAM_Y_H", 0x108d4, 0 },
39192	{ "MPS_CLS_TCAM_Y_H", 0x108e4, 0 },
39193	{ "MPS_CLS_TCAM_Y_H", 0x108f4, 0 },
39194	{ "MPS_CLS_TCAM_Y_H", 0x10904, 0 },
39195	{ "MPS_CLS_TCAM_Y_H", 0x10914, 0 },
39196	{ "MPS_CLS_TCAM_Y_H", 0x10924, 0 },
39197	{ "MPS_CLS_TCAM_Y_H", 0x10934, 0 },
39198	{ "MPS_CLS_TCAM_Y_H", 0x10944, 0 },
39199	{ "MPS_CLS_TCAM_Y_H", 0x10954, 0 },
39200	{ "MPS_CLS_TCAM_Y_H", 0x10964, 0 },
39201	{ "MPS_CLS_TCAM_Y_H", 0x10974, 0 },
39202	{ "MPS_CLS_TCAM_Y_H", 0x10984, 0 },
39203	{ "MPS_CLS_TCAM_Y_H", 0x10994, 0 },
39204	{ "MPS_CLS_TCAM_Y_H", 0x109a4, 0 },
39205	{ "MPS_CLS_TCAM_Y_H", 0x109b4, 0 },
39206	{ "MPS_CLS_TCAM_Y_H", 0x109c4, 0 },
39207	{ "MPS_CLS_TCAM_Y_H", 0x109d4, 0 },
39208	{ "MPS_CLS_TCAM_Y_H", 0x109e4, 0 },
39209	{ "MPS_CLS_TCAM_Y_H", 0x109f4, 0 },
39210	{ "MPS_CLS_TCAM_Y_H", 0x10a04, 0 },
39211	{ "MPS_CLS_TCAM_Y_H", 0x10a14, 0 },
39212	{ "MPS_CLS_TCAM_Y_H", 0x10a24, 0 },
39213	{ "MPS_CLS_TCAM_Y_H", 0x10a34, 0 },
39214	{ "MPS_CLS_TCAM_Y_H", 0x10a44, 0 },
39215	{ "MPS_CLS_TCAM_Y_H", 0x10a54, 0 },
39216	{ "MPS_CLS_TCAM_Y_H", 0x10a64, 0 },
39217	{ "MPS_CLS_TCAM_Y_H", 0x10a74, 0 },
39218	{ "MPS_CLS_TCAM_Y_H", 0x10a84, 0 },
39219	{ "MPS_CLS_TCAM_Y_H", 0x10a94, 0 },
39220	{ "MPS_CLS_TCAM_Y_H", 0x10aa4, 0 },
39221	{ "MPS_CLS_TCAM_Y_H", 0x10ab4, 0 },
39222	{ "MPS_CLS_TCAM_Y_H", 0x10ac4, 0 },
39223	{ "MPS_CLS_TCAM_Y_H", 0x10ad4, 0 },
39224	{ "MPS_CLS_TCAM_Y_H", 0x10ae4, 0 },
39225	{ "MPS_CLS_TCAM_Y_H", 0x10af4, 0 },
39226	{ "MPS_CLS_TCAM_Y_H", 0x10b04, 0 },
39227	{ "MPS_CLS_TCAM_Y_H", 0x10b14, 0 },
39228	{ "MPS_CLS_TCAM_Y_H", 0x10b24, 0 },
39229	{ "MPS_CLS_TCAM_Y_H", 0x10b34, 0 },
39230	{ "MPS_CLS_TCAM_Y_H", 0x10b44, 0 },
39231	{ "MPS_CLS_TCAM_Y_H", 0x10b54, 0 },
39232	{ "MPS_CLS_TCAM_Y_H", 0x10b64, 0 },
39233	{ "MPS_CLS_TCAM_Y_H", 0x10b74, 0 },
39234	{ "MPS_CLS_TCAM_Y_H", 0x10b84, 0 },
39235	{ "MPS_CLS_TCAM_Y_H", 0x10b94, 0 },
39236	{ "MPS_CLS_TCAM_Y_H", 0x10ba4, 0 },
39237	{ "MPS_CLS_TCAM_Y_H", 0x10bb4, 0 },
39238	{ "MPS_CLS_TCAM_Y_H", 0x10bc4, 0 },
39239	{ "MPS_CLS_TCAM_Y_H", 0x10bd4, 0 },
39240	{ "MPS_CLS_TCAM_Y_H", 0x10be4, 0 },
39241	{ "MPS_CLS_TCAM_Y_H", 0x10bf4, 0 },
39242	{ "MPS_CLS_TCAM_Y_H", 0x10c04, 0 },
39243	{ "MPS_CLS_TCAM_Y_H", 0x10c14, 0 },
39244	{ "MPS_CLS_TCAM_Y_H", 0x10c24, 0 },
39245	{ "MPS_CLS_TCAM_Y_H", 0x10c34, 0 },
39246	{ "MPS_CLS_TCAM_Y_H", 0x10c44, 0 },
39247	{ "MPS_CLS_TCAM_Y_H", 0x10c54, 0 },
39248	{ "MPS_CLS_TCAM_Y_H", 0x10c64, 0 },
39249	{ "MPS_CLS_TCAM_Y_H", 0x10c74, 0 },
39250	{ "MPS_CLS_TCAM_Y_H", 0x10c84, 0 },
39251	{ "MPS_CLS_TCAM_Y_H", 0x10c94, 0 },
39252	{ "MPS_CLS_TCAM_Y_H", 0x10ca4, 0 },
39253	{ "MPS_CLS_TCAM_Y_H", 0x10cb4, 0 },
39254	{ "MPS_CLS_TCAM_Y_H", 0x10cc4, 0 },
39255	{ "MPS_CLS_TCAM_Y_H", 0x10cd4, 0 },
39256	{ "MPS_CLS_TCAM_Y_H", 0x10ce4, 0 },
39257	{ "MPS_CLS_TCAM_Y_H", 0x10cf4, 0 },
39258	{ "MPS_CLS_TCAM_Y_H", 0x10d04, 0 },
39259	{ "MPS_CLS_TCAM_Y_H", 0x10d14, 0 },
39260	{ "MPS_CLS_TCAM_Y_H", 0x10d24, 0 },
39261	{ "MPS_CLS_TCAM_Y_H", 0x10d34, 0 },
39262	{ "MPS_CLS_TCAM_Y_H", 0x10d44, 0 },
39263	{ "MPS_CLS_TCAM_Y_H", 0x10d54, 0 },
39264	{ "MPS_CLS_TCAM_Y_H", 0x10d64, 0 },
39265	{ "MPS_CLS_TCAM_Y_H", 0x10d74, 0 },
39266	{ "MPS_CLS_TCAM_Y_H", 0x10d84, 0 },
39267	{ "MPS_CLS_TCAM_Y_H", 0x10d94, 0 },
39268	{ "MPS_CLS_TCAM_Y_H", 0x10da4, 0 },
39269	{ "MPS_CLS_TCAM_Y_H", 0x10db4, 0 },
39270	{ "MPS_CLS_TCAM_Y_H", 0x10dc4, 0 },
39271	{ "MPS_CLS_TCAM_Y_H", 0x10dd4, 0 },
39272	{ "MPS_CLS_TCAM_Y_H", 0x10de4, 0 },
39273	{ "MPS_CLS_TCAM_Y_H", 0x10df4, 0 },
39274	{ "MPS_CLS_TCAM_Y_H", 0x10e04, 0 },
39275	{ "MPS_CLS_TCAM_Y_H", 0x10e14, 0 },
39276	{ "MPS_CLS_TCAM_Y_H", 0x10e24, 0 },
39277	{ "MPS_CLS_TCAM_Y_H", 0x10e34, 0 },
39278	{ "MPS_CLS_TCAM_Y_H", 0x10e44, 0 },
39279	{ "MPS_CLS_TCAM_Y_H", 0x10e54, 0 },
39280	{ "MPS_CLS_TCAM_Y_H", 0x10e64, 0 },
39281	{ "MPS_CLS_TCAM_Y_H", 0x10e74, 0 },
39282	{ "MPS_CLS_TCAM_Y_H", 0x10e84, 0 },
39283	{ "MPS_CLS_TCAM_Y_H", 0x10e94, 0 },
39284	{ "MPS_CLS_TCAM_Y_H", 0x10ea4, 0 },
39285	{ "MPS_CLS_TCAM_Y_H", 0x10eb4, 0 },
39286	{ "MPS_CLS_TCAM_Y_H", 0x10ec4, 0 },
39287	{ "MPS_CLS_TCAM_Y_H", 0x10ed4, 0 },
39288	{ "MPS_CLS_TCAM_Y_H", 0x10ee4, 0 },
39289	{ "MPS_CLS_TCAM_Y_H", 0x10ef4, 0 },
39290	{ "MPS_CLS_TCAM_Y_H", 0x10f04, 0 },
39291	{ "MPS_CLS_TCAM_Y_H", 0x10f14, 0 },
39292	{ "MPS_CLS_TCAM_Y_H", 0x10f24, 0 },
39293	{ "MPS_CLS_TCAM_Y_H", 0x10f34, 0 },
39294	{ "MPS_CLS_TCAM_Y_H", 0x10f44, 0 },
39295	{ "MPS_CLS_TCAM_Y_H", 0x10f54, 0 },
39296	{ "MPS_CLS_TCAM_Y_H", 0x10f64, 0 },
39297	{ "MPS_CLS_TCAM_Y_H", 0x10f74, 0 },
39298	{ "MPS_CLS_TCAM_Y_H", 0x10f84, 0 },
39299	{ "MPS_CLS_TCAM_Y_H", 0x10f94, 0 },
39300	{ "MPS_CLS_TCAM_Y_H", 0x10fa4, 0 },
39301	{ "MPS_CLS_TCAM_Y_H", 0x10fb4, 0 },
39302	{ "MPS_CLS_TCAM_Y_H", 0x10fc4, 0 },
39303	{ "MPS_CLS_TCAM_Y_H", 0x10fd4, 0 },
39304	{ "MPS_CLS_TCAM_Y_H", 0x10fe4, 0 },
39305	{ "MPS_CLS_TCAM_Y_H", 0x10ff4, 0 },
39306	{ "MPS_CLS_TCAM_X_L", 0xf008, 0 },
39307	{ "MPS_CLS_TCAM_X_L", 0xf018, 0 },
39308	{ "MPS_CLS_TCAM_X_L", 0xf028, 0 },
39309	{ "MPS_CLS_TCAM_X_L", 0xf038, 0 },
39310	{ "MPS_CLS_TCAM_X_L", 0xf048, 0 },
39311	{ "MPS_CLS_TCAM_X_L", 0xf058, 0 },
39312	{ "MPS_CLS_TCAM_X_L", 0xf068, 0 },
39313	{ "MPS_CLS_TCAM_X_L", 0xf078, 0 },
39314	{ "MPS_CLS_TCAM_X_L", 0xf088, 0 },
39315	{ "MPS_CLS_TCAM_X_L", 0xf098, 0 },
39316	{ "MPS_CLS_TCAM_X_L", 0xf0a8, 0 },
39317	{ "MPS_CLS_TCAM_X_L", 0xf0b8, 0 },
39318	{ "MPS_CLS_TCAM_X_L", 0xf0c8, 0 },
39319	{ "MPS_CLS_TCAM_X_L", 0xf0d8, 0 },
39320	{ "MPS_CLS_TCAM_X_L", 0xf0e8, 0 },
39321	{ "MPS_CLS_TCAM_X_L", 0xf0f8, 0 },
39322	{ "MPS_CLS_TCAM_X_L", 0xf108, 0 },
39323	{ "MPS_CLS_TCAM_X_L", 0xf118, 0 },
39324	{ "MPS_CLS_TCAM_X_L", 0xf128, 0 },
39325	{ "MPS_CLS_TCAM_X_L", 0xf138, 0 },
39326	{ "MPS_CLS_TCAM_X_L", 0xf148, 0 },
39327	{ "MPS_CLS_TCAM_X_L", 0xf158, 0 },
39328	{ "MPS_CLS_TCAM_X_L", 0xf168, 0 },
39329	{ "MPS_CLS_TCAM_X_L", 0xf178, 0 },
39330	{ "MPS_CLS_TCAM_X_L", 0xf188, 0 },
39331	{ "MPS_CLS_TCAM_X_L", 0xf198, 0 },
39332	{ "MPS_CLS_TCAM_X_L", 0xf1a8, 0 },
39333	{ "MPS_CLS_TCAM_X_L", 0xf1b8, 0 },
39334	{ "MPS_CLS_TCAM_X_L", 0xf1c8, 0 },
39335	{ "MPS_CLS_TCAM_X_L", 0xf1d8, 0 },
39336	{ "MPS_CLS_TCAM_X_L", 0xf1e8, 0 },
39337	{ "MPS_CLS_TCAM_X_L", 0xf1f8, 0 },
39338	{ "MPS_CLS_TCAM_X_L", 0xf208, 0 },
39339	{ "MPS_CLS_TCAM_X_L", 0xf218, 0 },
39340	{ "MPS_CLS_TCAM_X_L", 0xf228, 0 },
39341	{ "MPS_CLS_TCAM_X_L", 0xf238, 0 },
39342	{ "MPS_CLS_TCAM_X_L", 0xf248, 0 },
39343	{ "MPS_CLS_TCAM_X_L", 0xf258, 0 },
39344	{ "MPS_CLS_TCAM_X_L", 0xf268, 0 },
39345	{ "MPS_CLS_TCAM_X_L", 0xf278, 0 },
39346	{ "MPS_CLS_TCAM_X_L", 0xf288, 0 },
39347	{ "MPS_CLS_TCAM_X_L", 0xf298, 0 },
39348	{ "MPS_CLS_TCAM_X_L", 0xf2a8, 0 },
39349	{ "MPS_CLS_TCAM_X_L", 0xf2b8, 0 },
39350	{ "MPS_CLS_TCAM_X_L", 0xf2c8, 0 },
39351	{ "MPS_CLS_TCAM_X_L", 0xf2d8, 0 },
39352	{ "MPS_CLS_TCAM_X_L", 0xf2e8, 0 },
39353	{ "MPS_CLS_TCAM_X_L", 0xf2f8, 0 },
39354	{ "MPS_CLS_TCAM_X_L", 0xf308, 0 },
39355	{ "MPS_CLS_TCAM_X_L", 0xf318, 0 },
39356	{ "MPS_CLS_TCAM_X_L", 0xf328, 0 },
39357	{ "MPS_CLS_TCAM_X_L", 0xf338, 0 },
39358	{ "MPS_CLS_TCAM_X_L", 0xf348, 0 },
39359	{ "MPS_CLS_TCAM_X_L", 0xf358, 0 },
39360	{ "MPS_CLS_TCAM_X_L", 0xf368, 0 },
39361	{ "MPS_CLS_TCAM_X_L", 0xf378, 0 },
39362	{ "MPS_CLS_TCAM_X_L", 0xf388, 0 },
39363	{ "MPS_CLS_TCAM_X_L", 0xf398, 0 },
39364	{ "MPS_CLS_TCAM_X_L", 0xf3a8, 0 },
39365	{ "MPS_CLS_TCAM_X_L", 0xf3b8, 0 },
39366	{ "MPS_CLS_TCAM_X_L", 0xf3c8, 0 },
39367	{ "MPS_CLS_TCAM_X_L", 0xf3d8, 0 },
39368	{ "MPS_CLS_TCAM_X_L", 0xf3e8, 0 },
39369	{ "MPS_CLS_TCAM_X_L", 0xf3f8, 0 },
39370	{ "MPS_CLS_TCAM_X_L", 0xf408, 0 },
39371	{ "MPS_CLS_TCAM_X_L", 0xf418, 0 },
39372	{ "MPS_CLS_TCAM_X_L", 0xf428, 0 },
39373	{ "MPS_CLS_TCAM_X_L", 0xf438, 0 },
39374	{ "MPS_CLS_TCAM_X_L", 0xf448, 0 },
39375	{ "MPS_CLS_TCAM_X_L", 0xf458, 0 },
39376	{ "MPS_CLS_TCAM_X_L", 0xf468, 0 },
39377	{ "MPS_CLS_TCAM_X_L", 0xf478, 0 },
39378	{ "MPS_CLS_TCAM_X_L", 0xf488, 0 },
39379	{ "MPS_CLS_TCAM_X_L", 0xf498, 0 },
39380	{ "MPS_CLS_TCAM_X_L", 0xf4a8, 0 },
39381	{ "MPS_CLS_TCAM_X_L", 0xf4b8, 0 },
39382	{ "MPS_CLS_TCAM_X_L", 0xf4c8, 0 },
39383	{ "MPS_CLS_TCAM_X_L", 0xf4d8, 0 },
39384	{ "MPS_CLS_TCAM_X_L", 0xf4e8, 0 },
39385	{ "MPS_CLS_TCAM_X_L", 0xf4f8, 0 },
39386	{ "MPS_CLS_TCAM_X_L", 0xf508, 0 },
39387	{ "MPS_CLS_TCAM_X_L", 0xf518, 0 },
39388	{ "MPS_CLS_TCAM_X_L", 0xf528, 0 },
39389	{ "MPS_CLS_TCAM_X_L", 0xf538, 0 },
39390	{ "MPS_CLS_TCAM_X_L", 0xf548, 0 },
39391	{ "MPS_CLS_TCAM_X_L", 0xf558, 0 },
39392	{ "MPS_CLS_TCAM_X_L", 0xf568, 0 },
39393	{ "MPS_CLS_TCAM_X_L", 0xf578, 0 },
39394	{ "MPS_CLS_TCAM_X_L", 0xf588, 0 },
39395	{ "MPS_CLS_TCAM_X_L", 0xf598, 0 },
39396	{ "MPS_CLS_TCAM_X_L", 0xf5a8, 0 },
39397	{ "MPS_CLS_TCAM_X_L", 0xf5b8, 0 },
39398	{ "MPS_CLS_TCAM_X_L", 0xf5c8, 0 },
39399	{ "MPS_CLS_TCAM_X_L", 0xf5d8, 0 },
39400	{ "MPS_CLS_TCAM_X_L", 0xf5e8, 0 },
39401	{ "MPS_CLS_TCAM_X_L", 0xf5f8, 0 },
39402	{ "MPS_CLS_TCAM_X_L", 0xf608, 0 },
39403	{ "MPS_CLS_TCAM_X_L", 0xf618, 0 },
39404	{ "MPS_CLS_TCAM_X_L", 0xf628, 0 },
39405	{ "MPS_CLS_TCAM_X_L", 0xf638, 0 },
39406	{ "MPS_CLS_TCAM_X_L", 0xf648, 0 },
39407	{ "MPS_CLS_TCAM_X_L", 0xf658, 0 },
39408	{ "MPS_CLS_TCAM_X_L", 0xf668, 0 },
39409	{ "MPS_CLS_TCAM_X_L", 0xf678, 0 },
39410	{ "MPS_CLS_TCAM_X_L", 0xf688, 0 },
39411	{ "MPS_CLS_TCAM_X_L", 0xf698, 0 },
39412	{ "MPS_CLS_TCAM_X_L", 0xf6a8, 0 },
39413	{ "MPS_CLS_TCAM_X_L", 0xf6b8, 0 },
39414	{ "MPS_CLS_TCAM_X_L", 0xf6c8, 0 },
39415	{ "MPS_CLS_TCAM_X_L", 0xf6d8, 0 },
39416	{ "MPS_CLS_TCAM_X_L", 0xf6e8, 0 },
39417	{ "MPS_CLS_TCAM_X_L", 0xf6f8, 0 },
39418	{ "MPS_CLS_TCAM_X_L", 0xf708, 0 },
39419	{ "MPS_CLS_TCAM_X_L", 0xf718, 0 },
39420	{ "MPS_CLS_TCAM_X_L", 0xf728, 0 },
39421	{ "MPS_CLS_TCAM_X_L", 0xf738, 0 },
39422	{ "MPS_CLS_TCAM_X_L", 0xf748, 0 },
39423	{ "MPS_CLS_TCAM_X_L", 0xf758, 0 },
39424	{ "MPS_CLS_TCAM_X_L", 0xf768, 0 },
39425	{ "MPS_CLS_TCAM_X_L", 0xf778, 0 },
39426	{ "MPS_CLS_TCAM_X_L", 0xf788, 0 },
39427	{ "MPS_CLS_TCAM_X_L", 0xf798, 0 },
39428	{ "MPS_CLS_TCAM_X_L", 0xf7a8, 0 },
39429	{ "MPS_CLS_TCAM_X_L", 0xf7b8, 0 },
39430	{ "MPS_CLS_TCAM_X_L", 0xf7c8, 0 },
39431	{ "MPS_CLS_TCAM_X_L", 0xf7d8, 0 },
39432	{ "MPS_CLS_TCAM_X_L", 0xf7e8, 0 },
39433	{ "MPS_CLS_TCAM_X_L", 0xf7f8, 0 },
39434	{ "MPS_CLS_TCAM_X_L", 0xf808, 0 },
39435	{ "MPS_CLS_TCAM_X_L", 0xf818, 0 },
39436	{ "MPS_CLS_TCAM_X_L", 0xf828, 0 },
39437	{ "MPS_CLS_TCAM_X_L", 0xf838, 0 },
39438	{ "MPS_CLS_TCAM_X_L", 0xf848, 0 },
39439	{ "MPS_CLS_TCAM_X_L", 0xf858, 0 },
39440	{ "MPS_CLS_TCAM_X_L", 0xf868, 0 },
39441	{ "MPS_CLS_TCAM_X_L", 0xf878, 0 },
39442	{ "MPS_CLS_TCAM_X_L", 0xf888, 0 },
39443	{ "MPS_CLS_TCAM_X_L", 0xf898, 0 },
39444	{ "MPS_CLS_TCAM_X_L", 0xf8a8, 0 },
39445	{ "MPS_CLS_TCAM_X_L", 0xf8b8, 0 },
39446	{ "MPS_CLS_TCAM_X_L", 0xf8c8, 0 },
39447	{ "MPS_CLS_TCAM_X_L", 0xf8d8, 0 },
39448	{ "MPS_CLS_TCAM_X_L", 0xf8e8, 0 },
39449	{ "MPS_CLS_TCAM_X_L", 0xf8f8, 0 },
39450	{ "MPS_CLS_TCAM_X_L", 0xf908, 0 },
39451	{ "MPS_CLS_TCAM_X_L", 0xf918, 0 },
39452	{ "MPS_CLS_TCAM_X_L", 0xf928, 0 },
39453	{ "MPS_CLS_TCAM_X_L", 0xf938, 0 },
39454	{ "MPS_CLS_TCAM_X_L", 0xf948, 0 },
39455	{ "MPS_CLS_TCAM_X_L", 0xf958, 0 },
39456	{ "MPS_CLS_TCAM_X_L", 0xf968, 0 },
39457	{ "MPS_CLS_TCAM_X_L", 0xf978, 0 },
39458	{ "MPS_CLS_TCAM_X_L", 0xf988, 0 },
39459	{ "MPS_CLS_TCAM_X_L", 0xf998, 0 },
39460	{ "MPS_CLS_TCAM_X_L", 0xf9a8, 0 },
39461	{ "MPS_CLS_TCAM_X_L", 0xf9b8, 0 },
39462	{ "MPS_CLS_TCAM_X_L", 0xf9c8, 0 },
39463	{ "MPS_CLS_TCAM_X_L", 0xf9d8, 0 },
39464	{ "MPS_CLS_TCAM_X_L", 0xf9e8, 0 },
39465	{ "MPS_CLS_TCAM_X_L", 0xf9f8, 0 },
39466	{ "MPS_CLS_TCAM_X_L", 0xfa08, 0 },
39467	{ "MPS_CLS_TCAM_X_L", 0xfa18, 0 },
39468	{ "MPS_CLS_TCAM_X_L", 0xfa28, 0 },
39469	{ "MPS_CLS_TCAM_X_L", 0xfa38, 0 },
39470	{ "MPS_CLS_TCAM_X_L", 0xfa48, 0 },
39471	{ "MPS_CLS_TCAM_X_L", 0xfa58, 0 },
39472	{ "MPS_CLS_TCAM_X_L", 0xfa68, 0 },
39473	{ "MPS_CLS_TCAM_X_L", 0xfa78, 0 },
39474	{ "MPS_CLS_TCAM_X_L", 0xfa88, 0 },
39475	{ "MPS_CLS_TCAM_X_L", 0xfa98, 0 },
39476	{ "MPS_CLS_TCAM_X_L", 0xfaa8, 0 },
39477	{ "MPS_CLS_TCAM_X_L", 0xfab8, 0 },
39478	{ "MPS_CLS_TCAM_X_L", 0xfac8, 0 },
39479	{ "MPS_CLS_TCAM_X_L", 0xfad8, 0 },
39480	{ "MPS_CLS_TCAM_X_L", 0xfae8, 0 },
39481	{ "MPS_CLS_TCAM_X_L", 0xfaf8, 0 },
39482	{ "MPS_CLS_TCAM_X_L", 0xfb08, 0 },
39483	{ "MPS_CLS_TCAM_X_L", 0xfb18, 0 },
39484	{ "MPS_CLS_TCAM_X_L", 0xfb28, 0 },
39485	{ "MPS_CLS_TCAM_X_L", 0xfb38, 0 },
39486	{ "MPS_CLS_TCAM_X_L", 0xfb48, 0 },
39487	{ "MPS_CLS_TCAM_X_L", 0xfb58, 0 },
39488	{ "MPS_CLS_TCAM_X_L", 0xfb68, 0 },
39489	{ "MPS_CLS_TCAM_X_L", 0xfb78, 0 },
39490	{ "MPS_CLS_TCAM_X_L", 0xfb88, 0 },
39491	{ "MPS_CLS_TCAM_X_L", 0xfb98, 0 },
39492	{ "MPS_CLS_TCAM_X_L", 0xfba8, 0 },
39493	{ "MPS_CLS_TCAM_X_L", 0xfbb8, 0 },
39494	{ "MPS_CLS_TCAM_X_L", 0xfbc8, 0 },
39495	{ "MPS_CLS_TCAM_X_L", 0xfbd8, 0 },
39496	{ "MPS_CLS_TCAM_X_L", 0xfbe8, 0 },
39497	{ "MPS_CLS_TCAM_X_L", 0xfbf8, 0 },
39498	{ "MPS_CLS_TCAM_X_L", 0xfc08, 0 },
39499	{ "MPS_CLS_TCAM_X_L", 0xfc18, 0 },
39500	{ "MPS_CLS_TCAM_X_L", 0xfc28, 0 },
39501	{ "MPS_CLS_TCAM_X_L", 0xfc38, 0 },
39502	{ "MPS_CLS_TCAM_X_L", 0xfc48, 0 },
39503	{ "MPS_CLS_TCAM_X_L", 0xfc58, 0 },
39504	{ "MPS_CLS_TCAM_X_L", 0xfc68, 0 },
39505	{ "MPS_CLS_TCAM_X_L", 0xfc78, 0 },
39506	{ "MPS_CLS_TCAM_X_L", 0xfc88, 0 },
39507	{ "MPS_CLS_TCAM_X_L", 0xfc98, 0 },
39508	{ "MPS_CLS_TCAM_X_L", 0xfca8, 0 },
39509	{ "MPS_CLS_TCAM_X_L", 0xfcb8, 0 },
39510	{ "MPS_CLS_TCAM_X_L", 0xfcc8, 0 },
39511	{ "MPS_CLS_TCAM_X_L", 0xfcd8, 0 },
39512	{ "MPS_CLS_TCAM_X_L", 0xfce8, 0 },
39513	{ "MPS_CLS_TCAM_X_L", 0xfcf8, 0 },
39514	{ "MPS_CLS_TCAM_X_L", 0xfd08, 0 },
39515	{ "MPS_CLS_TCAM_X_L", 0xfd18, 0 },
39516	{ "MPS_CLS_TCAM_X_L", 0xfd28, 0 },
39517	{ "MPS_CLS_TCAM_X_L", 0xfd38, 0 },
39518	{ "MPS_CLS_TCAM_X_L", 0xfd48, 0 },
39519	{ "MPS_CLS_TCAM_X_L", 0xfd58, 0 },
39520	{ "MPS_CLS_TCAM_X_L", 0xfd68, 0 },
39521	{ "MPS_CLS_TCAM_X_L", 0xfd78, 0 },
39522	{ "MPS_CLS_TCAM_X_L", 0xfd88, 0 },
39523	{ "MPS_CLS_TCAM_X_L", 0xfd98, 0 },
39524	{ "MPS_CLS_TCAM_X_L", 0xfda8, 0 },
39525	{ "MPS_CLS_TCAM_X_L", 0xfdb8, 0 },
39526	{ "MPS_CLS_TCAM_X_L", 0xfdc8, 0 },
39527	{ "MPS_CLS_TCAM_X_L", 0xfdd8, 0 },
39528	{ "MPS_CLS_TCAM_X_L", 0xfde8, 0 },
39529	{ "MPS_CLS_TCAM_X_L", 0xfdf8, 0 },
39530	{ "MPS_CLS_TCAM_X_L", 0xfe08, 0 },
39531	{ "MPS_CLS_TCAM_X_L", 0xfe18, 0 },
39532	{ "MPS_CLS_TCAM_X_L", 0xfe28, 0 },
39533	{ "MPS_CLS_TCAM_X_L", 0xfe38, 0 },
39534	{ "MPS_CLS_TCAM_X_L", 0xfe48, 0 },
39535	{ "MPS_CLS_TCAM_X_L", 0xfe58, 0 },
39536	{ "MPS_CLS_TCAM_X_L", 0xfe68, 0 },
39537	{ "MPS_CLS_TCAM_X_L", 0xfe78, 0 },
39538	{ "MPS_CLS_TCAM_X_L", 0xfe88, 0 },
39539	{ "MPS_CLS_TCAM_X_L", 0xfe98, 0 },
39540	{ "MPS_CLS_TCAM_X_L", 0xfea8, 0 },
39541	{ "MPS_CLS_TCAM_X_L", 0xfeb8, 0 },
39542	{ "MPS_CLS_TCAM_X_L", 0xfec8, 0 },
39543	{ "MPS_CLS_TCAM_X_L", 0xfed8, 0 },
39544	{ "MPS_CLS_TCAM_X_L", 0xfee8, 0 },
39545	{ "MPS_CLS_TCAM_X_L", 0xfef8, 0 },
39546	{ "MPS_CLS_TCAM_X_L", 0xff08, 0 },
39547	{ "MPS_CLS_TCAM_X_L", 0xff18, 0 },
39548	{ "MPS_CLS_TCAM_X_L", 0xff28, 0 },
39549	{ "MPS_CLS_TCAM_X_L", 0xff38, 0 },
39550	{ "MPS_CLS_TCAM_X_L", 0xff48, 0 },
39551	{ "MPS_CLS_TCAM_X_L", 0xff58, 0 },
39552	{ "MPS_CLS_TCAM_X_L", 0xff68, 0 },
39553	{ "MPS_CLS_TCAM_X_L", 0xff78, 0 },
39554	{ "MPS_CLS_TCAM_X_L", 0xff88, 0 },
39555	{ "MPS_CLS_TCAM_X_L", 0xff98, 0 },
39556	{ "MPS_CLS_TCAM_X_L", 0xffa8, 0 },
39557	{ "MPS_CLS_TCAM_X_L", 0xffb8, 0 },
39558	{ "MPS_CLS_TCAM_X_L", 0xffc8, 0 },
39559	{ "MPS_CLS_TCAM_X_L", 0xffd8, 0 },
39560	{ "MPS_CLS_TCAM_X_L", 0xffe8, 0 },
39561	{ "MPS_CLS_TCAM_X_L", 0xfff8, 0 },
39562	{ "MPS_CLS_TCAM_X_L", 0x10008, 0 },
39563	{ "MPS_CLS_TCAM_X_L", 0x10018, 0 },
39564	{ "MPS_CLS_TCAM_X_L", 0x10028, 0 },
39565	{ "MPS_CLS_TCAM_X_L", 0x10038, 0 },
39566	{ "MPS_CLS_TCAM_X_L", 0x10048, 0 },
39567	{ "MPS_CLS_TCAM_X_L", 0x10058, 0 },
39568	{ "MPS_CLS_TCAM_X_L", 0x10068, 0 },
39569	{ "MPS_CLS_TCAM_X_L", 0x10078, 0 },
39570	{ "MPS_CLS_TCAM_X_L", 0x10088, 0 },
39571	{ "MPS_CLS_TCAM_X_L", 0x10098, 0 },
39572	{ "MPS_CLS_TCAM_X_L", 0x100a8, 0 },
39573	{ "MPS_CLS_TCAM_X_L", 0x100b8, 0 },
39574	{ "MPS_CLS_TCAM_X_L", 0x100c8, 0 },
39575	{ "MPS_CLS_TCAM_X_L", 0x100d8, 0 },
39576	{ "MPS_CLS_TCAM_X_L", 0x100e8, 0 },
39577	{ "MPS_CLS_TCAM_X_L", 0x100f8, 0 },
39578	{ "MPS_CLS_TCAM_X_L", 0x10108, 0 },
39579	{ "MPS_CLS_TCAM_X_L", 0x10118, 0 },
39580	{ "MPS_CLS_TCAM_X_L", 0x10128, 0 },
39581	{ "MPS_CLS_TCAM_X_L", 0x10138, 0 },
39582	{ "MPS_CLS_TCAM_X_L", 0x10148, 0 },
39583	{ "MPS_CLS_TCAM_X_L", 0x10158, 0 },
39584	{ "MPS_CLS_TCAM_X_L", 0x10168, 0 },
39585	{ "MPS_CLS_TCAM_X_L", 0x10178, 0 },
39586	{ "MPS_CLS_TCAM_X_L", 0x10188, 0 },
39587	{ "MPS_CLS_TCAM_X_L", 0x10198, 0 },
39588	{ "MPS_CLS_TCAM_X_L", 0x101a8, 0 },
39589	{ "MPS_CLS_TCAM_X_L", 0x101b8, 0 },
39590	{ "MPS_CLS_TCAM_X_L", 0x101c8, 0 },
39591	{ "MPS_CLS_TCAM_X_L", 0x101d8, 0 },
39592	{ "MPS_CLS_TCAM_X_L", 0x101e8, 0 },
39593	{ "MPS_CLS_TCAM_X_L", 0x101f8, 0 },
39594	{ "MPS_CLS_TCAM_X_L", 0x10208, 0 },
39595	{ "MPS_CLS_TCAM_X_L", 0x10218, 0 },
39596	{ "MPS_CLS_TCAM_X_L", 0x10228, 0 },
39597	{ "MPS_CLS_TCAM_X_L", 0x10238, 0 },
39598	{ "MPS_CLS_TCAM_X_L", 0x10248, 0 },
39599	{ "MPS_CLS_TCAM_X_L", 0x10258, 0 },
39600	{ "MPS_CLS_TCAM_X_L", 0x10268, 0 },
39601	{ "MPS_CLS_TCAM_X_L", 0x10278, 0 },
39602	{ "MPS_CLS_TCAM_X_L", 0x10288, 0 },
39603	{ "MPS_CLS_TCAM_X_L", 0x10298, 0 },
39604	{ "MPS_CLS_TCAM_X_L", 0x102a8, 0 },
39605	{ "MPS_CLS_TCAM_X_L", 0x102b8, 0 },
39606	{ "MPS_CLS_TCAM_X_L", 0x102c8, 0 },
39607	{ "MPS_CLS_TCAM_X_L", 0x102d8, 0 },
39608	{ "MPS_CLS_TCAM_X_L", 0x102e8, 0 },
39609	{ "MPS_CLS_TCAM_X_L", 0x102f8, 0 },
39610	{ "MPS_CLS_TCAM_X_L", 0x10308, 0 },
39611	{ "MPS_CLS_TCAM_X_L", 0x10318, 0 },
39612	{ "MPS_CLS_TCAM_X_L", 0x10328, 0 },
39613	{ "MPS_CLS_TCAM_X_L", 0x10338, 0 },
39614	{ "MPS_CLS_TCAM_X_L", 0x10348, 0 },
39615	{ "MPS_CLS_TCAM_X_L", 0x10358, 0 },
39616	{ "MPS_CLS_TCAM_X_L", 0x10368, 0 },
39617	{ "MPS_CLS_TCAM_X_L", 0x10378, 0 },
39618	{ "MPS_CLS_TCAM_X_L", 0x10388, 0 },
39619	{ "MPS_CLS_TCAM_X_L", 0x10398, 0 },
39620	{ "MPS_CLS_TCAM_X_L", 0x103a8, 0 },
39621	{ "MPS_CLS_TCAM_X_L", 0x103b8, 0 },
39622	{ "MPS_CLS_TCAM_X_L", 0x103c8, 0 },
39623	{ "MPS_CLS_TCAM_X_L", 0x103d8, 0 },
39624	{ "MPS_CLS_TCAM_X_L", 0x103e8, 0 },
39625	{ "MPS_CLS_TCAM_X_L", 0x103f8, 0 },
39626	{ "MPS_CLS_TCAM_X_L", 0x10408, 0 },
39627	{ "MPS_CLS_TCAM_X_L", 0x10418, 0 },
39628	{ "MPS_CLS_TCAM_X_L", 0x10428, 0 },
39629	{ "MPS_CLS_TCAM_X_L", 0x10438, 0 },
39630	{ "MPS_CLS_TCAM_X_L", 0x10448, 0 },
39631	{ "MPS_CLS_TCAM_X_L", 0x10458, 0 },
39632	{ "MPS_CLS_TCAM_X_L", 0x10468, 0 },
39633	{ "MPS_CLS_TCAM_X_L", 0x10478, 0 },
39634	{ "MPS_CLS_TCAM_X_L", 0x10488, 0 },
39635	{ "MPS_CLS_TCAM_X_L", 0x10498, 0 },
39636	{ "MPS_CLS_TCAM_X_L", 0x104a8, 0 },
39637	{ "MPS_CLS_TCAM_X_L", 0x104b8, 0 },
39638	{ "MPS_CLS_TCAM_X_L", 0x104c8, 0 },
39639	{ "MPS_CLS_TCAM_X_L", 0x104d8, 0 },
39640	{ "MPS_CLS_TCAM_X_L", 0x104e8, 0 },
39641	{ "MPS_CLS_TCAM_X_L", 0x104f8, 0 },
39642	{ "MPS_CLS_TCAM_X_L", 0x10508, 0 },
39643	{ "MPS_CLS_TCAM_X_L", 0x10518, 0 },
39644	{ "MPS_CLS_TCAM_X_L", 0x10528, 0 },
39645	{ "MPS_CLS_TCAM_X_L", 0x10538, 0 },
39646	{ "MPS_CLS_TCAM_X_L", 0x10548, 0 },
39647	{ "MPS_CLS_TCAM_X_L", 0x10558, 0 },
39648	{ "MPS_CLS_TCAM_X_L", 0x10568, 0 },
39649	{ "MPS_CLS_TCAM_X_L", 0x10578, 0 },
39650	{ "MPS_CLS_TCAM_X_L", 0x10588, 0 },
39651	{ "MPS_CLS_TCAM_X_L", 0x10598, 0 },
39652	{ "MPS_CLS_TCAM_X_L", 0x105a8, 0 },
39653	{ "MPS_CLS_TCAM_X_L", 0x105b8, 0 },
39654	{ "MPS_CLS_TCAM_X_L", 0x105c8, 0 },
39655	{ "MPS_CLS_TCAM_X_L", 0x105d8, 0 },
39656	{ "MPS_CLS_TCAM_X_L", 0x105e8, 0 },
39657	{ "MPS_CLS_TCAM_X_L", 0x105f8, 0 },
39658	{ "MPS_CLS_TCAM_X_L", 0x10608, 0 },
39659	{ "MPS_CLS_TCAM_X_L", 0x10618, 0 },
39660	{ "MPS_CLS_TCAM_X_L", 0x10628, 0 },
39661	{ "MPS_CLS_TCAM_X_L", 0x10638, 0 },
39662	{ "MPS_CLS_TCAM_X_L", 0x10648, 0 },
39663	{ "MPS_CLS_TCAM_X_L", 0x10658, 0 },
39664	{ "MPS_CLS_TCAM_X_L", 0x10668, 0 },
39665	{ "MPS_CLS_TCAM_X_L", 0x10678, 0 },
39666	{ "MPS_CLS_TCAM_X_L", 0x10688, 0 },
39667	{ "MPS_CLS_TCAM_X_L", 0x10698, 0 },
39668	{ "MPS_CLS_TCAM_X_L", 0x106a8, 0 },
39669	{ "MPS_CLS_TCAM_X_L", 0x106b8, 0 },
39670	{ "MPS_CLS_TCAM_X_L", 0x106c8, 0 },
39671	{ "MPS_CLS_TCAM_X_L", 0x106d8, 0 },
39672	{ "MPS_CLS_TCAM_X_L", 0x106e8, 0 },
39673	{ "MPS_CLS_TCAM_X_L", 0x106f8, 0 },
39674	{ "MPS_CLS_TCAM_X_L", 0x10708, 0 },
39675	{ "MPS_CLS_TCAM_X_L", 0x10718, 0 },
39676	{ "MPS_CLS_TCAM_X_L", 0x10728, 0 },
39677	{ "MPS_CLS_TCAM_X_L", 0x10738, 0 },
39678	{ "MPS_CLS_TCAM_X_L", 0x10748, 0 },
39679	{ "MPS_CLS_TCAM_X_L", 0x10758, 0 },
39680	{ "MPS_CLS_TCAM_X_L", 0x10768, 0 },
39681	{ "MPS_CLS_TCAM_X_L", 0x10778, 0 },
39682	{ "MPS_CLS_TCAM_X_L", 0x10788, 0 },
39683	{ "MPS_CLS_TCAM_X_L", 0x10798, 0 },
39684	{ "MPS_CLS_TCAM_X_L", 0x107a8, 0 },
39685	{ "MPS_CLS_TCAM_X_L", 0x107b8, 0 },
39686	{ "MPS_CLS_TCAM_X_L", 0x107c8, 0 },
39687	{ "MPS_CLS_TCAM_X_L", 0x107d8, 0 },
39688	{ "MPS_CLS_TCAM_X_L", 0x107e8, 0 },
39689	{ "MPS_CLS_TCAM_X_L", 0x107f8, 0 },
39690	{ "MPS_CLS_TCAM_X_L", 0x10808, 0 },
39691	{ "MPS_CLS_TCAM_X_L", 0x10818, 0 },
39692	{ "MPS_CLS_TCAM_X_L", 0x10828, 0 },
39693	{ "MPS_CLS_TCAM_X_L", 0x10838, 0 },
39694	{ "MPS_CLS_TCAM_X_L", 0x10848, 0 },
39695	{ "MPS_CLS_TCAM_X_L", 0x10858, 0 },
39696	{ "MPS_CLS_TCAM_X_L", 0x10868, 0 },
39697	{ "MPS_CLS_TCAM_X_L", 0x10878, 0 },
39698	{ "MPS_CLS_TCAM_X_L", 0x10888, 0 },
39699	{ "MPS_CLS_TCAM_X_L", 0x10898, 0 },
39700	{ "MPS_CLS_TCAM_X_L", 0x108a8, 0 },
39701	{ "MPS_CLS_TCAM_X_L", 0x108b8, 0 },
39702	{ "MPS_CLS_TCAM_X_L", 0x108c8, 0 },
39703	{ "MPS_CLS_TCAM_X_L", 0x108d8, 0 },
39704	{ "MPS_CLS_TCAM_X_L", 0x108e8, 0 },
39705	{ "MPS_CLS_TCAM_X_L", 0x108f8, 0 },
39706	{ "MPS_CLS_TCAM_X_L", 0x10908, 0 },
39707	{ "MPS_CLS_TCAM_X_L", 0x10918, 0 },
39708	{ "MPS_CLS_TCAM_X_L", 0x10928, 0 },
39709	{ "MPS_CLS_TCAM_X_L", 0x10938, 0 },
39710	{ "MPS_CLS_TCAM_X_L", 0x10948, 0 },
39711	{ "MPS_CLS_TCAM_X_L", 0x10958, 0 },
39712	{ "MPS_CLS_TCAM_X_L", 0x10968, 0 },
39713	{ "MPS_CLS_TCAM_X_L", 0x10978, 0 },
39714	{ "MPS_CLS_TCAM_X_L", 0x10988, 0 },
39715	{ "MPS_CLS_TCAM_X_L", 0x10998, 0 },
39716	{ "MPS_CLS_TCAM_X_L", 0x109a8, 0 },
39717	{ "MPS_CLS_TCAM_X_L", 0x109b8, 0 },
39718	{ "MPS_CLS_TCAM_X_L", 0x109c8, 0 },
39719	{ "MPS_CLS_TCAM_X_L", 0x109d8, 0 },
39720	{ "MPS_CLS_TCAM_X_L", 0x109e8, 0 },
39721	{ "MPS_CLS_TCAM_X_L", 0x109f8, 0 },
39722	{ "MPS_CLS_TCAM_X_L", 0x10a08, 0 },
39723	{ "MPS_CLS_TCAM_X_L", 0x10a18, 0 },
39724	{ "MPS_CLS_TCAM_X_L", 0x10a28, 0 },
39725	{ "MPS_CLS_TCAM_X_L", 0x10a38, 0 },
39726	{ "MPS_CLS_TCAM_X_L", 0x10a48, 0 },
39727	{ "MPS_CLS_TCAM_X_L", 0x10a58, 0 },
39728	{ "MPS_CLS_TCAM_X_L", 0x10a68, 0 },
39729	{ "MPS_CLS_TCAM_X_L", 0x10a78, 0 },
39730	{ "MPS_CLS_TCAM_X_L", 0x10a88, 0 },
39731	{ "MPS_CLS_TCAM_X_L", 0x10a98, 0 },
39732	{ "MPS_CLS_TCAM_X_L", 0x10aa8, 0 },
39733	{ "MPS_CLS_TCAM_X_L", 0x10ab8, 0 },
39734	{ "MPS_CLS_TCAM_X_L", 0x10ac8, 0 },
39735	{ "MPS_CLS_TCAM_X_L", 0x10ad8, 0 },
39736	{ "MPS_CLS_TCAM_X_L", 0x10ae8, 0 },
39737	{ "MPS_CLS_TCAM_X_L", 0x10af8, 0 },
39738	{ "MPS_CLS_TCAM_X_L", 0x10b08, 0 },
39739	{ "MPS_CLS_TCAM_X_L", 0x10b18, 0 },
39740	{ "MPS_CLS_TCAM_X_L", 0x10b28, 0 },
39741	{ "MPS_CLS_TCAM_X_L", 0x10b38, 0 },
39742	{ "MPS_CLS_TCAM_X_L", 0x10b48, 0 },
39743	{ "MPS_CLS_TCAM_X_L", 0x10b58, 0 },
39744	{ "MPS_CLS_TCAM_X_L", 0x10b68, 0 },
39745	{ "MPS_CLS_TCAM_X_L", 0x10b78, 0 },
39746	{ "MPS_CLS_TCAM_X_L", 0x10b88, 0 },
39747	{ "MPS_CLS_TCAM_X_L", 0x10b98, 0 },
39748	{ "MPS_CLS_TCAM_X_L", 0x10ba8, 0 },
39749	{ "MPS_CLS_TCAM_X_L", 0x10bb8, 0 },
39750	{ "MPS_CLS_TCAM_X_L", 0x10bc8, 0 },
39751	{ "MPS_CLS_TCAM_X_L", 0x10bd8, 0 },
39752	{ "MPS_CLS_TCAM_X_L", 0x10be8, 0 },
39753	{ "MPS_CLS_TCAM_X_L", 0x10bf8, 0 },
39754	{ "MPS_CLS_TCAM_X_L", 0x10c08, 0 },
39755	{ "MPS_CLS_TCAM_X_L", 0x10c18, 0 },
39756	{ "MPS_CLS_TCAM_X_L", 0x10c28, 0 },
39757	{ "MPS_CLS_TCAM_X_L", 0x10c38, 0 },
39758	{ "MPS_CLS_TCAM_X_L", 0x10c48, 0 },
39759	{ "MPS_CLS_TCAM_X_L", 0x10c58, 0 },
39760	{ "MPS_CLS_TCAM_X_L", 0x10c68, 0 },
39761	{ "MPS_CLS_TCAM_X_L", 0x10c78, 0 },
39762	{ "MPS_CLS_TCAM_X_L", 0x10c88, 0 },
39763	{ "MPS_CLS_TCAM_X_L", 0x10c98, 0 },
39764	{ "MPS_CLS_TCAM_X_L", 0x10ca8, 0 },
39765	{ "MPS_CLS_TCAM_X_L", 0x10cb8, 0 },
39766	{ "MPS_CLS_TCAM_X_L", 0x10cc8, 0 },
39767	{ "MPS_CLS_TCAM_X_L", 0x10cd8, 0 },
39768	{ "MPS_CLS_TCAM_X_L", 0x10ce8, 0 },
39769	{ "MPS_CLS_TCAM_X_L", 0x10cf8, 0 },
39770	{ "MPS_CLS_TCAM_X_L", 0x10d08, 0 },
39771	{ "MPS_CLS_TCAM_X_L", 0x10d18, 0 },
39772	{ "MPS_CLS_TCAM_X_L", 0x10d28, 0 },
39773	{ "MPS_CLS_TCAM_X_L", 0x10d38, 0 },
39774	{ "MPS_CLS_TCAM_X_L", 0x10d48, 0 },
39775	{ "MPS_CLS_TCAM_X_L", 0x10d58, 0 },
39776	{ "MPS_CLS_TCAM_X_L", 0x10d68, 0 },
39777	{ "MPS_CLS_TCAM_X_L", 0x10d78, 0 },
39778	{ "MPS_CLS_TCAM_X_L", 0x10d88, 0 },
39779	{ "MPS_CLS_TCAM_X_L", 0x10d98, 0 },
39780	{ "MPS_CLS_TCAM_X_L", 0x10da8, 0 },
39781	{ "MPS_CLS_TCAM_X_L", 0x10db8, 0 },
39782	{ "MPS_CLS_TCAM_X_L", 0x10dc8, 0 },
39783	{ "MPS_CLS_TCAM_X_L", 0x10dd8, 0 },
39784	{ "MPS_CLS_TCAM_X_L", 0x10de8, 0 },
39785	{ "MPS_CLS_TCAM_X_L", 0x10df8, 0 },
39786	{ "MPS_CLS_TCAM_X_L", 0x10e08, 0 },
39787	{ "MPS_CLS_TCAM_X_L", 0x10e18, 0 },
39788	{ "MPS_CLS_TCAM_X_L", 0x10e28, 0 },
39789	{ "MPS_CLS_TCAM_X_L", 0x10e38, 0 },
39790	{ "MPS_CLS_TCAM_X_L", 0x10e48, 0 },
39791	{ "MPS_CLS_TCAM_X_L", 0x10e58, 0 },
39792	{ "MPS_CLS_TCAM_X_L", 0x10e68, 0 },
39793	{ "MPS_CLS_TCAM_X_L", 0x10e78, 0 },
39794	{ "MPS_CLS_TCAM_X_L", 0x10e88, 0 },
39795	{ "MPS_CLS_TCAM_X_L", 0x10e98, 0 },
39796	{ "MPS_CLS_TCAM_X_L", 0x10ea8, 0 },
39797	{ "MPS_CLS_TCAM_X_L", 0x10eb8, 0 },
39798	{ "MPS_CLS_TCAM_X_L", 0x10ec8, 0 },
39799	{ "MPS_CLS_TCAM_X_L", 0x10ed8, 0 },
39800	{ "MPS_CLS_TCAM_X_L", 0x10ee8, 0 },
39801	{ "MPS_CLS_TCAM_X_L", 0x10ef8, 0 },
39802	{ "MPS_CLS_TCAM_X_L", 0x10f08, 0 },
39803	{ "MPS_CLS_TCAM_X_L", 0x10f18, 0 },
39804	{ "MPS_CLS_TCAM_X_L", 0x10f28, 0 },
39805	{ "MPS_CLS_TCAM_X_L", 0x10f38, 0 },
39806	{ "MPS_CLS_TCAM_X_L", 0x10f48, 0 },
39807	{ "MPS_CLS_TCAM_X_L", 0x10f58, 0 },
39808	{ "MPS_CLS_TCAM_X_L", 0x10f68, 0 },
39809	{ "MPS_CLS_TCAM_X_L", 0x10f78, 0 },
39810	{ "MPS_CLS_TCAM_X_L", 0x10f88, 0 },
39811	{ "MPS_CLS_TCAM_X_L", 0x10f98, 0 },
39812	{ "MPS_CLS_TCAM_X_L", 0x10fa8, 0 },
39813	{ "MPS_CLS_TCAM_X_L", 0x10fb8, 0 },
39814	{ "MPS_CLS_TCAM_X_L", 0x10fc8, 0 },
39815	{ "MPS_CLS_TCAM_X_L", 0x10fd8, 0 },
39816	{ "MPS_CLS_TCAM_X_L", 0x10fe8, 0 },
39817	{ "MPS_CLS_TCAM_X_L", 0x10ff8, 0 },
39818	{ "MPS_CLS_TCAM_X_H", 0xf00c, 0 },
39819	{ "MPS_CLS_TCAM_X_H", 0xf01c, 0 },
39820	{ "MPS_CLS_TCAM_X_H", 0xf02c, 0 },
39821	{ "MPS_CLS_TCAM_X_H", 0xf03c, 0 },
39822	{ "MPS_CLS_TCAM_X_H", 0xf04c, 0 },
39823	{ "MPS_CLS_TCAM_X_H", 0xf05c, 0 },
39824	{ "MPS_CLS_TCAM_X_H", 0xf06c, 0 },
39825	{ "MPS_CLS_TCAM_X_H", 0xf07c, 0 },
39826	{ "MPS_CLS_TCAM_X_H", 0xf08c, 0 },
39827	{ "MPS_CLS_TCAM_X_H", 0xf09c, 0 },
39828	{ "MPS_CLS_TCAM_X_H", 0xf0ac, 0 },
39829	{ "MPS_CLS_TCAM_X_H", 0xf0bc, 0 },
39830	{ "MPS_CLS_TCAM_X_H", 0xf0cc, 0 },
39831	{ "MPS_CLS_TCAM_X_H", 0xf0dc, 0 },
39832	{ "MPS_CLS_TCAM_X_H", 0xf0ec, 0 },
39833	{ "MPS_CLS_TCAM_X_H", 0xf0fc, 0 },
39834	{ "MPS_CLS_TCAM_X_H", 0xf10c, 0 },
39835	{ "MPS_CLS_TCAM_X_H", 0xf11c, 0 },
39836	{ "MPS_CLS_TCAM_X_H", 0xf12c, 0 },
39837	{ "MPS_CLS_TCAM_X_H", 0xf13c, 0 },
39838	{ "MPS_CLS_TCAM_X_H", 0xf14c, 0 },
39839	{ "MPS_CLS_TCAM_X_H", 0xf15c, 0 },
39840	{ "MPS_CLS_TCAM_X_H", 0xf16c, 0 },
39841	{ "MPS_CLS_TCAM_X_H", 0xf17c, 0 },
39842	{ "MPS_CLS_TCAM_X_H", 0xf18c, 0 },
39843	{ "MPS_CLS_TCAM_X_H", 0xf19c, 0 },
39844	{ "MPS_CLS_TCAM_X_H", 0xf1ac, 0 },
39845	{ "MPS_CLS_TCAM_X_H", 0xf1bc, 0 },
39846	{ "MPS_CLS_TCAM_X_H", 0xf1cc, 0 },
39847	{ "MPS_CLS_TCAM_X_H", 0xf1dc, 0 },
39848	{ "MPS_CLS_TCAM_X_H", 0xf1ec, 0 },
39849	{ "MPS_CLS_TCAM_X_H", 0xf1fc, 0 },
39850	{ "MPS_CLS_TCAM_X_H", 0xf20c, 0 },
39851	{ "MPS_CLS_TCAM_X_H", 0xf21c, 0 },
39852	{ "MPS_CLS_TCAM_X_H", 0xf22c, 0 },
39853	{ "MPS_CLS_TCAM_X_H", 0xf23c, 0 },
39854	{ "MPS_CLS_TCAM_X_H", 0xf24c, 0 },
39855	{ "MPS_CLS_TCAM_X_H", 0xf25c, 0 },
39856	{ "MPS_CLS_TCAM_X_H", 0xf26c, 0 },
39857	{ "MPS_CLS_TCAM_X_H", 0xf27c, 0 },
39858	{ "MPS_CLS_TCAM_X_H", 0xf28c, 0 },
39859	{ "MPS_CLS_TCAM_X_H", 0xf29c, 0 },
39860	{ "MPS_CLS_TCAM_X_H", 0xf2ac, 0 },
39861	{ "MPS_CLS_TCAM_X_H", 0xf2bc, 0 },
39862	{ "MPS_CLS_TCAM_X_H", 0xf2cc, 0 },
39863	{ "MPS_CLS_TCAM_X_H", 0xf2dc, 0 },
39864	{ "MPS_CLS_TCAM_X_H", 0xf2ec, 0 },
39865	{ "MPS_CLS_TCAM_X_H", 0xf2fc, 0 },
39866	{ "MPS_CLS_TCAM_X_H", 0xf30c, 0 },
39867	{ "MPS_CLS_TCAM_X_H", 0xf31c, 0 },
39868	{ "MPS_CLS_TCAM_X_H", 0xf32c, 0 },
39869	{ "MPS_CLS_TCAM_X_H", 0xf33c, 0 },
39870	{ "MPS_CLS_TCAM_X_H", 0xf34c, 0 },
39871	{ "MPS_CLS_TCAM_X_H", 0xf35c, 0 },
39872	{ "MPS_CLS_TCAM_X_H", 0xf36c, 0 },
39873	{ "MPS_CLS_TCAM_X_H", 0xf37c, 0 },
39874	{ "MPS_CLS_TCAM_X_H", 0xf38c, 0 },
39875	{ "MPS_CLS_TCAM_X_H", 0xf39c, 0 },
39876	{ "MPS_CLS_TCAM_X_H", 0xf3ac, 0 },
39877	{ "MPS_CLS_TCAM_X_H", 0xf3bc, 0 },
39878	{ "MPS_CLS_TCAM_X_H", 0xf3cc, 0 },
39879	{ "MPS_CLS_TCAM_X_H", 0xf3dc, 0 },
39880	{ "MPS_CLS_TCAM_X_H", 0xf3ec, 0 },
39881	{ "MPS_CLS_TCAM_X_H", 0xf3fc, 0 },
39882	{ "MPS_CLS_TCAM_X_H", 0xf40c, 0 },
39883	{ "MPS_CLS_TCAM_X_H", 0xf41c, 0 },
39884	{ "MPS_CLS_TCAM_X_H", 0xf42c, 0 },
39885	{ "MPS_CLS_TCAM_X_H", 0xf43c, 0 },
39886	{ "MPS_CLS_TCAM_X_H", 0xf44c, 0 },
39887	{ "MPS_CLS_TCAM_X_H", 0xf45c, 0 },
39888	{ "MPS_CLS_TCAM_X_H", 0xf46c, 0 },
39889	{ "MPS_CLS_TCAM_X_H", 0xf47c, 0 },
39890	{ "MPS_CLS_TCAM_X_H", 0xf48c, 0 },
39891	{ "MPS_CLS_TCAM_X_H", 0xf49c, 0 },
39892	{ "MPS_CLS_TCAM_X_H", 0xf4ac, 0 },
39893	{ "MPS_CLS_TCAM_X_H", 0xf4bc, 0 },
39894	{ "MPS_CLS_TCAM_X_H", 0xf4cc, 0 },
39895	{ "MPS_CLS_TCAM_X_H", 0xf4dc, 0 },
39896	{ "MPS_CLS_TCAM_X_H", 0xf4ec, 0 },
39897	{ "MPS_CLS_TCAM_X_H", 0xf4fc, 0 },
39898	{ "MPS_CLS_TCAM_X_H", 0xf50c, 0 },
39899	{ "MPS_CLS_TCAM_X_H", 0xf51c, 0 },
39900	{ "MPS_CLS_TCAM_X_H", 0xf52c, 0 },
39901	{ "MPS_CLS_TCAM_X_H", 0xf53c, 0 },
39902	{ "MPS_CLS_TCAM_X_H", 0xf54c, 0 },
39903	{ "MPS_CLS_TCAM_X_H", 0xf55c, 0 },
39904	{ "MPS_CLS_TCAM_X_H", 0xf56c, 0 },
39905	{ "MPS_CLS_TCAM_X_H", 0xf57c, 0 },
39906	{ "MPS_CLS_TCAM_X_H", 0xf58c, 0 },
39907	{ "MPS_CLS_TCAM_X_H", 0xf59c, 0 },
39908	{ "MPS_CLS_TCAM_X_H", 0xf5ac, 0 },
39909	{ "MPS_CLS_TCAM_X_H", 0xf5bc, 0 },
39910	{ "MPS_CLS_TCAM_X_H", 0xf5cc, 0 },
39911	{ "MPS_CLS_TCAM_X_H", 0xf5dc, 0 },
39912	{ "MPS_CLS_TCAM_X_H", 0xf5ec, 0 },
39913	{ "MPS_CLS_TCAM_X_H", 0xf5fc, 0 },
39914	{ "MPS_CLS_TCAM_X_H", 0xf60c, 0 },
39915	{ "MPS_CLS_TCAM_X_H", 0xf61c, 0 },
39916	{ "MPS_CLS_TCAM_X_H", 0xf62c, 0 },
39917	{ "MPS_CLS_TCAM_X_H", 0xf63c, 0 },
39918	{ "MPS_CLS_TCAM_X_H", 0xf64c, 0 },
39919	{ "MPS_CLS_TCAM_X_H", 0xf65c, 0 },
39920	{ "MPS_CLS_TCAM_X_H", 0xf66c, 0 },
39921	{ "MPS_CLS_TCAM_X_H", 0xf67c, 0 },
39922	{ "MPS_CLS_TCAM_X_H", 0xf68c, 0 },
39923	{ "MPS_CLS_TCAM_X_H", 0xf69c, 0 },
39924	{ "MPS_CLS_TCAM_X_H", 0xf6ac, 0 },
39925	{ "MPS_CLS_TCAM_X_H", 0xf6bc, 0 },
39926	{ "MPS_CLS_TCAM_X_H", 0xf6cc, 0 },
39927	{ "MPS_CLS_TCAM_X_H", 0xf6dc, 0 },
39928	{ "MPS_CLS_TCAM_X_H", 0xf6ec, 0 },
39929	{ "MPS_CLS_TCAM_X_H", 0xf6fc, 0 },
39930	{ "MPS_CLS_TCAM_X_H", 0xf70c, 0 },
39931	{ "MPS_CLS_TCAM_X_H", 0xf71c, 0 },
39932	{ "MPS_CLS_TCAM_X_H", 0xf72c, 0 },
39933	{ "MPS_CLS_TCAM_X_H", 0xf73c, 0 },
39934	{ "MPS_CLS_TCAM_X_H", 0xf74c, 0 },
39935	{ "MPS_CLS_TCAM_X_H", 0xf75c, 0 },
39936	{ "MPS_CLS_TCAM_X_H", 0xf76c, 0 },
39937	{ "MPS_CLS_TCAM_X_H", 0xf77c, 0 },
39938	{ "MPS_CLS_TCAM_X_H", 0xf78c, 0 },
39939	{ "MPS_CLS_TCAM_X_H", 0xf79c, 0 },
39940	{ "MPS_CLS_TCAM_X_H", 0xf7ac, 0 },
39941	{ "MPS_CLS_TCAM_X_H", 0xf7bc, 0 },
39942	{ "MPS_CLS_TCAM_X_H", 0xf7cc, 0 },
39943	{ "MPS_CLS_TCAM_X_H", 0xf7dc, 0 },
39944	{ "MPS_CLS_TCAM_X_H", 0xf7ec, 0 },
39945	{ "MPS_CLS_TCAM_X_H", 0xf7fc, 0 },
39946	{ "MPS_CLS_TCAM_X_H", 0xf80c, 0 },
39947	{ "MPS_CLS_TCAM_X_H", 0xf81c, 0 },
39948	{ "MPS_CLS_TCAM_X_H", 0xf82c, 0 },
39949	{ "MPS_CLS_TCAM_X_H", 0xf83c, 0 },
39950	{ "MPS_CLS_TCAM_X_H", 0xf84c, 0 },
39951	{ "MPS_CLS_TCAM_X_H", 0xf85c, 0 },
39952	{ "MPS_CLS_TCAM_X_H", 0xf86c, 0 },
39953	{ "MPS_CLS_TCAM_X_H", 0xf87c, 0 },
39954	{ "MPS_CLS_TCAM_X_H", 0xf88c, 0 },
39955	{ "MPS_CLS_TCAM_X_H", 0xf89c, 0 },
39956	{ "MPS_CLS_TCAM_X_H", 0xf8ac, 0 },
39957	{ "MPS_CLS_TCAM_X_H", 0xf8bc, 0 },
39958	{ "MPS_CLS_TCAM_X_H", 0xf8cc, 0 },
39959	{ "MPS_CLS_TCAM_X_H", 0xf8dc, 0 },
39960	{ "MPS_CLS_TCAM_X_H", 0xf8ec, 0 },
39961	{ "MPS_CLS_TCAM_X_H", 0xf8fc, 0 },
39962	{ "MPS_CLS_TCAM_X_H", 0xf90c, 0 },
39963	{ "MPS_CLS_TCAM_X_H", 0xf91c, 0 },
39964	{ "MPS_CLS_TCAM_X_H", 0xf92c, 0 },
39965	{ "MPS_CLS_TCAM_X_H", 0xf93c, 0 },
39966	{ "MPS_CLS_TCAM_X_H", 0xf94c, 0 },
39967	{ "MPS_CLS_TCAM_X_H", 0xf95c, 0 },
39968	{ "MPS_CLS_TCAM_X_H", 0xf96c, 0 },
39969	{ "MPS_CLS_TCAM_X_H", 0xf97c, 0 },
39970	{ "MPS_CLS_TCAM_X_H", 0xf98c, 0 },
39971	{ "MPS_CLS_TCAM_X_H", 0xf99c, 0 },
39972	{ "MPS_CLS_TCAM_X_H", 0xf9ac, 0 },
39973	{ "MPS_CLS_TCAM_X_H", 0xf9bc, 0 },
39974	{ "MPS_CLS_TCAM_X_H", 0xf9cc, 0 },
39975	{ "MPS_CLS_TCAM_X_H", 0xf9dc, 0 },
39976	{ "MPS_CLS_TCAM_X_H", 0xf9ec, 0 },
39977	{ "MPS_CLS_TCAM_X_H", 0xf9fc, 0 },
39978	{ "MPS_CLS_TCAM_X_H", 0xfa0c, 0 },
39979	{ "MPS_CLS_TCAM_X_H", 0xfa1c, 0 },
39980	{ "MPS_CLS_TCAM_X_H", 0xfa2c, 0 },
39981	{ "MPS_CLS_TCAM_X_H", 0xfa3c, 0 },
39982	{ "MPS_CLS_TCAM_X_H", 0xfa4c, 0 },
39983	{ "MPS_CLS_TCAM_X_H", 0xfa5c, 0 },
39984	{ "MPS_CLS_TCAM_X_H", 0xfa6c, 0 },
39985	{ "MPS_CLS_TCAM_X_H", 0xfa7c, 0 },
39986	{ "MPS_CLS_TCAM_X_H", 0xfa8c, 0 },
39987	{ "MPS_CLS_TCAM_X_H", 0xfa9c, 0 },
39988	{ "MPS_CLS_TCAM_X_H", 0xfaac, 0 },
39989	{ "MPS_CLS_TCAM_X_H", 0xfabc, 0 },
39990	{ "MPS_CLS_TCAM_X_H", 0xfacc, 0 },
39991	{ "MPS_CLS_TCAM_X_H", 0xfadc, 0 },
39992	{ "MPS_CLS_TCAM_X_H", 0xfaec, 0 },
39993	{ "MPS_CLS_TCAM_X_H", 0xfafc, 0 },
39994	{ "MPS_CLS_TCAM_X_H", 0xfb0c, 0 },
39995	{ "MPS_CLS_TCAM_X_H", 0xfb1c, 0 },
39996	{ "MPS_CLS_TCAM_X_H", 0xfb2c, 0 },
39997	{ "MPS_CLS_TCAM_X_H", 0xfb3c, 0 },
39998	{ "MPS_CLS_TCAM_X_H", 0xfb4c, 0 },
39999	{ "MPS_CLS_TCAM_X_H", 0xfb5c, 0 },
40000	{ "MPS_CLS_TCAM_X_H", 0xfb6c, 0 },
40001	{ "MPS_CLS_TCAM_X_H", 0xfb7c, 0 },
40002	{ "MPS_CLS_TCAM_X_H", 0xfb8c, 0 },
40003	{ "MPS_CLS_TCAM_X_H", 0xfb9c, 0 },
40004	{ "MPS_CLS_TCAM_X_H", 0xfbac, 0 },
40005	{ "MPS_CLS_TCAM_X_H", 0xfbbc, 0 },
40006	{ "MPS_CLS_TCAM_X_H", 0xfbcc, 0 },
40007	{ "MPS_CLS_TCAM_X_H", 0xfbdc, 0 },
40008	{ "MPS_CLS_TCAM_X_H", 0xfbec, 0 },
40009	{ "MPS_CLS_TCAM_X_H", 0xfbfc, 0 },
40010	{ "MPS_CLS_TCAM_X_H", 0xfc0c, 0 },
40011	{ "MPS_CLS_TCAM_X_H", 0xfc1c, 0 },
40012	{ "MPS_CLS_TCAM_X_H", 0xfc2c, 0 },
40013	{ "MPS_CLS_TCAM_X_H", 0xfc3c, 0 },
40014	{ "MPS_CLS_TCAM_X_H", 0xfc4c, 0 },
40015	{ "MPS_CLS_TCAM_X_H", 0xfc5c, 0 },
40016	{ "MPS_CLS_TCAM_X_H", 0xfc6c, 0 },
40017	{ "MPS_CLS_TCAM_X_H", 0xfc7c, 0 },
40018	{ "MPS_CLS_TCAM_X_H", 0xfc8c, 0 },
40019	{ "MPS_CLS_TCAM_X_H", 0xfc9c, 0 },
40020	{ "MPS_CLS_TCAM_X_H", 0xfcac, 0 },
40021	{ "MPS_CLS_TCAM_X_H", 0xfcbc, 0 },
40022	{ "MPS_CLS_TCAM_X_H", 0xfccc, 0 },
40023	{ "MPS_CLS_TCAM_X_H", 0xfcdc, 0 },
40024	{ "MPS_CLS_TCAM_X_H", 0xfcec, 0 },
40025	{ "MPS_CLS_TCAM_X_H", 0xfcfc, 0 },
40026	{ "MPS_CLS_TCAM_X_H", 0xfd0c, 0 },
40027	{ "MPS_CLS_TCAM_X_H", 0xfd1c, 0 },
40028	{ "MPS_CLS_TCAM_X_H", 0xfd2c, 0 },
40029	{ "MPS_CLS_TCAM_X_H", 0xfd3c, 0 },
40030	{ "MPS_CLS_TCAM_X_H", 0xfd4c, 0 },
40031	{ "MPS_CLS_TCAM_X_H", 0xfd5c, 0 },
40032	{ "MPS_CLS_TCAM_X_H", 0xfd6c, 0 },
40033	{ "MPS_CLS_TCAM_X_H", 0xfd7c, 0 },
40034	{ "MPS_CLS_TCAM_X_H", 0xfd8c, 0 },
40035	{ "MPS_CLS_TCAM_X_H", 0xfd9c, 0 },
40036	{ "MPS_CLS_TCAM_X_H", 0xfdac, 0 },
40037	{ "MPS_CLS_TCAM_X_H", 0xfdbc, 0 },
40038	{ "MPS_CLS_TCAM_X_H", 0xfdcc, 0 },
40039	{ "MPS_CLS_TCAM_X_H", 0xfddc, 0 },
40040	{ "MPS_CLS_TCAM_X_H", 0xfdec, 0 },
40041	{ "MPS_CLS_TCAM_X_H", 0xfdfc, 0 },
40042	{ "MPS_CLS_TCAM_X_H", 0xfe0c, 0 },
40043	{ "MPS_CLS_TCAM_X_H", 0xfe1c, 0 },
40044	{ "MPS_CLS_TCAM_X_H", 0xfe2c, 0 },
40045	{ "MPS_CLS_TCAM_X_H", 0xfe3c, 0 },
40046	{ "MPS_CLS_TCAM_X_H", 0xfe4c, 0 },
40047	{ "MPS_CLS_TCAM_X_H", 0xfe5c, 0 },
40048	{ "MPS_CLS_TCAM_X_H", 0xfe6c, 0 },
40049	{ "MPS_CLS_TCAM_X_H", 0xfe7c, 0 },
40050	{ "MPS_CLS_TCAM_X_H", 0xfe8c, 0 },
40051	{ "MPS_CLS_TCAM_X_H", 0xfe9c, 0 },
40052	{ "MPS_CLS_TCAM_X_H", 0xfeac, 0 },
40053	{ "MPS_CLS_TCAM_X_H", 0xfebc, 0 },
40054	{ "MPS_CLS_TCAM_X_H", 0xfecc, 0 },
40055	{ "MPS_CLS_TCAM_X_H", 0xfedc, 0 },
40056	{ "MPS_CLS_TCAM_X_H", 0xfeec, 0 },
40057	{ "MPS_CLS_TCAM_X_H", 0xfefc, 0 },
40058	{ "MPS_CLS_TCAM_X_H", 0xff0c, 0 },
40059	{ "MPS_CLS_TCAM_X_H", 0xff1c, 0 },
40060	{ "MPS_CLS_TCAM_X_H", 0xff2c, 0 },
40061	{ "MPS_CLS_TCAM_X_H", 0xff3c, 0 },
40062	{ "MPS_CLS_TCAM_X_H", 0xff4c, 0 },
40063	{ "MPS_CLS_TCAM_X_H", 0xff5c, 0 },
40064	{ "MPS_CLS_TCAM_X_H", 0xff6c, 0 },
40065	{ "MPS_CLS_TCAM_X_H", 0xff7c, 0 },
40066	{ "MPS_CLS_TCAM_X_H", 0xff8c, 0 },
40067	{ "MPS_CLS_TCAM_X_H", 0xff9c, 0 },
40068	{ "MPS_CLS_TCAM_X_H", 0xffac, 0 },
40069	{ "MPS_CLS_TCAM_X_H", 0xffbc, 0 },
40070	{ "MPS_CLS_TCAM_X_H", 0xffcc, 0 },
40071	{ "MPS_CLS_TCAM_X_H", 0xffdc, 0 },
40072	{ "MPS_CLS_TCAM_X_H", 0xffec, 0 },
40073	{ "MPS_CLS_TCAM_X_H", 0xfffc, 0 },
40074	{ "MPS_CLS_TCAM_X_H", 0x1000c, 0 },
40075	{ "MPS_CLS_TCAM_X_H", 0x1001c, 0 },
40076	{ "MPS_CLS_TCAM_X_H", 0x1002c, 0 },
40077	{ "MPS_CLS_TCAM_X_H", 0x1003c, 0 },
40078	{ "MPS_CLS_TCAM_X_H", 0x1004c, 0 },
40079	{ "MPS_CLS_TCAM_X_H", 0x1005c, 0 },
40080	{ "MPS_CLS_TCAM_X_H", 0x1006c, 0 },
40081	{ "MPS_CLS_TCAM_X_H", 0x1007c, 0 },
40082	{ "MPS_CLS_TCAM_X_H", 0x1008c, 0 },
40083	{ "MPS_CLS_TCAM_X_H", 0x1009c, 0 },
40084	{ "MPS_CLS_TCAM_X_H", 0x100ac, 0 },
40085	{ "MPS_CLS_TCAM_X_H", 0x100bc, 0 },
40086	{ "MPS_CLS_TCAM_X_H", 0x100cc, 0 },
40087	{ "MPS_CLS_TCAM_X_H", 0x100dc, 0 },
40088	{ "MPS_CLS_TCAM_X_H", 0x100ec, 0 },
40089	{ "MPS_CLS_TCAM_X_H", 0x100fc, 0 },
40090	{ "MPS_CLS_TCAM_X_H", 0x1010c, 0 },
40091	{ "MPS_CLS_TCAM_X_H", 0x1011c, 0 },
40092	{ "MPS_CLS_TCAM_X_H", 0x1012c, 0 },
40093	{ "MPS_CLS_TCAM_X_H", 0x1013c, 0 },
40094	{ "MPS_CLS_TCAM_X_H", 0x1014c, 0 },
40095	{ "MPS_CLS_TCAM_X_H", 0x1015c, 0 },
40096	{ "MPS_CLS_TCAM_X_H", 0x1016c, 0 },
40097	{ "MPS_CLS_TCAM_X_H", 0x1017c, 0 },
40098	{ "MPS_CLS_TCAM_X_H", 0x1018c, 0 },
40099	{ "MPS_CLS_TCAM_X_H", 0x1019c, 0 },
40100	{ "MPS_CLS_TCAM_X_H", 0x101ac, 0 },
40101	{ "MPS_CLS_TCAM_X_H", 0x101bc, 0 },
40102	{ "MPS_CLS_TCAM_X_H", 0x101cc, 0 },
40103	{ "MPS_CLS_TCAM_X_H", 0x101dc, 0 },
40104	{ "MPS_CLS_TCAM_X_H", 0x101ec, 0 },
40105	{ "MPS_CLS_TCAM_X_H", 0x101fc, 0 },
40106	{ "MPS_CLS_TCAM_X_H", 0x1020c, 0 },
40107	{ "MPS_CLS_TCAM_X_H", 0x1021c, 0 },
40108	{ "MPS_CLS_TCAM_X_H", 0x1022c, 0 },
40109	{ "MPS_CLS_TCAM_X_H", 0x1023c, 0 },
40110	{ "MPS_CLS_TCAM_X_H", 0x1024c, 0 },
40111	{ "MPS_CLS_TCAM_X_H", 0x1025c, 0 },
40112	{ "MPS_CLS_TCAM_X_H", 0x1026c, 0 },
40113	{ "MPS_CLS_TCAM_X_H", 0x1027c, 0 },
40114	{ "MPS_CLS_TCAM_X_H", 0x1028c, 0 },
40115	{ "MPS_CLS_TCAM_X_H", 0x1029c, 0 },
40116	{ "MPS_CLS_TCAM_X_H", 0x102ac, 0 },
40117	{ "MPS_CLS_TCAM_X_H", 0x102bc, 0 },
40118	{ "MPS_CLS_TCAM_X_H", 0x102cc, 0 },
40119	{ "MPS_CLS_TCAM_X_H", 0x102dc, 0 },
40120	{ "MPS_CLS_TCAM_X_H", 0x102ec, 0 },
40121	{ "MPS_CLS_TCAM_X_H", 0x102fc, 0 },
40122	{ "MPS_CLS_TCAM_X_H", 0x1030c, 0 },
40123	{ "MPS_CLS_TCAM_X_H", 0x1031c, 0 },
40124	{ "MPS_CLS_TCAM_X_H", 0x1032c, 0 },
40125	{ "MPS_CLS_TCAM_X_H", 0x1033c, 0 },
40126	{ "MPS_CLS_TCAM_X_H", 0x1034c, 0 },
40127	{ "MPS_CLS_TCAM_X_H", 0x1035c, 0 },
40128	{ "MPS_CLS_TCAM_X_H", 0x1036c, 0 },
40129	{ "MPS_CLS_TCAM_X_H", 0x1037c, 0 },
40130	{ "MPS_CLS_TCAM_X_H", 0x1038c, 0 },
40131	{ "MPS_CLS_TCAM_X_H", 0x1039c, 0 },
40132	{ "MPS_CLS_TCAM_X_H", 0x103ac, 0 },
40133	{ "MPS_CLS_TCAM_X_H", 0x103bc, 0 },
40134	{ "MPS_CLS_TCAM_X_H", 0x103cc, 0 },
40135	{ "MPS_CLS_TCAM_X_H", 0x103dc, 0 },
40136	{ "MPS_CLS_TCAM_X_H", 0x103ec, 0 },
40137	{ "MPS_CLS_TCAM_X_H", 0x103fc, 0 },
40138	{ "MPS_CLS_TCAM_X_H", 0x1040c, 0 },
40139	{ "MPS_CLS_TCAM_X_H", 0x1041c, 0 },
40140	{ "MPS_CLS_TCAM_X_H", 0x1042c, 0 },
40141	{ "MPS_CLS_TCAM_X_H", 0x1043c, 0 },
40142	{ "MPS_CLS_TCAM_X_H", 0x1044c, 0 },
40143	{ "MPS_CLS_TCAM_X_H", 0x1045c, 0 },
40144	{ "MPS_CLS_TCAM_X_H", 0x1046c, 0 },
40145	{ "MPS_CLS_TCAM_X_H", 0x1047c, 0 },
40146	{ "MPS_CLS_TCAM_X_H", 0x1048c, 0 },
40147	{ "MPS_CLS_TCAM_X_H", 0x1049c, 0 },
40148	{ "MPS_CLS_TCAM_X_H", 0x104ac, 0 },
40149	{ "MPS_CLS_TCAM_X_H", 0x104bc, 0 },
40150	{ "MPS_CLS_TCAM_X_H", 0x104cc, 0 },
40151	{ "MPS_CLS_TCAM_X_H", 0x104dc, 0 },
40152	{ "MPS_CLS_TCAM_X_H", 0x104ec, 0 },
40153	{ "MPS_CLS_TCAM_X_H", 0x104fc, 0 },
40154	{ "MPS_CLS_TCAM_X_H", 0x1050c, 0 },
40155	{ "MPS_CLS_TCAM_X_H", 0x1051c, 0 },
40156	{ "MPS_CLS_TCAM_X_H", 0x1052c, 0 },
40157	{ "MPS_CLS_TCAM_X_H", 0x1053c, 0 },
40158	{ "MPS_CLS_TCAM_X_H", 0x1054c, 0 },
40159	{ "MPS_CLS_TCAM_X_H", 0x1055c, 0 },
40160	{ "MPS_CLS_TCAM_X_H", 0x1056c, 0 },
40161	{ "MPS_CLS_TCAM_X_H", 0x1057c, 0 },
40162	{ "MPS_CLS_TCAM_X_H", 0x1058c, 0 },
40163	{ "MPS_CLS_TCAM_X_H", 0x1059c, 0 },
40164	{ "MPS_CLS_TCAM_X_H", 0x105ac, 0 },
40165	{ "MPS_CLS_TCAM_X_H", 0x105bc, 0 },
40166	{ "MPS_CLS_TCAM_X_H", 0x105cc, 0 },
40167	{ "MPS_CLS_TCAM_X_H", 0x105dc, 0 },
40168	{ "MPS_CLS_TCAM_X_H", 0x105ec, 0 },
40169	{ "MPS_CLS_TCAM_X_H", 0x105fc, 0 },
40170	{ "MPS_CLS_TCAM_X_H", 0x1060c, 0 },
40171	{ "MPS_CLS_TCAM_X_H", 0x1061c, 0 },
40172	{ "MPS_CLS_TCAM_X_H", 0x1062c, 0 },
40173	{ "MPS_CLS_TCAM_X_H", 0x1063c, 0 },
40174	{ "MPS_CLS_TCAM_X_H", 0x1064c, 0 },
40175	{ "MPS_CLS_TCAM_X_H", 0x1065c, 0 },
40176	{ "MPS_CLS_TCAM_X_H", 0x1066c, 0 },
40177	{ "MPS_CLS_TCAM_X_H", 0x1067c, 0 },
40178	{ "MPS_CLS_TCAM_X_H", 0x1068c, 0 },
40179	{ "MPS_CLS_TCAM_X_H", 0x1069c, 0 },
40180	{ "MPS_CLS_TCAM_X_H", 0x106ac, 0 },
40181	{ "MPS_CLS_TCAM_X_H", 0x106bc, 0 },
40182	{ "MPS_CLS_TCAM_X_H", 0x106cc, 0 },
40183	{ "MPS_CLS_TCAM_X_H", 0x106dc, 0 },
40184	{ "MPS_CLS_TCAM_X_H", 0x106ec, 0 },
40185	{ "MPS_CLS_TCAM_X_H", 0x106fc, 0 },
40186	{ "MPS_CLS_TCAM_X_H", 0x1070c, 0 },
40187	{ "MPS_CLS_TCAM_X_H", 0x1071c, 0 },
40188	{ "MPS_CLS_TCAM_X_H", 0x1072c, 0 },
40189	{ "MPS_CLS_TCAM_X_H", 0x1073c, 0 },
40190	{ "MPS_CLS_TCAM_X_H", 0x1074c, 0 },
40191	{ "MPS_CLS_TCAM_X_H", 0x1075c, 0 },
40192	{ "MPS_CLS_TCAM_X_H", 0x1076c, 0 },
40193	{ "MPS_CLS_TCAM_X_H", 0x1077c, 0 },
40194	{ "MPS_CLS_TCAM_X_H", 0x1078c, 0 },
40195	{ "MPS_CLS_TCAM_X_H", 0x1079c, 0 },
40196	{ "MPS_CLS_TCAM_X_H", 0x107ac, 0 },
40197	{ "MPS_CLS_TCAM_X_H", 0x107bc, 0 },
40198	{ "MPS_CLS_TCAM_X_H", 0x107cc, 0 },
40199	{ "MPS_CLS_TCAM_X_H", 0x107dc, 0 },
40200	{ "MPS_CLS_TCAM_X_H", 0x107ec, 0 },
40201	{ "MPS_CLS_TCAM_X_H", 0x107fc, 0 },
40202	{ "MPS_CLS_TCAM_X_H", 0x1080c, 0 },
40203	{ "MPS_CLS_TCAM_X_H", 0x1081c, 0 },
40204	{ "MPS_CLS_TCAM_X_H", 0x1082c, 0 },
40205	{ "MPS_CLS_TCAM_X_H", 0x1083c, 0 },
40206	{ "MPS_CLS_TCAM_X_H", 0x1084c, 0 },
40207	{ "MPS_CLS_TCAM_X_H", 0x1085c, 0 },
40208	{ "MPS_CLS_TCAM_X_H", 0x1086c, 0 },
40209	{ "MPS_CLS_TCAM_X_H", 0x1087c, 0 },
40210	{ "MPS_CLS_TCAM_X_H", 0x1088c, 0 },
40211	{ "MPS_CLS_TCAM_X_H", 0x1089c, 0 },
40212	{ "MPS_CLS_TCAM_X_H", 0x108ac, 0 },
40213	{ "MPS_CLS_TCAM_X_H", 0x108bc, 0 },
40214	{ "MPS_CLS_TCAM_X_H", 0x108cc, 0 },
40215	{ "MPS_CLS_TCAM_X_H", 0x108dc, 0 },
40216	{ "MPS_CLS_TCAM_X_H", 0x108ec, 0 },
40217	{ "MPS_CLS_TCAM_X_H", 0x108fc, 0 },
40218	{ "MPS_CLS_TCAM_X_H", 0x1090c, 0 },
40219	{ "MPS_CLS_TCAM_X_H", 0x1091c, 0 },
40220	{ "MPS_CLS_TCAM_X_H", 0x1092c, 0 },
40221	{ "MPS_CLS_TCAM_X_H", 0x1093c, 0 },
40222	{ "MPS_CLS_TCAM_X_H", 0x1094c, 0 },
40223	{ "MPS_CLS_TCAM_X_H", 0x1095c, 0 },
40224	{ "MPS_CLS_TCAM_X_H", 0x1096c, 0 },
40225	{ "MPS_CLS_TCAM_X_H", 0x1097c, 0 },
40226	{ "MPS_CLS_TCAM_X_H", 0x1098c, 0 },
40227	{ "MPS_CLS_TCAM_X_H", 0x1099c, 0 },
40228	{ "MPS_CLS_TCAM_X_H", 0x109ac, 0 },
40229	{ "MPS_CLS_TCAM_X_H", 0x109bc, 0 },
40230	{ "MPS_CLS_TCAM_X_H", 0x109cc, 0 },
40231	{ "MPS_CLS_TCAM_X_H", 0x109dc, 0 },
40232	{ "MPS_CLS_TCAM_X_H", 0x109ec, 0 },
40233	{ "MPS_CLS_TCAM_X_H", 0x109fc, 0 },
40234	{ "MPS_CLS_TCAM_X_H", 0x10a0c, 0 },
40235	{ "MPS_CLS_TCAM_X_H", 0x10a1c, 0 },
40236	{ "MPS_CLS_TCAM_X_H", 0x10a2c, 0 },
40237	{ "MPS_CLS_TCAM_X_H", 0x10a3c, 0 },
40238	{ "MPS_CLS_TCAM_X_H", 0x10a4c, 0 },
40239	{ "MPS_CLS_TCAM_X_H", 0x10a5c, 0 },
40240	{ "MPS_CLS_TCAM_X_H", 0x10a6c, 0 },
40241	{ "MPS_CLS_TCAM_X_H", 0x10a7c, 0 },
40242	{ "MPS_CLS_TCAM_X_H", 0x10a8c, 0 },
40243	{ "MPS_CLS_TCAM_X_H", 0x10a9c, 0 },
40244	{ "MPS_CLS_TCAM_X_H", 0x10aac, 0 },
40245	{ "MPS_CLS_TCAM_X_H", 0x10abc, 0 },
40246	{ "MPS_CLS_TCAM_X_H", 0x10acc, 0 },
40247	{ "MPS_CLS_TCAM_X_H", 0x10adc, 0 },
40248	{ "MPS_CLS_TCAM_X_H", 0x10aec, 0 },
40249	{ "MPS_CLS_TCAM_X_H", 0x10afc, 0 },
40250	{ "MPS_CLS_TCAM_X_H", 0x10b0c, 0 },
40251	{ "MPS_CLS_TCAM_X_H", 0x10b1c, 0 },
40252	{ "MPS_CLS_TCAM_X_H", 0x10b2c, 0 },
40253	{ "MPS_CLS_TCAM_X_H", 0x10b3c, 0 },
40254	{ "MPS_CLS_TCAM_X_H", 0x10b4c, 0 },
40255	{ "MPS_CLS_TCAM_X_H", 0x10b5c, 0 },
40256	{ "MPS_CLS_TCAM_X_H", 0x10b6c, 0 },
40257	{ "MPS_CLS_TCAM_X_H", 0x10b7c, 0 },
40258	{ "MPS_CLS_TCAM_X_H", 0x10b8c, 0 },
40259	{ "MPS_CLS_TCAM_X_H", 0x10b9c, 0 },
40260	{ "MPS_CLS_TCAM_X_H", 0x10bac, 0 },
40261	{ "MPS_CLS_TCAM_X_H", 0x10bbc, 0 },
40262	{ "MPS_CLS_TCAM_X_H", 0x10bcc, 0 },
40263	{ "MPS_CLS_TCAM_X_H", 0x10bdc, 0 },
40264	{ "MPS_CLS_TCAM_X_H", 0x10bec, 0 },
40265	{ "MPS_CLS_TCAM_X_H", 0x10bfc, 0 },
40266	{ "MPS_CLS_TCAM_X_H", 0x10c0c, 0 },
40267	{ "MPS_CLS_TCAM_X_H", 0x10c1c, 0 },
40268	{ "MPS_CLS_TCAM_X_H", 0x10c2c, 0 },
40269	{ "MPS_CLS_TCAM_X_H", 0x10c3c, 0 },
40270	{ "MPS_CLS_TCAM_X_H", 0x10c4c, 0 },
40271	{ "MPS_CLS_TCAM_X_H", 0x10c5c, 0 },
40272	{ "MPS_CLS_TCAM_X_H", 0x10c6c, 0 },
40273	{ "MPS_CLS_TCAM_X_H", 0x10c7c, 0 },
40274	{ "MPS_CLS_TCAM_X_H", 0x10c8c, 0 },
40275	{ "MPS_CLS_TCAM_X_H", 0x10c9c, 0 },
40276	{ "MPS_CLS_TCAM_X_H", 0x10cac, 0 },
40277	{ "MPS_CLS_TCAM_X_H", 0x10cbc, 0 },
40278	{ "MPS_CLS_TCAM_X_H", 0x10ccc, 0 },
40279	{ "MPS_CLS_TCAM_X_H", 0x10cdc, 0 },
40280	{ "MPS_CLS_TCAM_X_H", 0x10cec, 0 },
40281	{ "MPS_CLS_TCAM_X_H", 0x10cfc, 0 },
40282	{ "MPS_CLS_TCAM_X_H", 0x10d0c, 0 },
40283	{ "MPS_CLS_TCAM_X_H", 0x10d1c, 0 },
40284	{ "MPS_CLS_TCAM_X_H", 0x10d2c, 0 },
40285	{ "MPS_CLS_TCAM_X_H", 0x10d3c, 0 },
40286	{ "MPS_CLS_TCAM_X_H", 0x10d4c, 0 },
40287	{ "MPS_CLS_TCAM_X_H", 0x10d5c, 0 },
40288	{ "MPS_CLS_TCAM_X_H", 0x10d6c, 0 },
40289	{ "MPS_CLS_TCAM_X_H", 0x10d7c, 0 },
40290	{ "MPS_CLS_TCAM_X_H", 0x10d8c, 0 },
40291	{ "MPS_CLS_TCAM_X_H", 0x10d9c, 0 },
40292	{ "MPS_CLS_TCAM_X_H", 0x10dac, 0 },
40293	{ "MPS_CLS_TCAM_X_H", 0x10dbc, 0 },
40294	{ "MPS_CLS_TCAM_X_H", 0x10dcc, 0 },
40295	{ "MPS_CLS_TCAM_X_H", 0x10ddc, 0 },
40296	{ "MPS_CLS_TCAM_X_H", 0x10dec, 0 },
40297	{ "MPS_CLS_TCAM_X_H", 0x10dfc, 0 },
40298	{ "MPS_CLS_TCAM_X_H", 0x10e0c, 0 },
40299	{ "MPS_CLS_TCAM_X_H", 0x10e1c, 0 },
40300	{ "MPS_CLS_TCAM_X_H", 0x10e2c, 0 },
40301	{ "MPS_CLS_TCAM_X_H", 0x10e3c, 0 },
40302	{ "MPS_CLS_TCAM_X_H", 0x10e4c, 0 },
40303	{ "MPS_CLS_TCAM_X_H", 0x10e5c, 0 },
40304	{ "MPS_CLS_TCAM_X_H", 0x10e6c, 0 },
40305	{ "MPS_CLS_TCAM_X_H", 0x10e7c, 0 },
40306	{ "MPS_CLS_TCAM_X_H", 0x10e8c, 0 },
40307	{ "MPS_CLS_TCAM_X_H", 0x10e9c, 0 },
40308	{ "MPS_CLS_TCAM_X_H", 0x10eac, 0 },
40309	{ "MPS_CLS_TCAM_X_H", 0x10ebc, 0 },
40310	{ "MPS_CLS_TCAM_X_H", 0x10ecc, 0 },
40311	{ "MPS_CLS_TCAM_X_H", 0x10edc, 0 },
40312	{ "MPS_CLS_TCAM_X_H", 0x10eec, 0 },
40313	{ "MPS_CLS_TCAM_X_H", 0x10efc, 0 },
40314	{ "MPS_CLS_TCAM_X_H", 0x10f0c, 0 },
40315	{ "MPS_CLS_TCAM_X_H", 0x10f1c, 0 },
40316	{ "MPS_CLS_TCAM_X_H", 0x10f2c, 0 },
40317	{ "MPS_CLS_TCAM_X_H", 0x10f3c, 0 },
40318	{ "MPS_CLS_TCAM_X_H", 0x10f4c, 0 },
40319	{ "MPS_CLS_TCAM_X_H", 0x10f5c, 0 },
40320	{ "MPS_CLS_TCAM_X_H", 0x10f6c, 0 },
40321	{ "MPS_CLS_TCAM_X_H", 0x10f7c, 0 },
40322	{ "MPS_CLS_TCAM_X_H", 0x10f8c, 0 },
40323	{ "MPS_CLS_TCAM_X_H", 0x10f9c, 0 },
40324	{ "MPS_CLS_TCAM_X_H", 0x10fac, 0 },
40325	{ "MPS_CLS_TCAM_X_H", 0x10fbc, 0 },
40326	{ "MPS_CLS_TCAM_X_H", 0x10fcc, 0 },
40327	{ "MPS_CLS_TCAM_X_H", 0x10fdc, 0 },
40328	{ "MPS_CLS_TCAM_X_H", 0x10fec, 0 },
40329	{ "MPS_CLS_TCAM_X_H", 0x10ffc, 0 },
40330	{ NULL }
40331};
40332
40333struct reg_info t5_cpl_switch_regs[] = {
40334	{ "CPL_SWITCH_CNTRL", 0x19040, 0 },
40335		{ "cpl_pkt_tid", 8, 24 },
40336		{ "cim_split_enable", 6, 1 },
40337		{ "cim_truncate_enable", 5, 1 },
40338		{ "cim_to_up_full_size", 4, 1 },
40339		{ "cpu_no_enable", 3, 1 },
40340		{ "switch_table_enable", 2, 1 },
40341		{ "sge_enable", 1, 1 },
40342		{ "cim_enable", 0, 1 },
40343	{ "CPL_SWITCH_TBL_IDX", 0x19044, 0 },
40344	{ "CPL_SWITCH_TBL_DATA", 0x19048, 0 },
40345	{ "CPL_SWITCH_ZERO_ERROR", 0x1904c, 0 },
40346		{ "zero_cmd_ch1", 8, 8 },
40347		{ "zero_cmd_ch0", 0, 8 },
40348	{ "CPL_INTR_ENABLE", 0x19050, 0 },
40349		{ "perr_cpl_128to128_1", 7, 1 },
40350		{ "perr_cpl_128to128_0", 6, 1 },
40351		{ "cim_op_map_perr", 5, 1 },
40352		{ "cim_ovfl_error", 4, 1 },
40353		{ "tp_framing_error", 3, 1 },
40354		{ "sge_framing_error", 2, 1 },
40355		{ "cim_framing_error", 1, 1 },
40356		{ "zero_switch_error", 0, 1 },
40357	{ "CPL_INTR_CAUSE", 0x19054, 0 },
40358		{ "perr_cpl_128to128_1", 7, 1 },
40359		{ "perr_cpl_128to128_0", 6, 1 },
40360		{ "cim_op_map_perr", 5, 1 },
40361		{ "cim_ovfl_error", 4, 1 },
40362		{ "tp_framing_error", 3, 1 },
40363		{ "sge_framing_error", 2, 1 },
40364		{ "cim_framing_error", 1, 1 },
40365		{ "zero_switch_error", 0, 1 },
40366	{ "CPL_MAP_TBL_IDX", 0x19058, 0 },
40367		{ "cim_split_opcode_program", 8, 1 },
40368		{ "cpl_map_tbl_idx", 0, 8 },
40369	{ "CPL_MAP_TBL_DATA", 0x1905c, 0 },
40370	{ NULL }
40371};
40372
40373struct reg_info t5_smb_regs[] = {
40374	{ "SMB_GLOBAL_TIME_CFG", 0x19060, 0 },
40375		{ "MacroCntCfg", 8, 5 },
40376		{ "MicroCntCfg", 0, 8 },
40377	{ "SMB_MST_TIMEOUT_CFG", 0x19064, 0 },
40378	{ "SMB_MST_CTL_CFG", 0x19068, 0 },
40379		{ "MstFifoDbg", 31, 1 },
40380		{ "MstFifoDbgClr", 30, 1 },
40381		{ "MstRxByteCfg", 12, 6 },
40382		{ "MstTxByteCfg", 6, 6 },
40383		{ "MstReset", 1, 1 },
40384		{ "MstCtlEn", 0, 1 },
40385	{ "SMB_MST_CTL_STS", 0x1906c, 0 },
40386		{ "MstRxByteCnt", 12, 6 },
40387		{ "MstTxByteCnt", 6, 6 },
40388		{ "MstBusySts", 0, 1 },
40389	{ "SMB_MST_TX_FIFO_RDWR", 0x19070, 0 },
40390	{ "SMB_MST_RX_FIFO_RDWR", 0x19074, 0 },
40391	{ "SMB_SLV_TIMEOUT_CFG", 0x19078, 0 },
40392	{ "SMB_SLV_CTL_CFG", 0x1907c, 0 },
40393		{ "SlvFifoDbg", 31, 1 },
40394		{ "SlvFifoDbgClr", 30, 1 },
40395		{ "SlvCrcOutBitInv", 21, 1 },
40396		{ "SlvCrcOutBitRev", 20, 1 },
40397		{ "SlvCrcInBitRev", 19, 1 },
40398		{ "SlvCrcPreset", 11, 8 },
40399		{ "SlvAddrCfg", 4, 7 },
40400		{ "SlvAlrtSet", 2, 1 },
40401		{ "SlvReset", 1, 1 },
40402		{ "SlvCtlEn", 0, 1 },
40403	{ "SMB_SLV_CTL_STS", 0x19080, 0 },
40404		{ "SlvFifoTxCnt", 12, 6 },
40405		{ "SlvFifoCnt", 6, 6 },
40406		{ "SlvAlrtSts", 2, 1 },
40407		{ "SlvBusySts", 0, 1 },
40408	{ "SMB_SLV_FIFO_RDWR", 0x19084, 0 },
40409	{ "SMB_INT_ENABLE", 0x1908c, 0 },
40410		{ "MstTxFifoParEn", 21, 1 },
40411		{ "MstRxFifoParEn", 20, 1 },
40412		{ "SlvFifoParEn", 19, 1 },
40413		{ "SlvUnExpBusStopEn", 18, 1 },
40414		{ "SlvUnExpBusStartEn", 17, 1 },
40415		{ "SlvCommandCodeInvEn", 16, 1 },
40416		{ "SlvByteCntErrEn", 15, 1 },
40417		{ "SlvUnExpAckMstEn", 14, 1 },
40418		{ "SlvUnExpNackMstEn", 13, 1 },
40419		{ "SlvNoBusStopEn", 12, 1 },
40420		{ "SlvNoRepStartEn", 11, 1 },
40421		{ "SlvRxAddrIntEn", 10, 1 },
40422		{ "SlvRxPecErrIntEn", 9, 1 },
40423		{ "SlvPrepToArpIntEn", 8, 1 },
40424		{ "SlvTimeOutIntEn", 7, 1 },
40425		{ "SlvErrIntEn", 6, 1 },
40426		{ "SlvDoneIntEn", 5, 1 },
40427		{ "SlvRxRdyIntEn", 4, 1 },
40428		{ "MstTimeOutIntEn", 3, 1 },
40429		{ "MstNAckIntEn", 2, 1 },
40430		{ "MstLostArbIntEn", 1, 1 },
40431		{ "MstDoneIntEn", 0, 1 },
40432	{ "SMB_INT_CAUSE", 0x19090, 0 },
40433		{ "MstTxFifoParInt", 21, 1 },
40434		{ "MstRxFifoParInt", 20, 1 },
40435		{ "SlvFifoParInt", 19, 1 },
40436		{ "SlvUnExpBusStopInt", 18, 1 },
40437		{ "SlvUnExpBusStartInt", 17, 1 },
40438		{ "SlvCommandCodeInvInt", 16, 1 },
40439		{ "SlvByteCntErrInt", 15, 1 },
40440		{ "SlvUnExpAckMstInt", 14, 1 },
40441		{ "SlvUnExpNackMstInt", 13, 1 },
40442		{ "SlvNoBusStopInt", 12, 1 },
40443		{ "SlvNoRepStartInt", 11, 1 },
40444		{ "SlvRxAddrInt", 10, 1 },
40445		{ "SlvRxPecErrInt", 9, 1 },
40446		{ "SlvPrepToArpInt", 8, 1 },
40447		{ "SlvTimeOutInt", 7, 1 },
40448		{ "SlvErrInt", 6, 1 },
40449		{ "SlvDoneInt", 5, 1 },
40450		{ "SlvRxRdyInt", 4, 1 },
40451		{ "MstTimeOutInt", 3, 1 },
40452		{ "MstNAckInt", 2, 1 },
40453		{ "MstLostArbInt", 1, 1 },
40454		{ "MstDoneInt", 0, 1 },
40455	{ "SMB_DEBUG_DATA", 0x19094, 0 },
40456		{ "DebugDataH", 16, 16 },
40457		{ "DebugDataL", 0, 16 },
40458	{ "SMB_PERR_EN", 0x19098, 0 },
40459		{ "MstTxFifo", 21, 1 },
40460		{ "MstRxFifo", 19, 1 },
40461		{ "SlvFifo", 18, 1 },
40462		{ "MstTxFifoPerrEn", 2, 1 },
40463		{ "MstRxFifoPerrEn", 1, 1 },
40464		{ "SlvFifoPerrEn", 0, 1 },
40465	{ "SMB_PERR_INJ", 0x1909c, 0 },
40466		{ "MstTxInjDataErr", 3, 1 },
40467		{ "MstRxInjDataErr", 2, 1 },
40468		{ "SlvInjDataErr", 1, 1 },
40469		{ "FifoInjDataErrEn", 0, 1 },
40470	{ "SMB_SLV_ARP_CTL", 0x190a0, 0 },
40471		{ "ArpCommandCode", 2, 8 },
40472		{ "ArpAddrRes", 1, 1 },
40473		{ "ArpAddrVal", 0, 1 },
40474	{ "SMB_ARP_UDID0", 0x190a4, 0 },
40475	{ "SMB_ARP_UDID1", 0x190a8, 0 },
40476		{ "SubsystemVendorID", 16, 16 },
40477		{ "SubsystemDeviceID", 0, 16 },
40478	{ "SMB_ARP_UDID2", 0x190ac, 0 },
40479		{ "DeviceID", 16, 16 },
40480		{ "Interface", 0, 16 },
40481	{ "SMB_ARP_UDID3", 0x190b0, 0 },
40482		{ "DeviceCap", 24, 8 },
40483		{ "VersionID", 16, 8 },
40484		{ "VendorID", 0, 16 },
40485	{ "SMB_SLV_AUX_ADDR0", 0x190b4, 0 },
40486		{ "AuxAddr0Val", 6, 1 },
40487		{ "AuxAddr0", 0, 6 },
40488	{ "SMB_SLV_AUX_ADDR1", 0x190b8, 0 },
40489		{ "AuxAddr1Val", 6, 1 },
40490		{ "AuxAddr1", 0, 6 },
40491	{ "SMB_SLV_AUX_ADDR2", 0x190bc, 0 },
40492		{ "AuxAddr2Val", 6, 1 },
40493		{ "AuxAddr2", 0, 6 },
40494	{ "SMB_SLV_AUX_ADDR3", 0x190c0, 0 },
40495		{ "AuxAddr3Val", 6, 1 },
40496		{ "AuxAddr3", 0, 6 },
40497	{ "SMB_COMMAND_CODE0", 0x190c4, 0 },
40498	{ "SMB_COMMAND_CODE1", 0x190c8, 0 },
40499	{ "SMB_COMMAND_CODE2", 0x190cc, 0 },
40500	{ "SMB_COMMAND_CODE3", 0x190d0, 0 },
40501	{ "SMB_COMMAND_CODE4", 0x190d4, 0 },
40502	{ "SMB_COMMAND_CODE5", 0x190d8, 0 },
40503	{ "SMB_COMMAND_CODE6", 0x190dc, 0 },
40504	{ "SMB_COMMAND_CODE7", 0x190e0, 0 },
40505	{ "SMB_MICRO_CNT_CLK_CFG", 0x190e4, 0 },
40506		{ "MacroCntClkCfg", 8, 5 },
40507		{ "MicroCntClkCfg", 0, 8 },
40508	{ "SMB_CTL_STATUS", 0x190e8, 0 },
40509		{ "MstBusBusy", 2, 1 },
40510		{ "SlvBusBusy", 1, 1 },
40511		{ "BusBusy", 0, 1 },
40512	{ NULL }
40513};
40514
40515struct reg_info t5_i2cm_regs[] = {
40516	{ "I2CM_CFG", 0x190f0, 0 },
40517	{ "I2CM_DATA", 0x190f4, 0 },
40518	{ "I2CM_OP", 0x190f8, 0 },
40519		{ "Busy", 31, 1 },
40520		{ "Ack", 30, 1 },
40521		{ "Cont", 1, 1 },
40522		{ "Op", 0, 1 },
40523	{ NULL }
40524};
40525
40526struct reg_info t5_mi_regs[] = {
40527	{ "MI_CFG", 0x19100, 0 },
40528		{ "T4_St", 14, 1 },
40529		{ "ClkDiv", 5, 8 },
40530		{ "St", 3, 2 },
40531		{ "PreEn", 2, 1 },
40532		{ "MDIInv", 1, 1 },
40533		{ "MDIO_1P2V_Sel", 0, 1 },
40534	{ "MI_ADDR", 0x19104, 0 },
40535		{ "PhyAddr", 5, 5 },
40536		{ "RegAddr", 0, 5 },
40537	{ "MI_DATA", 0x19108, 0 },
40538	{ "MI_OP", 0x1910c, 0 },
40539		{ "Busy", 31, 1 },
40540		{ "St", 3, 2 },
40541		{ "Inc", 2, 1 },
40542		{ "Op", 0, 2 },
40543	{ NULL }
40544};
40545
40546struct reg_info t5_uart_regs[] = {
40547	{ "UART_CONFIG", 0x19110, 0 },
40548		{ "StopBits", 22, 2 },
40549		{ "Parity", 20, 2 },
40550		{ "DataBits", 16, 4 },
40551		{ "ClkDiv", 0, 12 },
40552	{ NULL }
40553};
40554
40555struct reg_info t5_pmu_regs[] = {
40556	{ "PMU_PART_CG_PWRMODE", 0x19120, 0 },
40557		{ "SGE_Part_CGEn", 19, 1 },
40558		{ "PDP_Part_CGEn", 18, 1 },
40559		{ "TP_Part_CGEn", 17, 1 },
40560		{ "EDC0_Part_CGEn", 16, 1 },
40561		{ "EDC1_Part_CGEn", 15, 1 },
40562		{ "LE_Part_CGEn", 14, 1 },
40563		{ "MA_Part_CGEn", 13, 1 },
40564		{ "MC0_Part_CGEn", 12, 1 },
40565		{ "MC1_Part_CGEn", 11, 1 },
40566		{ "PCIE_Part_CGEn", 10, 1 },
40567		{ "InitPowerMode", 0, 2 },
40568	{ "PMU_SLEEPMODE_WAKEUP", 0x19124, 0 },
40569		{ "GlobalDeepSleepEn", 6, 1 },
40570		{ "HWWakeUpEn", 5, 1 },
40571		{ "Port3SleepMode", 4, 1 },
40572		{ "Port2SleepMode", 3, 1 },
40573		{ "Port1SleepMode", 2, 1 },
40574		{ "Port0SleepMode", 1, 1 },
40575		{ "WakeUp", 0, 1 },
40576	{ NULL }
40577};
40578
40579struct reg_info t5_ulp_rx_regs[] = {
40580	{ "ULP_RX_CTL", 0x19150, 0 },
40581		{ "PCMD1Threshold", 24, 8 },
40582		{ "PCMD0Threshold", 16, 8 },
40583		{ "disable_0B_STAG_ERR", 14, 1 },
40584		{ "RDMA_0b_wr_opcode", 10, 4 },
40585		{ "RDMA_0b_wr_pass", 9, 1 },
40586		{ "STAG_RQE", 8, 1 },
40587		{ "RDMA_State_En", 7, 1 },
40588		{ "Crc1_En", 6, 1 },
40589		{ "RDMA_0b_wr_cqe", 5, 1 },
40590		{ "PCIE_Atrb_En", 4, 1 },
40591		{ "RDMA_permissive_mode", 3, 1 },
40592		{ "PagePodME", 2, 1 },
40593		{ "IscsiTagTcb", 1, 1 },
40594		{ "TddpTagTcb", 0, 1 },
40595	{ "ULP_RX_INT_ENABLE", 0x19154, 0 },
40596		{ "SE_CNT_MISMATCH_1", 26, 1 },
40597		{ "SE_CNT_MISMATCH_0", 25, 1 },
40598		{ "ENABLE_CTX_1", 24, 1 },
40599		{ "ENABLE_CTX_0", 23, 1 },
40600		{ "ENABLE_FF", 22, 1 },
40601		{ "ENABLE_APF_1", 21, 1 },
40602		{ "ENABLE_APF_0", 20, 1 },
40603		{ "ENABLE_AF_1", 19, 1 },
40604		{ "ENABLE_AF_0", 18, 1 },
40605		{ "ENABLE_DDPDF_1", 17, 1 },
40606		{ "ENABLE_DDPMF_1", 16, 1 },
40607		{ "ENABLE_MEMRF_1", 15, 1 },
40608		{ "ENABLE_PRSDF_1", 14, 1 },
40609		{ "ENABLE_DDPDF_0", 13, 1 },
40610		{ "ENABLE_DDPMF_0", 12, 1 },
40611		{ "ENABLE_MEMRF_0", 11, 1 },
40612		{ "ENABLE_PRSDF_0", 10, 1 },
40613		{ "ENABLE_PCMDF_1", 9, 1 },
40614		{ "ENABLE_TPTCF_1", 8, 1 },
40615		{ "ENABLE_DDPCF_1", 7, 1 },
40616		{ "ENABLE_MPARF_1", 6, 1 },
40617		{ "ENABLE_MPARC_1", 5, 1 },
40618		{ "ENABLE_PCMDF_0", 4, 1 },
40619		{ "ENABLE_TPTCF_0", 3, 1 },
40620		{ "ENABLE_DDPCF_0", 2, 1 },
40621		{ "ENABLE_MPARF_0", 1, 1 },
40622		{ "ENABLE_MPARC_0", 0, 1 },
40623	{ "ULP_RX_INT_CAUSE", 0x19158, 0 },
40624		{ "SE_CNT_MISMATCH_1", 26, 1 },
40625		{ "SE_CNT_MISMATCH_0", 25, 1 },
40626		{ "CAUSE_CTX_1", 24, 1 },
40627		{ "CAUSE_CTX_0", 23, 1 },
40628		{ "CAUSE_FF", 22, 1 },
40629		{ "CAUSE_APF_1", 21, 1 },
40630		{ "CAUSE_APF_0", 20, 1 },
40631		{ "CAUSE_AF_1", 19, 1 },
40632		{ "CAUSE_AF_0", 18, 1 },
40633		{ "CAUSE_DDPDF_1", 17, 1 },
40634		{ "CAUSE_DDPMF_1", 16, 1 },
40635		{ "CAUSE_MEMRF_1", 15, 1 },
40636		{ "CAUSE_PRSDF_1", 14, 1 },
40637		{ "CAUSE_DDPDF_0", 13, 1 },
40638		{ "CAUSE_DDPMF_0", 12, 1 },
40639		{ "CAUSE_MEMRF_0", 11, 1 },
40640		{ "CAUSE_PRSDF_0", 10, 1 },
40641		{ "CAUSE_PCMDF_1", 9, 1 },
40642		{ "CAUSE_TPTCF_1", 8, 1 },
40643		{ "CAUSE_DDPCF_1", 7, 1 },
40644		{ "CAUSE_MPARF_1", 6, 1 },
40645		{ "CAUSE_MPARC_1", 5, 1 },
40646		{ "CAUSE_PCMDF_0", 4, 1 },
40647		{ "CAUSE_TPTCF_0", 3, 1 },
40648		{ "CAUSE_DDPCF_0", 2, 1 },
40649		{ "CAUSE_MPARF_0", 1, 1 },
40650		{ "CAUSE_MPARC_0", 0, 1 },
40651	{ "ULP_RX_ISCSI_LLIMIT", 0x1915c, 0 },
40652		{ "IscsiLlimit", 6, 26 },
40653	{ "ULP_RX_ISCSI_ULIMIT", 0x19160, 0 },
40654		{ "IscsiUlimit", 6, 26 },
40655	{ "ULP_RX_ISCSI_TAGMASK", 0x19164, 0 },
40656		{ "IscsiTagMask", 6, 26 },
40657	{ "ULP_RX_ISCSI_PSZ", 0x19168, 0 },
40658		{ "Hpz3", 24, 4 },
40659		{ "Hpz2", 16, 4 },
40660		{ "Hpz1", 8, 4 },
40661		{ "Hpz0", 0, 4 },
40662	{ "ULP_RX_TDDP_LLIMIT", 0x1916c, 0 },
40663		{ "TddpLlimit", 6, 26 },
40664	{ "ULP_RX_TDDP_ULIMIT", 0x19170, 0 },
40665		{ "TddpUlimit", 6, 26 },
40666	{ "ULP_RX_TDDP_TAGMASK", 0x19174, 0 },
40667		{ "TddpTagMask", 6, 26 },
40668	{ "ULP_RX_TDDP_PSZ", 0x19178, 0 },
40669		{ "Hpz3", 24, 4 },
40670		{ "Hpz2", 16, 4 },
40671		{ "Hpz1", 8, 4 },
40672		{ "Hpz0", 0, 4 },
40673	{ "ULP_RX_STAG_LLIMIT", 0x1917c, 0 },
40674	{ "ULP_RX_STAG_ULIMIT", 0x19180, 0 },
40675	{ "ULP_RX_RQ_LLIMIT", 0x19184, 0 },
40676	{ "ULP_RX_RQ_ULIMIT", 0x19188, 0 },
40677	{ "ULP_RX_PBL_LLIMIT", 0x1918c, 0 },
40678	{ "ULP_RX_PBL_ULIMIT", 0x19190, 0 },
40679	{ "ULP_RX_CTX_BASE", 0x19194, 0 },
40680	{ "ULP_RX_PERR_ENABLE", 0x1919c, 0 },
40681		{ "PERR_SE_CNT_MISMATCH_1", 26, 1 },
40682		{ "PERR_SE_CNT_MISMATCH_0", 25, 1 },
40683		{ "PERR_RSVD0", 24, 1 },
40684		{ "PERR_RSVD1", 23, 1 },
40685		{ "PERR_ENABLE_FF", 22, 1 },
40686		{ "PERR_ENABLE_APF_1", 21, 1 },
40687		{ "PERR_ENABLE_APF_0", 20, 1 },
40688		{ "PERR_ENABLE_AF_1", 19, 1 },
40689		{ "PERR_ENABLE_AF_0", 18, 1 },
40690		{ "PERR_ENABLE_DDPDF_1", 17, 1 },
40691		{ "PERR_ENABLE_DDPMF_1", 16, 1 },
40692		{ "PERR_ENABLE_MEMRF_1", 15, 1 },
40693		{ "PERR_ENABLE_PRSDF_1", 14, 1 },
40694		{ "PERR_ENABLE_DDPDF_0", 13, 1 },
40695		{ "PERR_ENABLE_DDPMF_0", 12, 1 },
40696		{ "PERR_ENABLE_MEMRF_0", 11, 1 },
40697		{ "PERR_ENABLE_PRSDF_0", 10, 1 },
40698		{ "PERR_ENABLE_PCMDF_1", 9, 1 },
40699		{ "PERR_ENABLE_TPTCF_1", 8, 1 },
40700		{ "PERR_ENABLE_DDPCF_1", 7, 1 },
40701		{ "PERR_ENABLE_MPARF_1", 6, 1 },
40702		{ "PERR_ENABLE_MPARC_1", 5, 1 },
40703		{ "PERR_ENABLE_PCMDF_0", 4, 1 },
40704		{ "PERR_ENABLE_TPTCF_0", 3, 1 },
40705		{ "PERR_ENABLE_DDPCF_0", 2, 1 },
40706		{ "PERR_ENABLE_MPARF_0", 1, 1 },
40707		{ "PERR_ENABLE_MPARC_0", 0, 1 },
40708	{ "ULP_RX_PERR_INJECT", 0x191a0, 0 },
40709		{ "MemSel", 1, 5 },
40710		{ "InjectDataErr", 0, 1 },
40711	{ "ULP_RX_RQUDP_LLIMIT", 0x191a4, 0 },
40712	{ "ULP_RX_RQUDP_ULIMIT", 0x191a8, 0 },
40713	{ "ULP_RX_CTX_ACC_CH0", 0x191ac, 0 },
40714		{ "REQ", 21, 1 },
40715		{ "WB", 20, 1 },
40716		{ "TID", 0, 20 },
40717	{ "ULP_RX_CTX_ACC_CH1", 0x191b0, 0 },
40718		{ "REQ", 21, 1 },
40719		{ "WB", 20, 1 },
40720		{ "TID", 0, 20 },
40721	{ "ULP_RX_SE_CNT_ERR", 0x191d0, 0 },
40722		{ "ERR_CH1", 4, 4 },
40723		{ "ERR_CH0", 0, 4 },
40724	{ "ULP_RX_SE_CNT_CLR", 0x191d4, 0 },
40725		{ "CLR_CH0", 4, 4 },
40726		{ "CLR_CH1", 0, 4 },
40727	{ "ULP_RX_SE_CNT_CH0", 0x191d8, 0 },
40728		{ "SOP_CNT_OUT0", 28, 4 },
40729		{ "EOP_CNT_OUT0", 24, 4 },
40730		{ "SOP_CNT_AL0", 20, 4 },
40731		{ "EOP_CNT_AL0", 16, 4 },
40732		{ "SOP_CNT_MR0", 12, 4 },
40733		{ "EOP_CNT_MR0", 8, 4 },
40734		{ "SOP_CNT_IN0", 4, 4 },
40735		{ "EOP_CNT_IN0", 0, 4 },
40736	{ "ULP_RX_SE_CNT_CH1", 0x191dc, 0 },
40737		{ "SOP_CNT_OUT1", 28, 4 },
40738		{ "EOP_CNT_OUT1", 24, 4 },
40739		{ "SOP_CNT_AL1", 20, 4 },
40740		{ "EOP_CNT_AL1", 16, 4 },
40741		{ "SOP_CNT_MR1", 12, 4 },
40742		{ "EOP_CNT_MR1", 8, 4 },
40743		{ "SOP_CNT_IN1", 4, 4 },
40744		{ "EOP_CNT_IN1", 0, 4 },
40745	{ "ULP_RX_DBG_CTL", 0x191e0, 0 },
40746		{ "EN_DBG_H", 17, 1 },
40747		{ "EN_DBG_L", 16, 1 },
40748		{ "SEL_H", 8, 8 },
40749		{ "SEL_L", 0, 8 },
40750	{ "ULP_RX_DBG_DATAH", 0x191e4, 0 },
40751	{ "ULP_RX_DBG_DATAL", 0x191e8, 0 },
40752	{ "ULP_RX_LA_CHNL", 0x19238, 0 },
40753	{ "ULP_RX_LA_CTL", 0x1923c, 0 },
40754	{ "ULP_RX_LA_RDPTR", 0x19240, 0 },
40755	{ "ULP_RX_LA_RDDATA", 0x19244, 0 },
40756	{ "ULP_RX_LA_WRPTR", 0x19248, 0 },
40757	{ "ULP_RX_LA_RESERVED", 0x1924c, 0 },
40758	{ "ULP_RX_CQE_GEN_EN", 0x19250, 0 },
40759		{ "Termimate_msg", 1, 1 },
40760		{ "Terminate_with_err", 0, 1 },
40761	{ "ULP_RX_ATOMIC_OPCODES", 0x19254, 0 },
40762		{ "atomic_req_qno", 22, 2 },
40763		{ "atomic_rsp_qno", 20, 2 },
40764		{ "immediate_qno", 18, 2 },
40765		{ "immediate_with_se_qno", 16, 2 },
40766		{ "atomic_wr_opcode", 12, 4 },
40767		{ "atomic_rd_opcode", 8, 4 },
40768		{ "immediate_opcode", 4, 4 },
40769		{ "immediate_with_se_opcode", 0, 4 },
40770	{ "ULP_RX_T10_CRC_ENDIAN_SWITCHING", 0x19258, 0 },
40771	{ "ULP_RX_MISC_FEATURE_ENABLE", 0x1925c, 0 },
40772		{ "terminate_status_en", 4, 1 },
40773		{ "multiple_pref_enable", 3, 1 },
40774		{ "umudp_pbl_pref_enable", 2, 1 },
40775		{ "rdma_pbl_pref_en", 1, 1 },
40776		{ "sdc_crc_prot_en", 0, 1 },
40777	{ "ULP_RX_CH0_CGEN", 0x19260, 0 },
40778		{ "BYPASS_CGEN", 7, 1 },
40779		{ "TDDP_CGEN", 6, 1 },
40780		{ "ISCSI_CGEN", 5, 1 },
40781		{ "RDMA_CGEN", 4, 1 },
40782		{ "CHANNEL_CGEN", 3, 1 },
40783		{ "All_DataPath_CGEN", 2, 1 },
40784		{ "T10Diff_DataPath_CGEN", 1, 1 },
40785		{ "Rdma_DataPath_CGEN", 0, 1 },
40786	{ "ULP_RX_CH1_CGEN", 0x19264, 0 },
40787		{ "BYPASS_CGEN", 7, 1 },
40788		{ "TDDP_CGEN", 6, 1 },
40789		{ "ISCSI_CGEN", 5, 1 },
40790		{ "RDMA_CGEN", 4, 1 },
40791		{ "CHANNEL_CGEN", 3, 1 },
40792		{ "All_DataPath_CGEN", 2, 1 },
40793		{ "T10Diff_DataPath_CGEN", 1, 1 },
40794		{ "Rdma_DataPath_CGEN", 0, 1 },
40795	{ "ULP_RX_RFE_DISABLE", 0x19268, 0 },
40796	{ "ULP_RX_INT_ENABLE_2", 0x1926c, 0 },
40797		{ "ULPRX2MA_IntfPerr", 8, 1 },
40798		{ "ALN_SDC_ERR_1", 7, 1 },
40799		{ "ALN_SDC_ERR_0", 6, 1 },
40800		{ "PF_UNTAGGED_TPT_1", 5, 1 },
40801		{ "PF_UNTAGGED_TPT_0", 4, 1 },
40802		{ "PF_PBL_1", 3, 1 },
40803		{ "PF_PBL_0", 2, 1 },
40804		{ "DDP_HINT_1", 1, 1 },
40805		{ "DDP_HINT_0", 0, 1 },
40806	{ "ULP_RX_INT_CAUSE_2", 0x19270, 0 },
40807		{ "ULPRX2MA_IntfPerr", 8, 1 },
40808		{ "ALN_SDC_ERR_1", 7, 1 },
40809		{ "ALN_SDC_ERR_0", 6, 1 },
40810		{ "PF_UNTAGGED_TPT_1", 5, 1 },
40811		{ "PF_UNTAGGED_TPT_0", 4, 1 },
40812		{ "PF_PBL_1", 3, 1 },
40813		{ "PF_PBL_0", 2, 1 },
40814		{ "DDP_HINT_1", 1, 1 },
40815		{ "DDP_HINT_0", 0, 1 },
40816	{ "ULP_RX_PERR_ENABLE_2", 0x19274, 0 },
40817		{ "ENABLE_ULPRX2MA_IntfPerr", 8, 1 },
40818		{ "ENABLE_ALN_SDC_ERR_1", 7, 1 },
40819		{ "ENABLE_ALN_SDC_ERR_0", 6, 1 },
40820		{ "ENABLE_PF_UNTAGGED_TPT_1", 5, 1 },
40821		{ "ENABLE_PF_UNTAGGED_TPT_0", 4, 1 },
40822		{ "ENABLE_PF_PBL_1", 3, 1 },
40823		{ "ENABLE_PF_PBL_0", 2, 1 },
40824		{ "ENABLE_DDP_HINT_1", 1, 1 },
40825		{ "ENABLE_DDP_HINT_0", 0, 1 },
40826	{ "ULP_RX_RQE_PBL_MULTIPLE_OUTSTANDING_CNT", 0x19278, 0 },
40827	{ "ULP_RX_ATOMIC_LEN", 0x1927c, 0 },
40828		{ "atomic_rpl_len", 16, 8 },
40829		{ "atomic_req_len", 8, 8 },
40830		{ "atomic_immediate_len", 0, 8 },
40831	{ "ULP_RX_CGEN_GLOBAL", 0x19280, 0 },
40832	{ "ULP_RX_CTX_SKIP_MA_REQ", 0x19284, 0 },
40833		{ "clear_ctx_err_cnt1", 3, 1 },
40834		{ "clear_ctx_err_cnt0", 2, 1 },
40835		{ "skip_ma_req_en1", 1, 1 },
40836		{ "skip_ma_req_en0", 0, 1 },
40837	{ "ULP_RX_CHNL0_CTX_ERROR_COUNT_PER_TID", 0x19288, 0 },
40838	{ "ULP_RX_CHNL1_CTX_ERROR_COUNT_PER_TID", 0x1928c, 0 },
40839	{ "ULP_RX_MSN_CHECK_ENABLE", 0x19290, 0 },
40840		{ "Rd_or_Term_msn_check_enable", 2, 1 },
40841		{ "atomic_op_msn_check_enable", 1, 1 },
40842		{ "send_msn_check_enable", 0, 1 },
40843	{ NULL }
40844};
40845
40846struct reg_info t5_sf_regs[] = {
40847	{ "SF_DATA", 0x193f8, 0 },
40848	{ "SF_OP", 0x193fc, 0 },
40849		{ "Busy", 31, 1 },
40850		{ "Lock", 4, 1 },
40851		{ "Cont", 3, 1 },
40852		{ "ByteCnt", 1, 2 },
40853		{ "Op", 0, 1 },
40854	{ NULL }
40855};
40856
40857struct reg_info t5_pl_regs[] = {
40858	{ "PL_PF_INT_CAUSE", 0x1e3c0, 0 },
40859		{ "SW", 3, 1 },
40860		{ "CIM", 1, 1 },
40861		{ "MPS", 0, 1 },
40862	{ "PL_PF_INT_ENABLE", 0x1e3c4, 0 },
40863		{ "SW", 3, 1 },
40864		{ "CIM", 1, 1 },
40865		{ "MPS", 0, 1 },
40866	{ "PL_PF_CTL", 0x1e3c8, 0 },
40867	{ "PL_PF_INT_CAUSE", 0x1e7c0, 0 },
40868		{ "SW", 3, 1 },
40869		{ "CIM", 1, 1 },
40870		{ "MPS", 0, 1 },
40871	{ "PL_PF_INT_ENABLE", 0x1e7c4, 0 },
40872		{ "SW", 3, 1 },
40873		{ "CIM", 1, 1 },
40874		{ "MPS", 0, 1 },
40875	{ "PL_PF_CTL", 0x1e7c8, 0 },
40876	{ "PL_PF_INT_CAUSE", 0x1ebc0, 0 },
40877		{ "SW", 3, 1 },
40878		{ "CIM", 1, 1 },
40879		{ "MPS", 0, 1 },
40880	{ "PL_PF_INT_ENABLE", 0x1ebc4, 0 },
40881		{ "SW", 3, 1 },
40882		{ "CIM", 1, 1 },
40883		{ "MPS", 0, 1 },
40884	{ "PL_PF_CTL", 0x1ebc8, 0 },
40885	{ "PL_PF_INT_CAUSE", 0x1efc0, 0 },
40886		{ "SW", 3, 1 },
40887		{ "CIM", 1, 1 },
40888		{ "MPS", 0, 1 },
40889	{ "PL_PF_INT_ENABLE", 0x1efc4, 0 },
40890		{ "SW", 3, 1 },
40891		{ "CIM", 1, 1 },
40892		{ "MPS", 0, 1 },
40893	{ "PL_PF_CTL", 0x1efc8, 0 },
40894	{ "PL_PF_INT_CAUSE", 0x1f3c0, 0 },
40895		{ "SW", 3, 1 },
40896		{ "CIM", 1, 1 },
40897		{ "MPS", 0, 1 },
40898	{ "PL_PF_INT_ENABLE", 0x1f3c4, 0 },
40899		{ "SW", 3, 1 },
40900		{ "CIM", 1, 1 },
40901		{ "MPS", 0, 1 },
40902	{ "PL_PF_CTL", 0x1f3c8, 0 },
40903	{ "PL_PF_INT_CAUSE", 0x1f7c0, 0 },
40904		{ "SW", 3, 1 },
40905		{ "CIM", 1, 1 },
40906		{ "MPS", 0, 1 },
40907	{ "PL_PF_INT_ENABLE", 0x1f7c4, 0 },
40908		{ "SW", 3, 1 },
40909		{ "CIM", 1, 1 },
40910		{ "MPS", 0, 1 },
40911	{ "PL_PF_CTL", 0x1f7c8, 0 },
40912	{ "PL_PF_INT_CAUSE", 0x1fbc0, 0 },
40913		{ "SW", 3, 1 },
40914		{ "CIM", 1, 1 },
40915		{ "MPS", 0, 1 },
40916	{ "PL_PF_INT_ENABLE", 0x1fbc4, 0 },
40917		{ "SW", 3, 1 },
40918		{ "CIM", 1, 1 },
40919		{ "MPS", 0, 1 },
40920	{ "PL_PF_CTL", 0x1fbc8, 0 },
40921	{ "PL_PF_INT_CAUSE", 0x1ffc0, 0 },
40922		{ "SW", 3, 1 },
40923		{ "CIM", 1, 1 },
40924		{ "MPS", 0, 1 },
40925	{ "PL_PF_INT_ENABLE", 0x1ffc4, 0 },
40926		{ "SW", 3, 1 },
40927		{ "CIM", 1, 1 },
40928		{ "MPS", 0, 1 },
40929	{ "PL_PF_CTL", 0x1ffc8, 0 },
40930	{ "PL_WHOAMI", 0x19400, 0 },
40931		{ "PortxMap", 24, 3 },
40932		{ "SourceBus", 16, 2 },
40933		{ "SourcePF", 8, 3 },
40934		{ "IsVF", 7, 1 },
40935		{ "VFID", 0, 7 },
40936	{ "PL_PERR_CAUSE", 0x19404, 0 },
40937		{ "MC1", 31, 1 },
40938		{ "UART", 28, 1 },
40939		{ "ULP_TX", 27, 1 },
40940		{ "SGE", 26, 1 },
40941		{ "HMA", 25, 1 },
40942		{ "CPL_SWITCH", 24, 1 },
40943		{ "ULP_RX", 23, 1 },
40944		{ "PM_RX", 22, 1 },
40945		{ "PM_TX", 21, 1 },
40946		{ "MA", 20, 1 },
40947		{ "TP", 19, 1 },
40948		{ "LE", 18, 1 },
40949		{ "EDC1", 17, 1 },
40950		{ "EDC0", 16, 1 },
40951		{ "MC0", 15, 1 },
40952		{ "PCIE", 14, 1 },
40953		{ "PMU", 13, 1 },
40954		{ "MAC", 9, 1 },
40955		{ "SMB", 8, 1 },
40956		{ "SF", 7, 1 },
40957		{ "PL", 6, 1 },
40958		{ "NCSI", 5, 1 },
40959		{ "MPS", 4, 1 },
40960		{ "MI", 3, 1 },
40961		{ "DBG", 2, 1 },
40962		{ "I2CM", 1, 1 },
40963		{ "CIM", 0, 1 },
40964	{ "PL_PERR_ENABLE", 0x19408, 0 },
40965		{ "MC1", 31, 1 },
40966		{ "UART", 28, 1 },
40967		{ "ULP_TX", 27, 1 },
40968		{ "SGE", 26, 1 },
40969		{ "HMA", 25, 1 },
40970		{ "CPL_SWITCH", 24, 1 },
40971		{ "ULP_RX", 23, 1 },
40972		{ "PM_RX", 22, 1 },
40973		{ "PM_TX", 21, 1 },
40974		{ "MA", 20, 1 },
40975		{ "TP", 19, 1 },
40976		{ "LE", 18, 1 },
40977		{ "EDC1", 17, 1 },
40978		{ "EDC0", 16, 1 },
40979		{ "MC0", 15, 1 },
40980		{ "PCIE", 14, 1 },
40981		{ "PMU", 13, 1 },
40982		{ "MAC", 9, 1 },
40983		{ "SMB", 8, 1 },
40984		{ "SF", 7, 1 },
40985		{ "PL", 6, 1 },
40986		{ "NCSI", 5, 1 },
40987		{ "MPS", 4, 1 },
40988		{ "MI", 3, 1 },
40989		{ "DBG", 2, 1 },
40990		{ "I2CM", 1, 1 },
40991		{ "CIM", 0, 1 },
40992	{ "PL_INT_CAUSE", 0x1940c, 0 },
40993		{ "MC1", 31, 1 },
40994		{ "FLR", 30, 1 },
40995		{ "SW_CIM", 29, 1 },
40996		{ "UART", 28, 1 },
40997		{ "ULP_TX", 27, 1 },
40998		{ "SGE", 26, 1 },
40999		{ "HMA", 25, 1 },
41000		{ "CPL_SWITCH", 24, 1 },
41001		{ "ULP_RX", 23, 1 },
41002		{ "PM_RX", 22, 1 },
41003		{ "PM_TX", 21, 1 },
41004		{ "MA", 20, 1 },
41005		{ "TP", 19, 1 },
41006		{ "LE", 18, 1 },
41007		{ "EDC1", 17, 1 },
41008		{ "EDC0", 16, 1 },
41009		{ "MC0", 15, 1 },
41010		{ "PCIE", 14, 1 },
41011		{ "PMU", 13, 1 },
41012		{ "MAC3", 12, 1 },
41013		{ "MAC2", 11, 1 },
41014		{ "MAC1", 10, 1 },
41015		{ "MAC0", 9, 1 },
41016		{ "SMB", 8, 1 },
41017		{ "SF", 7, 1 },
41018		{ "PL", 6, 1 },
41019		{ "NCSI", 5, 1 },
41020		{ "MPS", 4, 1 },
41021		{ "MI", 3, 1 },
41022		{ "DBG", 2, 1 },
41023		{ "I2CM", 1, 1 },
41024		{ "CIM", 0, 1 },
41025	{ "PL_INT_ENABLE", 0x19410, 0 },
41026		{ "MC1", 31, 1 },
41027		{ "FLR", 30, 1 },
41028		{ "SW_CIM", 29, 1 },
41029		{ "UART", 28, 1 },
41030		{ "ULP_TX", 27, 1 },
41031		{ "SGE", 26, 1 },
41032		{ "HMA", 25, 1 },
41033		{ "CPL_SWITCH", 24, 1 },
41034		{ "ULP_RX", 23, 1 },
41035		{ "PM_RX", 22, 1 },
41036		{ "PM_TX", 21, 1 },
41037		{ "MA", 20, 1 },
41038		{ "TP", 19, 1 },
41039		{ "LE", 18, 1 },
41040		{ "EDC1", 17, 1 },
41041		{ "EDC0", 16, 1 },
41042		{ "MC0", 15, 1 },
41043		{ "PCIE", 14, 1 },
41044		{ "PMU", 13, 1 },
41045		{ "MAC3", 12, 1 },
41046		{ "MAC2", 11, 1 },
41047		{ "MAC1", 10, 1 },
41048		{ "MAC0", 9, 1 },
41049		{ "SMB", 8, 1 },
41050		{ "SF", 7, 1 },
41051		{ "PL", 6, 1 },
41052		{ "NCSI", 5, 1 },
41053		{ "MPS", 4, 1 },
41054		{ "MI", 3, 1 },
41055		{ "DBG", 2, 1 },
41056		{ "I2CM", 1, 1 },
41057		{ "CIM", 0, 1 },
41058	{ "PL_INT_MAP0", 0x19414, 0 },
41059		{ "MapNCSI", 16, 9 },
41060		{ "MapDefault", 0, 9 },
41061	{ "PL_INT_MAP1", 0x19418, 0 },
41062		{ "MapMAC1", 16, 9 },
41063		{ "MapMAC0", 0, 9 },
41064	{ "PL_INT_MAP2", 0x1941c, 0 },
41065		{ "MapMAC3", 16, 9 },
41066		{ "MapMAC2", 0, 9 },
41067	{ "PL_INT_MAP3", 0x19420, 0 },
41068		{ "MapMI", 16, 9 },
41069		{ "MapSMB", 0, 9 },
41070	{ "PL_INT_MAP4", 0x19424, 0 },
41071		{ "MapDBG", 16, 9 },
41072		{ "MapI2CM", 0, 9 },
41073	{ "PL_RST", 0x19428, 0 },
41074		{ "AutoPciePause", 4, 1 },
41075		{ "FatalPerrEn", 3, 1 },
41076		{ "SWIntCIM", 2, 1 },
41077		{ "PIORst", 1, 1 },
41078		{ "PIORstMode", 0, 1 },
41079	{ "PL_PL_INT_CAUSE", 0x19430, 0 },
41080		{ "PL_BusPerr", 6, 1 },
41081		{ "FatalPerr", 4, 1 },
41082		{ "InvalidAccess", 3, 1 },
41083		{ "Timeout", 2, 1 },
41084		{ "PLErr", 1, 1 },
41085	{ "PL_PL_INT_ENABLE", 0x19434, 0 },
41086		{ "PL_BusPerr", 6, 1 },
41087		{ "FatalPerr", 4, 1 },
41088		{ "InvalidAccess", 3, 1 },
41089		{ "Timeout", 2, 1 },
41090		{ "PLErr", 1, 1 },
41091	{ "PL_PL_PERR_ENABLE", 0x19438, 0 },
41092		{ "PL_BusPerr", 6, 1 },
41093	{ "PL_REV", 0x1943c, 0 },
41094		{ "ChipID", 4, 4 },
41095		{ "Rev", 0, 4 },
41096	{ "PL_PCIE_LINK", 0x19440, 0 },
41097		{ "LN0_AESTAT", 26, 3 },
41098		{ "LN0_AECMD", 23, 3 },
41099		{ "StateCfgInitF", 16, 7 },
41100		{ "StateCfgInit", 12, 4 },
41101		{ "SPEED", 8, 2 },
41102		{ "PERstTimeout", 7, 1 },
41103		{ "LTSSMEnable", 6, 1 },
41104		{ "LTSSM", 0, 6 },
41105	{ "PL_PCIE_CTL_STAT", 0x19444, 0 },
41106		{ "Status", 16, 16 },
41107		{ "Control", 0, 16 },
41108	{ "PL_SEMAPHORE_CTL", 0x1944c, 0 },
41109		{ "LockStatus", 16, 8 },
41110		{ "OwnerOverride", 8, 1 },
41111		{ "EnablePF", 0, 8 },
41112	{ "PL_SEMAPHORE_LOCK", 0x19450, 0 },
41113		{ "Lock", 31, 1 },
41114		{ "SourceBus", 3, 2 },
41115		{ "SourcePF", 0, 3 },
41116	{ "PL_SEMAPHORE_LOCK", 0x19454, 0 },
41117		{ "Lock", 31, 1 },
41118		{ "SourceBus", 3, 2 },
41119		{ "SourcePF", 0, 3 },
41120	{ "PL_SEMAPHORE_LOCK", 0x19458, 0 },
41121		{ "Lock", 31, 1 },
41122		{ "SourceBus", 3, 2 },
41123		{ "SourcePF", 0, 3 },
41124	{ "PL_SEMAPHORE_LOCK", 0x1945c, 0 },
41125		{ "Lock", 31, 1 },
41126		{ "SourceBus", 3, 2 },
41127		{ "SourcePF", 0, 3 },
41128	{ "PL_SEMAPHORE_LOCK", 0x19460, 0 },
41129		{ "Lock", 31, 1 },
41130		{ "SourceBus", 3, 2 },
41131		{ "SourcePF", 0, 3 },
41132	{ "PL_SEMAPHORE_LOCK", 0x19464, 0 },
41133		{ "Lock", 31, 1 },
41134		{ "SourceBus", 3, 2 },
41135		{ "SourcePF", 0, 3 },
41136	{ "PL_SEMAPHORE_LOCK", 0x19468, 0 },
41137		{ "Lock", 31, 1 },
41138		{ "SourceBus", 3, 2 },
41139		{ "SourcePF", 0, 3 },
41140	{ "PL_SEMAPHORE_LOCK", 0x1946c, 0 },
41141		{ "Lock", 31, 1 },
41142		{ "SourceBus", 3, 2 },
41143		{ "SourcePF", 0, 3 },
41144	{ "PL_PORTX_MAP", 0x19474, 0 },
41145		{ "MAP7", 28, 3 },
41146		{ "MAP6", 24, 3 },
41147		{ "MAP5", 20, 3 },
41148		{ "MAP4", 16, 3 },
41149		{ "MAP3", 12, 3 },
41150		{ "MAP2", 8, 3 },
41151		{ "MAP1", 4, 3 },
41152		{ "MAP0", 0, 3 },
41153	{ "PL_VF_SLICE_L", 0x19490, 0 },
41154		{ "LimitAddr", 16, 10 },
41155		{ "BaseAddr", 0, 10 },
41156	{ "PL_VF_SLICE_L", 0x19498, 0 },
41157		{ "LimitAddr", 16, 10 },
41158		{ "BaseAddr", 0, 10 },
41159	{ "PL_VF_SLICE_L", 0x194a0, 0 },
41160		{ "LimitAddr", 16, 10 },
41161		{ "BaseAddr", 0, 10 },
41162	{ "PL_VF_SLICE_L", 0x194a8, 0 },
41163		{ "LimitAddr", 16, 10 },
41164		{ "BaseAddr", 0, 10 },
41165	{ "PL_VF_SLICE_L", 0x194b0, 0 },
41166		{ "LimitAddr", 16, 10 },
41167		{ "BaseAddr", 0, 10 },
41168	{ "PL_VF_SLICE_L", 0x194b8, 0 },
41169		{ "LimitAddr", 16, 10 },
41170		{ "BaseAddr", 0, 10 },
41171	{ "PL_VF_SLICE_L", 0x194c0, 0 },
41172		{ "LimitAddr", 16, 10 },
41173		{ "BaseAddr", 0, 10 },
41174	{ "PL_VF_SLICE_L", 0x194c8, 0 },
41175		{ "LimitAddr", 16, 10 },
41176		{ "BaseAddr", 0, 10 },
41177	{ "PL_VF_SLICE_H", 0x19494, 0 },
41178		{ "ModIndx", 16, 3 },
41179		{ "ModOffset", 0, 10 },
41180	{ "PL_VF_SLICE_H", 0x1949c, 0 },
41181		{ "ModIndx", 16, 3 },
41182		{ "ModOffset", 0, 10 },
41183	{ "PL_VF_SLICE_H", 0x194a4, 0 },
41184		{ "ModIndx", 16, 3 },
41185		{ "ModOffset", 0, 10 },
41186	{ "PL_VF_SLICE_H", 0x194ac, 0 },
41187		{ "ModIndx", 16, 3 },
41188		{ "ModOffset", 0, 10 },
41189	{ "PL_VF_SLICE_H", 0x194b4, 0 },
41190		{ "ModIndx", 16, 3 },
41191		{ "ModOffset", 0, 10 },
41192	{ "PL_VF_SLICE_H", 0x194bc, 0 },
41193		{ "ModIndx", 16, 3 },
41194		{ "ModOffset", 0, 10 },
41195	{ "PL_VF_SLICE_H", 0x194c4, 0 },
41196		{ "ModIndx", 16, 3 },
41197		{ "ModOffset", 0, 10 },
41198	{ "PL_VF_SLICE_H", 0x194cc, 0 },
41199		{ "ModIndx", 16, 3 },
41200		{ "ModOffset", 0, 10 },
41201	{ "PL_TIMEOUT_CTL", 0x194f0, 0 },
41202		{ "PerrCapture", 16, 1 },
41203		{ "Timeout", 0, 16 },
41204	{ "PL_TIMEOUT_STATUS0", 0x194f4, 0 },
41205		{ "Addr", 2, 28 },
41206	{ "PL_TIMEOUT_STATUS1", 0x194f8, 0 },
41207		{ "Valid", 31, 1 },
41208		{ "ValidPerr", 30, 1 },
41209		{ "Write", 22, 1 },
41210		{ "Bus", 20, 2 },
41211		{ "PF", 16, 3 },
41212		{ "VFID", 0, 8 },
41213	{ NULL }
41214};
41215
41216struct reg_info t5_le_regs[] = {
41217	{ "LE_BUF_CONFIG", 0x19c00, 0 },
41218	{ "LE_DB_CONFIG", 0x19c04, 0 },
41219		{ "MASKCMDOLAPDIS", 26, 1 },
41220		{ "IPv4HASHSIZEEN", 25, 1 },
41221		{ "PROTOCOLMASKEN", 24, 1 },
41222		{ "TUPLESIZEEN", 23, 1 },
41223		{ "SRVRSRAMEN", 22, 1 },
41224		{ "TCAMCMDOVLAPEN", 21, 1 },
41225		{ "HASHEN", 20, 1 },
41226		{ "ASBOTHSRCHENPR", 19, 1 },
41227		{ "ASBOTHSRCHEN", 18, 1 },
41228		{ "ASLIPCOMPEN", 17, 1 },
41229		{ "BUILD", 16, 1 },
41230		{ "POCLIPTID0", 15, 1 },
41231		{ "TCAMARBOFF", 14, 1 },
41232		{ "ACCNTFULLEN", 13, 1 },
41233		{ "FilterRWnoCLIP", 12, 1 },
41234		{ "FilterEn", 11, 1 },
41235		{ "CRCHASH", 10, 1 },
41236		{ "COMPTID", 9, 1 },
41237		{ "SYNMode", 7, 2 },
41238		{ "SINGLETHREAD", 6, 1 },
41239		{ "LEBUSEN", 5, 1 },
41240		{ "ELOOKDUMEN", 4, 1 },
41241		{ "IPv4ONLYEN", 3, 1 },
41242		{ "MOSTCMDOEN", 2, 1 },
41243		{ "DELACTSYNOEN", 1, 1 },
41244		{ "CMDOVERLAPDIS", 0, 1 },
41245	{ "LE_MISC", 0x19c08, 0 },
41246		{ "SRAMDEEPSLEEP_STAT", 11, 1 },
41247		{ "TCAMDEEPSLEEP1_STAT", 10, 1 },
41248		{ "TCAMDEEPSLEEP0_STAT", 9, 1 },
41249		{ "SRAMDEEPSLEEP", 8, 1 },
41250		{ "TCAMDEEPSLEEP1", 7, 1 },
41251		{ "TCAMDEEPSLEEP0", 6, 1 },
41252		{ "SRVRAMCLKOFF", 5, 1 },
41253		{ "HASHCLKOFF", 4, 1 },
41254		{ "CMPUNVAIL", 0, 4 },
41255	{ "LE_DB_ROUTING_TABLE_INDEX", 0x19c10, 0 },
41256		{ "RTINDX", 7, 6 },
41257	{ "LE_DB_FILTER_TABLE_INDEX", 0x19c14, 0 },
41258		{ "FTINDX", 7, 6 },
41259	{ "LE_DB_SERVER_INDEX", 0x19c18, 0 },
41260		{ "SRINDX", 7, 6 },
41261	{ "LE_DB_CLIP_TABLE_INDEX", 0x19c1c, 0 },
41262		{ "CLIPTINDX", 7, 6 },
41263	{ "LE_DB_ACT_CNT_IPV4", 0x19c20, 0 },
41264	{ "LE_DB_ACT_CNT_IPV6", 0x19c24, 0 },
41265	{ "LE_DB_ACT_CNT_IPV4_TCAM", 0x19c94, 0 },
41266	{ "LE_DB_ACT_CNT_IPV6_TCAM", 0x19c98, 0 },
41267	{ "LE_ACT_CNT_THRSH", 0x19c9c, 0 },
41268	{ "LE_DB_HASH_CONFIG", 0x19c28, 0 },
41269		{ "HASHTIDSIZE", 16, 6 },
41270		{ "HASHSIZE", 0, 6 },
41271	{ "LE_DB_HASH_TABLE_BASE", 0x19c2c, 0 },
41272	{ "LE_DB_HASH_TID_BASE", 0x19c30, 0 },
41273	{ "LE_DB_SIZE", 0x19c34, 0 },
41274	{ "LE_DB_INT_ENABLE", 0x19c38, 0 },
41275		{ "MsgSel", 27, 5 },
41276		{ "ActCntIPv6Tzero", 21, 1 },
41277		{ "ActCntIPv4Tzero", 20, 1 },
41278		{ "ActCntIPv6zero", 19, 1 },
41279		{ "ActCntIPv4zero", 18, 1 },
41280		{ "MARspParErr", 17, 1 },
41281		{ "ReqQParErr", 16, 1 },
41282		{ "UnknownCmd", 15, 1 },
41283		{ "VfParErr", 14, 1 },
41284		{ "DropFilterHit", 13, 1 },
41285		{ "FilterHit", 12, 1 },
41286		{ "SYNCookieOff", 11, 1 },
41287		{ "SYNCookieBad", 10, 1 },
41288		{ "SYNCookie", 9, 1 },
41289		{ "NFASrchFail", 8, 1 },
41290		{ "ActRgnFull", 7, 1 },
41291		{ "ParityErr", 6, 1 },
41292		{ "LIPMiss", 5, 1 },
41293		{ "LIP0", 4, 1 },
41294		{ "Miss", 3, 1 },
41295		{ "RoutingHit", 2, 1 },
41296		{ "ActiveHit", 1, 1 },
41297		{ "ServerHit", 0, 1 },
41298	{ "LE_DB_INT_CAUSE", 0x19c3c, 0 },
41299		{ "ActCntIPv6Tzero", 21, 1 },
41300		{ "ActCntIPv4Tzero", 20, 1 },
41301		{ "ActCntIPv6zero", 19, 1 },
41302		{ "ActCntIPv4zero", 18, 1 },
41303		{ "MARspParErr", 17, 1 },
41304		{ "ReqQParErr", 16, 1 },
41305		{ "UnknownCmd", 15, 1 },
41306		{ "VfParErr", 14, 1 },
41307		{ "DropFilterHit", 13, 1 },
41308		{ "FilterHit", 12, 1 },
41309		{ "SYNCookieOff", 11, 1 },
41310		{ "SYNCookieBad", 10, 1 },
41311		{ "SYNCookie", 9, 1 },
41312		{ "NFASrchFail", 8, 1 },
41313		{ "ActRgnFull", 7, 1 },
41314		{ "ParityErr", 6, 1 },
41315		{ "LIPMiss", 5, 1 },
41316		{ "LIP0", 4, 1 },
41317		{ "Miss", 3, 1 },
41318		{ "RoutingHit", 2, 1 },
41319		{ "ActiveHit", 1, 1 },
41320		{ "ServerHit", 0, 1 },
41321	{ "LE_DB_INT_TID", 0x19c40, 0 },
41322	{ "LE_DB_INT_PTID", 0x19c44, 0 },
41323	{ "LE_DB_INT_INDEX", 0x19c48, 0 },
41324	{ "LE_DB_INT_CMD", 0x19c4c, 0 },
41325	{ "LE_DB_MASK_IPV4", 0x19c50, 0 },
41326	{ "LE_DB_MASK_IPV4", 0x19c54, 0 },
41327	{ "LE_DB_MASK_IPV4", 0x19c58, 0 },
41328	{ "LE_DB_MASK_IPV4", 0x19c5c, 0 },
41329	{ "LE_DB_MASK_IPV4", 0x19c60, 0 },
41330	{ "LE_DB_MASK_IPV6", 0x19ca0, 0 },
41331	{ "LE_DB_MASK_IPV6", 0x19ca4, 0 },
41332	{ "LE_DB_MASK_IPV6", 0x19ca8, 0 },
41333	{ "LE_DB_MASK_IPV6", 0x19cac, 0 },
41334	{ "LE_DB_MASK_IPV6", 0x19cb0, 0 },
41335	{ "LE_DB_MASK_IPV6", 0x19cb4, 0 },
41336	{ "LE_DB_MASK_IPV6", 0x19cb8, 0 },
41337	{ "LE_DB_MASK_IPV6", 0x19cbc, 0 },
41338	{ "LE_DB_MASK_IPV6", 0x19cc0, 0 },
41339	{ "LE_DB_MASK_IPV6", 0x19cc4, 0 },
41340	{ "LE_DB_MASK_IPV6", 0x19cc8, 0 },
41341	{ "LE_DB_MASK_IPV6", 0x19ccc, 0 },
41342	{ "LE_DB_MASK_IPV6", 0x19cd0, 0 },
41343	{ "LE_DB_MASK_IPV6", 0x19cd4, 0 },
41344	{ "LE_DB_MASK_IPV6", 0x19cd8, 0 },
41345	{ "LE_DB_MASK_IPV6", 0x19cdc, 0 },
41346	{ "LE_DB_MASK_IPV6", 0x19ce0, 0 },
41347	{ "LE_DB_REQ_RSP_CNT", 0x19ce4, 0 },
41348		{ "RspCnt", 16, 16 },
41349		{ "ReqCnt", 0, 16 },
41350	{ "LE_DB_DBGI_CONFIG", 0x19cf0, 0 },
41351		{ "DBGICMDPERR", 31, 1 },
41352		{ "DBGICMDRANGE", 22, 3 },
41353		{ "DBGICMDMSKTYPE", 21, 1 },
41354		{ "DBGICMDSEARCH", 20, 1 },
41355		{ "DBGICMDREAD", 19, 1 },
41356		{ "DBGICMDLEARN", 18, 1 },
41357		{ "DBGICMDERASE", 17, 1 },
41358		{ "DBGICMDIPv6", 16, 1 },
41359		{ "DBGICMDTYPE", 13, 3 },
41360		{ "DBGICMDACKERR", 12, 1 },
41361		{ "DBGICMDBUSY", 3, 1 },
41362		{ "DBGICMDSTRT", 2, 1 },
41363		{ "DBGICMDMODE", 0, 2 },
41364	{ "LE_DB_DBGI_REQ_TCAM_CMD", 0x19cf4, 0 },
41365		{ "DBGICMD", 20, 4 },
41366		{ "DBGITINDEX", 0, 20 },
41367	{ "LE_PERR_ENABLE", 0x19cf8, 0 },
41368		{ "MARspParErr", 17, 1 },
41369		{ "ReqQueue", 16, 1 },
41370		{ "VfParErr", 14, 1 },
41371		{ "TCAM", 6, 1 },
41372	{ "LE_SPARE", 0x19cfc, 0 },
41373	{ "LE_DB_DBGI_REQ_DATA", 0x19d00, 0 },
41374	{ "LE_DB_DBGI_REQ_DATA", 0x19d04, 0 },
41375	{ "LE_DB_DBGI_REQ_DATA", 0x19d08, 0 },
41376	{ "LE_DB_DBGI_REQ_DATA", 0x19d0c, 0 },
41377	{ "LE_DB_DBGI_REQ_DATA", 0x19d10, 0 },
41378	{ "LE_DB_DBGI_REQ_DATA", 0x19d14, 0 },
41379	{ "LE_DB_DBGI_REQ_DATA", 0x19d18, 0 },
41380	{ "LE_DB_DBGI_REQ_DATA", 0x19d1c, 0 },
41381	{ "LE_DB_DBGI_REQ_DATA", 0x19d20, 0 },
41382	{ "LE_DB_DBGI_REQ_DATA", 0x19d24, 0 },
41383	{ "LE_DB_DBGI_REQ_DATA", 0x19d28, 0 },
41384	{ "LE_DB_DBGI_REQ_DATA", 0x19d2c, 0 },
41385	{ "LE_DB_DBGI_REQ_DATA", 0x19d30, 0 },
41386	{ "LE_DB_DBGI_REQ_DATA", 0x19d34, 0 },
41387	{ "LE_DB_DBGI_REQ_DATA", 0x19d38, 0 },
41388	{ "LE_DB_DBGI_REQ_DATA", 0x19d3c, 0 },
41389	{ "LE_DB_DBGI_REQ_DATA", 0x19d40, 0 },
41390	{ "LE_DB_DBGI_REQ_MASK", 0x19d50, 0 },
41391	{ "LE_DB_DBGI_REQ_MASK", 0x19d54, 0 },
41392	{ "LE_DB_DBGI_REQ_MASK", 0x19d58, 0 },
41393	{ "LE_DB_DBGI_REQ_MASK", 0x19d5c, 0 },
41394	{ "LE_DB_DBGI_REQ_MASK", 0x19d60, 0 },
41395	{ "LE_DB_DBGI_REQ_MASK", 0x19d64, 0 },
41396	{ "LE_DB_DBGI_REQ_MASK", 0x19d68, 0 },
41397	{ "LE_DB_DBGI_REQ_MASK", 0x19d6c, 0 },
41398	{ "LE_DB_DBGI_REQ_MASK", 0x19d70, 0 },
41399	{ "LE_DB_DBGI_REQ_MASK", 0x19d74, 0 },
41400	{ "LE_DB_DBGI_REQ_MASK", 0x19d78, 0 },
41401	{ "LE_DB_DBGI_REQ_MASK", 0x19d7c, 0 },
41402	{ "LE_DB_DBGI_REQ_MASK", 0x19d80, 0 },
41403	{ "LE_DB_DBGI_REQ_MASK", 0x19d84, 0 },
41404	{ "LE_DB_DBGI_REQ_MASK", 0x19d88, 0 },
41405	{ "LE_DB_DBGI_REQ_MASK", 0x19d8c, 0 },
41406	{ "LE_DB_DBGI_REQ_MASK", 0x19d90, 0 },
41407	{ "LE_DB_DBGI_RSP_STATUS", 0x19d94, 0 },
41408		{ "DBGIRspIndex", 12, 20 },
41409		{ "DBGIRspMsg", 8, 4 },
41410		{ "DBGIRspMsgVld", 7, 1 },
41411		{ "DBGIRspMHit", 2, 1 },
41412		{ "DBGIRspHit", 1, 1 },
41413		{ "DBGIRspValid", 0, 1 },
41414	{ "LE_DB_DBGI_RSP_DATA", 0x19da0, 0 },
41415	{ "LE_DB_DBGI_RSP_DATA", 0x19da4, 0 },
41416	{ "LE_DB_DBGI_RSP_DATA", 0x19da8, 0 },
41417	{ "LE_DB_DBGI_RSP_DATA", 0x19dac, 0 },
41418	{ "LE_DB_DBGI_RSP_DATA", 0x19db0, 0 },
41419	{ "LE_DB_DBGI_RSP_DATA", 0x19db4, 0 },
41420	{ "LE_DB_DBGI_RSP_DATA", 0x19db8, 0 },
41421	{ "LE_DB_DBGI_RSP_DATA", 0x19dbc, 0 },
41422	{ "LE_DB_DBGI_RSP_DATA", 0x19dc0, 0 },
41423	{ "LE_DB_DBGI_RSP_DATA", 0x19dc4, 0 },
41424	{ "LE_DB_DBGI_RSP_DATA", 0x19dc8, 0 },
41425	{ "LE_DB_DBGI_RSP_DATA", 0x19dcc, 0 },
41426	{ "LE_DB_DBGI_RSP_DATA", 0x19dd0, 0 },
41427	{ "LE_DB_DBGI_RSP_DATA", 0x19dd4, 0 },
41428	{ "LE_DB_DBGI_RSP_DATA", 0x19dd8, 0 },
41429	{ "LE_DB_DBGI_RSP_DATA", 0x19ddc, 0 },
41430	{ "LE_DB_DBGI_RSP_DATA", 0x19de0, 0 },
41431	{ "LE_DB_DBGI_RSP_LAST_CMD", 0x19de4, 0 },
41432		{ "LastCmdB", 16, 11 },
41433		{ "LastCmdA", 0, 11 },
41434	{ "LE_DB_DROP_FILTER_ENTRY", 0x19de8, 0 },
41435		{ "DropFilterEn", 31, 1 },
41436		{ "DropFilterClear", 17, 1 },
41437		{ "DropFilterSet", 16, 1 },
41438		{ "DropFilterFIDX", 0, 13 },
41439	{ "LE_DB_PTID_SVRBASE", 0x19df0, 0 },
41440		{ "SVRBASE_ADDR", 2, 18 },
41441	{ "LE_DB_FTID_FLTRBASE", 0x19df4, 0 },
41442		{ "FLTRBASE_ADDR", 2, 18 },
41443	{ "LE_DB_TID_HASHBASE", 0x19df8, 0 },
41444		{ "HASHBASE_ADDR", 2, 20 },
41445	{ "LE_PERR_INJECT", 0x19dfc, 0 },
41446		{ "MemSel", 1, 3 },
41447		{ "InjectDataErr", 0, 1 },
41448	{ "LE_DB_ACTIVE_MASK_IPV4", 0x19e00, 0 },
41449	{ "LE_DB_ACTIVE_MASK_IPV4", 0x19e04, 0 },
41450	{ "LE_DB_ACTIVE_MASK_IPV4", 0x19e08, 0 },
41451	{ "LE_DB_ACTIVE_MASK_IPV4", 0x19e0c, 0 },
41452	{ "LE_DB_ACTIVE_MASK_IPV4", 0x19e10, 0 },
41453	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e50, 0 },
41454	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e54, 0 },
41455	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e58, 0 },
41456	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e5c, 0 },
41457	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e60, 0 },
41458	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e64, 0 },
41459	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e68, 0 },
41460	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e6c, 0 },
41461	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e70, 0 },
41462	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e74, 0 },
41463	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e78, 0 },
41464	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e7c, 0 },
41465	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e80, 0 },
41466	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e84, 0 },
41467	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e88, 0 },
41468	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e8c, 0 },
41469	{ "LE_DB_ACTIVE_MASK_IPV6", 0x19e90, 0 },
41470	{ "LE_HASH_MASK_GEN_IPV4", 0x19ea0, 0 },
41471	{ "LE_HASH_MASK_GEN_IPV4", 0x19ea4, 0 },
41472	{ "LE_HASH_MASK_GEN_IPV4", 0x19ea8, 0 },
41473	{ "LE_HASH_MASK_GEN_IPV4", 0x19eac, 0 },
41474	{ "LE_HASH_MASK_GEN_IPV4", 0x19eb0, 0 },
41475	{ "LE_HASH_MASK_GEN_IPV6", 0x19eb4, 0 },
41476	{ "LE_HASH_MASK_GEN_IPV6", 0x19eb8, 0 },
41477	{ "LE_HASH_MASK_GEN_IPV6", 0x19ebc, 0 },
41478	{ "LE_HASH_MASK_GEN_IPV6", 0x19ec0, 0 },
41479	{ "LE_HASH_MASK_GEN_IPV6", 0x19ec4, 0 },
41480	{ "LE_HASH_MASK_GEN_IPV6", 0x19ec8, 0 },
41481	{ "LE_HASH_MASK_GEN_IPV6", 0x19ecc, 0 },
41482	{ "LE_HASH_MASK_GEN_IPV6", 0x19ed0, 0 },
41483	{ "LE_HASH_MASK_GEN_IPV6", 0x19ed4, 0 },
41484	{ "LE_HASH_MASK_GEN_IPV6", 0x19ed8, 0 },
41485	{ "LE_HASH_MASK_GEN_IPV6", 0x19edc, 0 },
41486	{ "LE_HASH_MASK_GEN_IPV6", 0x19ee0, 0 },
41487	{ "LE_HASH_MASK_CMP_IPV4", 0x19ee4, 0 },
41488	{ "LE_HASH_MASK_CMP_IPV4", 0x19ee8, 0 },
41489	{ "LE_HASH_MASK_CMP_IPV4", 0x19eec, 0 },
41490	{ "LE_HASH_MASK_CMP_IPV4", 0x19ef0, 0 },
41491	{ "LE_HASH_MASK_CMP_IPV4", 0x19ef4, 0 },
41492	{ "LE_HASH_MASK_CMP_IPV6", 0x19ef8, 0 },
41493	{ "LE_HASH_MASK_CMP_IPV6", 0x19efc, 0 },
41494	{ "LE_HASH_MASK_CMP_IPV6", 0x19f00, 0 },
41495	{ "LE_HASH_MASK_CMP_IPV6", 0x19f04, 0 },
41496	{ "LE_HASH_MASK_CMP_IPV6", 0x19f08, 0 },
41497	{ "LE_HASH_MASK_CMP_IPV6", 0x19f0c, 0 },
41498	{ "LE_HASH_MASK_CMP_IPV6", 0x19f10, 0 },
41499	{ "LE_HASH_MASK_CMP_IPV6", 0x19f14, 0 },
41500	{ "LE_HASH_MASK_CMP_IPV6", 0x19f18, 0 },
41501	{ "LE_HASH_MASK_CMP_IPV6", 0x19f1c, 0 },
41502	{ "LE_HASH_MASK_CMP_IPV6", 0x19f20, 0 },
41503	{ "LE_HASH_MASK_CMP_IPV6", 0x19f24, 0 },
41504	{ "LE_SRVR_SRAM_INIT", 0x19f34, 0 },
41505		{ "SRVRSRAMBASE", 2, 20 },
41506		{ "SRVRINITBUSY", 1, 1 },
41507		{ "SRVRINIT", 0, 1 },
41508	{ "LE_SRVR_VF_SRCH_TABLE", 0x19f38, 0 },
41509		{ "RDWR", 21, 1 },
41510		{ "VFINDEX", 14, 7 },
41511		{ "SRCHHADDR", 7, 7 },
41512		{ "SRCHLADDR", 0, 7 },
41513	{ "LE_DB_SECOND_ACTIVE_MASK_IPV4", 0x19f40, 0 },
41514	{ "LE_DB_SECOND_ACTIVE_MASK_IPV4", 0x19f44, 0 },
41515	{ "LE_DB_SECOND_ACTIVE_MASK_IPV4", 0x19f48, 0 },
41516	{ "LE_DB_SECOND_ACTIVE_MASK_IPV4", 0x19f4c, 0 },
41517	{ "LE_DB_SECOND_ACTIVE_MASK_IPV4", 0x19f50, 0 },
41518	{ "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19f90, 0 },
41519	{ "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19f94, 0 },
41520	{ "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19f98, 0 },
41521	{ "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19f9c, 0 },
41522	{ "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19fa0, 0 },
41523	{ "LE_DB_SECOND_CMP_HASH_MASK_IPV4", 0x19fa4, 0 },
41524	{ "LE_DB_SECOND_CMP_HASH_MASK_IPV4", 0x19fa8, 0 },
41525	{ "LE_DB_SECOND_CMP_HASH_MASK_IPV4", 0x19fac, 0 },
41526	{ "LE_DB_SECOND_CMP_HASH_MASK_IPV4", 0x19fb0, 0 },
41527	{ "LE_DB_SECOND_CMP_HASH_MASK_IPV4", 0x19fb4, 0 },
41528	{ "LE_HASH_COLLISION", 0x19fc4, 0 },
41529	{ "LE_GLOBAL_COLLISION", 0x19fc8, 0 },
41530	{ "LE_FULL_CNT_COLLISION", 0x19fcc, 0 },
41531	{ "LE_DEBUG_LA_CONFIG", 0x19fd0, 0 },
41532	{ "LE_REQ_DEBUG_LA_DATA", 0x19fd4, 0 },
41533	{ "LE_REQ_DEBUG_LA_WRPTR", 0x19fd8, 0 },
41534	{ "LE_RSP_DEBUG_LA_DATA", 0x19fdc, 0 },
41535	{ "LE_RSP_DEBUG_LA_WRPTR", 0x19fe0, 0 },
41536	{ "LE_DEBUG_LA_SEL_DATA", 0x19fe4, 0 },
41537	{ NULL }
41538};
41539
41540struct reg_info t5_ncsi_regs[] = {
41541	{ "NCSI_PORT_CFGREG", 0x1a000, 0 },
41542		{ "WireEn", 28, 4 },
41543		{ "strp_crc", 24, 4 },
41544		{ "rx_halt", 22, 1 },
41545		{ "flush_rx_fifo", 21, 1 },
41546		{ "hw_arb_en", 20, 1 },
41547		{ "soft_pkg_sel", 19, 1 },
41548		{ "err_discard_en", 18, 1 },
41549		{ "max_pkt_size", 4, 14 },
41550		{ "rx_byte_swap", 3, 1 },
41551		{ "tx_byte_swap", 2, 1 },
41552	{ "NCSI_RST_CTRL", 0x1a004, 0 },
41553		{ "mac_ref_rst", 2, 1 },
41554		{ "mac_rx_rst", 1, 1 },
41555		{ "mac_tx_rst", 0, 1 },
41556	{ "NCSI_CH0_SADDR_LOW", 0x1a010, 0 },
41557	{ "NCSI_CH0_SADDR_HIGH", 0x1a014, 0 },
41558		{ "CHO_SADDR_EN", 31, 1 },
41559		{ "CH0_SADDR_HIGH", 0, 16 },
41560	{ "NCSI_CH1_SADDR_LOW", 0x1a018, 0 },
41561	{ "NCSI_CH1_SADDR_HIGH", 0x1a01c, 0 },
41562		{ "CH1_SADDR_EN", 31, 1 },
41563		{ "CH1_SADDR_HIGH", 0, 16 },
41564	{ "NCSI_CH2_SADDR_LOW", 0x1a020, 0 },
41565	{ "NCSI_CH2_SADDR_HIGH", 0x1a024, 0 },
41566		{ "CH2_SADDR_EN", 31, 1 },
41567		{ "CH2_SADDR_HIGH", 0, 16 },
41568	{ "NCSI_CH3_SADDR_LOW", 0x1a028, 0 },
41569	{ "NCSI_CH3_SADDR_HIGH", 0x1a02c, 0 },
41570		{ "CH3_SADDR_EN", 31, 1 },
41571		{ "CH3_SADDR_HIGH", 0, 16 },
41572	{ "NCSI_WORK_REQHDR_0", 0x1a030, 0 },
41573	{ "NCSI_WORK_REQHDR_1", 0x1a034, 0 },
41574	{ "NCSI_WORK_REQHDR_2", 0x1a038, 0 },
41575	{ "NCSI_WORK_REQHDR_3", 0x1a03c, 0 },
41576	{ "NCSI_MPS_HDR_LO", 0x1a040, 0 },
41577	{ "NCSI_MPS_HDR_HI", 0x1a044, 0 },
41578	{ "NCSI_CTL", 0x1a048, 0 },
41579		{ "STRIP_OVLAN", 3, 1 },
41580		{ "bmc_drop_non_bc", 2, 1 },
41581		{ "bmc_rx_fwd_all", 1, 1 },
41582		{ "FWD_BMC", 0, 1 },
41583	{ "NCSI_NCSI_ETYPE", 0x1a04c, 0 },
41584	{ "NCSI_RX_FIFO_CNT", 0x1a050, 0 },
41585	{ "NCSI_RX_ERR_CNT", 0x1a054, 0 },
41586	{ "NCSI_RX_OF_CNT", 0x1a058, 0 },
41587	{ "NCSI_RX_MS_CNT", 0x1a05c, 0 },
41588	{ "NCSI_RX_IE_CNT", 0x1a060, 0 },
41589	{ "NCSI_MPS_DEMUX_CNT", 0x1a064, 0 },
41590		{ "MPS2CIM_CNT", 16, 9 },
41591		{ "MPS2BMC_CNT", 0, 9 },
41592	{ "NCSI_CIM_DEMUX_CNT", 0x1a068, 0 },
41593		{ "CIM2MPS_CNT", 16, 9 },
41594		{ "CIM2BMC_CNT", 0, 9 },
41595	{ "NCSI_TX_FIFO_CNT", 0x1a06c, 0 },
41596	{ "NCSI_SE_CNT_CTL", 0x1a0b0, 0 },
41597	{ "NCSI_SE_CNT_MPS", 0x1a0b4, 0 },
41598	{ "NCSI_SE_CNT_CIM", 0x1a0b8, 0 },
41599	{ "NCSI_BUS_DEBUG", 0x1a0bc, 0 },
41600	{ "NCSI_LA_RDPTR", 0x1a0c0, 0 },
41601	{ "NCSI_LA_RDDATA", 0x1a0c4, 0 },
41602	{ "NCSI_LA_WRPTR", 0x1a0c8, 0 },
41603	{ "NCSI_LA_RESERVED", 0x1a0cc, 0 },
41604	{ "NCSI_LA_CTL", 0x1a0d0, 0 },
41605	{ "NCSI_INT_ENABLE", 0x1a0d4, 0 },
41606		{ "CIM_DM_prty_err", 8, 1 },
41607		{ "MPS_DM_prty_err", 7, 1 },
41608		{ "token", 6, 1 },
41609		{ "arb_done", 5, 1 },
41610		{ "arb_started", 4, 1 },
41611		{ "WOL", 3, 1 },
41612		{ "MACInt", 2, 1 },
41613		{ "TXFIFO_prty_err", 1, 1 },
41614		{ "RXFIFO_prty_err", 0, 1 },
41615	{ "NCSI_INT_CAUSE", 0x1a0d8, 0 },
41616		{ "CIM_DM_prty_err", 8, 1 },
41617		{ "MPS_DM_prty_err", 7, 1 },
41618		{ "token", 6, 1 },
41619		{ "arb_done", 5, 1 },
41620		{ "arb_started", 4, 1 },
41621		{ "WOL", 3, 1 },
41622		{ "MACInt", 2, 1 },
41623		{ "TXFIFO_prty_err", 1, 1 },
41624		{ "RXFIFO_prty_err", 0, 1 },
41625	{ "NCSI_STATUS", 0x1a0dc, 0 },
41626		{ "Master", 1, 1 },
41627		{ "arb_status", 0, 1 },
41628	{ "NCSI_PAUSE_CTRL", 0x1a0e0, 0 },
41629	{ "NCSI_PAUSE_TIMEOUT", 0x1a0e4, 0 },
41630	{ "NCSI_PAUSE_WM", 0x1a0ec, 0 },
41631		{ "PauseHWM", 16, 11 },
41632		{ "PauseLWM", 0, 11 },
41633	{ "NCSI_DEBUG", 0x1a0f0, 0 },
41634		{ "TxFIFO_empty", 4, 1 },
41635		{ "TxFIFO_full", 3, 1 },
41636		{ "PKG_ID", 0, 3 },
41637	{ "NCSI_PERR_INJECT", 0x1a0f4, 0 },
41638		{ "MemSel", 1, 1 },
41639		{ "InjectDataErr", 0, 1 },
41640	{ "NCSI_PERR_ENABLE", 0x1a0f8, 0 },
41641		{ "CIM_DM_prty_err", 8, 1 },
41642		{ "MPS_DM_prty_err", 7, 1 },
41643		{ "TXFIFO_prty_err", 1, 1 },
41644		{ "RXFIFO_prty_err", 0, 1 },
41645	{ "NCSI_MACB_NETWORK_CTRL", 0x1a100, 0 },
41646		{ "TxSndZeroPause", 12, 1 },
41647		{ "TxSndPause", 11, 1 },
41648		{ "TxStop", 10, 1 },
41649		{ "TxStart", 9, 1 },
41650		{ "BackPress", 8, 1 },
41651		{ "StatWrEn", 7, 1 },
41652		{ "IncrStat", 6, 1 },
41653		{ "ClearStat", 5, 1 },
41654		{ "EnMgmtPort", 4, 1 },
41655		{ "TxEn", 3, 1 },
41656		{ "RxEn", 2, 1 },
41657		{ "LoopLocal", 1, 1 },
41658		{ "LoopPHY", 0, 1 },
41659	{ "NCSI_MACB_NETWORK_CFG", 0x1a104, 0 },
41660		{ "PClkDiv128", 22, 1 },
41661		{ "CopyPause", 21, 1 },
41662		{ "NonStdPreOK", 20, 1 },
41663		{ "NoFCS", 19, 1 },
41664		{ "RxEnHalfDup", 18, 1 },
41665		{ "NoCopyFCS", 17, 1 },
41666		{ "LenChkEn", 16, 1 },
41667		{ "RxBufOffset", 14, 2 },
41668		{ "PauseEn", 13, 1 },
41669		{ "RetryTest", 12, 1 },
41670		{ "PClkDiv", 10, 2 },
41671		{ "ExtClass", 9, 1 },
41672		{ "En1536Frame", 8, 1 },
41673		{ "UCastHashEn", 7, 1 },
41674		{ "MCastHashEn", 6, 1 },
41675		{ "RxBCastDis", 5, 1 },
41676		{ "CopyAllFrames", 4, 1 },
41677		{ "JumboEn", 3, 1 },
41678		{ "SerEn", 2, 1 },
41679		{ "FullDuplex", 1, 1 },
41680		{ "Speed", 0, 1 },
41681	{ "NCSI_MACB_NETWORK_STATUS", 0x1a108, 0 },
41682		{ "PHYMgmtStatus", 2, 1 },
41683		{ "MDIStatus", 1, 1 },
41684		{ "LinkStatus", 0, 1 },
41685	{ "NCSI_MACB_TX_STATUS", 0x1a114, 0 },
41686		{ "UnderrunErr", 6, 1 },
41687		{ "TxComplete", 5, 1 },
41688		{ "BufferExhausted", 4, 1 },
41689		{ "TxProgress", 3, 1 },
41690		{ "RetryLimit", 2, 1 },
41691		{ "ColEvent", 1, 1 },
41692		{ "UsedBitRead", 0, 1 },
41693	{ "NCSI_MACB_RX_BUF_QPTR", 0x1a118, 0 },
41694		{ "RxBufQPtr", 2, 30 },
41695	{ "NCSI_MACB_TX_BUF_QPTR", 0x1a11c, 0 },
41696		{ "TxBufQPtr", 2, 30 },
41697	{ "NCSI_MACB_RX_STATUS", 0x1a120, 0 },
41698		{ "RxOverrunErr", 2, 1 },
41699		{ "FrameRcvd", 1, 1 },
41700		{ "NoRxBuf", 0, 1 },
41701	{ "NCSI_MACB_INT_STATUS", 0x1a124, 0 },
41702		{ "PauseTimeZero", 13, 1 },
41703		{ "PauseRcvd", 12, 1 },
41704		{ "HRespNotOK", 11, 1 },
41705		{ "RxOverrun", 10, 1 },
41706		{ "LinkChange", 9, 1 },
41707		{ "TxComplete", 7, 1 },
41708		{ "TxBufErr", 6, 1 },
41709		{ "RetryLimitErr", 5, 1 },
41710		{ "TxBufUnderrun", 4, 1 },
41711		{ "TxUsedBitRead", 3, 1 },
41712		{ "RxUsedBitRead", 2, 1 },
41713		{ "RxComplete", 1, 1 },
41714		{ "MgmtFrameSent", 0, 1 },
41715	{ "NCSI_MACB_INT_EN", 0x1a128, 0 },
41716		{ "PauseTimeZero", 13, 1 },
41717		{ "PauseRcvd", 12, 1 },
41718		{ "HRespNotOK", 11, 1 },
41719		{ "RxOverrun", 10, 1 },
41720		{ "LinkChange", 9, 1 },
41721		{ "TxComplete", 7, 1 },
41722		{ "TxBufErr", 6, 1 },
41723		{ "RetryLimitErr", 5, 1 },
41724		{ "TxBufUnderrun", 4, 1 },
41725		{ "TxUsedBitRead", 3, 1 },
41726		{ "RxUsedBitRead", 2, 1 },
41727		{ "RxComplete", 1, 1 },
41728		{ "MgmtFrameSent", 0, 1 },
41729	{ "NCSI_MACB_INT_DIS", 0x1a12c, 0 },
41730		{ "PauseTimeZero", 13, 1 },
41731		{ "PauseRcvd", 12, 1 },
41732		{ "HRespNotOK", 11, 1 },
41733		{ "RxOverrun", 10, 1 },
41734		{ "LinkChange", 9, 1 },
41735		{ "TxComplete", 7, 1 },
41736		{ "TxBufErr", 6, 1 },
41737		{ "RetryLimitErr", 5, 1 },
41738		{ "TxBufUnderrun", 4, 1 },
41739		{ "TxUsedBitRead", 3, 1 },
41740		{ "RxUsedBitRead", 2, 1 },
41741		{ "RxComplete", 1, 1 },
41742		{ "MgmtFrameSent", 0, 1 },
41743	{ "NCSI_MACB_INT_MASK", 0x1a130, 0 },
41744		{ "PauseTimeZero", 13, 1 },
41745		{ "PauseRcvd", 12, 1 },
41746		{ "HRespNotOK", 11, 1 },
41747		{ "RxOverrun", 10, 1 },
41748		{ "LinkChange", 9, 1 },
41749		{ "TxComplete", 7, 1 },
41750		{ "TxBufErr", 6, 1 },
41751		{ "RetryLimitErr", 5, 1 },
41752		{ "TxBufUnderrun", 4, 1 },
41753		{ "TxUsedBitRead", 3, 1 },
41754		{ "RxUsedBitRead", 2, 1 },
41755		{ "RxComplete", 1, 1 },
41756		{ "MgmtFrameSent", 0, 1 },
41757	{ "NCSI_MACB_PAUSE_TIME", 0x1a138, 0 },
41758	{ "NCSI_MACB_PAUSE_FRAMES_RCVD", 0x1a13c, 0 },
41759	{ "NCSI_MACB_TX_FRAMES_OK", 0x1a140, 0 },
41760	{ "NCSI_MACB_SINGLE_COL_FRAMES", 0x1a144, 0 },
41761	{ "NCSI_MACB_MUL_COL_FRAMES", 0x1a148, 0 },
41762	{ "NCSI_MACB_RX_FRAMES_OK", 0x1a14c, 0 },
41763	{ "NCSI_MACB_FCS_ERR", 0x1a150, 0 },
41764	{ "NCSI_MACB_ALIGN_ERR", 0x1a154, 0 },
41765	{ "NCSI_MACB_DEF_TX_FRAMES", 0x1a158, 0 },
41766	{ "NCSI_MACB_LATE_COL", 0x1a15c, 0 },
41767	{ "NCSI_MACB_EXCESSIVE_COL", 0x1a160, 0 },
41768	{ "NCSI_MACB_TX_UNDERRUN_ERR", 0x1a164, 0 },
41769	{ "NCSI_MACB_CARRIER_SENSE_ERR", 0x1a168, 0 },
41770	{ "NCSI_MACB_RX_RESOURCE_ERR", 0x1a16c, 0 },
41771	{ "NCSI_MACB_RX_OVERRUN_ERR", 0x1a170, 0 },
41772	{ "NCSI_MACB_RX_SYMBOL_ERR", 0x1a174, 0 },
41773	{ "NCSI_MACB_RX_OVERSIZE_FRAME", 0x1a178, 0 },
41774	{ "NCSI_MACB_RX_JABBER_ERR", 0x1a17c, 0 },
41775	{ "NCSI_MACB_RX_UNDERSIZE_FRAME", 0x1a180, 0 },
41776	{ "NCSI_MACB_SQE_TEST_ERR", 0x1a184, 0 },
41777	{ "NCSI_MACB_LENGTH_ERR", 0x1a188, 0 },
41778	{ "NCSI_MACB_TX_PAUSE_FRAMES", 0x1a18c, 0 },
41779	{ "NCSI_MACB_HASH_LOW", 0x1a190, 0 },
41780	{ "NCSI_MACB_HASH_HIGH", 0x1a194, 0 },
41781	{ "NCSI_MACB_SPECIFIC_1_LOW", 0x1a198, 0 },
41782	{ "NCSI_MACB_SPECIFIC_1_HIGH", 0x1a19c, 0 },
41783	{ "NCSI_MACB_SPECIFIC_2_LOW", 0x1a1a0, 0 },
41784	{ "NCSI_MACB_SPECIFIC_2_HIGH", 0x1a1a4, 0 },
41785	{ "NCSI_MACB_SPECIFIC_3_LOW", 0x1a1a8, 0 },
41786	{ "NCSI_MACB_SPECIFIC_3_HIGH", 0x1a1ac, 0 },
41787	{ "NCSI_MACB_SPECIFIC_4_LOW", 0x1a1b0, 0 },
41788	{ "NCSI_MACB_SPECIFIC_4_HIGH", 0x1a1b4, 0 },
41789	{ "NCSI_MACB_TYPE_ID", 0x1a1b8, 0 },
41790	{ "NCSI_MACB_TX_PAUSE_QUANTUM", 0x1a1bc, 0 },
41791	{ "NCSI_MACB_USER_IO", 0x1a1c0, 0 },
41792		{ "UserProgInput", 16, 16 },
41793		{ "UserProgOutput", 0, 16 },
41794	{ "NCSI_MACB_WOL_CFG", 0x1a1c4, 0 },
41795		{ "MCHashEn", 19, 1 },
41796		{ "Specific1En", 18, 1 },
41797		{ "ARPEn", 17, 1 },
41798		{ "MagicPktEn", 16, 1 },
41799		{ "ARPIPAddr", 0, 16 },
41800	{ "NCSI_MACB_REV_STATUS", 0x1a1fc, 0 },
41801		{ "PartRef", 16, 16 },
41802		{ "DesRev", 0, 16 },
41803	{ NULL }
41804};
41805
41806struct reg_info t5_mac_regs[] = {
41807	{ "MAC_PORT_CFG", 0x30800, 0 },
41808		{ "MAC_Clk_Sel", 29, 3 },
41809		{ "SinkTx", 27, 1 },
41810		{ "SinkTxOnLinkDown", 26, 1 },
41811		{ "LoopNoFwd", 24, 1 },
41812		{ "Smux_Rx_Loop", 19, 1 },
41813		{ "Rx_Lane_Swap", 18, 1 },
41814		{ "Tx_Lane_Swap", 17, 1 },
41815		{ "Signal_Det", 14, 1 },
41816		{ "SmuxTxSel", 9, 1 },
41817		{ "SmuxRxSel", 8, 1 },
41818		{ "PortSpeed", 4, 2 },
41819		{ "Rx_Byte_Swap", 3, 1 },
41820		{ "Tx_Byte_Swap", 2, 1 },
41821		{ "Port_Sel", 0, 1 },
41822	{ "MAC_PORT_RESET_CTRL", 0x30804, 0 },
41823		{ "TWGDSK_HSSC16B", 31, 1 },
41824		{ "EEE_RESET", 30, 1 },
41825		{ "PTP_TIMER", 29, 1 },
41826		{ "MtipRefReset", 28, 1 },
41827		{ "MtipTxffReset", 27, 1 },
41828		{ "MtipRxffReset", 26, 1 },
41829		{ "MtipRegReset", 25, 1 },
41830		{ "AEC3Reset", 23, 1 },
41831		{ "AEC2Reset", 22, 1 },
41832		{ "AEC1Reset", 21, 1 },
41833		{ "AEC0Reset", 20, 1 },
41834		{ "AET3Reset", 19, 1 },
41835		{ "AET2Reset", 18, 1 },
41836		{ "AET1Reset", 17, 1 },
41837		{ "AET0Reset", 16, 1 },
41838		{ "TXIF_Reset", 12, 1 },
41839		{ "RXIF_Reset", 11, 1 },
41840		{ "AuxExt_Reset", 10, 1 },
41841		{ "MtipSd3TxRst", 9, 1 },
41842		{ "MtipSd2TxRst", 8, 1 },
41843		{ "MtipSd1TxRst", 7, 1 },
41844		{ "MtipSd0TxRst", 6, 1 },
41845		{ "MtipSd3RxRst", 5, 1 },
41846		{ "MtipSd2RxRst", 4, 1 },
41847		{ "MtipSd1RxRst", 3, 1 },
41848		{ "WOL_Reset", 2, 1 },
41849		{ "MtipSd0RxRst", 1, 1 },
41850		{ "HSS_Reset", 0, 1 },
41851	{ "MAC_PORT_LED_CFG", 0x30808, 0 },
41852		{ "Led1_Cfg", 5, 3 },
41853		{ "Led1_Polarity_Inv", 4, 1 },
41854		{ "Led0_Cfg", 1, 3 },
41855		{ "Led0_Polarity_Inv", 0, 1 },
41856	{ "MAC_PORT_LED_COUNTHI", 0x3080c, 0 },
41857	{ "MAC_PORT_LED_COUNTLO", 0x30810, 0 },
41858	{ "MAC_PORT_CFG3", 0x30814, 0 },
41859		{ "FPGA_PTP_PORT", 26, 2 },
41860		{ "FCSDisCtrl", 25, 1 },
41861		{ "SigDetCtrl", 24, 1 },
41862		{ "tx_lane", 23, 1 },
41863		{ "rx_lane", 22, 1 },
41864		{ "se_clr", 21, 1 },
41865		{ "an_ena", 17, 4 },
41866		{ "sd_rx_clk_ena", 13, 4 },
41867		{ "sd_tx_clk_ena", 9, 4 },
41868		{ "SGMIISEL", 8, 1 },
41869		{ "HSSPLLSEL", 4, 4 },
41870		{ "HSSC16C20SEL", 0, 4 },
41871	{ "MAC_PORT_CFG2", 0x30818, 0 },
41872		{ "Rx_Polarity_Inv", 28, 4 },
41873		{ "Tx_Polarity_Inv", 24, 4 },
41874		{ "InstanceNum", 22, 2 },
41875		{ "StopOnPerr", 21, 1 },
41876		{ "PatEn", 18, 1 },
41877		{ "MagicEn", 17, 1 },
41878		{ "T5_AEC_PMA_TX_READY", 4, 4 },
41879		{ "T5_AEC_PMA_RX_READY", 0, 4 },
41880	{ "MAC_PORT_PKT_COUNT", 0x3081c, 0 },
41881		{ "tx_sop_count", 24, 8 },
41882		{ "tx_eop_count", 16, 8 },
41883		{ "rx_sop_count", 8, 8 },
41884		{ "rx_eop_count", 0, 8 },
41885	{ "MAC_PORT_CFG4", 0x30820, 0 },
41886		{ "AEC3_RX_WIDTH", 14, 2 },
41887		{ "AEC2_RX_WIDTH", 12, 2 },
41888		{ "AEC1_RX_WIDTH", 10, 2 },
41889		{ "AEC0_RX_WIDTH", 8, 2 },
41890		{ "AEC3_TX_WIDTH", 6, 2 },
41891		{ "AEC2_TX_WIDTH", 4, 2 },
41892		{ "AEC1_TX_WIDTH", 2, 2 },
41893		{ "AEC0_TX_WIDTH", 0, 2 },
41894	{ "MAC_PORT_MAGIC_MACID_LO", 0x30824, 0 },
41895	{ "MAC_PORT_MAGIC_MACID_HI", 0x30828, 0 },
41896	{ "MAC_PORT_LINK_STATUS", 0x30834, 0 },
41897		{ "an_done", 6, 1 },
41898		{ "align_done", 5, 1 },
41899		{ "block_lock", 4, 1 },
41900		{ "remflt", 3, 1 },
41901		{ "locflt", 2, 1 },
41902		{ "linkup", 1, 1 },
41903		{ "linkdn", 0, 1 },
41904	{ "MAC_PORT_EPIO_DATA0", 0x308c0, 0 },
41905	{ "MAC_PORT_EPIO_DATA1", 0x308c4, 0 },
41906	{ "MAC_PORT_EPIO_DATA2", 0x308c8, 0 },
41907	{ "MAC_PORT_EPIO_DATA3", 0x308cc, 0 },
41908	{ "MAC_PORT_EPIO_OP", 0x308d0, 0 },
41909		{ "Busy", 31, 1 },
41910		{ "Write", 8, 1 },
41911		{ "Address", 0, 8 },
41912	{ "MAC_PORT_WOL_STATUS", 0x308d4, 0 },
41913		{ "MagicDetected", 31, 1 },
41914		{ "PatDetected", 30, 1 },
41915		{ "ClearMagic", 4, 1 },
41916		{ "ClearMatch", 3, 1 },
41917		{ "MatchedFilter", 0, 3 },
41918	{ "MAC_PORT_INT_EN", 0x308d8, 0 },
41919		{ "tx_ts_avail", 29, 1 },
41920		{ "PatDetWake", 26, 1 },
41921		{ "MagicWake", 25, 1 },
41922		{ "SigDetChg", 24, 1 },
41923		{ "AE_Train_Local", 22, 1 },
41924		{ "HSSPLL_LOCK", 21, 1 },
41925		{ "HSSPRT_READY", 20, 1 },
41926		{ "AutoNeg_Done", 19, 1 },
41927		{ "PCS_Link_Good", 12, 1 },
41928		{ "PCS_Link_Fail", 11, 1 },
41929		{ "RxFifoOverFlow", 10, 1 },
41930		{ "HSSPRBSErr", 9, 1 },
41931		{ "HSSEyeQual", 8, 1 },
41932		{ "RemoteFault", 7, 1 },
41933		{ "LocalFault", 6, 1 },
41934		{ "MAC_Link_Down", 5, 1 },
41935		{ "MAC_Link_Up", 4, 1 },
41936		{ "an_page_rcvd", 2, 1 },
41937		{ "TxFifo_prty_err", 1, 1 },
41938		{ "RxFifo_prty_err", 0, 1 },
41939	{ "MAC_PORT_INT_CAUSE", 0x308dc, 0 },
41940		{ "tx_ts_avail", 29, 1 },
41941		{ "PatDetWake", 26, 1 },
41942		{ "MagicWake", 25, 1 },
41943		{ "SigDetChg", 24, 1 },
41944		{ "AE_Train_Local", 22, 1 },
41945		{ "HSSPLL_LOCK", 21, 1 },
41946		{ "HSSPRT_READY", 20, 1 },
41947		{ "AutoNeg_Done", 19, 1 },
41948		{ "PCS_Link_Good", 12, 1 },
41949		{ "PCS_Link_Fail", 11, 1 },
41950		{ "RxFifoOverFlow", 10, 1 },
41951		{ "HSSPRBSErr", 9, 1 },
41952		{ "HSSEyeQual", 8, 1 },
41953		{ "RemoteFault", 7, 1 },
41954		{ "LocalFault", 6, 1 },
41955		{ "MAC_Link_Down", 5, 1 },
41956		{ "MAC_Link_Up", 4, 1 },
41957		{ "an_page_rcvd", 2, 1 },
41958		{ "TxFifo_prty_err", 1, 1 },
41959		{ "RxFifo_prty_err", 0, 1 },
41960	{ "MAC_PORT_PERR_INT_EN", 0x308e0, 0 },
41961		{ "Perr_pkt_ram", 24, 1 },
41962		{ "Perr_mask_ram", 23, 1 },
41963		{ "Perr_crc_ram", 22, 1 },
41964		{ "rx_dff_seg0", 21, 1 },
41965		{ "rx_sff_seg0", 20, 1 },
41966		{ "rx_dff_mac10", 19, 1 },
41967		{ "rx_sff_mac10", 18, 1 },
41968		{ "tx_dff_seg0", 17, 1 },
41969		{ "tx_sff_seg0", 16, 1 },
41970		{ "tx_dff_mac10", 15, 1 },
41971		{ "tx_sff_mac10", 14, 1 },
41972		{ "rx_stats", 13, 1 },
41973		{ "tx_stats", 12, 1 },
41974		{ "Perr3_rx_mix", 11, 1 },
41975		{ "Perr3_rx_sd", 10, 1 },
41976		{ "Perr3_tx", 9, 1 },
41977		{ "Perr2_rx_mix", 8, 1 },
41978		{ "Perr2_rx_sd", 7, 1 },
41979		{ "Perr2_tx", 6, 1 },
41980		{ "Perr1_rx_mix", 5, 1 },
41981		{ "Perr1_rx_sd", 4, 1 },
41982		{ "Perr1_tx", 3, 1 },
41983		{ "Perr0_rx_mix", 2, 1 },
41984		{ "Perr0_rx_sd", 1, 1 },
41985		{ "Perr0_tx", 0, 1 },
41986	{ "MAC_PORT_PERR_INT_CAUSE", 0x308e4, 0 },
41987		{ "Perr_pkt_ram", 24, 1 },
41988		{ "Perr_mask_ram", 23, 1 },
41989		{ "Perr_crc_ram", 22, 1 },
41990		{ "rx_dff_seg0", 21, 1 },
41991		{ "rx_sff_seg0", 20, 1 },
41992		{ "rx_dff_mac10", 19, 1 },
41993		{ "rx_sff_mac10", 18, 1 },
41994		{ "tx_dff_seg0", 17, 1 },
41995		{ "tx_sff_seg0", 16, 1 },
41996		{ "tx_dff_mac10", 15, 1 },
41997		{ "tx_sff_mac10", 14, 1 },
41998		{ "rx_stats", 13, 1 },
41999		{ "tx_stats", 12, 1 },
42000		{ "Perr3_rx_mix", 11, 1 },
42001		{ "Perr3_rx_sd", 10, 1 },
42002		{ "Perr3_tx", 9, 1 },
42003		{ "Perr2_rx_mix", 8, 1 },
42004		{ "Perr2_rx_sd", 7, 1 },
42005		{ "Perr2_tx", 6, 1 },
42006		{ "Perr1_rx_mix", 5, 1 },
42007		{ "Perr1_rx_sd", 4, 1 },
42008		{ "Perr1_tx", 3, 1 },
42009		{ "Perr0_rx_mix", 2, 1 },
42010		{ "Perr0_rx_sd", 1, 1 },
42011		{ "Perr0_tx", 0, 1 },
42012	{ "MAC_PORT_PERR_ENABLE", 0x308e8, 0 },
42013		{ "Perr_pkt_ram", 24, 1 },
42014		{ "Perr_mask_ram", 23, 1 },
42015		{ "Perr_crc_ram", 22, 1 },
42016		{ "rx_dff_seg0", 21, 1 },
42017		{ "rx_sff_seg0", 20, 1 },
42018		{ "rx_dff_mac10", 19, 1 },
42019		{ "rx_sff_mac10", 18, 1 },
42020		{ "tx_dff_seg0", 17, 1 },
42021		{ "tx_sff_seg0", 16, 1 },
42022		{ "tx_dff_mac10", 15, 1 },
42023		{ "tx_sff_mac10", 14, 1 },
42024		{ "rx_stats", 13, 1 },
42025		{ "tx_stats", 12, 1 },
42026		{ "Perr3_rx_mix", 11, 1 },
42027		{ "Perr3_rx_sd", 10, 1 },
42028		{ "Perr3_tx", 9, 1 },
42029		{ "Perr2_rx_mix", 8, 1 },
42030		{ "Perr2_rx_sd", 7, 1 },
42031		{ "Perr2_tx", 6, 1 },
42032		{ "Perr1_rx_mix", 5, 1 },
42033		{ "Perr1_rx_sd", 4, 1 },
42034		{ "Perr1_tx", 3, 1 },
42035		{ "Perr0_rx_mix", 2, 1 },
42036		{ "Perr0_rx_sd", 1, 1 },
42037		{ "Perr0_tx", 0, 1 },
42038	{ "MAC_PORT_PERR_INJECT", 0x308ec, 0 },
42039		{ "MemSel", 1, 5 },
42040		{ "InjectDataErr", 0, 1 },
42041	{ "MAC_PORT_HSS_CFG0", 0x308f0, 0 },
42042		{ "TXDTS", 31, 1 },
42043		{ "TXCTS", 30, 1 },
42044		{ "TXBTS", 29, 1 },
42045		{ "TXATS", 28, 1 },
42046		{ "TXDOBS", 27, 1 },
42047		{ "TXCOBS", 26, 1 },
42048		{ "TXBOBS", 25, 1 },
42049		{ "TXAOBS", 24, 1 },
42050		{ "HSSREFCLKVALIDA", 20, 1 },
42051		{ "HSSREFCLKVALIDB", 19, 1 },
42052		{ "HSSRESYNCA", 18, 1 },
42053		{ "HSSAVDHI", 17, 1 },
42054		{ "HSSRESYNCB", 16, 1 },
42055		{ "HSSRECCALA", 15, 1 },
42056		{ "HSSRXACMODE", 14, 1 },
42057		{ "HSSRECCALB", 13, 1 },
42058		{ "HSSPLLBYPA", 12, 1 },
42059		{ "HSSPLLBYPB", 11, 1 },
42060		{ "HSSPDWNPLLA", 10, 1 },
42061		{ "HSSPDWNPLLB", 9, 1 },
42062		{ "HSSVCOSELA", 8, 1 },
42063		{ "HSSVCOSELB", 7, 1 },
42064		{ "HSSCALCOMP", 6, 1 },
42065		{ "HSSCALENAB", 5, 1 },
42066		{ "HSSEXTC16SEL", 4, 1 },
42067	{ "MAC_PORT_HSS_CFG1", 0x308f4, 0 },
42068		{ "RXACONFIGSEL", 30, 2 },
42069		{ "RXAQUIET", 29, 1 },
42070		{ "RXAREFRESH", 28, 1 },
42071		{ "RXBCONFIGSEL", 26, 2 },
42072		{ "RXBQUIET", 25, 1 },
42073		{ "RXBREFRESH", 24, 1 },
42074		{ "RXCCONFIGSEL", 22, 2 },
42075		{ "RXCQUIET", 21, 1 },
42076		{ "RXCREFRESH", 20, 1 },
42077		{ "RXDCONFIGSEL", 18, 2 },
42078		{ "RXDQUIET", 17, 1 },
42079		{ "RXDREFRESH", 16, 1 },
42080		{ "TXACONFIGSEL", 14, 2 },
42081		{ "TXAQUIET", 13, 1 },
42082		{ "TXAREFRESH", 12, 1 },
42083		{ "TXBCONFIGSEL", 10, 2 },
42084		{ "TXBQUIET", 9, 1 },
42085		{ "TXBREFRESH", 8, 1 },
42086		{ "TXCCONFIGSEL", 6, 2 },
42087		{ "TXCQUIET", 5, 1 },
42088		{ "TXCREFRESH", 4, 1 },
42089		{ "TXDCONFIGSEL", 2, 2 },
42090		{ "TXDQUIET", 1, 1 },
42091		{ "TXDREFRESH", 0, 1 },
42092	{ "MAC_PORT_HSS_CFG2", 0x308f8, 0 },
42093		{ "RXAASSTCLK", 31, 1 },
42094		{ "T5RXAPRBSRST", 30, 1 },
42095		{ "RXBASSTCLK", 29, 1 },
42096		{ "T5RXBPRBSRST", 28, 1 },
42097		{ "RXCASSTCLK", 27, 1 },
42098		{ "T5RXCPRBSRST", 26, 1 },
42099		{ "RXDASSTCLK", 25, 1 },
42100		{ "T5RXDPRBSRST", 24, 1 },
42101		{ "RXDDATASYNC", 23, 1 },
42102		{ "RXCDATASYNC", 22, 1 },
42103		{ "RXBDATASYNC", 21, 1 },
42104		{ "RXADATASYNC", 20, 1 },
42105		{ "RXDEARLYIN", 19, 1 },
42106		{ "RXDLATEIN", 18, 1 },
42107		{ "RXDPHSLOCK", 17, 1 },
42108		{ "RXDPHSDNIN", 16, 1 },
42109		{ "RXDPHSUPIN", 15, 1 },
42110		{ "RXCEARLYIN", 14, 1 },
42111		{ "RXCLATEIN", 13, 1 },
42112		{ "RXCPHSLOCK", 12, 1 },
42113		{ "RXCPHSDNIN", 11, 1 },
42114		{ "RXCPHSUPIN", 10, 1 },
42115		{ "RXBEARLYIN", 9, 1 },
42116		{ "RXBLATEIN", 8, 1 },
42117		{ "RXBPHSLOCK", 7, 1 },
42118		{ "RXBPHSDNIN", 6, 1 },
42119		{ "RXBPHSUPIN", 5, 1 },
42120		{ "RXAEARLYIN", 4, 1 },
42121		{ "RXALATEIN", 3, 1 },
42122		{ "RXAPHSLOCK", 2, 1 },
42123		{ "RXAPHSDNIN", 1, 1 },
42124		{ "RXAPHSUPIN", 0, 1 },
42125	{ "MAC_PORT_HSS_CFG3", 0x308fc, 0 },
42126		{ "HSSCALSSTN", 25, 3 },
42127		{ "HSSCALSSTP", 22, 3 },
42128		{ "HSSVBOOSTDIVB", 19, 3 },
42129		{ "HSSVBOOSTDIVA", 16, 3 },
42130		{ "HSSPLLCONFIGB", 8, 8 },
42131		{ "HSSPLLCONFIGA", 0, 8 },
42132	{ "MAC_PORT_HSS_CFG4", 0x30900, 0 },
42133		{ "HSSDIVSELA", 9, 9 },
42134		{ "HSSDIVSELB", 0, 9 },
42135	{ "MAC_PORT_HSS_STATUS", 0x30904, 0 },
42136		{ "RXDPRBSSYNC", 15, 1 },
42137		{ "RXCPRBSSYNC", 14, 1 },
42138		{ "RXBPRBSSYNC", 13, 1 },
42139		{ "RXAPRBSSYNC", 12, 1 },
42140		{ "RXDPRBSERR", 11, 1 },
42141		{ "RXCPRBSERR", 10, 1 },
42142		{ "RXBPRBSERR", 9, 1 },
42143		{ "RXAPRBSERR", 8, 1 },
42144		{ "RXDSIGDET", 7, 1 },
42145		{ "RXCSIGDET", 6, 1 },
42146		{ "RXBSIGDET", 5, 1 },
42147		{ "RXASIGDET", 4, 1 },
42148		{ "HSSPLLLOCKB", 3, 1 },
42149		{ "HSSPLLLOCKA", 2, 1 },
42150		{ "HSSPRTREADYB", 1, 1 },
42151		{ "HSSPRTREADYA", 0, 1 },
42152	{ "MAC_PORT_HSS_EEE_STATUS", 0x30908, 0 },
42153		{ "RXAQUIET_STATUS", 15, 1 },
42154		{ "RXAREFRESH_STATUS", 14, 1 },
42155		{ "RXBQUIET_STATUS", 13, 1 },
42156		{ "RXBREFRESH_STATUS", 12, 1 },
42157		{ "RXCQUIET_STATUS", 11, 1 },
42158		{ "RXCREFRESH_STATUS", 10, 1 },
42159		{ "RXDQUIET_STATUS", 9, 1 },
42160		{ "RXDREFRESH_STATUS", 8, 1 },
42161		{ "TXAQUIET_STATUS", 7, 1 },
42162		{ "TXAREFRESH_STATUS", 6, 1 },
42163		{ "TXBQUIET_STATUS", 5, 1 },
42164		{ "TXBREFRESH_STATUS", 4, 1 },
42165		{ "TXCQUIET_STATUS", 3, 1 },
42166		{ "TXCREFRESH_STATUS", 2, 1 },
42167		{ "TXDQUIET_STATUS", 1, 1 },
42168		{ "TXDREFRESH_STATUS", 0, 1 },
42169	{ "MAC_PORT_HSS_SIGDET_STATUS", 0x3090c, 0 },
42170	{ "MAC_PORT_HSS_PL_CTL", 0x30910, 0 },
42171		{ "TOV", 16, 8 },
42172		{ "TSU", 8, 8 },
42173		{ "IPW", 0, 8 },
42174	{ "MAC_PORT_RUNT_FRAME", 0x30914, 0 },
42175		{ "runtclear", 16, 1 },
42176		{ "runt", 0, 16 },
42177	{ "MAC_PORT_EEE_STATUS", 0x30918, 0 },
42178		{ "eee_tx_10g_state", 10, 2 },
42179		{ "eee_rx_10g_state", 8, 2 },
42180		{ "eee_tx_1g_state", 6, 2 },
42181		{ "eee_rx_1g_state", 4, 2 },
42182		{ "pma_rx_refresh", 3, 1 },
42183		{ "pma_rx_quiet", 2, 1 },
42184		{ "pma_tx_refresh", 1, 1 },
42185		{ "pma_tx_quiet", 0, 1 },
42186	{ "MAC_PORT_CGEN", 0x3091c, 0 },
42187		{ "CGEN", 8, 1 },
42188		{ "sd7_CGEN", 7, 1 },
42189		{ "sd6_CGEN", 6, 1 },
42190		{ "sd5_CGEN", 5, 1 },
42191		{ "sd4_CGEN", 4, 1 },
42192		{ "sd3_CGEN", 3, 1 },
42193		{ "sd2_CGEN", 2, 1 },
42194		{ "sd1_CGEN", 1, 1 },
42195		{ "sd0_CGEN", 0, 1 },
42196	{ "MAC_PORT_CGEN_MTIP", 0x30920, 0 },
42197		{ "MACSEG5_CGEN", 11, 1 },
42198		{ "PCSSEG5_CGEN", 10, 1 },
42199		{ "MACSEG4_CGEN", 9, 1 },
42200		{ "PCSSEG4_CGEN", 8, 1 },
42201		{ "MACSEG3_CGEN", 7, 1 },
42202		{ "PCSSEG3_CGEN", 6, 1 },
42203		{ "MACSEG2_CGEN", 5, 1 },
42204		{ "PCSSEG2_CGEN", 4, 1 },
42205		{ "MACSEG1_CGEN", 3, 1 },
42206		{ "PCSSEG1_CGEN", 2, 1 },
42207		{ "MACSEG0_CGEN", 1, 1 },
42208		{ "PCSSEG0_CGEN", 0, 1 },
42209	{ "MAC_PORT_TX_TS_ID", 0x30924, 0 },
42210	{ "MAC_PORT_TX_TS_VAL_LO", 0x30928, 0 },
42211	{ "MAC_PORT_TX_TS_VAL_HI", 0x3092c, 0 },
42212	{ "MAC_PORT_EEE_CTL", 0x30930, 0 },
42213		{ "EEE_CTRL", 2, 30 },
42214		{ "TICK_START", 1, 1 },
42215		{ "En", 0, 1 },
42216	{ "MAC_PORT_EEE_TX_CTL", 0x30934, 0 },
42217		{ "WAKE_TIMER", 16, 16 },
42218		{ "HSS_TIMER", 5, 4 },
42219		{ "HSS_CTL", 4, 1 },
42220		{ "LPI_ACTIVE", 3, 1 },
42221		{ "LPI_TXHOLD", 2, 1 },
42222		{ "LPI_REQ", 1, 1 },
42223		{ "EEE_TX_RESET", 0, 1 },
42224	{ "MAC_PORT_EEE_RX_CTL", 0x30938, 0 },
42225		{ "WAKE_TIMER", 16, 16 },
42226		{ "HSS_TIMER", 5, 4 },
42227		{ "HSS_CTL", 4, 1 },
42228		{ "LPI_IND", 1, 1 },
42229		{ "EEE_RX_RESET", 0, 1 },
42230	{ "MAC_PORT_EEE_TX_10G_SLEEP_TIMER", 0x3093c, 0 },
42231	{ "MAC_PORT_EEE_TX_10G_QUIET_TIMER", 0x30940, 0 },
42232	{ "MAC_PORT_EEE_TX_10G_WAKE_TIMER", 0x30944, 0 },
42233	{ "MAC_PORT_EEE_TX_1G_SLEEP_TIMER", 0x30948, 0 },
42234	{ "MAC_PORT_EEE_TX_1G_QUIET_TIMER", 0x3094c, 0 },
42235	{ "MAC_PORT_EEE_TX_1G_REFRESH_TIMER", 0x30950, 0 },
42236	{ "MAC_PORT_EEE_RX_10G_QUIET_TIMER", 0x30954, 0 },
42237	{ "MAC_PORT_EEE_RX_10G_WAKE_TIMER", 0x30958, 0 },
42238	{ "MAC_PORT_EEE_RX_10G_WF_TIMER", 0x3095c, 0 },
42239	{ "MAC_PORT_EEE_RX_1G_QUIET_TIMER", 0x30960, 0 },
42240	{ "MAC_PORT_EEE_RX_1G_WAKE_TIMER", 0x30964, 0 },
42241	{ "MAC_PORT_EEE_WF_COUNT", 0x30968, 0 },
42242		{ "wake_cnt_clr", 16, 1 },
42243		{ "wake_cnt", 0, 16 },
42244	{ "MAC_PORT_PTP_TIMER_RD0_LO", 0x3096c, 0 },
42245	{ "MAC_PORT_PTP_TIMER_RD0_HI", 0x30970, 0 },
42246	{ "MAC_PORT_PTP_TIMER_RD1_LO", 0x30974, 0 },
42247	{ "MAC_PORT_PTP_TIMER_RD1_HI", 0x30978, 0 },
42248	{ "MAC_PORT_PTP_TIMER_WR_LO", 0x3097c, 0 },
42249	{ "MAC_PORT_PTP_TIMER_WR_HI", 0x30980, 0 },
42250	{ "MAC_PORT_PTP_TIMER_OFFSET_0", 0x30984, 0 },
42251	{ "MAC_PORT_PTP_TIMER_OFFSET_1", 0x30988, 0 },
42252	{ "MAC_PORT_PTP_TIMER_OFFSET_2", 0x3098c, 0 },
42253	{ "MAC_PORT_PTP_SUM_LO", 0x30990, 0 },
42254	{ "MAC_PORT_PTP_SUM_HI", 0x30994, 0 },
42255	{ "MAC_PORT_PTP_TIMER_INCR0", 0x30998, 0 },
42256		{ "Y", 16, 16 },
42257		{ "X", 0, 16 },
42258	{ "MAC_PORT_PTP_TIMER_INCR1", 0x3099c, 0 },
42259		{ "Y_TICK", 16, 16 },
42260		{ "X_TICK", 0, 16 },
42261	{ "MAC_PORT_PTP_DRIFT_ADJUST_COUNT", 0x309a0, 0 },
42262	{ "MAC_PORT_PTP_OFFSET_ADJUST_FINE", 0x309a4, 0 },
42263		{ "B", 16, 16 },
42264		{ "A", 0, 16 },
42265	{ "MAC_PORT_PTP_OFFSET_ADJUST_TOTAL", 0x309a8, 0 },
42266	{ "MAC_PORT_PTP_CFG", 0x309ac, 0 },
42267		{ "FRZ", 18, 1 },
42268		{ "OFFSER_ADJUST_SIGN", 17, 1 },
42269		{ "ADD_OFFSET", 16, 1 },
42270		{ "CYCLE1", 8, 8 },
42271		{ "Q", 0, 8 },
42272	{ "MAC_PORT_MTIP_REVISION", 0x30a00, 0 },
42273		{ "CUSTREV", 16, 16 },
42274		{ "VER", 8, 8 },
42275		{ "REV", 0, 8 },
42276	{ "MAC_PORT_MTIP_SCRATCH", 0x30a04, 0 },
42277	{ "MAC_PORT_MTIP_COMMAND_CONFIG", 0x30a08, 0 },
42278		{ "TX_FLUSH", 22, 1 },
42279		{ "RX_SFD_ANY", 21, 1 },
42280		{ "PAUSE_PFC_COMP", 20, 1 },
42281		{ "PFC_MODE", 19, 1 },
42282		{ "RS_COL_CNT_EXT", 18, 1 },
42283		{ "NO_LGTH_CHECK", 17, 1 },
42284		{ "SEND_IDLE", 16, 1 },
42285		{ "PHY_TXENA", 15, 1 },
42286		{ "RX_ERR_DISC", 14, 1 },
42287		{ "CMD_FRAME_ENA", 13, 1 },
42288		{ "SW_RESET", 12, 1 },
42289		{ "TX_PAD_EN", 11, 1 },
42290		{ "LOOPBACK_EN", 10, 1 },
42291		{ "TX_ADDR_INS", 9, 1 },
42292		{ "PAUSE_IGNORE", 8, 1 },
42293		{ "PAUSE_FWD", 7, 1 },
42294		{ "CRC_FWD", 6, 1 },
42295		{ "PAD_EN", 5, 1 },
42296		{ "PROMIS_EN", 4, 1 },
42297		{ "WAN_MODE", 3, 1 },
42298		{ "RX_ENA", 1, 1 },
42299		{ "TX_ENA", 0, 1 },
42300	{ "MAC_PORT_MTIP_MAC_ADDR_0", 0x30a0c, 0 },
42301	{ "MAC_PORT_MTIP_MAC_ADDR_1", 0x30a10, 0 },
42302	{ "MAC_PORT_MTIP_FRM_LENGTH", 0x30a14, 0 },
42303	{ "MAC_PORT_MTIP_RX_FIFO_SECTIONS", 0x30a1c, 0 },
42304		{ "AVAIL", 16, 16 },
42305		{ "EMPTY", 0, 16 },
42306	{ "MAC_PORT_MTIP_TX_FIFO_SECTIONS", 0x30a20, 0 },
42307		{ "AVAIL", 16, 16 },
42308		{ "EMPTY", 0, 16 },
42309	{ "MAC_PORT_MTIP_RX_FIFO_ALMOST_F_E", 0x30a24, 0 },
42310		{ "AlmstFull", 16, 16 },
42311		{ "AlmstEmpty", 0, 16 },
42312	{ "MAC_PORT_MTIP_TX_FIFO_ALMOST_F_E", 0x30a28, 0 },
42313		{ "AlmstFull", 16, 16 },
42314		{ "AlmstEmpty", 0, 16 },
42315	{ "MAC_PORT_MTIP_HASHTABLE_LOAD", 0x30a2c, 0 },
42316		{ "ENABLE", 8, 1 },
42317		{ "ADDR", 0, 6 },
42318	{ "MAC_PORT_MTIP_MAC_STATUS", 0x30a40, 0 },
42319		{ "TS_AVAIL", 3, 1 },
42320		{ "PHY_LOS", 2, 1 },
42321		{ "RX_REM_FAULT", 1, 1 },
42322		{ "RX_LOC_FAULT", 0, 1 },
42323	{ "MAC_PORT_MTIP_TX_IPG_LENGTH", 0x30a44, 0 },
42324	{ "MAC_PORT_MTIP_MAC_CREDIT_TRIGGER", 0x30a48, 0 },
42325	{ "MAC_PORT_MTIP_INIT_CREDIT", 0x30a4c, 0 },
42326	{ "MAC_PORT_MTIP_CURRENT_CREDIT", 0x30a50, 0 },
42327	{ "MAC_PORT_RX_PAUSE_STATUS", 0x30a74, 0 },
42328	{ "MAC_PORT_MTIP_TS_TIMESTAMP", 0x30a7c, 0 },
42329	{ "MAC_PORT_AFRAMESTRANSMITTEDOK", 0x30a80, 0 },
42330	{ "MAC_PORT_AFRAMESTRANSMITTEDOKHI", 0x30a84, 0 },
42331	{ "MAC_PORT_AFRAMESRECEIVEDOK", 0x30a88, 0 },
42332	{ "MAC_PORT_AFRAMESRECEIVEDOKHI", 0x30a8c, 0 },
42333	{ "MAC_PORT_AFRAMECHECKSEQUENCEERRORS", 0x30a90, 0 },
42334	{ "MAC_PORT_AFRAMECHECKSEQUENCEERRORSHI", 0x30a94, 0 },
42335	{ "MAC_PORT_AALIGNMENTERRORS", 0x30a98, 0 },
42336	{ "MAC_PORT_AALIGNMENTERRORSHI", 0x30a9c, 0 },
42337	{ "MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTED", 0x30aa0, 0 },
42338	{ "MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTEDHI", 0x30aa4, 0 },
42339	{ "MAC_PORT_APAUSEMACCTRLFRAMESRECEIVED", 0x30aa8, 0 },
42340	{ "MAC_PORT_APAUSEMACCTRLFRAMESRECEIVEDHI", 0x30aac, 0 },
42341	{ "MAC_PORT_AFRAMETOOLONGERRORS", 0x30ab0, 0 },
42342	{ "MAC_PORT_AFRAMETOOLONGERRORSHI", 0x30ab4, 0 },
42343	{ "MAC_PORT_AINRANGELENGTHERRORS", 0x30ab8, 0 },
42344	{ "MAC_PORT_AINRANGELENGTHERRORSHI", 0x30abc, 0 },
42345	{ "MAC_PORT_VLANTRANSMITTEDOK", 0x30ac0, 0 },
42346	{ "MAC_PORT_VLANTRANSMITTEDOKHI", 0x30ac4, 0 },
42347	{ "MAC_PORT_VLANRECEIVEDOK", 0x30ac8, 0 },
42348	{ "MAC_PORT_VLANRECEIVEDOKHI", 0x30acc, 0 },
42349	{ "MAC_PORT_AOCTETSTRANSMITTEDOK", 0x30ad0, 0 },
42350	{ "MAC_PORT_AOCTETSTRANSMITTEDOKHI", 0x30ad4, 0 },
42351	{ "MAC_PORT_AOCTETSRECEIVEDOK", 0x30ad8, 0 },
42352	{ "MAC_PORT_AOCTETSRECEIVEDOKHI", 0x30adc, 0 },
42353	{ "MAC_PORT_IFINUCASTPKTS", 0x30ae0, 0 },
42354	{ "MAC_PORT_IFINUCASTPKTSHI", 0x30ae4, 0 },
42355	{ "MAC_PORT_IFINMULTICASTPKTS", 0x30ae8, 0 },
42356	{ "MAC_PORT_IFINMULTICASTPKTSHI", 0x30aec, 0 },
42357	{ "MAC_PORT_IFINBROADCASTPKTS", 0x30af0, 0 },
42358	{ "MAC_PORT_IFINBROADCASTPKTSHI", 0x30af4, 0 },
42359	{ "MAC_PORT_IFOUTERRORS", 0x30af8, 0 },
42360	{ "MAC_PORT_IFOUTERRORSHI", 0x30afc, 0 },
42361	{ "MAC_PORT_IFOUTUCASTPKTS", 0x30b08, 0 },
42362	{ "MAC_PORT_IFOUTUCASTPKTSHI", 0x30b0c, 0 },
42363	{ "MAC_PORT_IFOUTMULTICASTPKTS", 0x30b10, 0 },
42364	{ "MAC_PORT_IFOUTMULTICASTPKTSHI", 0x30b14, 0 },
42365	{ "MAC_PORT_IFOUTBROADCASTPKTS", 0x30b18, 0 },
42366	{ "MAC_PORT_IFOUTBROADCASTPKTSHI", 0x30b1c, 0 },
42367	{ "MAC_PORT_ETHERSTATSDROPEVENTS", 0x30b20, 0 },
42368	{ "MAC_PORT_ETHERSTATSDROPEVENTSHI", 0x30b24, 0 },
42369	{ "MAC_PORT_ETHERSTATSOCTETS", 0x30b28, 0 },
42370	{ "MAC_PORT_ETHERSTATSOCTETSHI", 0x30b2c, 0 },
42371	{ "MAC_PORT_ETHERSTATSPKTS", 0x30b30, 0 },
42372	{ "MAC_PORT_ETHERSTATSPKTSHI", 0x30b34, 0 },
42373	{ "MAC_PORT_ETHERSTATSUNDERSIZEPKTS", 0x30b38, 0 },
42374	{ "MAC_PORT_ETHERSTATSUNDERSIZEPKTSHI", 0x30b3c, 0 },
42375	{ "MAC_PORT_ETHERSTATSPKTS64OCTETS", 0x30b40, 0 },
42376	{ "MAC_PORT_ETHERSTATSPKTS64OCTETSHI", 0x30b44, 0 },
42377	{ "MAC_PORT_ETHERSTATSPKTS65TO127OCTETS", 0x30b48, 0 },
42378	{ "MAC_PORT_ETHERSTATSPKTS65TO127OCTETSHI", 0x30b4c, 0 },
42379	{ "MAC_PORT_ETHERSTATSPKTS128TO255OCTETS", 0x30b50, 0 },
42380	{ "MAC_PORT_ETHERSTATSPKTS128TO255OCTETSHI", 0x30b54, 0 },
42381	{ "MAC_PORT_ETHERSTATSPKTS256TO511OCTETS", 0x30b58, 0 },
42382	{ "MAC_PORT_ETHERSTATSPKTS256TO511OCTETSHI", 0x30b5c, 0 },
42383	{ "MAC_PORT_ETHERSTATSPKTS512TO1023OCTETS", 0x30b60, 0 },
42384	{ "MAC_PORT_ETHERSTATSPKTS512TO1023OCTETSHI", 0x30b64, 0 },
42385	{ "MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETS", 0x30b68, 0 },
42386	{ "MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETSHI", 0x30b6c, 0 },
42387	{ "MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETS", 0x30b70, 0 },
42388	{ "MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETSHI", 0x30b74, 0 },
42389	{ "MAC_PORT_ETHERSTATSOVERSIZEPKTS", 0x30b78, 0 },
42390	{ "MAC_PORT_ETHERSTATSOVERSIZEPKTSHI", 0x30b7c, 0 },
42391	{ "MAC_PORT_ETHERSTATSJABBERS", 0x30b80, 0 },
42392	{ "MAC_PORT_ETHERSTATSJABBERSHI", 0x30b84, 0 },
42393	{ "MAC_PORT_ETHERSTATSFRAGMENTS", 0x30b88, 0 },
42394	{ "MAC_PORT_ETHERSTATSFRAGMENTSHI", 0x30b8c, 0 },
42395	{ "MAC_PORT_IFINERRORS", 0x30b90, 0 },
42396	{ "MAC_PORT_IFINERRORSHI", 0x30b94, 0 },
42397	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0", 0x30b98, 0 },
42398	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0HI", 0x30b9c, 0 },
42399	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1", 0x30ba0, 0 },
42400	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1HI", 0x30ba4, 0 },
42401	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2", 0x30ba8, 0 },
42402	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2HI", 0x30bac, 0 },
42403	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3", 0x30bb0, 0 },
42404	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3HI", 0x30bb4, 0 },
42405	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4", 0x30bb8, 0 },
42406	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4HI", 0x30bbc, 0 },
42407	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5", 0x30bc0, 0 },
42408	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5HI", 0x30bc4, 0 },
42409	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6", 0x30bc8, 0 },
42410	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6HI", 0x30bcc, 0 },
42411	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7", 0x30bd0, 0 },
42412	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7HI", 0x30bd4, 0 },
42413	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0", 0x30bd8, 0 },
42414	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0HI", 0x30bdc, 0 },
42415	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1", 0x30be0, 0 },
42416	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1HI", 0x30be4, 0 },
42417	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2", 0x30be8, 0 },
42418	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2HI", 0x30bec, 0 },
42419	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3", 0x30bf0, 0 },
42420	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3HI", 0x30bf4, 0 },
42421	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4", 0x30bf8, 0 },
42422	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4HI", 0x30bfc, 0 },
42423	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5", 0x30c00, 0 },
42424	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5HI", 0x30c04, 0 },
42425	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6", 0x30c08, 0 },
42426	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6HI", 0x30c0c, 0 },
42427	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7", 0x30c10, 0 },
42428	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7HI", 0x30c14, 0 },
42429	{ "MAC_PORT_AMACCONTROLFRAMESTRANSMITTED", 0x30c18, 0 },
42430	{ "MAC_PORT_AMACCONTROLFRAMESTRANSMITTEDHI", 0x30c1c, 0 },
42431	{ "MAC_PORT_AMACCONTROLFRAMESRECEIVED", 0x30c20, 0 },
42432	{ "MAC_PORT_AMACCONTROLFRAMESRECEIVEDHI", 0x30c24, 0 },
42433	{ "MAC_PORT_MTIP_SGMII_CONTROL", 0x30d00, 0 },
42434		{ "Reset", 15, 1 },
42435		{ "Loopback", 14, 1 },
42436		{ "sppedsel1", 13, 1 },
42437		{ "AN_EN", 12, 1 },
42438		{ "PWRDWN", 11, 1 },
42439		{ "Isolate", 10, 1 },
42440		{ "AN_RESTART", 9, 1 },
42441		{ "DPLX", 8, 1 },
42442		{ "CollisionTest", 7, 1 },
42443		{ "SpeedSel0", 6, 1 },
42444	{ "MAC_PORT_MTIP_SGMII_STATUS", 0x30d04, 0 },
42445		{ "100BaseT4", 15, 1 },
42446		{ "100BaseXFullDplx", 14, 1 },
42447		{ "100BaseXHalfDplx", 13, 1 },
42448		{ "10MbpsFullDplx", 12, 1 },
42449		{ "10MbpsHalfDplx", 11, 1 },
42450		{ "100BaseT2FullDplx", 10, 1 },
42451		{ "100BaseT2HalfDplx", 9, 1 },
42452		{ "ExtdStatus", 8, 1 },
42453		{ "AN_Complete", 5, 1 },
42454		{ "SGMII_REM_FAULT", 4, 1 },
42455		{ "AN_Ability", 3, 1 },
42456		{ "LINK_STATUS", 2, 1 },
42457		{ "JabberDetect", 1, 1 },
42458		{ "ExtdCapability", 0, 1 },
42459	{ "MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_0", 0x30d08, 0 },
42460	{ "MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_1", 0x30d0c, 0 },
42461	{ "MAC_PORT_MTIP_SGMII_DEV_ABILITY", 0x30d10, 0 },
42462		{ "NP", 15, 1 },
42463		{ "ACK", 14, 1 },
42464		{ "RF2", 13, 1 },
42465		{ "RF1", 12, 1 },
42466		{ "PS2", 8, 1 },
42467		{ "PS1", 7, 1 },
42468		{ "HD", 6, 1 },
42469		{ "FD", 5, 1 },
42470	{ "MAC_PORT_MTIP_SGMII_PARTNER_ABILITY", 0x30d14, 0 },
42471		{ "CuLinkStatus", 15, 1 },
42472		{ "ACK", 14, 1 },
42473		{ "CuDplxStatus", 12, 1 },
42474		{ "CuSpeed", 10, 2 },
42475	{ "MAC_PORT_MTIP_SGMII_AN_EXPANSION", 0x30d18, 0 },
42476		{ "PgRcvd", 1, 1 },
42477		{ "RealTimePgRcvd", 0, 1 },
42478	{ "MAC_PORT_MTIP_SGMII_DEVICE_NP", 0x30d1c, 0 },
42479	{ "MAC_PORT_MTIP_SGMII_PARTNER_NP", 0x30d20, 0 },
42480	{ "MAC_PORT_MTIP_SGMII_EXTENDED_STATUS", 0x30d3c, 0 },
42481	{ "MAC_PORT_MTIP_SGMII_LINK_TIMER_LO", 0x30d48, 0 },
42482	{ "MAC_PORT_MTIP_SGMII_LINK_TIMER_HI", 0x30d4c, 0 },
42483	{ "MAC_PORT_MTIP_SGMII_IF_MODE", 0x30d50, 0 },
42484		{ "SGMII_PCS_ENABLE", 5, 1 },
42485		{ "SGMII_HDUPLEX", 4, 1 },
42486		{ "SGMII_SPEED", 2, 2 },
42487		{ "USE_SGMII_AN", 1, 1 },
42488		{ "SGMII_ENA", 0, 1 },
42489	{ "MAC_PORT_MTIP_ACT_CTL_SEG", 0x31200, 0 },
42490	{ "MAC_PORT_MTIP_MODE_CTL_SEG", 0x31204, 0 },
42491	{ "MAC_PORT_MTIP_TXCLK_CTL_SEG", 0x31208, 0 },
42492	{ "MAC_PORT_MTIP_TX_PRMBL_CTL_SEG", 0x3120c, 0 },
42493	{ "MAC_PORT_MTIP_WAN_RS_COL_CNT", 0x31220, 0 },
42494	{ "MAC_PORT_MTIP_VL_INTVL", 0x31240, 0 },
42495		{ "VL_INTVL", 1, 1 },
42496	{ "MAC_PORT_MTIP_MDIO_CFG_STATUS", 0x31600, 0 },
42497		{ "CLK_DIV", 7, 9 },
42498		{ "CL45_EN", 6, 1 },
42499		{ "disable_preamble", 5, 1 },
42500		{ "mdio_hold_time", 2, 3 },
42501		{ "mdio_read_err", 1, 1 },
42502		{ "mdio_busy", 0, 1 },
42503	{ "MAC_PORT_MTIP_MDIO_COMMAND", 0x31604, 0 },
42504		{ "read", 15, 1 },
42505		{ "read_incr", 14, 1 },
42506		{ "port_addr", 5, 5 },
42507		{ "dev_addr", 0, 5 },
42508	{ "MAC_PORT_MTIP_MDIO_DATA", 0x31608, 0 },
42509		{ "readbusy", 31, 1 },
42510		{ "data_word", 0, 16 },
42511	{ "MAC_PORT_MTIP_MDIO_REGADDR", 0x3160c, 0 },
42512	{ "MAC_PORT_MTIP_VLAN_TPID_0", 0x31a00, 0 },
42513	{ "MAC_PORT_MTIP_VLAN_TPID_1", 0x31a04, 0 },
42514	{ "MAC_PORT_MTIP_VLAN_TPID_2", 0x31a08, 0 },
42515	{ "MAC_PORT_MTIP_VLAN_TPID_3", 0x31a0c, 0 },
42516	{ "MAC_PORT_MTIP_VLAN_TPID_4", 0x31a10, 0 },
42517	{ "MAC_PORT_MTIP_VLAN_TPID_5", 0x31a14, 0 },
42518	{ "MAC_PORT_MTIP_VLAN_TPID_6", 0x31a18, 0 },
42519	{ "MAC_PORT_MTIP_VLAN_TPID_7", 0x31a1c, 0 },
42520	{ "MAC_PORT_MTIP_PCS_CTL", 0x31e00, 0 },
42521		{ "RESET", 15, 1 },
42522		{ "LPBK", 14, 1 },
42523		{ "SPEED_SEL1", 13, 1 },
42524		{ "LP_MODE", 11, 1 },
42525		{ "SPEED_SEL0", 6, 1 },
42526		{ "SPEED", 2, 4 },
42527	{ "MAC_PORT_MTIP_PCS_STATUS1", 0x31e04, 0 },
42528		{ "FaultDet", 7, 1 },
42529		{ "rx_link_status", 2, 1 },
42530		{ "LoPwrAbl", 1, 1 },
42531	{ "MAC_PORT_MTIP_PCS_DEVICE_ID0", 0x31e08, 0 },
42532	{ "MAC_PORT_MTIP_PCS_DEVICE_ID1", 0x31e0c, 0 },
42533	{ "MAC_PORT_MTIP_PCS_SPEED_ABILITY", 0x31e10, 0 },
42534		{ "100G", 8, 1 },
42535		{ "40G", 7, 1 },
42536		{ "10BASE_TL", 1, 1 },
42537		{ "10G", 0, 1 },
42538	{ "MAC_PORT_MTIP_PCS_DEVICE_PKG1", 0x31e14, 0 },
42539		{ "TC", 6, 1 },
42540		{ "DTEXS", 5, 1 },
42541		{ "PHYXS", 4, 1 },
42542		{ "PCS", 3, 1 },
42543		{ "WIS", 2, 1 },
42544		{ "PMD_PMA", 1, 1 },
42545		{ "CL22", 0, 1 },
42546	{ "MAC_PORT_MTIP_PCS_DEVICE_PKG2", 0x31e18, 0 },
42547		{ "VendDev2", 15, 1 },
42548		{ "VendDev1", 14, 1 },
42549		{ "CL22EXT", 13, 1 },
42550	{ "MAC_PORT_MTIP_PCS_CTL2", 0x31e1c, 0 },
42551	{ "MAC_PORT_MTIP_PCS_STATUS2", 0x31e20, 0 },
42552		{ "Device", 15, 1 },
42553		{ "TxFault", 7, 1 },
42554		{ "RxFault", 6, 1 },
42555		{ "100BASE_R", 5, 1 },
42556		{ "40GBASE_R", 4, 1 },
42557		{ "10GBASE_T", 3, 1 },
42558		{ "10GBASE_W", 2, 1 },
42559		{ "10GBASE_X", 1, 1 },
42560		{ "10GBASE_R", 0, 1 },
42561	{ "MAC_PORT_MTIP_PCS_PKG_ID0", 0x31e38, 0 },
42562	{ "MAC_PORT_MTIP_PCS_PKG_ID1", 0x31e3c, 0 },
42563	{ "MAC_PORT_MTIP_PCS_BASER_STATUS1", 0x31e80, 0 },
42564		{ "RxLinkStatus", 12, 1 },
42565		{ "RESEREVED", 4, 8 },
42566		{ "10GPRBS9", 3, 1 },
42567		{ "10GPRBS31", 2, 1 },
42568		{ "HiBER", 1, 1 },
42569		{ "blocklock", 0, 1 },
42570	{ "MAC_PORT_MTIP_PCS_BASER_STATUS2", 0x31e84, 0 },
42571		{ "blocklockLL", 15, 1 },
42572		{ "HiBERLH", 14, 1 },
42573		{ "HiBERCount", 8, 6 },
42574		{ "ErrBlkCnt", 0, 8 },
42575	{ "MAC_PORT_MTIP_10GBASER_SEED_A", 0x31e88, 0 },
42576	{ "MAC_PORT_MTIP_10GBASER_SEED_A1", 0x31e8c, 0 },
42577	{ "MAC_PORT_MTIP_10GBASER_SEED_A2", 0x31e90, 0 },
42578	{ "MAC_PORT_MTIP_10GBASER_SEED_A3", 0x31e94, 0 },
42579	{ "MAC_PORT_MTIP_10GBASER_SEED_B", 0x31e98, 0 },
42580	{ "MAC_PORT_MTIP_10GBASER_SEED_B1", 0x31e9c, 0 },
42581	{ "MAC_PORT_MTIP_10GBASER_SEED_B2", 0x31ea0, 0 },
42582	{ "MAC_PORT_MTIP_10GBASER_SEED_B3", 0x31ea4, 0 },
42583	{ "MAC_PORT_MTIP_BASER_TEST_CTRL", 0x31ea8, 0 },
42584		{ "TXPRBS9", 6, 1 },
42585		{ "RXPRBS31", 5, 1 },
42586		{ "TXPRBS31", 4, 1 },
42587		{ "TxTestPatEn", 3, 1 },
42588		{ "RxTestPatEn", 2, 1 },
42589		{ "TestPatSel", 1, 1 },
42590		{ "DataPatSel", 0, 1 },
42591	{ "MAC_PORT_MTIP_BASER_TEST_ERR_CNT", 0x31eac, 0 },
42592	{ "MAC_PORT_MTIP_BER_HIGH_ORDER_CNT", 0x31eb0, 0 },
42593	{ "MAC_PORT_MTIP_BLK_HIGH_ORDER_CNT", 0x31eb4, 0 },
42594		{ "HiCountPrsnt", 15, 1 },
42595		{ "BLOCK_CNT_HI", 0, 14 },
42596	{ "MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS1", 0x31ec8, 0 },
42597		{ "alignstatus", 12, 1 },
42598		{ "Lane7", 7, 1 },
42599		{ "Lane6", 6, 1 },
42600		{ "Lane5", 5, 1 },
42601		{ "Lane4", 4, 1 },
42602		{ "Lane3", 3, 1 },
42603		{ "Lane2", 2, 1 },
42604		{ "Lane1", 1, 1 },
42605		{ "Lane0", 0, 1 },
42606	{ "MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS2", 0x31ecc, 0 },
42607		{ "Lane19", 11, 1 },
42608		{ "Lane18", 10, 1 },
42609		{ "Lane17", 9, 1 },
42610		{ "Lane16", 8, 1 },
42611		{ "Lane15", 7, 1 },
42612		{ "Lane14", 6, 1 },
42613		{ "Lane13", 5, 1 },
42614		{ "Lane12", 4, 1 },
42615		{ "Lane11", 3, 1 },
42616		{ "Lane10", 2, 1 },
42617		{ "Lane9", 1, 1 },
42618		{ "Lane8", 0, 1 },
42619	{ "MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS3", 0x31ed0, 0 },
42620		{ "AMLOCK7", 7, 1 },
42621		{ "AMLOCK6", 6, 1 },
42622		{ "AMLOCK5", 5, 1 },
42623		{ "AMLOCK4", 4, 1 },
42624		{ "AMLOCK3", 3, 1 },
42625		{ "AMLOCK2", 2, 1 },
42626		{ "AMLOCK1", 1, 1 },
42627		{ "AMLOCK0", 0, 1 },
42628	{ "MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS4", 0x31ed4, 0 },
42629		{ "AMLOCK19", 11, 1 },
42630		{ "AMLOCK18", 10, 1 },
42631		{ "AMLOCK17", 9, 1 },
42632		{ "AMLOCK16", 8, 1 },
42633		{ "AMLOCK15", 7, 1 },
42634		{ "AMLOCK14", 6, 1 },
42635		{ "AMLOCK13", 5, 1 },
42636		{ "AMLOCK12", 4, 1 },
42637		{ "AMLOCK11", 3, 1 },
42638		{ "AMLOCK10", 2, 1 },
42639		{ "AMLOCK9", 1, 1 },
42640		{ "AMLOCK8", 0, 1 },
42641	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_0", 0x31f68, 0 },
42642	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_1", 0x31f6c, 0 },
42643	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_2", 0x31f70, 0 },
42644	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_3", 0x31f74, 0 },
42645	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_4", 0x31f78, 0 },
42646	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_5", 0x31f7c, 0 },
42647	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_6", 0x31f80, 0 },
42648	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_7", 0x31f84, 0 },
42649	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_8", 0x31f88, 0 },
42650	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_9", 0x31f8c, 0 },
42651	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_10", 0x31f90, 0 },
42652	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_11", 0x31f94, 0 },
42653	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_12", 0x31f98, 0 },
42654	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_13", 0x31f9c, 0 },
42655	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_14", 0x31fa0, 0 },
42656	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_15", 0x31fa4, 0 },
42657	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_16", 0x31fa8, 0 },
42658	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_17", 0x31fac, 0 },
42659	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_18", 0x31fb0, 0 },
42660	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_19", 0x31fb4, 0 },
42661	{ "MAC_PORT_MTIP_PCS_LANE_MAP_0", 0x31fb8, 0 },
42662	{ "MAC_PORT_MTIP_PCS_LANE_MAP_1", 0x31fbc, 0 },
42663	{ "MAC_PORT_MTIP_PCS_LANE_MAP_2", 0x31fc0, 0 },
42664	{ "MAC_PORT_MTIP_PCS_LANE_MAP_3", 0x31fc4, 0 },
42665	{ "MAC_PORT_MTIP_PCS_LANE_MAP_4", 0x31fc8, 0 },
42666	{ "MAC_PORT_MTIP_PCS_LANE_MAP_5", 0x31fcc, 0 },
42667	{ "MAC_PORT_MTIP_PCS_LANE_MAP_6", 0x31fd0, 0 },
42668	{ "MAC_PORT_MTIP_PCS_LANE_MAP_7", 0x31fd4, 0 },
42669	{ "MAC_PORT_MTIP_PCS_LANE_MAP_8", 0x31fd8, 0 },
42670	{ "MAC_PORT_MTIP_PCS_LANE_MAP_9", 0x31fdc, 0 },
42671	{ "MAC_PORT_MTIP_PCS_LANE_MAP_10", 0x31fe0, 0 },
42672	{ "MAC_PORT_MTIP_PCS_LANE_MAP_11", 0x31fe4, 0 },
42673	{ "MAC_PORT_MTIP_PCS_LANE_MAP_12", 0x31fe8, 0 },
42674	{ "MAC_PORT_MTIP_PCS_LANE_MAP_13", 0x31fec, 0 },
42675	{ "MAC_PORT_MTIP_PCS_LANE_MAP_14", 0x31ff0, 0 },
42676	{ "MAC_PORT_MTIP_PCS_LANE_MAP_15", 0x31ff4, 0 },
42677	{ "MAC_PORT_MTIP_PCS_LANE_MAP_16", 0x31ff8, 0 },
42678	{ "MAC_PORT_MTIP_PCS_LANE_MAP_17", 0x31ffc, 0 },
42679	{ "MAC_PORT_MTIP_PCS_LANE_MAP_18", 0x32000, 0 },
42680	{ "MAC_PORT_MTIP_PCS_LANE_MAP_19", 0x32004, 0 },
42681	{ "MAC_PORT_BEAN_CTL", 0x32200, 0 },
42682		{ "AN_RESET", 15, 1 },
42683		{ "EXT_NXP_CTRL", 13, 1 },
42684		{ "BEAN_EN", 12, 1 },
42685		{ "RESTART_BEAN", 9, 1 },
42686	{ "MAC_PORT_BEAN_STATUS", 0x32204, 0 },
42687		{ "PDF", 9, 1 },
42688		{ "EXT_NXP_STATUS", 7, 1 },
42689		{ "PAGE_RCVD", 6, 1 },
42690		{ "BEAN_COMPLETE", 5, 1 },
42691		{ "REM_FAULT_STATUS", 4, 1 },
42692		{ "BEAN_ABILITY", 3, 1 },
42693		{ "LINK_STATUS", 2, 1 },
42694		{ "LP_BEAN_ABILITY", 0, 1 },
42695	{ "MAC_PORT_BEAN_ABILITY_0", 0x32208, 0 },
42696		{ "NXP", 15, 1 },
42697		{ "ACK", 14, 1 },
42698		{ "REM_FAULT", 13, 1 },
42699		{ "PAUSE_ABILITY", 10, 3 },
42700		{ "ECHO_NONCE", 5, 5 },
42701		{ "SELECTOR", 0, 5 },
42702	{ "MAC_PORT_BEAN_ABILITY_1", 0x3220c, 0 },
42703		{ "TECH_ABILITY_1", 5, 11 },
42704		{ "TX_NONCE", 0, 5 },
42705	{ "MAC_PORT_BEAN_ABILITY_2", 0x32210, 0 },
42706		{ "T5_FEC_ABILITY", 14, 2 },
42707		{ "TECH_ABILITY_2", 0, 14 },
42708	{ "MAC_PORT_BEAN_REM_ABILITY_0", 0x32214, 0 },
42709		{ "NXP", 15, 1 },
42710		{ "ACK", 14, 1 },
42711		{ "REM_FAULT", 13, 1 },
42712		{ "PAUSE_ABILITY", 10, 3 },
42713		{ "ECHO_NONCE", 5, 5 },
42714		{ "SELECTOR", 0, 5 },
42715	{ "MAC_PORT_BEAN_REM_ABILITY_1", 0x32218, 0 },
42716		{ "TECH_ABILITY_1", 5, 11 },
42717		{ "TX_NONCE", 0, 5 },
42718	{ "MAC_PORT_BEAN_REM_ABILITY_2", 0x3221c, 0 },
42719		{ "T5_FEC_ABILITY", 14, 2 },
42720		{ "TECH_ABILITY_2", 0, 14 },
42721	{ "MAC_PORT_BEAN_MS_COUNT", 0x32220, 0 },
42722	{ "MAC_PORT_BEAN_XNP_0", 0x32224, 0 },
42723		{ "XNP", 15, 1 },
42724		{ "ACKNOWLEDGE", 14, 1 },
42725		{ "MP", 13, 1 },
42726		{ "ACK2", 12, 1 },
42727		{ "TOGGLE", 11, 1 },
42728		{ "MU", 0, 11 },
42729	{ "MAC_PORT_BEAN_XNP_1", 0x32228, 0 },
42730	{ "MAC_PORT_BEAN_XNP_2", 0x3222c, 0 },
42731	{ "MAC_PORT_LP_BEAN_XNP_0", 0x32230, 0 },
42732		{ "XNP", 15, 1 },
42733		{ "ACKNOWLEDGE", 14, 1 },
42734		{ "MP", 13, 1 },
42735		{ "ACK2", 12, 1 },
42736		{ "TOGGLE", 11, 1 },
42737		{ "MU", 0, 11 },
42738	{ "MAC_PORT_LP_BEAN_XNP_1", 0x32234, 0 },
42739	{ "MAC_PORT_LP_BEAN_XNP_2", 0x32238, 0 },
42740	{ "MAC_PORT_BEAN_ETH_STATUS", 0x3223c, 0 },
42741		{ "100GCR10", 8, 1 },
42742		{ "40GCR4", 6, 1 },
42743		{ "40GKR4", 5, 1 },
42744		{ "FEC", 4, 1 },
42745		{ "10GKR", 3, 1 },
42746		{ "10GKX4", 2, 1 },
42747		{ "1GKX", 1, 1 },
42748	{ "MAC_PORT_BEAN_CTL_LANE1", 0x32240, 0 },
42749		{ "AN_RESET", 15, 1 },
42750		{ "EXT_NXP_CTRL", 13, 1 },
42751		{ "BEAN_EN", 12, 1 },
42752		{ "RESTART_BEAN", 9, 1 },
42753	{ "MAC_PORT_BEAN_STATUS_LANE1", 0x32244, 0 },
42754		{ "PDF", 9, 1 },
42755		{ "EXT_NXP_STATUS", 7, 1 },
42756		{ "PAGE_RCVD", 6, 1 },
42757		{ "BEAN_COMPLETE", 5, 1 },
42758		{ "REM_FAULT_STATUS", 4, 1 },
42759		{ "BEAN_ABILITY", 3, 1 },
42760		{ "LINK_STATUS", 2, 1 },
42761		{ "LP_BEAN_ABILITY", 0, 1 },
42762	{ "MAC_PORT_BEAN_ABILITY_0_LANE1", 0x32248, 0 },
42763		{ "NXP", 15, 1 },
42764		{ "ACK", 14, 1 },
42765		{ "REM_FAULT", 13, 1 },
42766		{ "PAUSE_ABILITY", 10, 3 },
42767		{ "ECHO_NONCE", 5, 5 },
42768		{ "SELECTOR", 0, 5 },
42769	{ "MAC_PORT_BEAN_ABILITY_1_LANE1", 0x3224c, 0 },
42770		{ "TECH_ABILITY_1", 5, 11 },
42771		{ "TX_NONCE", 0, 5 },
42772	{ "MAC_PORT_BEAN_ABILITY_2_LANE1", 0x32250, 0 },
42773		{ "T5_FEC_ABILITY", 14, 2 },
42774		{ "TECH_ABILITY_2", 0, 14 },
42775	{ "MAC_PORT_BEAN_REM_ABILITY_0_LANE1", 0x32254, 0 },
42776		{ "NXP", 15, 1 },
42777		{ "ACK", 14, 1 },
42778		{ "REM_FAULT", 13, 1 },
42779		{ "PAUSE_ABILITY", 10, 3 },
42780		{ "ECHO_NONCE", 5, 5 },
42781		{ "SELECTOR", 0, 5 },
42782	{ "MAC_PORT_BEAN_REM_ABILITY_1_LANE1", 0x32258, 0 },
42783		{ "TECH_ABILITY_1", 5, 11 },
42784		{ "TX_NONCE", 0, 5 },
42785	{ "MAC_PORT_BEAN_REM_ABILITY_2_LANE1", 0x3225c, 0 },
42786		{ "T5_FEC_ABILITY", 14, 2 },
42787		{ "TECH_ABILITY_2", 0, 14 },
42788	{ "MAC_PORT_BEAN_MS_COUNT_LANE1", 0x32260, 0 },
42789	{ "MAC_PORT_BEAN_XNP_0_LANE1", 0x32264, 0 },
42790		{ "XNP", 15, 1 },
42791		{ "ACKNOWLEDGE", 14, 1 },
42792		{ "MP", 13, 1 },
42793		{ "ACK2", 12, 1 },
42794		{ "TOGGLE", 11, 1 },
42795		{ "MU", 0, 11 },
42796	{ "MAC_PORT_BEAN_XNP_1_LANE1", 0x32268, 0 },
42797	{ "MAC_PORT_BEAN_XNP_2_LANE1", 0x3226c, 0 },
42798	{ "MAC_PORT_LP_BEAN_XNP_0_LANE1", 0x32270, 0 },
42799		{ "XNP", 15, 1 },
42800		{ "ACKNOWLEDGE", 14, 1 },
42801		{ "MP", 13, 1 },
42802		{ "ACK2", 12, 1 },
42803		{ "TOGGLE", 11, 1 },
42804		{ "MU", 0, 11 },
42805	{ "MAC_PORT_LP_BEAN_XNP_1_LANE1", 0x32274, 0 },
42806	{ "MAC_PORT_LP_BEAN_XNP_2_LANE1", 0x32278, 0 },
42807	{ "MAC_PORT_BEAN_ETH_STATUS_LANE1", 0x3227c, 0 },
42808		{ "100GCR10", 8, 1 },
42809		{ "40GCR4", 6, 1 },
42810		{ "40GKR4", 5, 1 },
42811		{ "FEC", 4, 1 },
42812		{ "10GKR", 3, 1 },
42813		{ "10GKX4", 2, 1 },
42814		{ "1GKX", 1, 1 },
42815	{ "MAC_PORT_BEAN_CTL_LANE2", 0x32280, 0 },
42816		{ "AN_RESET", 15, 1 },
42817		{ "EXT_NXP_CTRL", 13, 1 },
42818		{ "BEAN_EN", 12, 1 },
42819		{ "RESTART_BEAN", 9, 1 },
42820	{ "MAC_PORT_BEAN_STATUS_LANE2", 0x32284, 0 },
42821		{ "PDF", 9, 1 },
42822		{ "EXT_NXP_STATUS", 7, 1 },
42823		{ "PAGE_RCVD", 6, 1 },
42824		{ "BEAN_COMPLETE", 5, 1 },
42825		{ "REM_FAULT_STATUS", 4, 1 },
42826		{ "BEAN_ABILITY", 3, 1 },
42827		{ "LINK_STATUS", 2, 1 },
42828		{ "LP_BEAN_ABILITY", 0, 1 },
42829	{ "MAC_PORT_BEAN_ABILITY_0_LANE2", 0x32288, 0 },
42830		{ "NXP", 15, 1 },
42831		{ "ACK", 14, 1 },
42832		{ "REM_FAULT", 13, 1 },
42833		{ "PAUSE_ABILITY", 10, 3 },
42834		{ "ECHO_NONCE", 5, 5 },
42835		{ "SELECTOR", 0, 5 },
42836	{ "MAC_PORT_BEAN_ABILITY_1_LANE2", 0x3228c, 0 },
42837		{ "TECH_ABILITY_1", 5, 11 },
42838		{ "TX_NONCE", 0, 5 },
42839	{ "MAC_PORT_BEAN_ABILITY_2_LANE2", 0x32290, 0 },
42840		{ "T5_FEC_ABILITY", 14, 2 },
42841		{ "TECH_ABILITY_2", 0, 14 },
42842	{ "MAC_PORT_BEAN_REM_ABILITY_0_LANE2", 0x32294, 0 },
42843		{ "NXP", 15, 1 },
42844		{ "ACK", 14, 1 },
42845		{ "REM_FAULT", 13, 1 },
42846		{ "PAUSE_ABILITY", 10, 3 },
42847		{ "ECHO_NONCE", 5, 5 },
42848		{ "SELECTOR", 0, 5 },
42849	{ "MAC_PORT_BEAN_REM_ABILITY_1_LANE2", 0x32298, 0 },
42850		{ "TECH_ABILITY_1", 5, 11 },
42851		{ "TX_NONCE", 0, 5 },
42852	{ "MAC_PORT_BEAN_REM_ABILITY_2_LANE2", 0x3229c, 0 },
42853		{ "T5_FEC_ABILITY", 14, 2 },
42854		{ "TECH_ABILITY_2", 0, 14 },
42855	{ "MAC_PORT_BEAN_MS_COUNT_LANE2", 0x322a0, 0 },
42856	{ "MAC_PORT_BEAN_XNP_0_LANE2", 0x322a4, 0 },
42857		{ "XNP", 15, 1 },
42858		{ "ACKNOWLEDGE", 14, 1 },
42859		{ "MP", 13, 1 },
42860		{ "ACK2", 12, 1 },
42861		{ "TOGGLE", 11, 1 },
42862		{ "MU", 0, 11 },
42863	{ "MAC_PORT_BEAN_XNP_1_LANE2", 0x322a8, 0 },
42864	{ "MAC_PORT_BEAN_XNP_2_LANE2", 0x322ac, 0 },
42865	{ "MAC_PORT_LP_BEAN_XNP_0_LANE2", 0x322b0, 0 },
42866		{ "XNP", 15, 1 },
42867		{ "ACKNOWLEDGE", 14, 1 },
42868		{ "MP", 13, 1 },
42869		{ "ACK2", 12, 1 },
42870		{ "TOGGLE", 11, 1 },
42871		{ "MU", 0, 11 },
42872	{ "MAC_PORT_LP_BEAN_XNP_1_LANE2", 0x322b4, 0 },
42873	{ "MAC_PORT_LP_BEAN_XNP_2_LANE2", 0x322b8, 0 },
42874	{ "MAC_PORT_BEAN_ETH_STATUS_LANE2", 0x322bc, 0 },
42875		{ "100GCR10", 8, 1 },
42876		{ "40GCR4", 6, 1 },
42877		{ "40GKR4", 5, 1 },
42878		{ "FEC", 4, 1 },
42879		{ "10GKR", 3, 1 },
42880		{ "10GKX4", 2, 1 },
42881		{ "1GKX", 1, 1 },
42882	{ "MAC_PORT_BEAN_CTL_LANE3", 0x322c0, 0 },
42883		{ "AN_RESET", 15, 1 },
42884		{ "EXT_NXP_CTRL", 13, 1 },
42885		{ "BEAN_EN", 12, 1 },
42886		{ "RESTART_BEAN", 9, 1 },
42887	{ "MAC_PORT_BEAN_STATUS_LANE3", 0x322c4, 0 },
42888		{ "PDF", 9, 1 },
42889		{ "EXT_NXP_STATUS", 7, 1 },
42890		{ "PAGE_RCVD", 6, 1 },
42891		{ "BEAN_COMPLETE", 5, 1 },
42892		{ "REM_FAULT_STATUS", 4, 1 },
42893		{ "BEAN_ABILITY", 3, 1 },
42894		{ "LINK_STATUS", 2, 1 },
42895		{ "LP_BEAN_ABILITY", 0, 1 },
42896	{ "MAC_PORT_BEAN_ABILITY_0_LANE3", 0x322c8, 0 },
42897		{ "NXP", 15, 1 },
42898		{ "ACK", 14, 1 },
42899		{ "REM_FAULT", 13, 1 },
42900		{ "PAUSE_ABILITY", 10, 3 },
42901		{ "ECHO_NONCE", 5, 5 },
42902		{ "SELECTOR", 0, 5 },
42903	{ "MAC_PORT_BEAN_ABILITY_1_LANE3", 0x322cc, 0 },
42904		{ "TECH_ABILITY_1", 5, 11 },
42905		{ "TX_NONCE", 0, 5 },
42906	{ "MAC_PORT_BEAN_ABILITY_2_LANE3", 0x322d0, 0 },
42907		{ "T5_FEC_ABILITY", 14, 2 },
42908		{ "TECH_ABILITY_2", 0, 14 },
42909	{ "MAC_PORT_BEAN_REM_ABILITY_0_LANE3", 0x322d4, 0 },
42910		{ "NXP", 15, 1 },
42911		{ "ACK", 14, 1 },
42912		{ "REM_FAULT", 13, 1 },
42913		{ "PAUSE_ABILITY", 10, 3 },
42914		{ "ECHO_NONCE", 5, 5 },
42915		{ "SELECTOR", 0, 5 },
42916	{ "MAC_PORT_BEAN_REM_ABILITY_1_LANE3", 0x322d8, 0 },
42917		{ "TECH_ABILITY_1", 5, 11 },
42918		{ "TX_NONCE", 0, 5 },
42919	{ "MAC_PORT_BEAN_REM_ABILITY_2_LANE3", 0x322dc, 0 },
42920		{ "T5_FEC_ABILITY", 14, 2 },
42921		{ "TECH_ABILITY_2", 0, 14 },
42922	{ "MAC_PORT_BEAN_MS_COUNT_LANE3", 0x322e0, 0 },
42923	{ "MAC_PORT_BEAN_XNP_0_LANE3", 0x322e4, 0 },
42924		{ "XNP", 15, 1 },
42925		{ "ACKNOWLEDGE", 14, 1 },
42926		{ "MP", 13, 1 },
42927		{ "ACK2", 12, 1 },
42928		{ "TOGGLE", 11, 1 },
42929		{ "MU", 0, 11 },
42930	{ "MAC_PORT_BEAN_XNP_1_LANE3", 0x322e8, 0 },
42931	{ "MAC_PORT_BEAN_XNP_2_LANE3", 0x322ec, 0 },
42932	{ "MAC_PORT_LP_BEAN_XNP_0_LANE3", 0x322f0, 0 },
42933		{ "XNP", 15, 1 },
42934		{ "ACKNOWLEDGE", 14, 1 },
42935		{ "MP", 13, 1 },
42936		{ "ACK2", 12, 1 },
42937		{ "TOGGLE", 11, 1 },
42938		{ "MU", 0, 11 },
42939	{ "MAC_PORT_LP_BEAN_XNP_1_LANE3", 0x322f4, 0 },
42940	{ "MAC_PORT_LP_BEAN_XNP_2_LANE3", 0x322f8, 0 },
42941	{ "MAC_PORT_BEAN_ETH_STATUS_LANE3", 0x322fc, 0 },
42942		{ "100GCR10", 8, 1 },
42943		{ "40GCR4", 6, 1 },
42944		{ "40GKR4", 5, 1 },
42945		{ "FEC", 4, 1 },
42946		{ "10GKR", 3, 1 },
42947		{ "10GKX4", 2, 1 },
42948		{ "1GKX", 1, 1 },
42949	{ "MAC_PORT_FEC_KR_CONTROL", 0x32600, 0 },
42950		{ "enable_tr", 1, 1 },
42951		{ "restart_tr", 0, 1 },
42952	{ "MAC_PORT_FEC_KR_STATUS", 0x32604, 0 },
42953		{ "fecKRsigdet", 15, 1 },
42954		{ "train_fail", 3, 1 },
42955		{ "startup_status", 2, 1 },
42956		{ "frame_lock", 1, 1 },
42957		{ "rx_status", 0, 1 },
42958	{ "MAC_PORT_FEC_KR_LP_COEFF", 0x32608, 0 },
42959		{ "Preset", 13, 1 },
42960		{ "Initialize", 12, 1 },
42961		{ "CP1_UPD", 4, 2 },
42962		{ "C0_UPD", 2, 2 },
42963		{ "CN1_UPD", 0, 2 },
42964	{ "MAC_PORT_FEC_KR_LP_STAT", 0x3260c, 0 },
42965		{ "rx_ready", 15, 1 },
42966		{ "CP1_STAT", 4, 2 },
42967		{ "C0_STAT", 2, 2 },
42968		{ "CN1_STAT", 0, 2 },
42969	{ "MAC_PORT_FEC_KR_LD_COEFF", 0x32610, 0 },
42970		{ "Preset", 13, 1 },
42971		{ "Initialize", 12, 1 },
42972		{ "CP1_UPD", 4, 2 },
42973		{ "C0_UPD", 2, 2 },
42974		{ "CN1_UPD", 0, 2 },
42975	{ "MAC_PORT_FEC_KR_LD_STAT", 0x32614, 0 },
42976		{ "rx_ready", 15, 1 },
42977		{ "CP1_STAT", 4, 2 },
42978		{ "C0_STAT", 2, 2 },
42979		{ "CN1_STAT", 0, 2 },
42980	{ "MAC_PORT_FEC_ABILITY", 0x32618, 0 },
42981		{ "fec_ind_ability", 1, 1 },
42982		{ "ability", 0, 1 },
42983	{ "MAC_PORT_FEC_CONTROL", 0x3261c, 0 },
42984		{ "fec_en_err_ind", 1, 1 },
42985		{ "fec_en", 0, 1 },
42986	{ "MAC_PORT_FEC_STATUS", 0x32620, 0 },
42987		{ "FEC_LOCKED_100", 1, 1 },
42988		{ "FEC_LOCKED", 0, 1 },
42989	{ "MAC_PORT_FEC_CERR_CNT_0", 0x32624, 0 },
42990	{ "MAC_PORT_FEC_CERR_CNT_1", 0x32628, 0 },
42991	{ "MAC_PORT_FEC_NCERR_CNT_0", 0x3262c, 0 },
42992	{ "MAC_PORT_FEC_NCERR_CNT_1", 0x32630, 0 },
42993	{ "MAC_PORT_AE_RX_COEF_REQ", 0x32a00, 0 },
42994		{ "RXREQ_CPRE", 13, 1 },
42995		{ "RXREQ_CINIT", 12, 1 },
42996		{ "T5_RXREQ_C2", 4, 2 },
42997		{ "T5_RXREQ_C1", 2, 2 },
42998		{ "T5_RXREQ_C0", 0, 2 },
42999	{ "MAC_PORT_AE_RX_COEF_STAT", 0x32a04, 0 },
43000		{ "T5_AE0_RXSTAT_RDY", 15, 1 },
43001		{ "T5_AE0_RXSTAT_C2", 4, 2 },
43002		{ "T5_AE0_RXSTAT_C1", 2, 2 },
43003		{ "T5_AE0_RXSTAT_C0", 0, 2 },
43004	{ "MAC_PORT_AE_TX_COEF_REQ", 0x32a08, 0 },
43005		{ "TXREQ_CPRE", 13, 1 },
43006		{ "TXREQ_CINIT", 12, 1 },
43007		{ "T5_TXREQ_C2", 4, 2 },
43008		{ "T5_TXREQ_C1", 2, 2 },
43009		{ "T5_TXREQ_C0", 0, 2 },
43010	{ "MAC_PORT_AE_TX_COEF_STAT", 0x32a0c, 0 },
43011		{ "TXSTAT_RDY", 15, 1 },
43012		{ "T5_TXSTAT_C2", 4, 2 },
43013		{ "T5_TXSTAT_C1", 2, 2 },
43014		{ "T5_TXSTAT_C0", 0, 2 },
43015	{ "MAC_PORT_AE_REG_MODE", 0x32a10, 0 },
43016		{ "AET_RSVD", 7, 1 },
43017		{ "AET_ENABLE", 6, 1 },
43018		{ "MAN_DEC", 4, 2 },
43019		{ "MANUAL_RDY", 3, 1 },
43020		{ "MWT_DISABLE", 2, 1 },
43021		{ "MDIO_OVR", 1, 1 },
43022		{ "STICKY_MODE", 0, 1 },
43023	{ "MAC_PORT_AE_PRBS_CTL", 0x32a14, 0 },
43024		{ "PRBS_CHK_ERRCNT", 8, 8 },
43025		{ "PRBS_SYNCCNT", 5, 3 },
43026		{ "PRBS_CHK_SYNC", 4, 1 },
43027		{ "PRBS_CHK_RST", 3, 1 },
43028		{ "PRBS_CHK_OFF", 2, 1 },
43029		{ "PRBS_GEN_FRCERR", 1, 1 },
43030		{ "PRBS_GEN_OFF", 0, 1 },
43031	{ "MAC_PORT_AE_FSM_CTL", 0x32a18, 0 },
43032		{ "CIN_ENABLE", 15, 1 },
43033		{ "FSM_TR_LCL", 14, 1 },
43034		{ "FSM_GDMRK", 11, 3 },
43035		{ "FSM_BADMRK", 8, 3 },
43036		{ "FSM_TR_FAIL", 7, 1 },
43037		{ "FSM_TR_ACT", 6, 1 },
43038		{ "FSM_FRM_LCK", 5, 1 },
43039		{ "FSM_TR_COMP", 4, 1 },
43040		{ "MC_RX_RDY", 3, 1 },
43041		{ "FSM_CU_DIS", 2, 1 },
43042		{ "FSM_TR_RST", 1, 1 },
43043		{ "FSM_TR_EN", 0, 1 },
43044	{ "MAC_PORT_AE_FSM_STATE", 0x32a1c, 0 },
43045		{ "CC2FSM_STATE", 13, 3 },
43046		{ "CC1FSM_STATE", 10, 3 },
43047		{ "CC0FSM_STATE", 7, 3 },
43048		{ "FLFSM_STATE", 4, 3 },
43049		{ "TFSM_STATE", 0, 3 },
43050	{ "MAC_PORT_AE_RX_COEF_REQ_1", 0x32a20, 0 },
43051		{ "RXREQ_CPRE", 13, 1 },
43052		{ "RXREQ_CINIT", 12, 1 },
43053		{ "T5_RXREQ_C2", 4, 2 },
43054		{ "T5_RXREQ_C1", 2, 2 },
43055		{ "T5_RXREQ_C0", 0, 2 },
43056	{ "MAC_PORT_AE_RX_COEF_STAT_1", 0x32a24, 0 },
43057		{ "T5_AE1_RXSTAT_RDY", 15, 1 },
43058		{ "T5_AE1_RXSTAT_C2", 4, 2 },
43059		{ "T5_AE1_RXSTAT_C1", 2, 2 },
43060		{ "T5_AE1_RXSTAT_C0", 0, 2 },
43061	{ "MAC_PORT_AE_TX_COEF_REQ_1", 0x32a28, 0 },
43062		{ "TXREQ_CPRE", 13, 1 },
43063		{ "TXREQ_CINIT", 12, 1 },
43064		{ "T5_TXREQ_C2", 4, 2 },
43065		{ "T5_TXREQ_C1", 2, 2 },
43066		{ "T5_TXREQ_C0", 0, 2 },
43067	{ "MAC_PORT_AE_TX_COEF_STAT_1", 0x32a2c, 0 },
43068		{ "TXSTAT_RDY", 15, 1 },
43069		{ "T5_TXSTAT_C2", 4, 2 },
43070		{ "T5_TXSTAT_C1", 2, 2 },
43071		{ "T5_TXSTAT_C0", 0, 2 },
43072	{ "MAC_PORT_AE_REG_MODE_1", 0x32a30, 0 },
43073		{ "AET_RSVD", 7, 1 },
43074		{ "AET_ENABLE", 6, 1 },
43075		{ "MAN_DEC", 4, 2 },
43076		{ "MANUAL_RDY", 3, 1 },
43077		{ "MWT_DISABLE", 2, 1 },
43078		{ "MDIO_OVR", 1, 1 },
43079		{ "STICKY_MODE", 0, 1 },
43080	{ "MAC_PORT_AE_PRBS_CTL_1", 0x32a34, 0 },
43081		{ "PRBS_CHK_ERRCNT", 8, 8 },
43082		{ "PRBS_SYNCCNT", 5, 3 },
43083		{ "PRBS_CHK_SYNC", 4, 1 },
43084		{ "PRBS_CHK_RST", 3, 1 },
43085		{ "PRBS_CHK_OFF", 2, 1 },
43086		{ "PRBS_GEN_FRCERR", 1, 1 },
43087		{ "PRBS_GEN_OFF", 0, 1 },
43088	{ "MAC_PORT_AE_FSM_CTL_1", 0x32a38, 0 },
43089		{ "CIN_ENABLE", 15, 1 },
43090		{ "FSM_TR_LCL", 14, 1 },
43091		{ "FSM_GDMRK", 11, 3 },
43092		{ "FSM_BADMRK", 8, 3 },
43093		{ "FSM_TR_FAIL", 7, 1 },
43094		{ "FSM_TR_ACT", 6, 1 },
43095		{ "FSM_FRM_LCK", 5, 1 },
43096		{ "FSM_TR_COMP", 4, 1 },
43097		{ "MC_RX_RDY", 3, 1 },
43098		{ "FSM_CU_DIS", 2, 1 },
43099		{ "FSM_TR_RST", 1, 1 },
43100		{ "FSM_TR_EN", 0, 1 },
43101	{ "MAC_PORT_AE_FSM_STATE_1", 0x32a3c, 0 },
43102		{ "CC2FSM_STATE", 13, 3 },
43103		{ "CC1FSM_STATE", 10, 3 },
43104		{ "CC0FSM_STATE", 7, 3 },
43105		{ "FLFSM_STATE", 4, 3 },
43106		{ "TFSM_STATE", 0, 3 },
43107	{ "MAC_PORT_AE_RX_COEF_REQ_2", 0x32a40, 0 },
43108		{ "RXREQ_CPRE", 13, 1 },
43109		{ "RXREQ_CINIT", 12, 1 },
43110		{ "T5_RXREQ_C2", 4, 2 },
43111		{ "T5_RXREQ_C1", 2, 2 },
43112		{ "T5_RXREQ_C0", 0, 2 },
43113	{ "MAC_PORT_AE_RX_COEF_STAT_2", 0x32a44, 0 },
43114		{ "T5_AE2_RXSTAT_RDY", 15, 1 },
43115		{ "T5_AE2_RXSTAT_C2", 4, 2 },
43116		{ "T5_AE2_RXSTAT_C1", 2, 2 },
43117		{ "T5_AE2_RXSTAT_C0", 0, 2 },
43118	{ "MAC_PORT_AE_TX_COEF_REQ_2", 0x32a48, 0 },
43119		{ "TXREQ_CPRE", 13, 1 },
43120		{ "TXREQ_CINIT", 12, 1 },
43121		{ "T5_TXREQ_C2", 4, 2 },
43122		{ "T5_TXREQ_C1", 2, 2 },
43123		{ "T5_TXREQ_C0", 0, 2 },
43124	{ "MAC_PORT_AE_TX_COEF_STAT_2", 0x32a4c, 0 },
43125		{ "TXSTAT_RDY", 15, 1 },
43126		{ "T5_TXSTAT_C2", 4, 2 },
43127		{ "T5_TXSTAT_C1", 2, 2 },
43128		{ "T5_TXSTAT_C0", 0, 2 },
43129	{ "MAC_PORT_AE_REG_MODE_2", 0x32a50, 0 },
43130		{ "AET_RSVD", 7, 1 },
43131		{ "AET_ENABLE", 6, 1 },
43132		{ "MAN_DEC", 4, 2 },
43133		{ "MANUAL_RDY", 3, 1 },
43134		{ "MWT_DISABLE", 2, 1 },
43135		{ "MDIO_OVR", 1, 1 },
43136		{ "STICKY_MODE", 0, 1 },
43137	{ "MAC_PORT_AE_PRBS_CTL_2", 0x32a54, 0 },
43138		{ "PRBS_CHK_ERRCNT", 8, 8 },
43139		{ "PRBS_SYNCCNT", 5, 3 },
43140		{ "PRBS_CHK_SYNC", 4, 1 },
43141		{ "PRBS_CHK_RST", 3, 1 },
43142		{ "PRBS_CHK_OFF", 2, 1 },
43143		{ "PRBS_GEN_FRCERR", 1, 1 },
43144		{ "PRBS_GEN_OFF", 0, 1 },
43145	{ "MAC_PORT_AE_FSM_CTL_2", 0x32a58, 0 },
43146		{ "CIN_ENABLE", 15, 1 },
43147		{ "FSM_TR_LCL", 14, 1 },
43148		{ "FSM_GDMRK", 11, 3 },
43149		{ "FSM_BADMRK", 8, 3 },
43150		{ "FSM_TR_FAIL", 7, 1 },
43151		{ "FSM_TR_ACT", 6, 1 },
43152		{ "FSM_FRM_LCK", 5, 1 },
43153		{ "FSM_TR_COMP", 4, 1 },
43154		{ "MC_RX_RDY", 3, 1 },
43155		{ "FSM_CU_DIS", 2, 1 },
43156		{ "FSM_TR_RST", 1, 1 },
43157		{ "FSM_TR_EN", 0, 1 },
43158	{ "MAC_PORT_AE_FSM_STATE_2", 0x32a5c, 0 },
43159		{ "CC2FSM_STATE", 13, 3 },
43160		{ "CC1FSM_STATE", 10, 3 },
43161		{ "CC0FSM_STATE", 7, 3 },
43162		{ "FLFSM_STATE", 4, 3 },
43163		{ "TFSM_STATE", 0, 3 },
43164	{ "MAC_PORT_AE_RX_COEF_REQ_3", 0x32a60, 0 },
43165		{ "RXREQ_CPRE", 13, 1 },
43166		{ "RXREQ_CINIT", 12, 1 },
43167		{ "T5_RXREQ_C2", 4, 2 },
43168		{ "T5_RXREQ_C1", 2, 2 },
43169		{ "T5_RXREQ_C0", 0, 2 },
43170	{ "MAC_PORT_AE_RX_COEF_STAT_3", 0x32a64, 0 },
43171		{ "T5_AE3_RXSTAT_RDY", 15, 1 },
43172		{ "T5_AE3_RXSTAT_C2", 4, 2 },
43173		{ "T5_AE3_RXSTAT_C1", 2, 2 },
43174		{ "T5_AE3_RXSTAT_C0", 0, 2 },
43175	{ "MAC_PORT_AE_TX_COEF_REQ_3", 0x32a68, 0 },
43176		{ "TXREQ_CPRE", 13, 1 },
43177		{ "TXREQ_CINIT", 12, 1 },
43178		{ "T5_TXREQ_C2", 4, 2 },
43179		{ "T5_TXREQ_C1", 2, 2 },
43180		{ "T5_TXREQ_C0", 0, 2 },
43181	{ "MAC_PORT_AE_TX_COEF_STAT_3", 0x32a6c, 0 },
43182		{ "TXSTAT_RDY", 15, 1 },
43183		{ "T5_TXSTAT_C2", 4, 2 },
43184		{ "T5_TXSTAT_C1", 2, 2 },
43185		{ "T5_TXSTAT_C0", 0, 2 },
43186	{ "MAC_PORT_AE_REG_MODE_3", 0x32a70, 0 },
43187		{ "AET_RSVD", 7, 1 },
43188		{ "AET_ENABLE", 6, 1 },
43189		{ "MAN_DEC", 4, 2 },
43190		{ "MANUAL_RDY", 3, 1 },
43191		{ "MWT_DISABLE", 2, 1 },
43192		{ "MDIO_OVR", 1, 1 },
43193		{ "STICKY_MODE", 0, 1 },
43194	{ "MAC_PORT_AE_PRBS_CTL_3", 0x32a74, 0 },
43195		{ "PRBS_CHK_ERRCNT", 8, 8 },
43196		{ "PRBS_SYNCCNT", 5, 3 },
43197		{ "PRBS_CHK_SYNC", 4, 1 },
43198		{ "PRBS_CHK_RST", 3, 1 },
43199		{ "PRBS_CHK_OFF", 2, 1 },
43200		{ "PRBS_GEN_FRCERR", 1, 1 },
43201		{ "PRBS_GEN_OFF", 0, 1 },
43202	{ "MAC_PORT_AE_FSM_CTL_3", 0x32a78, 0 },
43203		{ "CIN_ENABLE", 15, 1 },
43204		{ "FSM_TR_LCL", 14, 1 },
43205		{ "FSM_GDMRK", 11, 3 },
43206		{ "FSM_BADMRK", 8, 3 },
43207		{ "FSM_TR_FAIL", 7, 1 },
43208		{ "FSM_TR_ACT", 6, 1 },
43209		{ "FSM_FRM_LCK", 5, 1 },
43210		{ "FSM_TR_COMP", 4, 1 },
43211		{ "MC_RX_RDY", 3, 1 },
43212		{ "FSM_CU_DIS", 2, 1 },
43213		{ "FSM_TR_RST", 1, 1 },
43214		{ "FSM_TR_EN", 0, 1 },
43215	{ "MAC_PORT_AE_FSM_STATE_3", 0x32a7c, 0 },
43216		{ "CC2FSM_STATE", 13, 3 },
43217		{ "CC1FSM_STATE", 10, 3 },
43218		{ "CC0FSM_STATE", 7, 3 },
43219		{ "FLFSM_STATE", 4, 3 },
43220		{ "TFSM_STATE", 0, 3 },
43221	{ "MAC_PORT_AE_TX_DIS", 0x32a80, 0 },
43222	{ "MAC_PORT_AE_KR_CTRL", 0x32a84, 0 },
43223		{ "Training_Enable", 1, 1 },
43224		{ "Restart_Training", 0, 1 },
43225	{ "MAC_PORT_AE_RX_SIGDET", 0x32a88, 0 },
43226	{ "MAC_PORT_AE_KR_STATUS", 0x32a8c, 0 },
43227		{ "Training_Failure", 3, 1 },
43228		{ "Training", 2, 1 },
43229		{ "Frame_Lock", 1, 1 },
43230		{ "RX_Trained", 0, 1 },
43231	{ "MAC_PORT_AE_TX_DIS_1", 0x32a90, 0 },
43232	{ "MAC_PORT_AE_KR_CTRL_1", 0x32a94, 0 },
43233		{ "Training_Enable", 1, 1 },
43234		{ "Restart_Training", 0, 1 },
43235	{ "MAC_PORT_AE_RX_SIGDET_1", 0x32a98, 0 },
43236	{ "MAC_PORT_AE_KR_STATUS_1", 0x32a9c, 0 },
43237		{ "Training_Failure", 3, 1 },
43238		{ "Training", 2, 1 },
43239		{ "Frame_Lock", 1, 1 },
43240		{ "RX_Trained", 0, 1 },
43241	{ "MAC_PORT_AE_TX_DIS_2", 0x32aa0, 0 },
43242	{ "MAC_PORT_AE_KR_CTRL_2", 0x32aa4, 0 },
43243		{ "Training_Enable", 1, 1 },
43244		{ "Restart_Training", 0, 1 },
43245	{ "MAC_PORT_AE_RX_SIGDET_2", 0x32aa8, 0 },
43246	{ "MAC_PORT_AE_KR_STATUS_2", 0x32aac, 0 },
43247		{ "Training_Failure", 3, 1 },
43248		{ "Training", 2, 1 },
43249		{ "Frame_Lock", 1, 1 },
43250		{ "RX_Trained", 0, 1 },
43251	{ "MAC_PORT_AE_TX_DIS_3", 0x32ab0, 0 },
43252	{ "MAC_PORT_AE_KR_CTRL_3", 0x32ab4, 0 },
43253		{ "Training_Enable", 1, 1 },
43254		{ "Restart_Training", 0, 1 },
43255	{ "MAC_PORT_AE_RX_SIGDET_3", 0x32ab8, 0 },
43256	{ "MAC_PORT_AE_KR_STATUS_3", 0x32abc, 0 },
43257		{ "Training_Failure", 3, 1 },
43258		{ "Training", 2, 1 },
43259		{ "Frame_Lock", 1, 1 },
43260		{ "RX_Trained", 0, 1 },
43261	{ "MAC_PORT_AET_STAGE_CONFIGURATION_0", 0x32b00, 0 },
43262		{ "EN_HOLD_FAIL", 14, 1 },
43263		{ "INIT_METH", 12, 2 },
43264		{ "CE_DECS", 8, 4 },
43265		{ "EN_ZFE", 7, 1 },
43266		{ "EN_GAIN_TOG", 6, 1 },
43267		{ "EN_AI_C1", 5, 1 },
43268		{ "EN_MAX_ST", 4, 1 },
43269		{ "EN_H1T_EQ", 3, 1 },
43270		{ "H1TEQ_GOAL", 0, 3 },
43271	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_0", 0x32b04, 0 },
43272		{ "GAIN_TH", 6, 5 },
43273		{ "EN_SD_TH", 5, 1 },
43274		{ "EN_AMIN_TH", 4, 1 },
43275		{ "AMIN_TH", 0, 4 },
43276	{ "MAC_PORT_AET_ZFE_LIMITS_0", 0x32b08, 0 },
43277		{ "ACC_LIM", 8, 4 },
43278		{ "CNV_LIM", 4, 4 },
43279		{ "TOG_LIM", 0, 4 },
43280	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_0", 0x32b0c, 0 },
43281		{ "BOOT_LUT7", 12, 4 },
43282		{ "BOOT_LUT6", 8, 4 },
43283		{ "BOOT_LUT45", 4, 4 },
43284		{ "BOOT_LUT0123", 2, 2 },
43285		{ "BOOT_DEC_C0", 1, 1 },
43286	{ "MAC_PORT_AET_STATUS_0", 0x32b10, 0 },
43287		{ "AET_STAT", 9, 4 },
43288		{ "NEU_STATE", 5, 4 },
43289		{ "CTRL_STATE", 0, 5 },
43290	{ "MAC_PORT_AET_STAGE_CONFIGURATION_1", 0x32b20, 0 },
43291		{ "EN_HOLD_FAIL", 14, 1 },
43292		{ "INIT_METH", 12, 2 },
43293		{ "CE_DECS", 8, 4 },
43294		{ "EN_ZFE", 7, 1 },
43295		{ "EN_GAIN_TOG", 6, 1 },
43296		{ "EN_AI_C1", 5, 1 },
43297		{ "EN_MAX_ST", 4, 1 },
43298		{ "EN_H1T_EQ", 3, 1 },
43299		{ "H1TEQ_GOAL", 0, 3 },
43300	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_1", 0x32b24, 0 },
43301		{ "GAIN_TH", 6, 5 },
43302		{ "EN_SD_TH", 5, 1 },
43303		{ "EN_AMIN_TH", 4, 1 },
43304		{ "AMIN_TH", 0, 4 },
43305	{ "MAC_PORT_AET_ZFE_LIMITS_1", 0x32b28, 0 },
43306		{ "ACC_LIM", 8, 4 },
43307		{ "CNV_LIM", 4, 4 },
43308		{ "TOG_LIM", 0, 4 },
43309	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_1", 0x32b2c, 0 },
43310		{ "BOOT_LUT7", 12, 4 },
43311		{ "BOOT_LUT6", 8, 4 },
43312		{ "BOOT_LUT45", 4, 4 },
43313		{ "BOOT_LUT0123", 2, 2 },
43314		{ "BOOT_DEC_C0", 1, 1 },
43315	{ "MAC_PORT_AET_STATUS_1", 0x32b30, 0 },
43316		{ "AET_STAT", 9, 4 },
43317		{ "NEU_STATE", 5, 4 },
43318		{ "CTRL_STATE", 0, 5 },
43319	{ "MAC_PORT_AET_STAGE_CONFIGURATION_2", 0x32b40, 0 },
43320		{ "EN_HOLD_FAIL", 14, 1 },
43321		{ "INIT_METH", 12, 2 },
43322		{ "CE_DECS", 8, 4 },
43323		{ "EN_ZFE", 7, 1 },
43324		{ "EN_GAIN_TOG", 6, 1 },
43325		{ "EN_AI_C1", 5, 1 },
43326		{ "EN_MAX_ST", 4, 1 },
43327		{ "EN_H1T_EQ", 3, 1 },
43328		{ "H1TEQ_GOAL", 0, 3 },
43329	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_2", 0x32b44, 0 },
43330		{ "GAIN_TH", 6, 5 },
43331		{ "EN_SD_TH", 5, 1 },
43332		{ "EN_AMIN_TH", 4, 1 },
43333		{ "AMIN_TH", 0, 4 },
43334	{ "MAC_PORT_AET_ZFE_LIMITS_2", 0x32b48, 0 },
43335		{ "ACC_LIM", 8, 4 },
43336		{ "CNV_LIM", 4, 4 },
43337		{ "TOG_LIM", 0, 4 },
43338	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_2", 0x32b4c, 0 },
43339		{ "BOOT_LUT7", 12, 4 },
43340		{ "BOOT_LUT6", 8, 4 },
43341		{ "BOOT_LUT45", 4, 4 },
43342		{ "BOOT_LUT0123", 2, 2 },
43343		{ "BOOT_DEC_C0", 1, 1 },
43344	{ "MAC_PORT_AET_STATUS_2", 0x32b50, 0 },
43345		{ "AET_STAT", 9, 4 },
43346		{ "NEU_STATE", 5, 4 },
43347		{ "CTRL_STATE", 0, 5 },
43348	{ "MAC_PORT_AET_STAGE_CONFIGURATION_3", 0x32b60, 0 },
43349		{ "EN_HOLD_FAIL", 14, 1 },
43350		{ "INIT_METH", 12, 2 },
43351		{ "CE_DECS", 8, 4 },
43352		{ "EN_ZFE", 7, 1 },
43353		{ "EN_GAIN_TOG", 6, 1 },
43354		{ "EN_AI_C1", 5, 1 },
43355		{ "EN_MAX_ST", 4, 1 },
43356		{ "EN_H1T_EQ", 3, 1 },
43357		{ "H1TEQ_GOAL", 0, 3 },
43358	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_3", 0x32b64, 0 },
43359		{ "GAIN_TH", 6, 5 },
43360		{ "EN_SD_TH", 5, 1 },
43361		{ "EN_AMIN_TH", 4, 1 },
43362		{ "AMIN_TH", 0, 4 },
43363	{ "MAC_PORT_AET_ZFE_LIMITS_3", 0x32b68, 0 },
43364		{ "ACC_LIM", 8, 4 },
43365		{ "CNV_LIM", 4, 4 },
43366		{ "TOG_LIM", 0, 4 },
43367	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_3", 0x32b6c, 0 },
43368		{ "BOOT_LUT7", 12, 4 },
43369		{ "BOOT_LUT6", 8, 4 },
43370		{ "BOOT_LUT45", 4, 4 },
43371		{ "BOOT_LUT0123", 2, 2 },
43372		{ "BOOT_DEC_C0", 1, 1 },
43373	{ "MAC_PORT_AET_STATUS_3", 0x32b70, 0 },
43374		{ "AET_STAT", 9, 4 },
43375		{ "NEU_STATE", 5, 4 },
43376		{ "CTRL_STATE", 0, 5 },
43377	{ "MAC_PORT_ANALOG_TEST_MUX", 0x33814, 0 },
43378	{ "MAC_PORT_BANDGAP_CONTROL", 0x3382c, 0 },
43379	{ "MAC_PORT_RESISTOR_CALIBRATION_CONTROL", 0x33880, 0 },
43380		{ "RCCTL1", 5, 1 },
43381		{ "RCCTL0", 4, 1 },
43382		{ "RCAMP1", 3, 1 },
43383		{ "RCAMP0", 2, 1 },
43384		{ "RCAMPEN", 1, 1 },
43385		{ "RCRST", 0, 1 },
43386	{ "MAC_PORT_RESISTOR_CALIBRATION_STATUS_1", 0x33884, 0 },
43387		{ "RCERR", 1, 1 },
43388		{ "RCCOMP", 0, 1 },
43389	{ "MAC_PORT_RESISTOR_CALIBRATION_STATUS_2", 0x33888, 0 },
43390	{ "MAC_PORT_RESISTOR_CALIBRATION_STATUS_3", 0x3388c, 0 },
43391	{ "MAC_PORT_MACRO_TEST_CONTROL_6", 0x338e8, 0 },
43392		{ "LBIST", 7, 1 },
43393		{ "LOGICTEST", 6, 1 },
43394		{ "MAVDHI", 5, 1 },
43395		{ "AUXEN", 4, 1 },
43396		{ "JTAGMD", 3, 1 },
43397		{ "RXACMODE", 2, 1 },
43398		{ "HSSACJPC", 1, 1 },
43399		{ "HSSACJAC", 0, 1 },
43400	{ "MAC_PORT_MACRO_TEST_CONTROL_5", 0x338ec, 0 },
43401		{ "REFVALIDD", 6, 1 },
43402		{ "REFVALIDC", 5, 1 },
43403		{ "REFVALIDB", 4, 1 },
43404		{ "REFVALIDA", 3, 1 },
43405		{ "REFSELRESET", 2, 1 },
43406		{ "SOFTRESET", 1, 1 },
43407		{ "MACROTEST", 0, 1 },
43408	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_0", 0x33b00, 0 },
43409	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_1", 0x33b04, 0 },
43410		{ "LDET", 4, 1 },
43411		{ "CCERR", 3, 1 },
43412		{ "CCCMP", 2, 1 },
43413	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_2", 0x33b08, 0 },
43414	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_3", 0x33b0c, 0 },
43415		{ "FMIN", 3, 1 },
43416		{ "FMAX", 2, 1 },
43417		{ "CVHOLD", 1, 1 },
43418	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_4", 0x33b10, 0 },
43419		{ "CMETH", 2, 1 },
43420		{ "RECAL", 1, 1 },
43421		{ "CCLD", 0, 1 },
43422	{ "MAC_PORT_PLLA_CHARGE_PUMP_CONTROL", 0x33b28, 0 },
43423	{ "MAC_PORT_PLLA_PCLK_CONTROL", 0x33b3c, 0 },
43424		{ "SPEDIV", 3, 5 },
43425		{ "PCKSEL", 0, 3 },
43426	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_CONTROL", 0x33b40, 0 },
43427		{ "EMIL", 2, 1 },
43428		{ "EMID", 1, 1 },
43429		{ "EMIS", 0, 1 },
43430	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_1", 0x33b44, 0 },
43431	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_2", 0x33b48, 0 },
43432	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_3", 0x33b4c, 0 },
43433	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_4", 0x33b50, 0 },
43434	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_4", 0x33bf0, 0 },
43435		{ "VBST", 1, 3 },
43436	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_3", 0x33bf4, 0 },
43437		{ "RESYNC", 6, 1 },
43438		{ "RXCLKSEL", 5, 1 },
43439		{ "FRCBAND", 4, 1 },
43440		{ "PLLBYP", 3, 1 },
43441		{ "PDWNP", 2, 1 },
43442		{ "VCOSEL", 1, 1 },
43443		{ "DIVSEL8", 0, 1 },
43444	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_2", 0x33bf8, 0 },
43445	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_1", 0x33bfc, 0 },
43446	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_0", 0x33c00, 0 },
43447	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_1", 0x33c04, 0 },
43448		{ "LDET", 4, 1 },
43449		{ "CCERR", 3, 1 },
43450		{ "CCCMP", 2, 1 },
43451	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_2", 0x33c08, 0 },
43452	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_3", 0x33c0c, 0 },
43453		{ "FMIN", 3, 1 },
43454		{ "FMAX", 2, 1 },
43455		{ "CVHOLD", 1, 1 },
43456	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_4", 0x33c10, 0 },
43457		{ "CMETH", 2, 1 },
43458		{ "RECAL", 1, 1 },
43459		{ "CCLD", 0, 1 },
43460	{ "MAC_PORT_PLLB_CHARGE_PUMP_CONTROL", 0x33c28, 0 },
43461	{ "MAC_PORT_PLLB_PCLK_CONTROL", 0x33c3c, 0 },
43462		{ "SPEDIV", 3, 5 },
43463		{ "PCKSEL", 0, 3 },
43464	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_CONTROL", 0x33c40, 0 },
43465		{ "EMIL", 2, 1 },
43466		{ "EMID", 1, 1 },
43467		{ "EMIS", 0, 1 },
43468	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_1", 0x33c44, 0 },
43469	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_2", 0x33c48, 0 },
43470	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_3", 0x33c4c, 0 },
43471	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_4", 0x33c50, 0 },
43472	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_4", 0x33cf0, 0 },
43473		{ "VBST", 1, 3 },
43474	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_3", 0x33cf4, 0 },
43475		{ "RESYNC", 6, 1 },
43476		{ "RXCLKSEL", 5, 1 },
43477		{ "FRCBAND", 4, 1 },
43478		{ "PLLBYP", 3, 1 },
43479		{ "PDWNP", 2, 1 },
43480		{ "VCOSEL", 1, 1 },
43481		{ "DIVSEL8", 0, 1 },
43482	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_2", 0x33cf8, 0 },
43483	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_1", 0x33cfc, 0 },
43484	{ "MAC_PORT_TX_LINKA_TRANSMIT_CONFIGURATION_MODE", 0x33000, 0 },
43485		{ "T5_TX_LINKEN", 15, 1 },
43486		{ "T5_TX_LINKRST", 14, 1 },
43487		{ "T5_TX_CFGWRT", 13, 1 },
43488		{ "T5_TX_CFGPTR", 11, 2 },
43489		{ "T5_TX_CFGEXT", 10, 1 },
43490		{ "T5_TX_CFGACT", 9, 1 },
43491		{ "T5_TX_RSYNCC", 8, 1 },
43492		{ "T5_TX_PLLSEL", 6, 2 },
43493		{ "T5_TX_EXTC16", 5, 1 },
43494		{ "T5_TX_DCKSEL", 4, 1 },
43495		{ "T5_TX_RXLOOP", 3, 1 },
43496		{ "T5_TX_BWSEL", 2, 1 },
43497		{ "T5_TX_RTSEL", 0, 2 },
43498	{ "MAC_PORT_TX_LINKA_TRANSMIT_TEST_CONTROL", 0x33004, 0 },
43499		{ "SPSEL", 11, 3 },
43500		{ "AFDWEN", 7, 1 },
43501		{ "PRST", 4, 1 },
43502		{ "TPGMD", 3, 1 },
43503		{ "TPSEL", 0, 3 },
43504	{ "MAC_PORT_TX_LINKA_TRANSMIT_COEFFICIENT_CONTROL", 0x33008, 0 },
43505		{ "ZCALOVRD", 8, 1 },
43506		{ "AMMODE", 7, 1 },
43507		{ "AEPOL", 6, 1 },
43508		{ "AESRC", 5, 1 },
43509		{ "EQMODE", 4, 1 },
43510		{ "OCOEF", 3, 1 },
43511		{ "COEFRST", 2, 1 },
43512		{ "ALOAD", 0, 1 },
43513	{ "MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_MODE_CONTROL", 0x3300c, 0 },
43514		{ "T5DRVHIZ", 5, 1 },
43515		{ "T5SASIMP", 4, 1 },
43516		{ "T5SLEW", 2, 2 },
43517	{ "MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x33010, 0 },
43518		{ "T5C2BUFDCEN", 5, 1 },
43519		{ "T5DCCEN", 4, 1 },
43520		{ "T5REGBYP", 3, 1 },
43521		{ "T5REGAEN", 2, 1 },
43522		{ "T5REGAMP", 0, 2 },
43523	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x33014, 0 },
43524		{ "RSTEP", 15, 1 },
43525		{ "RLOCK", 14, 1 },
43526		{ "RPOS", 8, 6 },
43527		{ "DCLKSAM", 7, 1 },
43528	{ "MAC_PORT_TX_LINKA_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x33018, 0 },
43529		{ "CALSSTN", 3, 3 },
43530		{ "CALSSTP", 0, 3 },
43531	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3301c, 0 },
43532	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT", 0x33020, 0 },
43533	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT", 0x33024, 0 },
43534	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT", 0x33028, 0 },
43535	{ "MAC_PORT_TX_LINKA_TRANSMIT_AMPLITUDE", 0x33030, 0 },
43536	{ "MAC_PORT_TX_LINKA_TRANSMIT_POLARITY", 0x33034, 0 },
43537		{ "TXPOL", 4, 3 },
43538		{ "NXTPOL", 0, 3 },
43539	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x33038, 0 },
43540		{ "CPREST", 13, 1 },
43541		{ "CINIT", 12, 1 },
43542		{ "C2UPDT", 4, 2 },
43543		{ "C1UPDT", 2, 2 },
43544		{ "C0UPDT", 0, 2 },
43545	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3303c, 0 },
43546		{ "C2STAT", 4, 2 },
43547		{ "C1STAT", 2, 2 },
43548		{ "C0STAT", 0, 2 },
43549	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x33040, 0 },
43550	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x33044, 0 },
43551	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x33048, 0 },
43552	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x33060, 0 },
43553	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x33064, 0 },
43554	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x33068, 0 },
43555	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x33070, 0 },
43556		{ "MAINSC", 6, 6 },
43557		{ "POSTSC", 0, 6 },
43558	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x33074, 0 },
43559	{ "MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x33078, 0 },
43560	{ "MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3307c, 0 },
43561		{ "T5XADDR", 1, 5 },
43562		{ "T5XWR", 0, 1 },
43563	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x33080, 0 },
43564	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x33084, 0 },
43565	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x33088, 0 },
43566	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_CONTROL", 0x3308c, 0 },
43567		{ "DCCTIMEDOUT", 15, 1 },
43568		{ "DCCTIMEEN", 14, 1 },
43569		{ "DCCLOCK", 13, 1 },
43570		{ "DCCOFFSET", 8, 5 },
43571		{ "DCCSTEP", 6, 2 },
43572		{ "DCCASTEP", 1, 5 },
43573		{ "DCCAEN", 0, 1 },
43574	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_OVERRIDE", 0x33090, 0 },
43575		{ "DCCOUT", 12, 1 },
43576		{ "DCCCLK", 11, 1 },
43577		{ "DCCHOLD", 10, 1 },
43578		{ "DCCSIGN", 8, 2 },
43579		{ "DCCAMP", 1, 7 },
43580		{ "DCCOEN", 0, 1 },
43581	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_APPLIED", 0x33094, 0 },
43582		{ "DCCASIGN", 7, 2 },
43583		{ "DCCAAMP", 0, 7 },
43584	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_TIME_OUT", 0x33098, 0 },
43585	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AZ_CONTROL", 0x3309c, 0 },
43586		{ "LPIDCLK", 4, 1 },
43587		{ "LPITERM", 2, 2 },
43588		{ "LPIPRCD", 0, 2 },
43589	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_4", 0x330f0, 0 },
43590		{ "SDOVRDEN", 8, 1 },
43591		{ "SDOVRD", 0, 8 },
43592	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_3", 0x330f4, 0 },
43593		{ "SLEWCODE", 1, 2 },
43594		{ "ASEGEN", 0, 1 },
43595	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_2", 0x330f8, 0 },
43596		{ "AECMDVAL", 14, 1 },
43597		{ "AECMD1312", 12, 2 },
43598		{ "AECMD70", 0, 8 },
43599	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_1", 0x330fc, 0 },
43600		{ "C48DIVCTL", 12, 3 },
43601		{ "RATEDIVCTL", 9, 3 },
43602		{ "ANLGFLSH", 8, 1 },
43603		{ "DCCTSTOUT", 7, 1 },
43604		{ "BSOUT", 6, 1 },
43605		{ "BSIN", 5, 1 },
43606		{ "JTAGAMPL", 3, 2 },
43607		{ "JTAGTS", 2, 1 },
43608		{ "TS", 1, 1 },
43609		{ "OBS", 0, 1 },
43610	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x30000, 0 },
43611	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x30008, 0 },
43612	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x30010, 0 },
43613		{ "C0MAX", 8, 5 },
43614		{ "C0MIN", 0, 5 },
43615	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x30018, 0 },
43616	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x30020, 0 },
43617		{ "C1MAX", 8, 7 },
43618		{ "C1MIN", 0, 7 },
43619	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x30028, 0 },
43620	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x30030, 0 },
43621		{ "C2MAX", 8, 6 },
43622		{ "C2MIN", 0, 6 },
43623	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x30038, 0 },
43624	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x30040, 0 },
43625	{ "MAC_PORT_TX_LINKB_TRANSMIT_CONFIGURATION_MODE", 0x33100, 0 },
43626		{ "T5_TX_LINKEN", 15, 1 },
43627		{ "T5_TX_LINKRST", 14, 1 },
43628		{ "T5_TX_CFGWRT", 13, 1 },
43629		{ "T5_TX_CFGPTR", 11, 2 },
43630		{ "T5_TX_CFGEXT", 10, 1 },
43631		{ "T5_TX_CFGACT", 9, 1 },
43632		{ "T5_TX_RSYNCC", 8, 1 },
43633		{ "T5_TX_PLLSEL", 6, 2 },
43634		{ "T5_TX_EXTC16", 5, 1 },
43635		{ "T5_TX_DCKSEL", 4, 1 },
43636		{ "T5_TX_RXLOOP", 3, 1 },
43637		{ "T5_TX_BWSEL", 2, 1 },
43638		{ "T5_TX_RTSEL", 0, 2 },
43639	{ "MAC_PORT_TX_LINKB_TRANSMIT_TEST_CONTROL", 0x33104, 0 },
43640		{ "SPSEL", 11, 3 },
43641		{ "AFDWEN", 7, 1 },
43642		{ "PRST", 4, 1 },
43643		{ "TPGMD", 3, 1 },
43644		{ "TPSEL", 0, 3 },
43645	{ "MAC_PORT_TX_LINKB_TRANSMIT_COEFFICIENT_CONTROL", 0x33108, 0 },
43646		{ "ZCALOVRD", 8, 1 },
43647		{ "AMMODE", 7, 1 },
43648		{ "AEPOL", 6, 1 },
43649		{ "AESRC", 5, 1 },
43650		{ "EQMODE", 4, 1 },
43651		{ "OCOEF", 3, 1 },
43652		{ "COEFRST", 2, 1 },
43653		{ "ALOAD", 0, 1 },
43654	{ "MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_MODE_CONTROL", 0x3310c, 0 },
43655		{ "T5DRVHIZ", 5, 1 },
43656		{ "T5SASIMP", 4, 1 },
43657		{ "T5SLEW", 2, 2 },
43658	{ "MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x33110, 0 },
43659		{ "T5C2BUFDCEN", 5, 1 },
43660		{ "T5DCCEN", 4, 1 },
43661		{ "T5REGBYP", 3, 1 },
43662		{ "T5REGAEN", 2, 1 },
43663		{ "T5REGAMP", 0, 2 },
43664	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x33114, 0 },
43665		{ "RSTEP", 15, 1 },
43666		{ "RLOCK", 14, 1 },
43667		{ "RPOS", 8, 6 },
43668		{ "DCLKSAM", 7, 1 },
43669	{ "MAC_PORT_TX_LINKB_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x33118, 0 },
43670		{ "CALSSTN", 3, 3 },
43671		{ "CALSSTP", 0, 3 },
43672	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3311c, 0 },
43673	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT", 0x33120, 0 },
43674	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT", 0x33124, 0 },
43675	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT", 0x33128, 0 },
43676	{ "MAC_PORT_TX_LINKB_TRANSMIT_AMPLITUDE", 0x33130, 0 },
43677	{ "MAC_PORT_TX_LINKB_TRANSMIT_POLARITY", 0x33134, 0 },
43678		{ "TXPOL", 4, 3 },
43679		{ "NXTPOL", 0, 3 },
43680	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x33138, 0 },
43681		{ "CPREST", 13, 1 },
43682		{ "CINIT", 12, 1 },
43683		{ "C2UPDT", 4, 2 },
43684		{ "C1UPDT", 2, 2 },
43685		{ "C0UPDT", 0, 2 },
43686	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3313c, 0 },
43687		{ "C2STAT", 4, 2 },
43688		{ "C1STAT", 2, 2 },
43689		{ "C0STAT", 0, 2 },
43690	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x33140, 0 },
43691	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x33144, 0 },
43692	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x33148, 0 },
43693	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x33160, 0 },
43694	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x33164, 0 },
43695	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x33168, 0 },
43696	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x33170, 0 },
43697		{ "MAINSC", 6, 6 },
43698		{ "POSTSC", 0, 6 },
43699	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x33174, 0 },
43700	{ "MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x33178, 0 },
43701	{ "MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3317c, 0 },
43702		{ "T5XADDR", 1, 5 },
43703		{ "T5XWR", 0, 1 },
43704	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x33180, 0 },
43705	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x33184, 0 },
43706	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x33188, 0 },
43707	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_CONTROL", 0x3318c, 0 },
43708		{ "DCCTIMEDOUT", 15, 1 },
43709		{ "DCCTIMEEN", 14, 1 },
43710		{ "DCCLOCK", 13, 1 },
43711		{ "DCCOFFSET", 8, 5 },
43712		{ "DCCSTEP", 6, 2 },
43713		{ "DCCASTEP", 1, 5 },
43714		{ "DCCAEN", 0, 1 },
43715	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_OVERRIDE", 0x33190, 0 },
43716		{ "DCCOUT", 12, 1 },
43717		{ "DCCCLK", 11, 1 },
43718		{ "DCCHOLD", 10, 1 },
43719		{ "DCCSIGN", 8, 2 },
43720		{ "DCCAMP", 1, 7 },
43721		{ "DCCOEN", 0, 1 },
43722	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_APPLIED", 0x33194, 0 },
43723		{ "DCCASIGN", 7, 2 },
43724		{ "DCCAAMP", 0, 7 },
43725	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_TIME_OUT", 0x33198, 0 },
43726	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AZ_CONTROL", 0x3319c, 0 },
43727		{ "LPIDCLK", 4, 1 },
43728		{ "LPITERM", 2, 2 },
43729		{ "LPIPRCD", 0, 2 },
43730	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_4", 0x331f0, 0 },
43731		{ "SDOVRDEN", 8, 1 },
43732		{ "SDOVRD", 0, 8 },
43733	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_3", 0x331f4, 0 },
43734		{ "SLEWCODE", 1, 2 },
43735		{ "ASEGEN", 0, 1 },
43736	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_2", 0x331f8, 0 },
43737		{ "AECMDVAL", 14, 1 },
43738		{ "AECMD1312", 12, 2 },
43739		{ "AECMD70", 0, 8 },
43740	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_1", 0x331fc, 0 },
43741		{ "C48DIVCTL", 12, 3 },
43742		{ "RATEDIVCTL", 9, 3 },
43743		{ "ANLGFLSH", 8, 1 },
43744		{ "DCCTSTOUT", 7, 1 },
43745		{ "BSOUT", 6, 1 },
43746		{ "BSIN", 5, 1 },
43747		{ "JTAGAMPL", 3, 2 },
43748		{ "JTAGTS", 2, 1 },
43749		{ "TS", 1, 1 },
43750		{ "OBS", 0, 1 },
43751	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x30000, 0 },
43752	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x30008, 0 },
43753	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x30010, 0 },
43754		{ "C0MAX", 8, 5 },
43755		{ "C0MIN", 0, 5 },
43756	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x30018, 0 },
43757	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x30020, 0 },
43758		{ "C1MAX", 8, 7 },
43759		{ "C1MIN", 0, 7 },
43760	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x30028, 0 },
43761	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x30030, 0 },
43762		{ "C2MAX", 8, 6 },
43763		{ "C2MIN", 0, 6 },
43764	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x30038, 0 },
43765	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x30040, 0 },
43766	{ "MAC_PORT_TX_LINKC_TRANSMIT_CONFIGURATION_MODE", 0x33400, 0 },
43767		{ "T5_TX_LINKEN", 15, 1 },
43768		{ "T5_TX_LINKRST", 14, 1 },
43769		{ "T5_TX_CFGWRT", 13, 1 },
43770		{ "T5_TX_CFGPTR", 11, 2 },
43771		{ "T5_TX_CFGEXT", 10, 1 },
43772		{ "T5_TX_CFGACT", 9, 1 },
43773		{ "T5_TX_RSYNCC", 8, 1 },
43774		{ "T5_TX_PLLSEL", 6, 2 },
43775		{ "T5_TX_EXTC16", 5, 1 },
43776		{ "T5_TX_DCKSEL", 4, 1 },
43777		{ "T5_TX_RXLOOP", 3, 1 },
43778		{ "T5_TX_BWSEL", 2, 1 },
43779		{ "T5_TX_RTSEL", 0, 2 },
43780	{ "MAC_PORT_TX_LINKC_TRANSMIT_TEST_CONTROL", 0x33404, 0 },
43781		{ "SPSEL", 11, 3 },
43782		{ "AFDWEN", 7, 1 },
43783		{ "PRST", 4, 1 },
43784		{ "TPGMD", 3, 1 },
43785		{ "TPSEL", 0, 3 },
43786	{ "MAC_PORT_TX_LINKC_TRANSMIT_COEFFICIENT_CONTROL", 0x33408, 0 },
43787		{ "ZCALOVRD", 8, 1 },
43788		{ "AMMODE", 7, 1 },
43789		{ "AEPOL", 6, 1 },
43790		{ "AESRC", 5, 1 },
43791		{ "EQMODE", 4, 1 },
43792		{ "OCOEF", 3, 1 },
43793		{ "COEFRST", 2, 1 },
43794		{ "ALOAD", 0, 1 },
43795	{ "MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_MODE_CONTROL", 0x3340c, 0 },
43796		{ "T5DRVHIZ", 5, 1 },
43797		{ "T5SASIMP", 4, 1 },
43798		{ "T5SLEW", 2, 2 },
43799	{ "MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x33410, 0 },
43800		{ "T5C2BUFDCEN", 5, 1 },
43801		{ "T5DCCEN", 4, 1 },
43802		{ "T5REGBYP", 3, 1 },
43803		{ "T5REGAEN", 2, 1 },
43804		{ "T5REGAMP", 0, 2 },
43805	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x33414, 0 },
43806		{ "RSTEP", 15, 1 },
43807		{ "RLOCK", 14, 1 },
43808		{ "RPOS", 8, 6 },
43809		{ "DCLKSAM", 7, 1 },
43810	{ "MAC_PORT_TX_LINKC_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x33418, 0 },
43811		{ "CALSSTN", 3, 3 },
43812		{ "CALSSTP", 0, 3 },
43813	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3341c, 0 },
43814	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT", 0x33420, 0 },
43815	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT", 0x33424, 0 },
43816	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT", 0x33428, 0 },
43817	{ "MAC_PORT_TX_LINKC_TRANSMIT_AMPLITUDE", 0x33430, 0 },
43818	{ "MAC_PORT_TX_LINKC_TRANSMIT_POLARITY", 0x33434, 0 },
43819		{ "TXPOL", 4, 3 },
43820		{ "NXTPOL", 0, 3 },
43821	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x33438, 0 },
43822		{ "CPREST", 13, 1 },
43823		{ "CINIT", 12, 1 },
43824		{ "C2UPDT", 4, 2 },
43825		{ "C1UPDT", 2, 2 },
43826		{ "C0UPDT", 0, 2 },
43827	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3343c, 0 },
43828		{ "C2STAT", 4, 2 },
43829		{ "C1STAT", 2, 2 },
43830		{ "C0STAT", 0, 2 },
43831	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x33440, 0 },
43832	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x33444, 0 },
43833	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x33448, 0 },
43834	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x33460, 0 },
43835	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x33464, 0 },
43836	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x33468, 0 },
43837	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x33470, 0 },
43838		{ "MAINSC", 6, 6 },
43839		{ "POSTSC", 0, 6 },
43840	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x33474, 0 },
43841	{ "MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x33478, 0 },
43842	{ "MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3347c, 0 },
43843		{ "T5XADDR", 1, 5 },
43844		{ "T5XWR", 0, 1 },
43845	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x33480, 0 },
43846	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x33484, 0 },
43847	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x33488, 0 },
43848	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_CONTROL", 0x3348c, 0 },
43849		{ "DCCTIMEDOUT", 15, 1 },
43850		{ "DCCTIMEEN", 14, 1 },
43851		{ "DCCLOCK", 13, 1 },
43852		{ "DCCOFFSET", 8, 5 },
43853		{ "DCCSTEP", 6, 2 },
43854		{ "DCCASTEP", 1, 5 },
43855		{ "DCCAEN", 0, 1 },
43856	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_OVERRIDE", 0x33490, 0 },
43857		{ "DCCOUT", 12, 1 },
43858		{ "DCCCLK", 11, 1 },
43859		{ "DCCHOLD", 10, 1 },
43860		{ "DCCSIGN", 8, 2 },
43861		{ "DCCAMP", 1, 7 },
43862		{ "DCCOEN", 0, 1 },
43863	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_APPLIED", 0x33494, 0 },
43864		{ "DCCASIGN", 7, 2 },
43865		{ "DCCAAMP", 0, 7 },
43866	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_TIME_OUT", 0x33498, 0 },
43867	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AZ_CONTROL", 0x3349c, 0 },
43868		{ "LPIDCLK", 4, 1 },
43869		{ "LPITERM", 2, 2 },
43870		{ "LPIPRCD", 0, 2 },
43871	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_4", 0x334f0, 0 },
43872		{ "SDOVRDEN", 8, 1 },
43873		{ "SDOVRD", 0, 8 },
43874	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_3", 0x334f4, 0 },
43875		{ "SLEWCODE", 1, 2 },
43876		{ "ASEGEN", 0, 1 },
43877	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_2", 0x334f8, 0 },
43878		{ "AECMDVAL", 14, 1 },
43879		{ "AECMD1312", 12, 2 },
43880		{ "AECMD70", 0, 8 },
43881	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_1", 0x334fc, 0 },
43882		{ "C48DIVCTL", 12, 3 },
43883		{ "RATEDIVCTL", 9, 3 },
43884		{ "ANLGFLSH", 8, 1 },
43885		{ "DCCTSTOUT", 7, 1 },
43886		{ "BSOUT", 6, 1 },
43887		{ "BSIN", 5, 1 },
43888		{ "JTAGAMPL", 3, 2 },
43889		{ "JTAGTS", 2, 1 },
43890		{ "TS", 1, 1 },
43891		{ "OBS", 0, 1 },
43892	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x30000, 0 },
43893	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x30008, 0 },
43894	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x30010, 0 },
43895		{ "C0MAX", 8, 5 },
43896		{ "C0MIN", 0, 5 },
43897	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x30018, 0 },
43898	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x30020, 0 },
43899		{ "C1MAX", 8, 7 },
43900		{ "C1MIN", 0, 7 },
43901	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x30028, 0 },
43902	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x30030, 0 },
43903		{ "C2MAX", 8, 6 },
43904		{ "C2MIN", 0, 6 },
43905	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x30038, 0 },
43906	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x30040, 0 },
43907	{ "MAC_PORT_TX_LINKD_TRANSMIT_CONFIGURATION_MODE", 0x33500, 0 },
43908		{ "T5_TX_LINKEN", 15, 1 },
43909		{ "T5_TX_LINKRST", 14, 1 },
43910		{ "T5_TX_CFGWRT", 13, 1 },
43911		{ "T5_TX_CFGPTR", 11, 2 },
43912		{ "T5_TX_CFGEXT", 10, 1 },
43913		{ "T5_TX_CFGACT", 9, 1 },
43914		{ "T5_TX_RSYNCC", 8, 1 },
43915		{ "T5_TX_PLLSEL", 6, 2 },
43916		{ "T5_TX_EXTC16", 5, 1 },
43917		{ "T5_TX_DCKSEL", 4, 1 },
43918		{ "T5_TX_RXLOOP", 3, 1 },
43919		{ "T5_TX_BWSEL", 2, 1 },
43920		{ "T5_TX_RTSEL", 0, 2 },
43921	{ "MAC_PORT_TX_LINKD_TRANSMIT_TEST_CONTROL", 0x33504, 0 },
43922		{ "SPSEL", 11, 3 },
43923		{ "AFDWEN", 7, 1 },
43924		{ "PRST", 4, 1 },
43925		{ "TPGMD", 3, 1 },
43926		{ "TPSEL", 0, 3 },
43927	{ "MAC_PORT_TX_LINKD_TRANSMIT_COEFFICIENT_CONTROL", 0x33508, 0 },
43928		{ "ZCALOVRD", 8, 1 },
43929		{ "AMMODE", 7, 1 },
43930		{ "AEPOL", 6, 1 },
43931		{ "AESRC", 5, 1 },
43932		{ "EQMODE", 4, 1 },
43933		{ "OCOEF", 3, 1 },
43934		{ "COEFRST", 2, 1 },
43935		{ "ALOAD", 0, 1 },
43936	{ "MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_MODE_CONTROL", 0x3350c, 0 },
43937		{ "T5DRVHIZ", 5, 1 },
43938		{ "T5SASIMP", 4, 1 },
43939		{ "T5SLEW", 2, 2 },
43940	{ "MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x33510, 0 },
43941		{ "T5C2BUFDCEN", 5, 1 },
43942		{ "T5DCCEN", 4, 1 },
43943		{ "T5REGBYP", 3, 1 },
43944		{ "T5REGAEN", 2, 1 },
43945		{ "T5REGAMP", 0, 2 },
43946	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x33514, 0 },
43947		{ "RSTEP", 15, 1 },
43948		{ "RLOCK", 14, 1 },
43949		{ "RPOS", 8, 6 },
43950		{ "DCLKSAM", 7, 1 },
43951	{ "MAC_PORT_TX_LINKD_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x33518, 0 },
43952		{ "CALSSTN", 3, 3 },
43953		{ "CALSSTP", 0, 3 },
43954	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3351c, 0 },
43955	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT", 0x33520, 0 },
43956	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT", 0x33524, 0 },
43957	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT", 0x33528, 0 },
43958	{ "MAC_PORT_TX_LINKD_TRANSMIT_AMPLITUDE", 0x33530, 0 },
43959	{ "MAC_PORT_TX_LINKD_TRANSMIT_POLARITY", 0x33534, 0 },
43960		{ "TXPOL", 4, 3 },
43961		{ "NXTPOL", 0, 3 },
43962	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x33538, 0 },
43963		{ "CPREST", 13, 1 },
43964		{ "CINIT", 12, 1 },
43965		{ "C2UPDT", 4, 2 },
43966		{ "C1UPDT", 2, 2 },
43967		{ "C0UPDT", 0, 2 },
43968	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3353c, 0 },
43969		{ "C2STAT", 4, 2 },
43970		{ "C1STAT", 2, 2 },
43971		{ "C0STAT", 0, 2 },
43972	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x33540, 0 },
43973	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x33544, 0 },
43974	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x33548, 0 },
43975	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x33560, 0 },
43976	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x33564, 0 },
43977	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x33568, 0 },
43978	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x33570, 0 },
43979		{ "MAINSC", 6, 6 },
43980		{ "POSTSC", 0, 6 },
43981	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x33574, 0 },
43982	{ "MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x33578, 0 },
43983	{ "MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3357c, 0 },
43984		{ "T5XADDR", 1, 5 },
43985		{ "T5XWR", 0, 1 },
43986	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x33580, 0 },
43987	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x33584, 0 },
43988	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x33588, 0 },
43989	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_CONTROL", 0x3358c, 0 },
43990		{ "DCCTIMEDOUT", 15, 1 },
43991		{ "DCCTIMEEN", 14, 1 },
43992		{ "DCCLOCK", 13, 1 },
43993		{ "DCCOFFSET", 8, 5 },
43994		{ "DCCSTEP", 6, 2 },
43995		{ "DCCASTEP", 1, 5 },
43996		{ "DCCAEN", 0, 1 },
43997	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_OVERRIDE", 0x33590, 0 },
43998		{ "DCCOUT", 12, 1 },
43999		{ "DCCCLK", 11, 1 },
44000		{ "DCCHOLD", 10, 1 },
44001		{ "DCCSIGN", 8, 2 },
44002		{ "DCCAMP", 1, 7 },
44003		{ "DCCOEN", 0, 1 },
44004	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_APPLIED", 0x33594, 0 },
44005		{ "DCCASIGN", 7, 2 },
44006		{ "DCCAAMP", 0, 7 },
44007	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_TIME_OUT", 0x33598, 0 },
44008	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AZ_CONTROL", 0x3359c, 0 },
44009		{ "LPIDCLK", 4, 1 },
44010		{ "LPITERM", 2, 2 },
44011		{ "LPIPRCD", 0, 2 },
44012	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_4", 0x335f0, 0 },
44013		{ "SDOVRDEN", 8, 1 },
44014		{ "SDOVRD", 0, 8 },
44015	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_3", 0x335f4, 0 },
44016		{ "SLEWCODE", 1, 2 },
44017		{ "ASEGEN", 0, 1 },
44018	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_2", 0x335f8, 0 },
44019		{ "AECMDVAL", 14, 1 },
44020		{ "AECMD1312", 12, 2 },
44021		{ "AECMD70", 0, 8 },
44022	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_1", 0x335fc, 0 },
44023		{ "C48DIVCTL", 12, 3 },
44024		{ "RATEDIVCTL", 9, 3 },
44025		{ "ANLGFLSH", 8, 1 },
44026		{ "DCCTSTOUT", 7, 1 },
44027		{ "BSOUT", 6, 1 },
44028		{ "BSIN", 5, 1 },
44029		{ "JTAGAMPL", 3, 2 },
44030		{ "JTAGTS", 2, 1 },
44031		{ "TS", 1, 1 },
44032		{ "OBS", 0, 1 },
44033	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x30000, 0 },
44034	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x30008, 0 },
44035	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x30010, 0 },
44036		{ "C0MAX", 8, 5 },
44037		{ "C0MIN", 0, 5 },
44038	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x30018, 0 },
44039	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x30020, 0 },
44040		{ "C1MAX", 8, 7 },
44041		{ "C1MIN", 0, 7 },
44042	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x30028, 0 },
44043	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x30030, 0 },
44044		{ "C2MAX", 8, 6 },
44045		{ "C2MIN", 0, 6 },
44046	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x30038, 0 },
44047	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x30040, 0 },
44048	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_CONFIGURATION_MODE", 0x33900, 0 },
44049		{ "T5_TX_LINKEN", 15, 1 },
44050		{ "T5_TX_LINKRST", 14, 1 },
44051		{ "T5_TX_CFGWRT", 13, 1 },
44052		{ "T5_TX_CFGPTR", 11, 2 },
44053		{ "T5_TX_CFGEXT", 10, 1 },
44054		{ "T5_TX_CFGACT", 9, 1 },
44055		{ "T5_TX_RSYNCC", 8, 1 },
44056		{ "T5_TX_PLLSEL", 6, 2 },
44057		{ "T5_TX_EXTC16", 5, 1 },
44058		{ "T5_TX_DCKSEL", 4, 1 },
44059		{ "T5_TX_RXLOOP", 3, 1 },
44060		{ "T5_TX_BWSEL", 2, 1 },
44061		{ "T5_TX_RTSEL", 0, 2 },
44062	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TEST_CONTROL", 0x33904, 0 },
44063		{ "SPSEL", 11, 3 },
44064		{ "AFDWEN", 7, 1 },
44065		{ "PRST", 4, 1 },
44066		{ "TPGMD", 3, 1 },
44067		{ "TPSEL", 0, 3 },
44068	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_COEFFICIENT_CONTROL", 0x33908, 0 },
44069		{ "ZCALOVRD", 8, 1 },
44070		{ "AMMODE", 7, 1 },
44071		{ "AEPOL", 6, 1 },
44072		{ "AESRC", 5, 1 },
44073		{ "EQMODE", 4, 1 },
44074		{ "OCOEF", 3, 1 },
44075		{ "COEFRST", 2, 1 },
44076		{ "ALOAD", 0, 1 },
44077	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_MODE_CONTROL", 0x3390c, 0 },
44078		{ "T5DRVHIZ", 5, 1 },
44079		{ "T5SASIMP", 4, 1 },
44080		{ "T5SLEW", 2, 2 },
44081	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x33910, 0 },
44082		{ "T5C2BUFDCEN", 5, 1 },
44083		{ "T5DCCEN", 4, 1 },
44084		{ "T5REGBYP", 3, 1 },
44085		{ "T5REGAEN", 2, 1 },
44086		{ "T5REGAMP", 0, 2 },
44087	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x33914, 0 },
44088		{ "RSTEP", 15, 1 },
44089		{ "RLOCK", 14, 1 },
44090		{ "RPOS", 8, 6 },
44091		{ "DCLKSAM", 7, 1 },
44092	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x33918, 0 },
44093		{ "CALSSTN", 3, 3 },
44094		{ "CALSSTP", 0, 3 },
44095	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3391c, 0 },
44096	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT", 0x33920, 0 },
44097	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT", 0x33924, 0 },
44098	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT", 0x33928, 0 },
44099	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AMPLITUDE", 0x33930, 0 },
44100	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_POLARITY", 0x33934, 0 },
44101		{ "TXPOL", 4, 3 },
44102		{ "NXTPOL", 0, 3 },
44103	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x33938, 0 },
44104		{ "CPREST", 13, 1 },
44105		{ "CINIT", 12, 1 },
44106		{ "C2UPDT", 4, 2 },
44107		{ "C1UPDT", 2, 2 },
44108		{ "C0UPDT", 0, 2 },
44109	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3393c, 0 },
44110		{ "C2STAT", 4, 2 },
44111		{ "C1STAT", 2, 2 },
44112		{ "C0STAT", 0, 2 },
44113	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x33940, 0 },
44114	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x33944, 0 },
44115	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x33948, 0 },
44116	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x33960, 0 },
44117	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x33964, 0 },
44118	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x33968, 0 },
44119	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x33970, 0 },
44120		{ "MAINSC", 6, 6 },
44121		{ "POSTSC", 0, 6 },
44122	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x33974, 0 },
44123	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x33978, 0 },
44124	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3397c, 0 },
44125		{ "T5XADDR", 1, 5 },
44126		{ "T5XWR", 0, 1 },
44127	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x33980, 0 },
44128	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x33984, 0 },
44129	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x33988, 0 },
44130	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_CONTROL", 0x3398c, 0 },
44131		{ "DCCTIMEDOUT", 15, 1 },
44132		{ "DCCTIMEEN", 14, 1 },
44133		{ "DCCLOCK", 13, 1 },
44134		{ "DCCOFFSET", 8, 5 },
44135		{ "DCCSTEP", 6, 2 },
44136		{ "DCCASTEP", 1, 5 },
44137		{ "DCCAEN", 0, 1 },
44138	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_OVERRIDE", 0x33990, 0 },
44139		{ "DCCOUT", 12, 1 },
44140		{ "DCCCLK", 11, 1 },
44141		{ "DCCHOLD", 10, 1 },
44142		{ "DCCSIGN", 8, 2 },
44143		{ "DCCAMP", 1, 7 },
44144		{ "DCCOEN", 0, 1 },
44145	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_APPLIED", 0x33994, 0 },
44146		{ "DCCASIGN", 7, 2 },
44147		{ "DCCAAMP", 0, 7 },
44148	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_TIME_OUT", 0x33998, 0 },
44149	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AZ_CONTROL", 0x3399c, 0 },
44150		{ "LPIDCLK", 4, 1 },
44151		{ "LPITERM", 2, 2 },
44152		{ "LPIPRCD", 0, 2 },
44153	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_4", 0x339f0, 0 },
44154		{ "SDOVRDEN", 8, 1 },
44155		{ "SDOVRD", 0, 8 },
44156	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_3", 0x339f4, 0 },
44157		{ "SLEWCODE", 1, 2 },
44158		{ "ASEGEN", 0, 1 },
44159	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_2", 0x339f8, 0 },
44160		{ "AECMDVAL", 14, 1 },
44161		{ "AECMD1312", 12, 2 },
44162		{ "AECMD70", 0, 8 },
44163	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_1", 0x339fc, 0 },
44164		{ "C48DIVCTL", 12, 3 },
44165		{ "RATEDIVCTL", 9, 3 },
44166		{ "ANLGFLSH", 8, 1 },
44167		{ "DCCTSTOUT", 7, 1 },
44168		{ "BSOUT", 6, 1 },
44169		{ "BSIN", 5, 1 },
44170		{ "JTAGAMPL", 3, 2 },
44171		{ "JTAGTS", 2, 1 },
44172		{ "TS", 1, 1 },
44173		{ "OBS", 0, 1 },
44174	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x30000, 0 },
44175	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x30008, 0 },
44176	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x30010, 0 },
44177		{ "C0MAX", 8, 5 },
44178		{ "C0MIN", 0, 5 },
44179	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x30018, 0 },
44180	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x30020, 0 },
44181		{ "C1MAX", 8, 7 },
44182		{ "C1MIN", 0, 7 },
44183	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x30028, 0 },
44184	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x30030, 0 },
44185		{ "C2MAX", 8, 6 },
44186		{ "C2MIN", 0, 6 },
44187	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x30038, 0 },
44188	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x30040, 0 },
44189	{ "MAC_PORT_RX_LINKA_RECEIVER_CONFIGURATION_MODE", 0x33200, 0 },
44190		{ "T5_RX_LINKEN", 15, 1 },
44191		{ "T5_RX_LINKRST", 14, 1 },
44192		{ "T5_RX_CFGWRT", 13, 1 },
44193		{ "T5_RX_CFGPTR", 11, 2 },
44194		{ "T5_RX_CFGEXT", 10, 1 },
44195		{ "T5_RX_CFGACT", 9, 1 },
44196		{ "T5_RX_AUXCLK", 8, 1 },
44197		{ "T5_RX_PLLSEL", 6, 2 },
44198		{ "T5_RX_DMSEL", 4, 2 },
44199		{ "T5_RX_BWSEL", 2, 2 },
44200		{ "T5_RX_RTSEL", 0, 2 },
44201	{ "MAC_PORT_RX_LINKA_RECEIVER_TEST_CONTROL", 0x33204, 0 },
44202		{ "RCLKEN", 15, 1 },
44203		{ "RRATE", 13, 2 },
44204		{ "FERRST", 10, 1 },
44205		{ "ERRST", 9, 1 },
44206		{ "SYNCST", 8, 1 },
44207		{ "WRPSM", 7, 1 },
44208		{ "WPLPEN", 6, 1 },
44209		{ "WRPMD", 5, 1 },
44210		{ "PRST", 4, 1 },
44211		{ "PCHKEN", 3, 1 },
44212		{ "PATSEL", 0, 3 },
44213	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_CONTROL", 0x33208, 0 },
44214		{ "FTHROT", 12, 4 },
44215		{ "RTHROT", 11, 1 },
44216		{ "FILTCTL", 7, 4 },
44217		{ "RSRVO", 5, 2 },
44218		{ "EXTEL", 4, 1 },
44219		{ "RSTUCK", 3, 1 },
44220		{ "FRZFW", 2, 1 },
44221		{ "RSTFW", 1, 1 },
44222		{ "SSCEN", 0, 1 },
44223	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_OFFSET_CONTROL", 0x3320c, 0 },
44224		{ "RSNP", 11, 1 },
44225		{ "TSOEN", 10, 1 },
44226		{ "OFFEN", 9, 1 },
44227		{ "TMSCAL", 7, 2 },
44228		{ "APADJ", 6, 1 },
44229		{ "RSEL", 5, 1 },
44230		{ "PHOFFS", 0, 5 },
44231	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_1", 0x33210, 0 },
44232		{ "ROT0A", 8, 6 },
44233		{ "ROT00", 0, 6 },
44234	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_2", 0x33214, 0 },
44235		{ "FREQFW", 8, 8 },
44236		{ "FWSNAP", 7, 1 },
44237		{ "ROT90", 0, 6 },
44238	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x33218, 0 },
44239		{ "RCALER", 15, 1 },
44240		{ "RAOOFF", 10, 5 },
44241		{ "RAEOFF", 5, 5 },
44242		{ "RDOFF", 0, 5 },
44243	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3321c, 0 },
44244		{ "RCALER", 15, 1 },
44245		{ "RBOOFF", 10, 5 },
44246		{ "RBEOFF", 5, 5 },
44247		{ "RDOFF", 0, 5 },
44248	{ "MAC_PORT_RX_LINKA_DFE_CONTROL", 0x33220, 0 },
44249		{ "REQCMP", 15, 1 },
44250		{ "DFEREQ", 14, 1 },
44251		{ "SPCEN", 13, 1 },
44252		{ "GATEEN", 12, 1 },
44253		{ "SPIFMT", 9, 3 },
44254		{ "DFEPWR", 6, 3 },
44255		{ "STNDBY", 5, 1 },
44256		{ "FRCH", 4, 1 },
44257		{ "NONRND", 3, 1 },
44258		{ "NONRNF", 2, 1 },
44259		{ "FSTLCK", 1, 1 },
44260		{ "DFERST", 0, 1 },
44261	{ "MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_1", 0x33224, 0 },
44262		{ "T5BYTE1", 8, 8 },
44263		{ "T5BYTE0", 0, 8 },
44264	{ "MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_2", 0x33228, 0 },
44265		{ "T5_RX_SMODE", 8, 3 },
44266		{ "T5_RX_ADCORR", 7, 1 },
44267		{ "T5_RX_TRAINEN", 6, 1 },
44268		{ "T5_RX_ASAMPQ", 3, 3 },
44269		{ "T5_RX_ASAMP", 0, 3 },
44270	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_1", 0x3322c, 0 },
44271		{ "POLE", 12, 2 },
44272		{ "PEAK", 8, 3 },
44273		{ "VOFFSN", 6, 2 },
44274		{ "VOFFA", 0, 6 },
44275	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_2", 0x33230, 0 },
44276		{ "T5SHORTV", 10, 1 },
44277		{ "T5VGAIN", 0, 5 },
44278	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_3", 0x33234, 0 },
44279		{ "HBND1", 10, 1 },
44280		{ "HBND0", 9, 1 },
44281		{ "VLCKD", 8, 1 },
44282		{ "VLCKDF", 7, 1 },
44283		{ "AMAXT", 0, 7 },
44284	{ "MAC_PORT_RX_LINKA_RECEIVER_DQCC_CONTROL_1", 0x33238, 0 },
44285		{ "IQSEP", 10, 5 },
44286		{ "DUTYQ", 5, 5 },
44287		{ "DUTYI", 0, 5 },
44288	{ "MAC_PORT_RX_LINKA_RECEIVER_DQCC_CONTROL_3", 0x33240, 0 },
44289		{ "DTHR", 8, 6 },
44290		{ "SNUL", 0, 5 },
44291	{ "MAC_PORT_RX_LINKA_RECEIVER_DACAP_AND_DACAN", 0x33248, 0 },
44292		{ "DACAN", 8, 8 },
44293		{ "DACAP", 0, 8 },
44294	{ "MAC_PORT_RX_LINKA_RECEIVER_DACA_MIN_AND_DACAZ", 0x3324c, 0 },
44295		{ "DACAZ", 8, 8 },
44296		{ "DACAM", 0, 8 },
44297	{ "MAC_PORT_RX_LINKA_RECEIVER_ADAC_CONTROL", 0x33250, 0 },
44298		{ "ADSN_ReadWrite", 8, 1 },
44299		{ "ADSN_ReadOnly", 7, 1 },
44300		{ "ADMAG", 0, 7 },
44301	{ "MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3325c, 0 },
44302		{ "H1O2", 8, 6 },
44303		{ "H1E2", 0, 6 },
44304	{ "MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x33260, 0 },
44305		{ "H1O3", 8, 6 },
44306		{ "H1E3", 0, 6 },
44307	{ "MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x33264, 0 },
44308		{ "H1O4", 8, 6 },
44309		{ "H1E4", 0, 6 },
44310	{ "MAC_PORT_RX_LINKA_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x33270, 0 },
44311		{ "DPCMD", 14, 1 },
44312		{ "DPCCVG", 13, 1 },
44313		{ "DACCVG", 12, 1 },
44314		{ "DPCTGT", 9, 3 },
44315		{ "BLKH1T", 8, 1 },
44316		{ "BLKOAE", 7, 1 },
44317		{ "H1TGT", 4, 3 },
44318		{ "OAE", 0, 4 },
44319	{ "MAC_PORT_RX_LINKA_DYNAMIC_DATA_CENTERING_DDC", 0x33274, 0 },
44320		{ "OLS", 11, 5 },
44321		{ "OES", 6, 5 },
44322		{ "BLKODEC", 5, 1 },
44323		{ "ODEC", 0, 5 },
44324	{ "MAC_PORT_RX_LINKA_RECEIVER_INTERNAL_STATUS", 0x33278, 0 },
44325		{ "T5BER6VAL", 15, 1 },
44326		{ "T5BER6", 14, 1 },
44327		{ "T5BER3VAL", 13, 1 },
44328		{ "T5TOOFAST", 12, 1 },
44329		{ "T5DPCCMP", 9, 1 },
44330		{ "T5DACCMP", 8, 1 },
44331		{ "T5DDCCMP", 7, 1 },
44332		{ "T5AERRFLG", 6, 1 },
44333		{ "T5WERRFLG", 5, 1 },
44334		{ "T5TRCMP", 4, 1 },
44335		{ "T5VLCKF", 3, 1 },
44336		{ "T5ROCCMP", 2, 1 },
44337		{ "T5DQCCCMP", 1, 1 },
44338		{ "T5OCCMP", 0, 1 },
44339	{ "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_1", 0x3327c, 0 },
44340		{ "FDPC", 15, 1 },
44341		{ "FDAC", 14, 1 },
44342		{ "FDDC", 13, 1 },
44343		{ "FNRND", 12, 1 },
44344		{ "FVGAIN", 11, 1 },
44345		{ "FVOFF", 10, 1 },
44346		{ "FSDET", 9, 1 },
44347		{ "FBER6", 8, 1 },
44348		{ "FROTO", 7, 1 },
44349		{ "FH4H5", 6, 1 },
44350		{ "FH2H3", 5, 1 },
44351		{ "FH1", 4, 1 },
44352		{ "FH1SN", 3, 1 },
44353		{ "FNRDF", 2, 1 },
44354		{ "FLOFF", 1, 1 },
44355		{ "FADAC", 0, 1 },
44356	{ "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_2", 0x33280, 0 },
44357		{ "H25SPC", 15, 1 },
44358		{ "FTOOFAST", 8, 1 },
44359		{ "FINTTRIM", 7, 1 },
44360		{ "FDINV", 6, 1 },
44361		{ "FHGS", 5, 1 },
44362		{ "FH6H12", 4, 1 },
44363		{ "FH1CAL", 3, 1 },
44364		{ "FINTCAL", 2, 1 },
44365		{ "FDCA", 1, 1 },
44366		{ "FDQCC", 0, 1 },
44367	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_EVN1_EVN2", 0x33284, 0 },
44368		{ "LOFE2S_ReadWrite", 16, 1 },
44369		{ "LOFE2S_ReadOnly", 14, 2 },
44370		{ "LOFE2", 8, 6 },
44371		{ "LOFE1S_ReadWrite", 7, 1 },
44372		{ "LOFE1S_ReadOnly", 6, 1 },
44373		{ "LOFE1", 0, 6 },
44374	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_ODD1_ODD2", 0x33288, 0 },
44375		{ "LOFO2S_ReadWrite", 15, 1 },
44376		{ "LOFO2S_ReadOnly", 14, 1 },
44377		{ "LOFO2", 8, 6 },
44378		{ "LOFO1S_ReadWrite", 7, 1 },
44379		{ "LOFO1S_ReadOnly", 6, 1 },
44380		{ "LOFO1", 0, 6 },
44381	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_EVN3_EVN4", 0x3328c, 0 },
44382		{ "LOFE4S_ReadWrite", 15, 1 },
44383		{ "LOFE4S_ReadOnly", 14, 1 },
44384		{ "LOFE", 8, 6 },
44385		{ "LOFE3S_ReadWrite", 7, 1 },
44386		{ "LOFE3S_ReadOnly", 6, 1 },
44387		{ "LOFE3", 0, 6 },
44388	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_ODD3_ODD4", 0x33290, 0 },
44389		{ "LOFO4S_ReadWrite", 15, 1 },
44390		{ "LOFO4S_ReadOnly", 14, 1 },
44391		{ "LOFO4", 8, 6 },
44392		{ "LOFO3S_ReadWrite", 7, 1 },
44393		{ "LOFO3S_ReadOnly", 6, 1 },
44394		{ "LOFO3", 0, 6 },
44395	{ "MAC_PORT_RX_LINKA_DFE_E0_AND_E1_OFFSET", 0x33294, 0 },
44396		{ "T5E1SN_ReadWrite", 15, 1 },
44397		{ "T5E1SN_ReadOnly", 14, 1 },
44398		{ "T5E1AMP", 8, 6 },
44399		{ "T5E0SN_ReadWrite", 7, 1 },
44400		{ "T5E0SN_ReadOnly", 6, 1 },
44401		{ "T5E0AMP", 0, 6 },
44402	{ "MAC_PORT_RX_LINKA_RECEIVER_LOFF_CONTROL", 0x33298, 0 },
44403		{ "T5LFREG", 12, 1 },
44404		{ "T5LFRC", 11, 1 },
44405		{ "T5LFSEL", 8, 3 },
44406	{ "MAC_PORT_RX_LINKA_RECEIVER_SIGDET_CONTROL", 0x3329c, 0 },
44407		{ "OFFSN_ReadWrite", 14, 1 },
44408		{ "OFFSN_ReadOnly", 13, 1 },
44409		{ "OFFAMP", 8, 5 },
44410		{ "SDACDC", 7, 1 },
44411		{ "SDPDN", 6, 1 },
44412		{ "SIGDET", 5, 1 },
44413		{ "SDLVL", 0, 5 },
44414	{ "MAC_PORT_RX_LINKA_RECEIVER_ANALOG_CONTROL_SWITCH", 0x332a0, 0 },
44415		{ "T5_RX_SETHDIS", 7, 1 },
44416		{ "T5_RX_PDTERM", 6, 1 },
44417		{ "T5_RX_BYPASS", 5, 1 },
44418		{ "T5_RX_LPFEN", 4, 1 },
44419		{ "T5_RX_VGABOD", 3, 1 },
44420		{ "T5_RX_VTBYP", 2, 1 },
44421		{ "T5_RX_VTERM", 0, 2 },
44422	{ "MAC_PORT_RX_LINKA_INTEGRATOR_DAC_OFFSET", 0x332a4, 0 },
44423		{ "ISTRIMS", 14, 2 },
44424		{ "ISTRIM", 8, 6 },
44425		{ "HALF1", 7, 1 },
44426		{ "HALF2", 6, 1 },
44427		{ "INTDAC", 0, 6 },
44428	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_CONTROL", 0x332a8, 0 },
44429		{ "BLKAZ", 15, 1 },
44430		{ "WIDTH", 10, 5 },
44431		{ "MINWDTH", 5, 5 },
44432		{ "MINAMP", 0, 5 },
44433	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS", 0x332ac, 0 },
44434		{ "T5SMQM", 13, 3 },
44435		{ "T5SMQ", 5, 8 },
44436		{ "T5EMMD", 3, 2 },
44437		{ "T5EMBRDY", 2, 1 },
44438		{ "T5EMBUMP", 1, 1 },
44439		{ "T5EMEN", 0, 1 },
44440	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x332b0, 0 },
44441		{ "EMF8", 15, 1 },
44442		{ "EMCNT", 4, 8 },
44443		{ "EMOFLO", 2, 1 },
44444		{ "EMCRST", 1, 1 },
44445		{ "EMCEN", 0, 1 },
44446	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x332b4, 0 },
44447		{ "SM2RDY", 15, 1 },
44448		{ "SM2RST", 14, 1 },
44449		{ "APDF", 0, 12 },
44450	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x332b8, 0 },
44451	{ "MAC_PORT_RX_LINKA_DFE_TAP_ENABLE", 0x332c0, 0 },
44452		{ "H_EN", 1, 12 },
44453	{ "MAC_PORT_RX_LINKA_DFE_H1", 0x332c4, 0 },
44454		{ "H1OSN", 14, 2 },
44455		{ "H1OMAG", 8, 6 },
44456		{ "H1ESN", 6, 2 },
44457		{ "H1EMAG", 0, 6 },
44458	{ "MAC_PORT_RX_LINKA_DFE_H2", 0x332c8, 0 },
44459		{ "H2OSN_ReadWrite", 14, 1 },
44460		{ "H2OSN_ReadOnly", 13, 1 },
44461		{ "H2OMAG", 8, 5 },
44462		{ "H2ESN_ReadWrite", 6, 1 },
44463		{ "H2ESN_ReadOnly", 5, 1 },
44464		{ "H2EMAG", 0, 5 },
44465	{ "MAC_PORT_RX_LINKA_DFE_H3", 0x332cc, 0 },
44466		{ "H3OSN_ReadWrite", 13, 1 },
44467		{ "H3OSN_ReadOnly", 12, 1 },
44468		{ "H3OMAG", 8, 4 },
44469		{ "H3ESN_ReadWrite", 5, 1 },
44470		{ "H3ESN_ReadOnly", 4, 1 },
44471		{ "H3EMAG", 0, 4 },
44472	{ "MAC_PORT_RX_LINKA_DFE_H4", 0x332d0, 0 },
44473		{ "H4OGS", 14, 2 },
44474		{ "H4OSN_ReadWrite", 13, 1 },
44475		{ "H4OSN_ReadOnly", 12, 1 },
44476		{ "H4OMAG", 8, 4 },
44477		{ "H4EGS", 6, 2 },
44478		{ "H4ESN_ReadWrite", 5, 1 },
44479		{ "H4ESN_ReadOnly", 4, 1 },
44480		{ "H4EMAG", 0, 4 },
44481	{ "MAC_PORT_RX_LINKA_DFE_H5", 0x332d4, 0 },
44482		{ "H5OGS", 14, 2 },
44483		{ "H5OSN_ReadWrite", 13, 1 },
44484		{ "H5OSN_ReadOnly", 12, 1 },
44485		{ "H5OMAG", 8, 4 },
44486		{ "H5EGS", 6, 2 },
44487		{ "H5ESN_ReadWrite", 5, 1 },
44488		{ "H5ESN_ReadOnly", 4, 1 },
44489		{ "H5EMAG", 0, 4 },
44490	{ "MAC_PORT_RX_LINKA_DFE_H6_AND_H7", 0x332d8, 0 },
44491		{ "H7GS", 14, 2 },
44492		{ "H7SN_ReadWrite", 13, 1 },
44493		{ "H7SN_ReadOnly", 12, 1 },
44494		{ "H7MAG", 8, 4 },
44495		{ "H6GS", 6, 2 },
44496		{ "H6SN_ReadWrite", 5, 1 },
44497		{ "H6SN_ReadOnly", 4, 1 },
44498		{ "H6MAG", 0, 4 },
44499	{ "MAC_PORT_RX_LINKA_DFE_H8_AND_H9", 0x332dc, 0 },
44500		{ "H9GS", 14, 2 },
44501		{ "H9SN_ReadWrite", 13, 1 },
44502		{ "H9SN_ReadOnly", 12, 1 },
44503		{ "H9MAG", 8, 4 },
44504		{ "H8GS", 6, 2 },
44505		{ "H8SN_ReadWrite", 5, 1 },
44506		{ "H8SN_ReadOnly", 4, 1 },
44507		{ "H8MAG", 0, 4 },
44508	{ "MAC_PORT_RX_LINKA_DFE_H10_AND_H11", 0x332e0, 0 },
44509		{ "H11GS", 14, 2 },
44510		{ "H11SN_ReadWrite", 13, 1 },
44511		{ "H11SN_ReadOnly", 12, 1 },
44512		{ "H11MAG", 8, 4 },
44513		{ "H10GS", 6, 2 },
44514		{ "H10SN_ReadWrite", 5, 1 },
44515		{ "H10SN_ReadOnly", 4, 1 },
44516		{ "H10MAG", 0, 4 },
44517	{ "MAC_PORT_RX_LINKA_DFE_H12", 0x332e4, 0 },
44518		{ "H12GS", 6, 2 },
44519		{ "H12SN_ReadWrite", 5, 1 },
44520		{ "H12SN_ReadOnly", 4, 1 },
44521		{ "H12MAG", 0, 4 },
44522	{ "MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_2", 0x332f8, 0 },
44523		{ "DFEDACLSSD", 6, 1 },
44524		{ "SDLSSD", 5, 1 },
44525		{ "DFEOBSBIAS", 4, 1 },
44526		{ "GBOFSTLSSD", 3, 1 },
44527		{ "RXDOBS", 2, 1 },
44528		{ "ACJZPT", 1, 1 },
44529		{ "ACJZNT", 0, 1 },
44530	{ "MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_1", 0x332fc, 0 },
44531		{ "PHSLOCK", 10, 1 },
44532		{ "TESTMODE", 9, 1 },
44533		{ "CALMODE", 8, 1 },
44534		{ "AMPSEL", 7, 1 },
44535		{ "WHICHNRZ", 6, 1 },
44536		{ "BANKA", 5, 1 },
44537		{ "BANKB", 4, 1 },
44538		{ "ACJPDP", 3, 1 },
44539		{ "ACJPDN", 2, 1 },
44540		{ "LSSDT", 1, 1 },
44541		{ "MTHOLD", 0, 1 },
44542	{ "MAC_PORT_RX_LINKB_RECEIVER_CONFIGURATION_MODE", 0x33300, 0 },
44543		{ "T5_RX_LINKEN", 15, 1 },
44544		{ "T5_RX_LINKRST", 14, 1 },
44545		{ "T5_RX_CFGWRT", 13, 1 },
44546		{ "T5_RX_CFGPTR", 11, 2 },
44547		{ "T5_RX_CFGEXT", 10, 1 },
44548		{ "T5_RX_CFGACT", 9, 1 },
44549		{ "T5_RX_AUXCLK", 8, 1 },
44550		{ "T5_RX_PLLSEL", 6, 2 },
44551		{ "T5_RX_DMSEL", 4, 2 },
44552		{ "T5_RX_BWSEL", 2, 2 },
44553		{ "T5_RX_RTSEL", 0, 2 },
44554	{ "MAC_PORT_RX_LINKB_RECEIVER_TEST_CONTROL", 0x33304, 0 },
44555		{ "RCLKEN", 15, 1 },
44556		{ "RRATE", 13, 2 },
44557		{ "FERRST", 10, 1 },
44558		{ "ERRST", 9, 1 },
44559		{ "SYNCST", 8, 1 },
44560		{ "WRPSM", 7, 1 },
44561		{ "WPLPEN", 6, 1 },
44562		{ "WRPMD", 5, 1 },
44563		{ "PRST", 4, 1 },
44564		{ "PCHKEN", 3, 1 },
44565		{ "PATSEL", 0, 3 },
44566	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_CONTROL", 0x33308, 0 },
44567		{ "FTHROT", 12, 4 },
44568		{ "RTHROT", 11, 1 },
44569		{ "FILTCTL", 7, 4 },
44570		{ "RSRVO", 5, 2 },
44571		{ "EXTEL", 4, 1 },
44572		{ "RSTUCK", 3, 1 },
44573		{ "FRZFW", 2, 1 },
44574		{ "RSTFW", 1, 1 },
44575		{ "SSCEN", 0, 1 },
44576	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_OFFSET_CONTROL", 0x3330c, 0 },
44577		{ "RSNP", 11, 1 },
44578		{ "TSOEN", 10, 1 },
44579		{ "OFFEN", 9, 1 },
44580		{ "TMSCAL", 7, 2 },
44581		{ "APADJ", 6, 1 },
44582		{ "RSEL", 5, 1 },
44583		{ "PHOFFS", 0, 5 },
44584	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_1", 0x33310, 0 },
44585		{ "ROT0A", 8, 6 },
44586		{ "ROT00", 0, 6 },
44587	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_2", 0x33314, 0 },
44588		{ "FREQFW", 8, 8 },
44589		{ "FWSNAP", 7, 1 },
44590		{ "ROT90", 0, 6 },
44591	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x33318, 0 },
44592		{ "RCALER", 15, 1 },
44593		{ "RAOOFF", 10, 5 },
44594		{ "RAEOFF", 5, 5 },
44595		{ "RDOFF", 0, 5 },
44596	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3331c, 0 },
44597		{ "RCALER", 15, 1 },
44598		{ "RBOOFF", 10, 5 },
44599		{ "RBEOFF", 5, 5 },
44600		{ "RDOFF", 0, 5 },
44601	{ "MAC_PORT_RX_LINKB_DFE_CONTROL", 0x33320, 0 },
44602		{ "REQCMP", 15, 1 },
44603		{ "DFEREQ", 14, 1 },
44604		{ "SPCEN", 13, 1 },
44605		{ "GATEEN", 12, 1 },
44606		{ "SPIFMT", 9, 3 },
44607		{ "DFEPWR", 6, 3 },
44608		{ "STNDBY", 5, 1 },
44609		{ "FRCH", 4, 1 },
44610		{ "NONRND", 3, 1 },
44611		{ "NONRNF", 2, 1 },
44612		{ "FSTLCK", 1, 1 },
44613		{ "DFERST", 0, 1 },
44614	{ "MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_1", 0x33324, 0 },
44615		{ "T5BYTE1", 8, 8 },
44616		{ "T5BYTE0", 0, 8 },
44617	{ "MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_2", 0x33328, 0 },
44618		{ "T5_RX_SMODE", 8, 3 },
44619		{ "T5_RX_ADCORR", 7, 1 },
44620		{ "T5_RX_TRAINEN", 6, 1 },
44621		{ "T5_RX_ASAMPQ", 3, 3 },
44622		{ "T5_RX_ASAMP", 0, 3 },
44623	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_1", 0x3332c, 0 },
44624		{ "POLE", 12, 2 },
44625		{ "PEAK", 8, 3 },
44626		{ "VOFFSN", 6, 2 },
44627		{ "VOFFA", 0, 6 },
44628	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_2", 0x33330, 0 },
44629		{ "T5SHORTV", 10, 1 },
44630		{ "T5VGAIN", 0, 5 },
44631	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_3", 0x33334, 0 },
44632		{ "HBND1", 10, 1 },
44633		{ "HBND0", 9, 1 },
44634		{ "VLCKD", 8, 1 },
44635		{ "VLCKDF", 7, 1 },
44636		{ "AMAXT", 0, 7 },
44637	{ "MAC_PORT_RX_LINKB_RECEIVER_DQCC_CONTROL_1", 0x33338, 0 },
44638		{ "IQSEP", 10, 5 },
44639		{ "DUTYQ", 5, 5 },
44640		{ "DUTYI", 0, 5 },
44641	{ "MAC_PORT_RX_LINKB_RECEIVER_DQCC_CONTROL_3", 0x33340, 0 },
44642		{ "DTHR", 8, 6 },
44643		{ "SNUL", 0, 5 },
44644	{ "MAC_PORT_RX_LINKB_RECEIVER_DACAP_AND_DACAN", 0x33348, 0 },
44645		{ "DACAN", 8, 8 },
44646		{ "DACAP", 0, 8 },
44647	{ "MAC_PORT_RX_LINKB_RECEIVER_DACA_MIN_AND_DACAZ", 0x3334c, 0 },
44648		{ "DACAZ", 8, 8 },
44649		{ "DACAM", 0, 8 },
44650	{ "MAC_PORT_RX_LINKB_RECEIVER_ADAC_CONTROL", 0x33350, 0 },
44651		{ "ADSN_ReadWrite", 8, 1 },
44652		{ "ADSN_ReadOnly", 7, 1 },
44653		{ "ADMAG", 0, 7 },
44654	{ "MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3335c, 0 },
44655		{ "H1O2", 8, 6 },
44656		{ "H1E2", 0, 6 },
44657	{ "MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x33360, 0 },
44658		{ "H1O3", 8, 6 },
44659		{ "H1E3", 0, 6 },
44660	{ "MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x33364, 0 },
44661		{ "H1O4", 8, 6 },
44662		{ "H1E4", 0, 6 },
44663	{ "MAC_PORT_RX_LINKB_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x33370, 0 },
44664		{ "DPCMD", 14, 1 },
44665		{ "DPCCVG", 13, 1 },
44666		{ "DACCVG", 12, 1 },
44667		{ "DPCTGT", 9, 3 },
44668		{ "BLKH1T", 8, 1 },
44669		{ "BLKOAE", 7, 1 },
44670		{ "H1TGT", 4, 3 },
44671		{ "OAE", 0, 4 },
44672	{ "MAC_PORT_RX_LINKB_DYNAMIC_DATA_CENTERING_DDC", 0x33374, 0 },
44673		{ "OLS", 11, 5 },
44674		{ "OES", 6, 5 },
44675		{ "BLKODEC", 5, 1 },
44676		{ "ODEC", 0, 5 },
44677	{ "MAC_PORT_RX_LINKB_RECEIVER_INTERNAL_STATUS", 0x33378, 0 },
44678		{ "T5BER6VAL", 15, 1 },
44679		{ "T5BER6", 14, 1 },
44680		{ "T5BER3VAL", 13, 1 },
44681		{ "T5TOOFAST", 12, 1 },
44682		{ "T5DPCCMP", 9, 1 },
44683		{ "T5DACCMP", 8, 1 },
44684		{ "T5DDCCMP", 7, 1 },
44685		{ "T5AERRFLG", 6, 1 },
44686		{ "T5WERRFLG", 5, 1 },
44687		{ "T5TRCMP", 4, 1 },
44688		{ "T5VLCKF", 3, 1 },
44689		{ "T5ROCCMP", 2, 1 },
44690		{ "T5DQCCCMP", 1, 1 },
44691		{ "T5OCCMP", 0, 1 },
44692	{ "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_1", 0x3337c, 0 },
44693		{ "FDPC", 15, 1 },
44694		{ "FDAC", 14, 1 },
44695		{ "FDDC", 13, 1 },
44696		{ "FNRND", 12, 1 },
44697		{ "FVGAIN", 11, 1 },
44698		{ "FVOFF", 10, 1 },
44699		{ "FSDET", 9, 1 },
44700		{ "FBER6", 8, 1 },
44701		{ "FROTO", 7, 1 },
44702		{ "FH4H5", 6, 1 },
44703		{ "FH2H3", 5, 1 },
44704		{ "FH1", 4, 1 },
44705		{ "FH1SN", 3, 1 },
44706		{ "FNRDF", 2, 1 },
44707		{ "FLOFF", 1, 1 },
44708		{ "FADAC", 0, 1 },
44709	{ "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_2", 0x33380, 0 },
44710		{ "H25SPC", 15, 1 },
44711		{ "FTOOFAST", 8, 1 },
44712		{ "FINTTRIM", 7, 1 },
44713		{ "FDINV", 6, 1 },
44714		{ "FHGS", 5, 1 },
44715		{ "FH6H12", 4, 1 },
44716		{ "FH1CAL", 3, 1 },
44717		{ "FINTCAL", 2, 1 },
44718		{ "FDCA", 1, 1 },
44719		{ "FDQCC", 0, 1 },
44720	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_EVN1_EVN2", 0x33384, 0 },
44721		{ "LOFE2S_ReadWrite", 16, 1 },
44722		{ "LOFE2S_ReadOnly", 14, 2 },
44723		{ "LOFE2", 8, 6 },
44724		{ "LOFE1S_ReadWrite", 7, 1 },
44725		{ "LOFE1S_ReadOnly", 6, 1 },
44726		{ "LOFE1", 0, 6 },
44727	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_ODD1_ODD2", 0x33388, 0 },
44728		{ "LOFO2S_ReadWrite", 15, 1 },
44729		{ "LOFO2S_ReadOnly", 14, 1 },
44730		{ "LOFO2", 8, 6 },
44731		{ "LOFO1S_ReadWrite", 7, 1 },
44732		{ "LOFO1S_ReadOnly", 6, 1 },
44733		{ "LOFO1", 0, 6 },
44734	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_EVN3_EVN4", 0x3338c, 0 },
44735		{ "LOFE4S_ReadWrite", 15, 1 },
44736		{ "LOFE4S_ReadOnly", 14, 1 },
44737		{ "LOFE", 8, 6 },
44738		{ "LOFE3S_ReadWrite", 7, 1 },
44739		{ "LOFE3S_ReadOnly", 6, 1 },
44740		{ "LOFE3", 0, 6 },
44741	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_ODD3_ODD4", 0x33390, 0 },
44742		{ "LOFO4S_ReadWrite", 15, 1 },
44743		{ "LOFO4S_ReadOnly", 14, 1 },
44744		{ "LOFO4", 8, 6 },
44745		{ "LOFO3S_ReadWrite", 7, 1 },
44746		{ "LOFO3S_ReadOnly", 6, 1 },
44747		{ "LOFO3", 0, 6 },
44748	{ "MAC_PORT_RX_LINKB_DFE_E0_AND_E1_OFFSET", 0x33394, 0 },
44749		{ "T5E1SN_ReadWrite", 15, 1 },
44750		{ "T5E1SN_ReadOnly", 14, 1 },
44751		{ "T5E1AMP", 8, 6 },
44752		{ "T5E0SN_ReadWrite", 7, 1 },
44753		{ "T5E0SN_ReadOnly", 6, 1 },
44754		{ "T5E0AMP", 0, 6 },
44755	{ "MAC_PORT_RX_LINKB_RECEIVER_LOFF_CONTROL", 0x33398, 0 },
44756		{ "T5LFREG", 12, 1 },
44757		{ "T5LFRC", 11, 1 },
44758		{ "T5LFSEL", 8, 3 },
44759	{ "MAC_PORT_RX_LINKB_RECEIVER_SIGDET_CONTROL", 0x3339c, 0 },
44760		{ "OFFSN_ReadWrite", 14, 1 },
44761		{ "OFFSN_ReadOnly", 13, 1 },
44762		{ "OFFAMP", 8, 5 },
44763		{ "SDACDC", 7, 1 },
44764		{ "SDPDN", 6, 1 },
44765		{ "SIGDET", 5, 1 },
44766		{ "SDLVL", 0, 5 },
44767	{ "MAC_PORT_RX_LINKB_RECEIVER_ANALOG_CONTROL_SWITCH", 0x333a0, 0 },
44768		{ "T5_RX_SETHDIS", 7, 1 },
44769		{ "T5_RX_PDTERM", 6, 1 },
44770		{ "T5_RX_BYPASS", 5, 1 },
44771		{ "T5_RX_LPFEN", 4, 1 },
44772		{ "T5_RX_VGABOD", 3, 1 },
44773		{ "T5_RX_VTBYP", 2, 1 },
44774		{ "T5_RX_VTERM", 0, 2 },
44775	{ "MAC_PORT_RX_LINKB_INTEGRATOR_DAC_OFFSET", 0x333a4, 0 },
44776		{ "ISTRIMS", 14, 2 },
44777		{ "ISTRIM", 8, 6 },
44778		{ "HALF1", 7, 1 },
44779		{ "HALF2", 6, 1 },
44780		{ "INTDAC", 0, 6 },
44781	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_CONTROL", 0x333a8, 0 },
44782		{ "BLKAZ", 15, 1 },
44783		{ "WIDTH", 10, 5 },
44784		{ "MINWDTH", 5, 5 },
44785		{ "MINAMP", 0, 5 },
44786	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS", 0x333ac, 0 },
44787		{ "T5SMQM", 13, 3 },
44788		{ "T5SMQ", 5, 8 },
44789		{ "T5EMMD", 3, 2 },
44790		{ "T5EMBRDY", 2, 1 },
44791		{ "T5EMBUMP", 1, 1 },
44792		{ "T5EMEN", 0, 1 },
44793	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x333b0, 0 },
44794		{ "EMF8", 15, 1 },
44795		{ "EMCNT", 4, 8 },
44796		{ "EMOFLO", 2, 1 },
44797		{ "EMCRST", 1, 1 },
44798		{ "EMCEN", 0, 1 },
44799	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x333b4, 0 },
44800		{ "SM2RDY", 15, 1 },
44801		{ "SM2RST", 14, 1 },
44802		{ "APDF", 0, 12 },
44803	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x333b8, 0 },
44804	{ "MAC_PORT_RX_LINKB_DFE_TAP_ENABLE", 0x333c0, 0 },
44805		{ "H_EN", 1, 12 },
44806	{ "MAC_PORT_RX_LINKB_DFE_H1", 0x333c4, 0 },
44807		{ "H1OSN", 14, 2 },
44808		{ "H1OMAG", 8, 6 },
44809		{ "H1ESN", 6, 2 },
44810		{ "H1EMAG", 0, 6 },
44811	{ "MAC_PORT_RX_LINKB_DFE_H2", 0x333c8, 0 },
44812		{ "H2OSN_ReadWrite", 14, 1 },
44813		{ "H2OSN_ReadOnly", 13, 1 },
44814		{ "H2OMAG", 8, 5 },
44815		{ "H2ESN_ReadWrite", 6, 1 },
44816		{ "H2ESN_ReadOnly", 5, 1 },
44817		{ "H2EMAG", 0, 5 },
44818	{ "MAC_PORT_RX_LINKB_DFE_H3", 0x333cc, 0 },
44819		{ "H3OSN_ReadWrite", 13, 1 },
44820		{ "H3OSN_ReadOnly", 12, 1 },
44821		{ "H3OMAG", 8, 4 },
44822		{ "H3ESN_ReadWrite", 5, 1 },
44823		{ "H3ESN_ReadOnly", 4, 1 },
44824		{ "H3EMAG", 0, 4 },
44825	{ "MAC_PORT_RX_LINKB_DFE_H4", 0x333d0, 0 },
44826		{ "H4OGS", 14, 2 },
44827		{ "H4OSN_ReadWrite", 13, 1 },
44828		{ "H4OSN_ReadOnly", 12, 1 },
44829		{ "H4OMAG", 8, 4 },
44830		{ "H4EGS", 6, 2 },
44831		{ "H4ESN_ReadWrite", 5, 1 },
44832		{ "H4ESN_ReadOnly", 4, 1 },
44833		{ "H4EMAG", 0, 4 },
44834	{ "MAC_PORT_RX_LINKB_DFE_H5", 0x333d4, 0 },
44835		{ "H5OGS", 14, 2 },
44836		{ "H5OSN_ReadWrite", 13, 1 },
44837		{ "H5OSN_ReadOnly", 12, 1 },
44838		{ "H5OMAG", 8, 4 },
44839		{ "H5EGS", 6, 2 },
44840		{ "H5ESN_ReadWrite", 5, 1 },
44841		{ "H5ESN_ReadOnly", 4, 1 },
44842		{ "H5EMAG", 0, 4 },
44843	{ "MAC_PORT_RX_LINKB_DFE_H6_AND_H7", 0x333d8, 0 },
44844		{ "H7GS", 14, 2 },
44845		{ "H7SN_ReadWrite", 13, 1 },
44846		{ "H7SN_ReadOnly", 12, 1 },
44847		{ "H7MAG", 8, 4 },
44848		{ "H6GS", 6, 2 },
44849		{ "H6SN_ReadWrite", 5, 1 },
44850		{ "H6SN_ReadOnly", 4, 1 },
44851		{ "H6MAG", 0, 4 },
44852	{ "MAC_PORT_RX_LINKB_DFE_H8_AND_H9", 0x333dc, 0 },
44853		{ "H9GS", 14, 2 },
44854		{ "H9SN_ReadWrite", 13, 1 },
44855		{ "H9SN_ReadOnly", 12, 1 },
44856		{ "H9MAG", 8, 4 },
44857		{ "H8GS", 6, 2 },
44858		{ "H8SN_ReadWrite", 5, 1 },
44859		{ "H8SN_ReadOnly", 4, 1 },
44860		{ "H8MAG", 0, 4 },
44861	{ "MAC_PORT_RX_LINKB_DFE_H10_AND_H11", 0x333e0, 0 },
44862		{ "H11GS", 14, 2 },
44863		{ "H11SN_ReadWrite", 13, 1 },
44864		{ "H11SN_ReadOnly", 12, 1 },
44865		{ "H11MAG", 8, 4 },
44866		{ "H10GS", 6, 2 },
44867		{ "H10SN_ReadWrite", 5, 1 },
44868		{ "H10SN_ReadOnly", 4, 1 },
44869		{ "H10MAG", 0, 4 },
44870	{ "MAC_PORT_RX_LINKB_DFE_H12", 0x333e4, 0 },
44871		{ "H12GS", 6, 2 },
44872		{ "H12SN_ReadWrite", 5, 1 },
44873		{ "H12SN_ReadOnly", 4, 1 },
44874		{ "H12MAG", 0, 4 },
44875	{ "MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_2", 0x333f8, 0 },
44876		{ "DFEDACLSSD", 6, 1 },
44877		{ "SDLSSD", 5, 1 },
44878		{ "DFEOBSBIAS", 4, 1 },
44879		{ "GBOFSTLSSD", 3, 1 },
44880		{ "RXDOBS", 2, 1 },
44881		{ "ACJZPT", 1, 1 },
44882		{ "ACJZNT", 0, 1 },
44883	{ "MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_1", 0x333fc, 0 },
44884		{ "PHSLOCK", 10, 1 },
44885		{ "TESTMODE", 9, 1 },
44886		{ "CALMODE", 8, 1 },
44887		{ "AMPSEL", 7, 1 },
44888		{ "WHICHNRZ", 6, 1 },
44889		{ "BANKA", 5, 1 },
44890		{ "BANKB", 4, 1 },
44891		{ "ACJPDP", 3, 1 },
44892		{ "ACJPDN", 2, 1 },
44893		{ "LSSDT", 1, 1 },
44894		{ "MTHOLD", 0, 1 },
44895	{ "MAC_PORT_RX_LINKC_RECEIVER_CONFIGURATION_MODE", 0x33600, 0 },
44896		{ "T5_RX_LINKEN", 15, 1 },
44897		{ "T5_RX_LINKRST", 14, 1 },
44898		{ "T5_RX_CFGWRT", 13, 1 },
44899		{ "T5_RX_CFGPTR", 11, 2 },
44900		{ "T5_RX_CFGEXT", 10, 1 },
44901		{ "T5_RX_CFGACT", 9, 1 },
44902		{ "T5_RX_AUXCLK", 8, 1 },
44903		{ "T5_RX_PLLSEL", 6, 2 },
44904		{ "T5_RX_DMSEL", 4, 2 },
44905		{ "T5_RX_BWSEL", 2, 2 },
44906		{ "T5_RX_RTSEL", 0, 2 },
44907	{ "MAC_PORT_RX_LINKC_RECEIVER_TEST_CONTROL", 0x33604, 0 },
44908		{ "RCLKEN", 15, 1 },
44909		{ "RRATE", 13, 2 },
44910		{ "FERRST", 10, 1 },
44911		{ "ERRST", 9, 1 },
44912		{ "SYNCST", 8, 1 },
44913		{ "WRPSM", 7, 1 },
44914		{ "WPLPEN", 6, 1 },
44915		{ "WRPMD", 5, 1 },
44916		{ "PRST", 4, 1 },
44917		{ "PCHKEN", 3, 1 },
44918		{ "PATSEL", 0, 3 },
44919	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_CONTROL", 0x33608, 0 },
44920		{ "FTHROT", 12, 4 },
44921		{ "RTHROT", 11, 1 },
44922		{ "FILTCTL", 7, 4 },
44923		{ "RSRVO", 5, 2 },
44924		{ "EXTEL", 4, 1 },
44925		{ "RSTUCK", 3, 1 },
44926		{ "FRZFW", 2, 1 },
44927		{ "RSTFW", 1, 1 },
44928		{ "SSCEN", 0, 1 },
44929	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_OFFSET_CONTROL", 0x3360c, 0 },
44930		{ "RSNP", 11, 1 },
44931		{ "TSOEN", 10, 1 },
44932		{ "OFFEN", 9, 1 },
44933		{ "TMSCAL", 7, 2 },
44934		{ "APADJ", 6, 1 },
44935		{ "RSEL", 5, 1 },
44936		{ "PHOFFS", 0, 5 },
44937	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_1", 0x33610, 0 },
44938		{ "ROT0A", 8, 6 },
44939		{ "ROT00", 0, 6 },
44940	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_2", 0x33614, 0 },
44941		{ "FREQFW", 8, 8 },
44942		{ "FWSNAP", 7, 1 },
44943		{ "ROT90", 0, 6 },
44944	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x33618, 0 },
44945		{ "RCALER", 15, 1 },
44946		{ "RAOOFF", 10, 5 },
44947		{ "RAEOFF", 5, 5 },
44948		{ "RDOFF", 0, 5 },
44949	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3361c, 0 },
44950		{ "RCALER", 15, 1 },
44951		{ "RBOOFF", 10, 5 },
44952		{ "RBEOFF", 5, 5 },
44953		{ "RDOFF", 0, 5 },
44954	{ "MAC_PORT_RX_LINKC_DFE_CONTROL", 0x33620, 0 },
44955		{ "REQCMP", 15, 1 },
44956		{ "DFEREQ", 14, 1 },
44957		{ "SPCEN", 13, 1 },
44958		{ "GATEEN", 12, 1 },
44959		{ "SPIFMT", 9, 3 },
44960		{ "DFEPWR", 6, 3 },
44961		{ "STNDBY", 5, 1 },
44962		{ "FRCH", 4, 1 },
44963		{ "NONRND", 3, 1 },
44964		{ "NONRNF", 2, 1 },
44965		{ "FSTLCK", 1, 1 },
44966		{ "DFERST", 0, 1 },
44967	{ "MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_1", 0x33624, 0 },
44968		{ "T5BYTE1", 8, 8 },
44969		{ "T5BYTE0", 0, 8 },
44970	{ "MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_2", 0x33628, 0 },
44971		{ "T5_RX_SMODE", 8, 3 },
44972		{ "T5_RX_ADCORR", 7, 1 },
44973		{ "T5_RX_TRAINEN", 6, 1 },
44974		{ "T5_RX_ASAMPQ", 3, 3 },
44975		{ "T5_RX_ASAMP", 0, 3 },
44976	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_1", 0x3362c, 0 },
44977		{ "POLE", 12, 2 },
44978		{ "PEAK", 8, 3 },
44979		{ "VOFFSN", 6, 2 },
44980		{ "VOFFA", 0, 6 },
44981	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_2", 0x33630, 0 },
44982		{ "T5SHORTV", 10, 1 },
44983		{ "T5VGAIN", 0, 5 },
44984	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_3", 0x33634, 0 },
44985		{ "HBND1", 10, 1 },
44986		{ "HBND0", 9, 1 },
44987		{ "VLCKD", 8, 1 },
44988		{ "VLCKDF", 7, 1 },
44989		{ "AMAXT", 0, 7 },
44990	{ "MAC_PORT_RX_LINKC_RECEIVER_DQCC_CONTROL_1", 0x33638, 0 },
44991		{ "IQSEP", 10, 5 },
44992		{ "DUTYQ", 5, 5 },
44993		{ "DUTYI", 0, 5 },
44994	{ "MAC_PORT_RX_LINKC_RECEIVER_DQCC_CONTROL_3", 0x33640, 0 },
44995		{ "DTHR", 8, 6 },
44996		{ "SNUL", 0, 5 },
44997	{ "MAC_PORT_RX_LINKC_RECEIVER_DACAP_AND_DACAN", 0x33648, 0 },
44998		{ "DACAN", 8, 8 },
44999		{ "DACAP", 0, 8 },
45000	{ "MAC_PORT_RX_LINKC_RECEIVER_DACA_MIN_AND_DACAZ", 0x3364c, 0 },
45001		{ "DACAZ", 8, 8 },
45002		{ "DACAM", 0, 8 },
45003	{ "MAC_PORT_RX_LINKC_RECEIVER_ADAC_CONTROL", 0x33650, 0 },
45004		{ "ADSN_ReadWrite", 8, 1 },
45005		{ "ADSN_ReadOnly", 7, 1 },
45006		{ "ADMAG", 0, 7 },
45007	{ "MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3365c, 0 },
45008		{ "H1O2", 8, 6 },
45009		{ "H1E2", 0, 6 },
45010	{ "MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x33660, 0 },
45011		{ "H1O3", 8, 6 },
45012		{ "H1E3", 0, 6 },
45013	{ "MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x33664, 0 },
45014		{ "H1O4", 8, 6 },
45015		{ "H1E4", 0, 6 },
45016	{ "MAC_PORT_RX_LINKC_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x33670, 0 },
45017		{ "DPCMD", 14, 1 },
45018		{ "DPCCVG", 13, 1 },
45019		{ "DACCVG", 12, 1 },
45020		{ "DPCTGT", 9, 3 },
45021		{ "BLKH1T", 8, 1 },
45022		{ "BLKOAE", 7, 1 },
45023		{ "H1TGT", 4, 3 },
45024		{ "OAE", 0, 4 },
45025	{ "MAC_PORT_RX_LINKC_DYNAMIC_DATA_CENTERING_DDC", 0x33674, 0 },
45026		{ "OLS", 11, 5 },
45027		{ "OES", 6, 5 },
45028		{ "BLKODEC", 5, 1 },
45029		{ "ODEC", 0, 5 },
45030	{ "MAC_PORT_RX_LINKC_RECEIVER_INTERNAL_STATUS", 0x33678, 0 },
45031		{ "T5BER6VAL", 15, 1 },
45032		{ "T5BER6", 14, 1 },
45033		{ "T5BER3VAL", 13, 1 },
45034		{ "T5TOOFAST", 12, 1 },
45035		{ "T5DPCCMP", 9, 1 },
45036		{ "T5DACCMP", 8, 1 },
45037		{ "T5DDCCMP", 7, 1 },
45038		{ "T5AERRFLG", 6, 1 },
45039		{ "T5WERRFLG", 5, 1 },
45040		{ "T5TRCMP", 4, 1 },
45041		{ "T5VLCKF", 3, 1 },
45042		{ "T5ROCCMP", 2, 1 },
45043		{ "T5DQCCCMP", 1, 1 },
45044		{ "T5OCCMP", 0, 1 },
45045	{ "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_1", 0x3367c, 0 },
45046		{ "FDPC", 15, 1 },
45047		{ "FDAC", 14, 1 },
45048		{ "FDDC", 13, 1 },
45049		{ "FNRND", 12, 1 },
45050		{ "FVGAIN", 11, 1 },
45051		{ "FVOFF", 10, 1 },
45052		{ "FSDET", 9, 1 },
45053		{ "FBER6", 8, 1 },
45054		{ "FROTO", 7, 1 },
45055		{ "FH4H5", 6, 1 },
45056		{ "FH2H3", 5, 1 },
45057		{ "FH1", 4, 1 },
45058		{ "FH1SN", 3, 1 },
45059		{ "FNRDF", 2, 1 },
45060		{ "FLOFF", 1, 1 },
45061		{ "FADAC", 0, 1 },
45062	{ "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_2", 0x33680, 0 },
45063		{ "H25SPC", 15, 1 },
45064		{ "FTOOFAST", 8, 1 },
45065		{ "FINTTRIM", 7, 1 },
45066		{ "FDINV", 6, 1 },
45067		{ "FHGS", 5, 1 },
45068		{ "FH6H12", 4, 1 },
45069		{ "FH1CAL", 3, 1 },
45070		{ "FINTCAL", 2, 1 },
45071		{ "FDCA", 1, 1 },
45072		{ "FDQCC", 0, 1 },
45073	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_EVN1_EVN2", 0x33684, 0 },
45074		{ "LOFE2S_ReadWrite", 16, 1 },
45075		{ "LOFE2S_ReadOnly", 14, 2 },
45076		{ "LOFE2", 8, 6 },
45077		{ "LOFE1S_ReadWrite", 7, 1 },
45078		{ "LOFE1S_ReadOnly", 6, 1 },
45079		{ "LOFE1", 0, 6 },
45080	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_ODD1_ODD2", 0x33688, 0 },
45081		{ "LOFO2S_ReadWrite", 15, 1 },
45082		{ "LOFO2S_ReadOnly", 14, 1 },
45083		{ "LOFO2", 8, 6 },
45084		{ "LOFO1S_ReadWrite", 7, 1 },
45085		{ "LOFO1S_ReadOnly", 6, 1 },
45086		{ "LOFO1", 0, 6 },
45087	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_EVN3_EVN4", 0x3368c, 0 },
45088		{ "LOFE4S_ReadWrite", 15, 1 },
45089		{ "LOFE4S_ReadOnly", 14, 1 },
45090		{ "LOFE", 8, 6 },
45091		{ "LOFE3S_ReadWrite", 7, 1 },
45092		{ "LOFE3S_ReadOnly", 6, 1 },
45093		{ "LOFE3", 0, 6 },
45094	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_ODD3_ODD4", 0x33690, 0 },
45095		{ "LOFO4S_ReadWrite", 15, 1 },
45096		{ "LOFO4S_ReadOnly", 14, 1 },
45097		{ "LOFO4", 8, 6 },
45098		{ "LOFO3S_ReadWrite", 7, 1 },
45099		{ "LOFO3S_ReadOnly", 6, 1 },
45100		{ "LOFO3", 0, 6 },
45101	{ "MAC_PORT_RX_LINKC_DFE_E0_AND_E1_OFFSET", 0x33694, 0 },
45102		{ "T5E1SN_ReadWrite", 15, 1 },
45103		{ "T5E1SN_ReadOnly", 14, 1 },
45104		{ "T5E1AMP", 8, 6 },
45105		{ "T5E0SN_ReadWrite", 7, 1 },
45106		{ "T5E0SN_ReadOnly", 6, 1 },
45107		{ "T5E0AMP", 0, 6 },
45108	{ "MAC_PORT_RX_LINKC_RECEIVER_LOFF_CONTROL", 0x33698, 0 },
45109		{ "T5LFREG", 12, 1 },
45110		{ "T5LFRC", 11, 1 },
45111		{ "T5LFSEL", 8, 3 },
45112	{ "MAC_PORT_RX_LINKC_RECEIVER_SIGDET_CONTROL", 0x3369c, 0 },
45113		{ "OFFSN_ReadWrite", 14, 1 },
45114		{ "OFFSN_ReadOnly", 13, 1 },
45115		{ "OFFAMP", 8, 5 },
45116		{ "SDACDC", 7, 1 },
45117		{ "SDPDN", 6, 1 },
45118		{ "SIGDET", 5, 1 },
45119		{ "SDLVL", 0, 5 },
45120	{ "MAC_PORT_RX_LINKC_RECEIVER_ANALOG_CONTROL_SWITCH", 0x336a0, 0 },
45121		{ "T5_RX_SETHDIS", 7, 1 },
45122		{ "T5_RX_PDTERM", 6, 1 },
45123		{ "T5_RX_BYPASS", 5, 1 },
45124		{ "T5_RX_LPFEN", 4, 1 },
45125		{ "T5_RX_VGABOD", 3, 1 },
45126		{ "T5_RX_VTBYP", 2, 1 },
45127		{ "T5_RX_VTERM", 0, 2 },
45128	{ "MAC_PORT_RX_LINKC_INTEGRATOR_DAC_OFFSET", 0x336a4, 0 },
45129		{ "ISTRIMS", 14, 2 },
45130		{ "ISTRIM", 8, 6 },
45131		{ "HALF1", 7, 1 },
45132		{ "HALF2", 6, 1 },
45133		{ "INTDAC", 0, 6 },
45134	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_CONTROL", 0x336a8, 0 },
45135		{ "BLKAZ", 15, 1 },
45136		{ "WIDTH", 10, 5 },
45137		{ "MINWDTH", 5, 5 },
45138		{ "MINAMP", 0, 5 },
45139	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS", 0x336ac, 0 },
45140		{ "T5SMQM", 13, 3 },
45141		{ "T5SMQ", 5, 8 },
45142		{ "T5EMMD", 3, 2 },
45143		{ "T5EMBRDY", 2, 1 },
45144		{ "T5EMBUMP", 1, 1 },
45145		{ "T5EMEN", 0, 1 },
45146	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x336b0, 0 },
45147		{ "EMF8", 15, 1 },
45148		{ "EMCNT", 4, 8 },
45149		{ "EMOFLO", 2, 1 },
45150		{ "EMCRST", 1, 1 },
45151		{ "EMCEN", 0, 1 },
45152	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x336b4, 0 },
45153		{ "SM2RDY", 15, 1 },
45154		{ "SM2RST", 14, 1 },
45155		{ "APDF", 0, 12 },
45156	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x336b8, 0 },
45157	{ "MAC_PORT_RX_LINKC_DFE_TAP_ENABLE", 0x336c0, 0 },
45158		{ "H_EN", 1, 12 },
45159	{ "MAC_PORT_RX_LINKC_DFE_H1", 0x336c4, 0 },
45160		{ "H1OSN", 14, 2 },
45161		{ "H1OMAG", 8, 6 },
45162		{ "H1ESN", 6, 2 },
45163		{ "H1EMAG", 0, 6 },
45164	{ "MAC_PORT_RX_LINKC_DFE_H2", 0x336c8, 0 },
45165		{ "H2OSN_ReadWrite", 14, 1 },
45166		{ "H2OSN_ReadOnly", 13, 1 },
45167		{ "H2OMAG", 8, 5 },
45168		{ "H2ESN_ReadWrite", 6, 1 },
45169		{ "H2ESN_ReadOnly", 5, 1 },
45170		{ "H2EMAG", 0, 5 },
45171	{ "MAC_PORT_RX_LINKC_DFE_H3", 0x336cc, 0 },
45172		{ "H3OSN_ReadWrite", 13, 1 },
45173		{ "H3OSN_ReadOnly", 12, 1 },
45174		{ "H3OMAG", 8, 4 },
45175		{ "H3ESN_ReadWrite", 5, 1 },
45176		{ "H3ESN_ReadOnly", 4, 1 },
45177		{ "H3EMAG", 0, 4 },
45178	{ "MAC_PORT_RX_LINKC_DFE_H4", 0x336d0, 0 },
45179		{ "H4OGS", 14, 2 },
45180		{ "H4OSN_ReadWrite", 13, 1 },
45181		{ "H4OSN_ReadOnly", 12, 1 },
45182		{ "H4OMAG", 8, 4 },
45183		{ "H4EGS", 6, 2 },
45184		{ "H4ESN_ReadWrite", 5, 1 },
45185		{ "H4ESN_ReadOnly", 4, 1 },
45186		{ "H4EMAG", 0, 4 },
45187	{ "MAC_PORT_RX_LINKC_DFE_H5", 0x336d4, 0 },
45188		{ "H5OGS", 14, 2 },
45189		{ "H5OSN_ReadWrite", 13, 1 },
45190		{ "H5OSN_ReadOnly", 12, 1 },
45191		{ "H5OMAG", 8, 4 },
45192		{ "H5EGS", 6, 2 },
45193		{ "H5ESN_ReadWrite", 5, 1 },
45194		{ "H5ESN_ReadOnly", 4, 1 },
45195		{ "H5EMAG", 0, 4 },
45196	{ "MAC_PORT_RX_LINKC_DFE_H6_AND_H7", 0x336d8, 0 },
45197		{ "H7GS", 14, 2 },
45198		{ "H7SN_ReadWrite", 13, 1 },
45199		{ "H7SN_ReadOnly", 12, 1 },
45200		{ "H7MAG", 8, 4 },
45201		{ "H6GS", 6, 2 },
45202		{ "H6SN_ReadWrite", 5, 1 },
45203		{ "H6SN_ReadOnly", 4, 1 },
45204		{ "H6MAG", 0, 4 },
45205	{ "MAC_PORT_RX_LINKC_DFE_H8_AND_H9", 0x336dc, 0 },
45206		{ "H9GS", 14, 2 },
45207		{ "H9SN_ReadWrite", 13, 1 },
45208		{ "H9SN_ReadOnly", 12, 1 },
45209		{ "H9MAG", 8, 4 },
45210		{ "H8GS", 6, 2 },
45211		{ "H8SN_ReadWrite", 5, 1 },
45212		{ "H8SN_ReadOnly", 4, 1 },
45213		{ "H8MAG", 0, 4 },
45214	{ "MAC_PORT_RX_LINKC_DFE_H10_AND_H11", 0x336e0, 0 },
45215		{ "H11GS", 14, 2 },
45216		{ "H11SN_ReadWrite", 13, 1 },
45217		{ "H11SN_ReadOnly", 12, 1 },
45218		{ "H11MAG", 8, 4 },
45219		{ "H10GS", 6, 2 },
45220		{ "H10SN_ReadWrite", 5, 1 },
45221		{ "H10SN_ReadOnly", 4, 1 },
45222		{ "H10MAG", 0, 4 },
45223	{ "MAC_PORT_RX_LINKC_DFE_H12", 0x336e4, 0 },
45224		{ "H12GS", 6, 2 },
45225		{ "H12SN_ReadWrite", 5, 1 },
45226		{ "H12SN_ReadOnly", 4, 1 },
45227		{ "H12MAG", 0, 4 },
45228	{ "MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_2", 0x336f8, 0 },
45229		{ "DFEDACLSSD", 6, 1 },
45230		{ "SDLSSD", 5, 1 },
45231		{ "DFEOBSBIAS", 4, 1 },
45232		{ "GBOFSTLSSD", 3, 1 },
45233		{ "RXDOBS", 2, 1 },
45234		{ "ACJZPT", 1, 1 },
45235		{ "ACJZNT", 0, 1 },
45236	{ "MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_1", 0x336fc, 0 },
45237		{ "PHSLOCK", 10, 1 },
45238		{ "TESTMODE", 9, 1 },
45239		{ "CALMODE", 8, 1 },
45240		{ "AMPSEL", 7, 1 },
45241		{ "WHICHNRZ", 6, 1 },
45242		{ "BANKA", 5, 1 },
45243		{ "BANKB", 4, 1 },
45244		{ "ACJPDP", 3, 1 },
45245		{ "ACJPDN", 2, 1 },
45246		{ "LSSDT", 1, 1 },
45247		{ "MTHOLD", 0, 1 },
45248	{ "MAC_PORT_RX_LINKD_RECEIVER_CONFIGURATION_MODE", 0x33700, 0 },
45249		{ "T5_RX_LINKEN", 15, 1 },
45250		{ "T5_RX_LINKRST", 14, 1 },
45251		{ "T5_RX_CFGWRT", 13, 1 },
45252		{ "T5_RX_CFGPTR", 11, 2 },
45253		{ "T5_RX_CFGEXT", 10, 1 },
45254		{ "T5_RX_CFGACT", 9, 1 },
45255		{ "T5_RX_AUXCLK", 8, 1 },
45256		{ "T5_RX_PLLSEL", 6, 2 },
45257		{ "T5_RX_DMSEL", 4, 2 },
45258		{ "T5_RX_BWSEL", 2, 2 },
45259		{ "T5_RX_RTSEL", 0, 2 },
45260	{ "MAC_PORT_RX_LINKD_RECEIVER_TEST_CONTROL", 0x33704, 0 },
45261		{ "RCLKEN", 15, 1 },
45262		{ "RRATE", 13, 2 },
45263		{ "FERRST", 10, 1 },
45264		{ "ERRST", 9, 1 },
45265		{ "SYNCST", 8, 1 },
45266		{ "WRPSM", 7, 1 },
45267		{ "WPLPEN", 6, 1 },
45268		{ "WRPMD", 5, 1 },
45269		{ "PRST", 4, 1 },
45270		{ "PCHKEN", 3, 1 },
45271		{ "PATSEL", 0, 3 },
45272	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_CONTROL", 0x33708, 0 },
45273		{ "FTHROT", 12, 4 },
45274		{ "RTHROT", 11, 1 },
45275		{ "FILTCTL", 7, 4 },
45276		{ "RSRVO", 5, 2 },
45277		{ "EXTEL", 4, 1 },
45278		{ "RSTUCK", 3, 1 },
45279		{ "FRZFW", 2, 1 },
45280		{ "RSTFW", 1, 1 },
45281		{ "SSCEN", 0, 1 },
45282	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_OFFSET_CONTROL", 0x3370c, 0 },
45283		{ "RSNP", 11, 1 },
45284		{ "TSOEN", 10, 1 },
45285		{ "OFFEN", 9, 1 },
45286		{ "TMSCAL", 7, 2 },
45287		{ "APADJ", 6, 1 },
45288		{ "RSEL", 5, 1 },
45289		{ "PHOFFS", 0, 5 },
45290	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_1", 0x33710, 0 },
45291		{ "ROT0A", 8, 6 },
45292		{ "ROT00", 0, 6 },
45293	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_2", 0x33714, 0 },
45294		{ "FREQFW", 8, 8 },
45295		{ "FWSNAP", 7, 1 },
45296		{ "ROT90", 0, 6 },
45297	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x33718, 0 },
45298		{ "RCALER", 15, 1 },
45299		{ "RAOOFF", 10, 5 },
45300		{ "RAEOFF", 5, 5 },
45301		{ "RDOFF", 0, 5 },
45302	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3371c, 0 },
45303		{ "RCALER", 15, 1 },
45304		{ "RBOOFF", 10, 5 },
45305		{ "RBEOFF", 5, 5 },
45306		{ "RDOFF", 0, 5 },
45307	{ "MAC_PORT_RX_LINKD_DFE_CONTROL", 0x33720, 0 },
45308		{ "REQCMP", 15, 1 },
45309		{ "DFEREQ", 14, 1 },
45310		{ "SPCEN", 13, 1 },
45311		{ "GATEEN", 12, 1 },
45312		{ "SPIFMT", 9, 3 },
45313		{ "DFEPWR", 6, 3 },
45314		{ "STNDBY", 5, 1 },
45315		{ "FRCH", 4, 1 },
45316		{ "NONRND", 3, 1 },
45317		{ "NONRNF", 2, 1 },
45318		{ "FSTLCK", 1, 1 },
45319		{ "DFERST", 0, 1 },
45320	{ "MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_1", 0x33724, 0 },
45321		{ "T5BYTE1", 8, 8 },
45322		{ "T5BYTE0", 0, 8 },
45323	{ "MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_2", 0x33728, 0 },
45324		{ "T5_RX_SMODE", 8, 3 },
45325		{ "T5_RX_ADCORR", 7, 1 },
45326		{ "T5_RX_TRAINEN", 6, 1 },
45327		{ "T5_RX_ASAMPQ", 3, 3 },
45328		{ "T5_RX_ASAMP", 0, 3 },
45329	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_1", 0x3372c, 0 },
45330		{ "POLE", 12, 2 },
45331		{ "PEAK", 8, 3 },
45332		{ "VOFFSN", 6, 2 },
45333		{ "VOFFA", 0, 6 },
45334	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_2", 0x33730, 0 },
45335		{ "T5SHORTV", 10, 1 },
45336		{ "T5VGAIN", 0, 5 },
45337	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_3", 0x33734, 0 },
45338		{ "HBND1", 10, 1 },
45339		{ "HBND0", 9, 1 },
45340		{ "VLCKD", 8, 1 },
45341		{ "VLCKDF", 7, 1 },
45342		{ "AMAXT", 0, 7 },
45343	{ "MAC_PORT_RX_LINKD_RECEIVER_DQCC_CONTROL_1", 0x33738, 0 },
45344		{ "IQSEP", 10, 5 },
45345		{ "DUTYQ", 5, 5 },
45346		{ "DUTYI", 0, 5 },
45347	{ "MAC_PORT_RX_LINKD_RECEIVER_DQCC_CONTROL_3", 0x33740, 0 },
45348		{ "DTHR", 8, 6 },
45349		{ "SNUL", 0, 5 },
45350	{ "MAC_PORT_RX_LINKD_RECEIVER_DACAP_AND_DACAN", 0x33748, 0 },
45351		{ "DACAN", 8, 8 },
45352		{ "DACAP", 0, 8 },
45353	{ "MAC_PORT_RX_LINKD_RECEIVER_DACA_MIN_AND_DACAZ", 0x3374c, 0 },
45354		{ "DACAZ", 8, 8 },
45355		{ "DACAM", 0, 8 },
45356	{ "MAC_PORT_RX_LINKD_RECEIVER_ADAC_CONTROL", 0x33750, 0 },
45357		{ "ADSN_ReadWrite", 8, 1 },
45358		{ "ADSN_ReadOnly", 7, 1 },
45359		{ "ADMAG", 0, 7 },
45360	{ "MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3375c, 0 },
45361		{ "H1O2", 8, 6 },
45362		{ "H1E2", 0, 6 },
45363	{ "MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x33760, 0 },
45364		{ "H1O3", 8, 6 },
45365		{ "H1E3", 0, 6 },
45366	{ "MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x33764, 0 },
45367		{ "H1O4", 8, 6 },
45368		{ "H1E4", 0, 6 },
45369	{ "MAC_PORT_RX_LINKD_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x33770, 0 },
45370		{ "DPCMD", 14, 1 },
45371		{ "DPCCVG", 13, 1 },
45372		{ "DACCVG", 12, 1 },
45373		{ "DPCTGT", 9, 3 },
45374		{ "BLKH1T", 8, 1 },
45375		{ "BLKOAE", 7, 1 },
45376		{ "H1TGT", 4, 3 },
45377		{ "OAE", 0, 4 },
45378	{ "MAC_PORT_RX_LINKD_DYNAMIC_DATA_CENTERING_DDC", 0x33774, 0 },
45379		{ "OLS", 11, 5 },
45380		{ "OES", 6, 5 },
45381		{ "BLKODEC", 5, 1 },
45382		{ "ODEC", 0, 5 },
45383	{ "MAC_PORT_RX_LINKD_RECEIVER_INTERNAL_STATUS", 0x33778, 0 },
45384		{ "T5BER6VAL", 15, 1 },
45385		{ "T5BER6", 14, 1 },
45386		{ "T5BER3VAL", 13, 1 },
45387		{ "T5TOOFAST", 12, 1 },
45388		{ "T5DPCCMP", 9, 1 },
45389		{ "T5DACCMP", 8, 1 },
45390		{ "T5DDCCMP", 7, 1 },
45391		{ "T5AERRFLG", 6, 1 },
45392		{ "T5WERRFLG", 5, 1 },
45393		{ "T5TRCMP", 4, 1 },
45394		{ "T5VLCKF", 3, 1 },
45395		{ "T5ROCCMP", 2, 1 },
45396		{ "T5DQCCCMP", 1, 1 },
45397		{ "T5OCCMP", 0, 1 },
45398	{ "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_1", 0x3377c, 0 },
45399		{ "FDPC", 15, 1 },
45400		{ "FDAC", 14, 1 },
45401		{ "FDDC", 13, 1 },
45402		{ "FNRND", 12, 1 },
45403		{ "FVGAIN", 11, 1 },
45404		{ "FVOFF", 10, 1 },
45405		{ "FSDET", 9, 1 },
45406		{ "FBER6", 8, 1 },
45407		{ "FROTO", 7, 1 },
45408		{ "FH4H5", 6, 1 },
45409		{ "FH2H3", 5, 1 },
45410		{ "FH1", 4, 1 },
45411		{ "FH1SN", 3, 1 },
45412		{ "FNRDF", 2, 1 },
45413		{ "FLOFF", 1, 1 },
45414		{ "FADAC", 0, 1 },
45415	{ "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_2", 0x33780, 0 },
45416		{ "H25SPC", 15, 1 },
45417		{ "FTOOFAST", 8, 1 },
45418		{ "FINTTRIM", 7, 1 },
45419		{ "FDINV", 6, 1 },
45420		{ "FHGS", 5, 1 },
45421		{ "FH6H12", 4, 1 },
45422		{ "FH1CAL", 3, 1 },
45423		{ "FINTCAL", 2, 1 },
45424		{ "FDCA", 1, 1 },
45425		{ "FDQCC", 0, 1 },
45426	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_EVN1_EVN2", 0x33784, 0 },
45427		{ "LOFE2S_ReadWrite", 16, 1 },
45428		{ "LOFE2S_ReadOnly", 14, 2 },
45429		{ "LOFE2", 8, 6 },
45430		{ "LOFE1S_ReadWrite", 7, 1 },
45431		{ "LOFE1S_ReadOnly", 6, 1 },
45432		{ "LOFE1", 0, 6 },
45433	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_ODD1_ODD2", 0x33788, 0 },
45434		{ "LOFO2S_ReadWrite", 15, 1 },
45435		{ "LOFO2S_ReadOnly", 14, 1 },
45436		{ "LOFO2", 8, 6 },
45437		{ "LOFO1S_ReadWrite", 7, 1 },
45438		{ "LOFO1S_ReadOnly", 6, 1 },
45439		{ "LOFO1", 0, 6 },
45440	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_EVN3_EVN4", 0x3378c, 0 },
45441		{ "LOFE4S_ReadWrite", 15, 1 },
45442		{ "LOFE4S_ReadOnly", 14, 1 },
45443		{ "LOFE", 8, 6 },
45444		{ "LOFE3S_ReadWrite", 7, 1 },
45445		{ "LOFE3S_ReadOnly", 6, 1 },
45446		{ "LOFE3", 0, 6 },
45447	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_ODD3_ODD4", 0x33790, 0 },
45448		{ "LOFO4S_ReadWrite", 15, 1 },
45449		{ "LOFO4S_ReadOnly", 14, 1 },
45450		{ "LOFO4", 8, 6 },
45451		{ "LOFO3S_ReadWrite", 7, 1 },
45452		{ "LOFO3S_ReadOnly", 6, 1 },
45453		{ "LOFO3", 0, 6 },
45454	{ "MAC_PORT_RX_LINKD_DFE_E0_AND_E1_OFFSET", 0x33794, 0 },
45455		{ "T5E1SN_ReadWrite", 15, 1 },
45456		{ "T5E1SN_ReadOnly", 14, 1 },
45457		{ "T5E1AMP", 8, 6 },
45458		{ "T5E0SN_ReadWrite", 7, 1 },
45459		{ "T5E0SN_ReadOnly", 6, 1 },
45460		{ "T5E0AMP", 0, 6 },
45461	{ "MAC_PORT_RX_LINKD_RECEIVER_LOFF_CONTROL", 0x33798, 0 },
45462		{ "T5LFREG", 12, 1 },
45463		{ "T5LFRC", 11, 1 },
45464		{ "T5LFSEL", 8, 3 },
45465	{ "MAC_PORT_RX_LINKD_RECEIVER_SIGDET_CONTROL", 0x3379c, 0 },
45466		{ "OFFSN_ReadWrite", 14, 1 },
45467		{ "OFFSN_ReadOnly", 13, 1 },
45468		{ "OFFAMP", 8, 5 },
45469		{ "SDACDC", 7, 1 },
45470		{ "SDPDN", 6, 1 },
45471		{ "SIGDET", 5, 1 },
45472		{ "SDLVL", 0, 5 },
45473	{ "MAC_PORT_RX_LINKD_RECEIVER_ANALOG_CONTROL_SWITCH", 0x337a0, 0 },
45474		{ "T5_RX_SETHDIS", 7, 1 },
45475		{ "T5_RX_PDTERM", 6, 1 },
45476		{ "T5_RX_BYPASS", 5, 1 },
45477		{ "T5_RX_LPFEN", 4, 1 },
45478		{ "T5_RX_VGABOD", 3, 1 },
45479		{ "T5_RX_VTBYP", 2, 1 },
45480		{ "T5_RX_VTERM", 0, 2 },
45481	{ "MAC_PORT_RX_LINKD_INTEGRATOR_DAC_OFFSET", 0x337a4, 0 },
45482		{ "ISTRIMS", 14, 2 },
45483		{ "ISTRIM", 8, 6 },
45484		{ "HALF1", 7, 1 },
45485		{ "HALF2", 6, 1 },
45486		{ "INTDAC", 0, 6 },
45487	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_CONTROL", 0x337a8, 0 },
45488		{ "BLKAZ", 15, 1 },
45489		{ "WIDTH", 10, 5 },
45490		{ "MINWDTH", 5, 5 },
45491		{ "MINAMP", 0, 5 },
45492	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS", 0x337ac, 0 },
45493		{ "T5SMQM", 13, 3 },
45494		{ "T5SMQ", 5, 8 },
45495		{ "T5EMMD", 3, 2 },
45496		{ "T5EMBRDY", 2, 1 },
45497		{ "T5EMBUMP", 1, 1 },
45498		{ "T5EMEN", 0, 1 },
45499	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x337b0, 0 },
45500		{ "EMF8", 15, 1 },
45501		{ "EMCNT", 4, 8 },
45502		{ "EMOFLO", 2, 1 },
45503		{ "EMCRST", 1, 1 },
45504		{ "EMCEN", 0, 1 },
45505	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x337b4, 0 },
45506		{ "SM2RDY", 15, 1 },
45507		{ "SM2RST", 14, 1 },
45508		{ "APDF", 0, 12 },
45509	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x337b8, 0 },
45510	{ "MAC_PORT_RX_LINKD_DFE_TAP_ENABLE", 0x337c0, 0 },
45511		{ "H_EN", 1, 12 },
45512	{ "MAC_PORT_RX_LINKD_DFE_H1", 0x337c4, 0 },
45513		{ "H1OSN", 14, 2 },
45514		{ "H1OMAG", 8, 6 },
45515		{ "H1ESN", 6, 2 },
45516		{ "H1EMAG", 0, 6 },
45517	{ "MAC_PORT_RX_LINKD_DFE_H2", 0x337c8, 0 },
45518		{ "H2OSN_ReadWrite", 14, 1 },
45519		{ "H2OSN_ReadOnly", 13, 1 },
45520		{ "H2OMAG", 8, 5 },
45521		{ "H2ESN_ReadWrite", 6, 1 },
45522		{ "H2ESN_ReadOnly", 5, 1 },
45523		{ "H2EMAG", 0, 5 },
45524	{ "MAC_PORT_RX_LINKD_DFE_H3", 0x337cc, 0 },
45525		{ "H3OSN_ReadWrite", 13, 1 },
45526		{ "H3OSN_ReadOnly", 12, 1 },
45527		{ "H3OMAG", 8, 4 },
45528		{ "H3ESN_ReadWrite", 5, 1 },
45529		{ "H3ESN_ReadOnly", 4, 1 },
45530		{ "H3EMAG", 0, 4 },
45531	{ "MAC_PORT_RX_LINKD_DFE_H4", 0x337d0, 0 },
45532		{ "H4OGS", 14, 2 },
45533		{ "H4OSN_ReadWrite", 13, 1 },
45534		{ "H4OSN_ReadOnly", 12, 1 },
45535		{ "H4OMAG", 8, 4 },
45536		{ "H4EGS", 6, 2 },
45537		{ "H4ESN_ReadWrite", 5, 1 },
45538		{ "H4ESN_ReadOnly", 4, 1 },
45539		{ "H4EMAG", 0, 4 },
45540	{ "MAC_PORT_RX_LINKD_DFE_H5", 0x337d4, 0 },
45541		{ "H5OGS", 14, 2 },
45542		{ "H5OSN_ReadWrite", 13, 1 },
45543		{ "H5OSN_ReadOnly", 12, 1 },
45544		{ "H5OMAG", 8, 4 },
45545		{ "H5EGS", 6, 2 },
45546		{ "H5ESN_ReadWrite", 5, 1 },
45547		{ "H5ESN_ReadOnly", 4, 1 },
45548		{ "H5EMAG", 0, 4 },
45549	{ "MAC_PORT_RX_LINKD_DFE_H6_AND_H7", 0x337d8, 0 },
45550		{ "H7GS", 14, 2 },
45551		{ "H7SN_ReadWrite", 13, 1 },
45552		{ "H7SN_ReadOnly", 12, 1 },
45553		{ "H7MAG", 8, 4 },
45554		{ "H6GS", 6, 2 },
45555		{ "H6SN_ReadWrite", 5, 1 },
45556		{ "H6SN_ReadOnly", 4, 1 },
45557		{ "H6MAG", 0, 4 },
45558	{ "MAC_PORT_RX_LINKD_DFE_H8_AND_H9", 0x337dc, 0 },
45559		{ "H9GS", 14, 2 },
45560		{ "H9SN_ReadWrite", 13, 1 },
45561		{ "H9SN_ReadOnly", 12, 1 },
45562		{ "H9MAG", 8, 4 },
45563		{ "H8GS", 6, 2 },
45564		{ "H8SN_ReadWrite", 5, 1 },
45565		{ "H8SN_ReadOnly", 4, 1 },
45566		{ "H8MAG", 0, 4 },
45567	{ "MAC_PORT_RX_LINKD_DFE_H10_AND_H11", 0x337e0, 0 },
45568		{ "H11GS", 14, 2 },
45569		{ "H11SN_ReadWrite", 13, 1 },
45570		{ "H11SN_ReadOnly", 12, 1 },
45571		{ "H11MAG", 8, 4 },
45572		{ "H10GS", 6, 2 },
45573		{ "H10SN_ReadWrite", 5, 1 },
45574		{ "H10SN_ReadOnly", 4, 1 },
45575		{ "H10MAG", 0, 4 },
45576	{ "MAC_PORT_RX_LINKD_DFE_H12", 0x337e4, 0 },
45577		{ "H12GS", 6, 2 },
45578		{ "H12SN_ReadWrite", 5, 1 },
45579		{ "H12SN_ReadOnly", 4, 1 },
45580		{ "H12MAG", 0, 4 },
45581	{ "MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_2", 0x337f8, 0 },
45582		{ "DFEDACLSSD", 6, 1 },
45583		{ "SDLSSD", 5, 1 },
45584		{ "DFEOBSBIAS", 4, 1 },
45585		{ "GBOFSTLSSD", 3, 1 },
45586		{ "RXDOBS", 2, 1 },
45587		{ "ACJZPT", 1, 1 },
45588		{ "ACJZNT", 0, 1 },
45589	{ "MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_1", 0x337fc, 0 },
45590		{ "PHSLOCK", 10, 1 },
45591		{ "TESTMODE", 9, 1 },
45592		{ "CALMODE", 8, 1 },
45593		{ "AMPSEL", 7, 1 },
45594		{ "WHICHNRZ", 6, 1 },
45595		{ "BANKA", 5, 1 },
45596		{ "BANKB", 4, 1 },
45597		{ "ACJPDP", 3, 1 },
45598		{ "ACJPDN", 2, 1 },
45599		{ "LSSDT", 1, 1 },
45600		{ "MTHOLD", 0, 1 },
45601	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_CONFIGURATION_MODE", 0x33a00, 0 },
45602		{ "T5_RX_LINKEN", 15, 1 },
45603		{ "T5_RX_LINKRST", 14, 1 },
45604		{ "T5_RX_CFGWRT", 13, 1 },
45605		{ "T5_RX_CFGPTR", 11, 2 },
45606		{ "T5_RX_CFGEXT", 10, 1 },
45607		{ "T5_RX_CFGACT", 9, 1 },
45608		{ "T5_RX_AUXCLK", 8, 1 },
45609		{ "T5_RX_PLLSEL", 6, 2 },
45610		{ "T5_RX_DMSEL", 4, 2 },
45611		{ "T5_RX_BWSEL", 2, 2 },
45612		{ "T5_RX_RTSEL", 0, 2 },
45613	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_TEST_CONTROL", 0x33a04, 0 },
45614		{ "RCLKEN", 15, 1 },
45615		{ "RRATE", 13, 2 },
45616		{ "FERRST", 10, 1 },
45617		{ "ERRST", 9, 1 },
45618		{ "SYNCST", 8, 1 },
45619		{ "WRPSM", 7, 1 },
45620		{ "WPLPEN", 6, 1 },
45621		{ "WRPMD", 5, 1 },
45622		{ "PRST", 4, 1 },
45623		{ "PCHKEN", 3, 1 },
45624		{ "PATSEL", 0, 3 },
45625	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_CONTROL", 0x33a08, 0 },
45626		{ "FTHROT", 12, 4 },
45627		{ "RTHROT", 11, 1 },
45628		{ "FILTCTL", 7, 4 },
45629		{ "RSRVO", 5, 2 },
45630		{ "EXTEL", 4, 1 },
45631		{ "RSTUCK", 3, 1 },
45632		{ "FRZFW", 2, 1 },
45633		{ "RSTFW", 1, 1 },
45634		{ "SSCEN", 0, 1 },
45635	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_OFFSET_CONTROL", 0x33a0c, 0 },
45636		{ "RSNP", 11, 1 },
45637		{ "TSOEN", 10, 1 },
45638		{ "OFFEN", 9, 1 },
45639		{ "TMSCAL", 7, 2 },
45640		{ "APADJ", 6, 1 },
45641		{ "RSEL", 5, 1 },
45642		{ "PHOFFS", 0, 5 },
45643	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_1", 0x33a10, 0 },
45644		{ "ROT0A", 8, 6 },
45645		{ "ROT00", 0, 6 },
45646	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_2", 0x33a14, 0 },
45647		{ "FREQFW", 8, 8 },
45648		{ "FWSNAP", 7, 1 },
45649		{ "ROT90", 0, 6 },
45650	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x33a18, 0 },
45651		{ "RCALER", 15, 1 },
45652		{ "RAOOFF", 10, 5 },
45653		{ "RAEOFF", 5, 5 },
45654		{ "RDOFF", 0, 5 },
45655	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x33a1c, 0 },
45656		{ "RCALER", 15, 1 },
45657		{ "RBOOFF", 10, 5 },
45658		{ "RBEOFF", 5, 5 },
45659		{ "RDOFF", 0, 5 },
45660	{ "MAC_PORT_RX_LINK_BCST_DFE_CONTROL", 0x33a20, 0 },
45661		{ "REQCMP", 15, 1 },
45662		{ "DFEREQ", 14, 1 },
45663		{ "SPCEN", 13, 1 },
45664		{ "GATEEN", 12, 1 },
45665		{ "SPIFMT", 9, 3 },
45666		{ "DFEPWR", 6, 3 },
45667		{ "STNDBY", 5, 1 },
45668		{ "FRCH", 4, 1 },
45669		{ "NONRND", 3, 1 },
45670		{ "NONRNF", 2, 1 },
45671		{ "FSTLCK", 1, 1 },
45672		{ "DFERST", 0, 1 },
45673	{ "MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_1", 0x33a24, 0 },
45674		{ "T5BYTE1", 8, 8 },
45675		{ "T5BYTE0", 0, 8 },
45676	{ "MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_2", 0x33a28, 0 },
45677		{ "T5_RX_SMODE", 8, 3 },
45678		{ "T5_RX_ADCORR", 7, 1 },
45679		{ "T5_RX_TRAINEN", 6, 1 },
45680		{ "T5_RX_ASAMPQ", 3, 3 },
45681		{ "T5_RX_ASAMP", 0, 3 },
45682	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_1", 0x33a2c, 0 },
45683		{ "POLE", 12, 2 },
45684		{ "PEAK", 8, 3 },
45685		{ "VOFFSN", 6, 2 },
45686		{ "VOFFA", 0, 6 },
45687	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_2", 0x33a30, 0 },
45688		{ "T5SHORTV", 10, 1 },
45689		{ "T5VGAIN", 0, 5 },
45690	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_3", 0x33a34, 0 },
45691		{ "HBND1", 10, 1 },
45692		{ "HBND0", 9, 1 },
45693		{ "VLCKD", 8, 1 },
45694		{ "VLCKDF", 7, 1 },
45695		{ "AMAXT", 0, 7 },
45696	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DQCC_CONTROL_1", 0x33a38, 0 },
45697		{ "IQSEP", 10, 5 },
45698		{ "DUTYQ", 5, 5 },
45699		{ "DUTYI", 0, 5 },
45700	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DQCC_CONTROL_3", 0x33a40, 0 },
45701		{ "DTHR", 8, 6 },
45702		{ "SNUL", 0, 5 },
45703	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DACAP_AND_DACAN", 0x33a48, 0 },
45704		{ "DACAN", 8, 8 },
45705		{ "DACAP", 0, 8 },
45706	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DACA_MIN_AND_DACAZ", 0x33a4c, 0 },
45707		{ "DACAZ", 8, 8 },
45708		{ "DACAM", 0, 8 },
45709	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_ADAC_CONTROL", 0x33a50, 0 },
45710		{ "ADSN_ReadWrite", 8, 1 },
45711		{ "ADSN_ReadOnly", 7, 1 },
45712		{ "ADMAG", 0, 7 },
45713	{ "MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x33a5c, 0 },
45714		{ "H1O2", 8, 6 },
45715		{ "H1E2", 0, 6 },
45716	{ "MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x33a60, 0 },
45717		{ "H1O3", 8, 6 },
45718		{ "H1E3", 0, 6 },
45719	{ "MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x33a64, 0 },
45720		{ "H1O4", 8, 6 },
45721		{ "H1E4", 0, 6 },
45722	{ "MAC_PORT_RX_LINK_BCST_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x33a70, 0 },
45723		{ "DPCMD", 14, 1 },
45724		{ "DPCCVG", 13, 1 },
45725		{ "DACCVG", 12, 1 },
45726		{ "DPCTGT", 9, 3 },
45727		{ "BLKH1T", 8, 1 },
45728		{ "BLKOAE", 7, 1 },
45729		{ "H1TGT", 4, 3 },
45730		{ "OAE", 0, 4 },
45731	{ "MAC_PORT_RX_LINK_BCST_DYNAMIC_DATA_CENTERING_DDC", 0x33a74, 0 },
45732		{ "OLS", 11, 5 },
45733		{ "OES", 6, 5 },
45734		{ "BLKODEC", 5, 1 },
45735		{ "ODEC", 0, 5 },
45736	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_INTERNAL_STATUS", 0x33a78, 0 },
45737		{ "T5BER6VAL", 15, 1 },
45738		{ "T5BER6", 14, 1 },
45739		{ "T5BER3VAL", 13, 1 },
45740		{ "T5TOOFAST", 12, 1 },
45741		{ "T5DPCCMP", 9, 1 },
45742		{ "T5DACCMP", 8, 1 },
45743		{ "T5DDCCMP", 7, 1 },
45744		{ "T5AERRFLG", 6, 1 },
45745		{ "T5WERRFLG", 5, 1 },
45746		{ "T5TRCMP", 4, 1 },
45747		{ "T5VLCKF", 3, 1 },
45748		{ "T5ROCCMP", 2, 1 },
45749		{ "T5DQCCCMP", 1, 1 },
45750		{ "T5OCCMP", 0, 1 },
45751	{ "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_1", 0x33a7c, 0 },
45752		{ "FDPC", 15, 1 },
45753		{ "FDAC", 14, 1 },
45754		{ "FDDC", 13, 1 },
45755		{ "FNRND", 12, 1 },
45756		{ "FVGAIN", 11, 1 },
45757		{ "FVOFF", 10, 1 },
45758		{ "FSDET", 9, 1 },
45759		{ "FBER6", 8, 1 },
45760		{ "FROTO", 7, 1 },
45761		{ "FH4H5", 6, 1 },
45762		{ "FH2H3", 5, 1 },
45763		{ "FH1", 4, 1 },
45764		{ "FH1SN", 3, 1 },
45765		{ "FNRDF", 2, 1 },
45766		{ "FLOFF", 1, 1 },
45767		{ "FADAC", 0, 1 },
45768	{ "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_2", 0x33a80, 0 },
45769		{ "H25SPC", 15, 1 },
45770		{ "FTOOFAST", 8, 1 },
45771		{ "FINTTRIM", 7, 1 },
45772		{ "FDINV", 6, 1 },
45773		{ "FHGS", 5, 1 },
45774		{ "FH6H12", 4, 1 },
45775		{ "FH1CAL", 3, 1 },
45776		{ "FINTCAL", 2, 1 },
45777		{ "FDCA", 1, 1 },
45778		{ "FDQCC", 0, 1 },
45779	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_EVN1_EVN2", 0x33a84, 0 },
45780		{ "LOFE2S_ReadWrite", 16, 1 },
45781		{ "LOFE2S_ReadOnly", 14, 2 },
45782		{ "LOFE2", 8, 6 },
45783		{ "LOFE1S_ReadWrite", 7, 1 },
45784		{ "LOFE1S_ReadOnly", 6, 1 },
45785		{ "LOFE1", 0, 6 },
45786	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_ODD1_ODD2", 0x33a88, 0 },
45787		{ "LOFO2S_ReadWrite", 15, 1 },
45788		{ "LOFO2S_ReadOnly", 14, 1 },
45789		{ "LOFO2", 8, 6 },
45790		{ "LOFO1S_ReadWrite", 7, 1 },
45791		{ "LOFO1S_ReadOnly", 6, 1 },
45792		{ "LOFO1", 0, 6 },
45793	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_EVN3_EVN4", 0x33a8c, 0 },
45794		{ "LOFE4S_ReadWrite", 15, 1 },
45795		{ "LOFE4S_ReadOnly", 14, 1 },
45796		{ "LOFE", 8, 6 },
45797		{ "LOFE3S_ReadWrite", 7, 1 },
45798		{ "LOFE3S_ReadOnly", 6, 1 },
45799		{ "LOFE3", 0, 6 },
45800	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_ODD3_ODD4", 0x33a90, 0 },
45801		{ "LOFO4S_ReadWrite", 15, 1 },
45802		{ "LOFO4S_ReadOnly", 14, 1 },
45803		{ "LOFO4", 8, 6 },
45804		{ "LOFO3S_ReadWrite", 7, 1 },
45805		{ "LOFO3S_ReadOnly", 6, 1 },
45806		{ "LOFO3", 0, 6 },
45807	{ "MAC_PORT_RX_LINK_BCST_DFE_E0_AND_E1_OFFSET", 0x33a94, 0 },
45808		{ "T5E1SN_ReadWrite", 15, 1 },
45809		{ "T5E1SN_ReadOnly", 14, 1 },
45810		{ "T5E1AMP", 8, 6 },
45811		{ "T5E0SN_ReadWrite", 7, 1 },
45812		{ "T5E0SN_ReadOnly", 6, 1 },
45813		{ "T5E0AMP", 0, 6 },
45814	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_LOFF_CONTROL", 0x33a98, 0 },
45815		{ "T5LFREG", 12, 1 },
45816		{ "T5LFRC", 11, 1 },
45817		{ "T5LFSEL", 8, 3 },
45818	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_SIGDET_CONTROL", 0x33a9c, 0 },
45819		{ "OFFSN_ReadWrite", 14, 1 },
45820		{ "OFFSN_ReadOnly", 13, 1 },
45821		{ "OFFAMP", 8, 5 },
45822		{ "SDACDC", 7, 1 },
45823		{ "SDPDN", 6, 1 },
45824		{ "SIGDET", 5, 1 },
45825		{ "SDLVL", 0, 5 },
45826	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_ANALOG_CONTROL_SWITCH", 0x33aa0, 0 },
45827		{ "T5_RX_SETHDIS", 7, 1 },
45828		{ "T5_RX_PDTERM", 6, 1 },
45829		{ "T5_RX_BYPASS", 5, 1 },
45830		{ "T5_RX_LPFEN", 4, 1 },
45831		{ "T5_RX_VGABOD", 3, 1 },
45832		{ "T5_RX_VTBYP", 2, 1 },
45833		{ "T5_RX_VTERM", 0, 2 },
45834	{ "MAC_PORT_RX_LINK_BCST_INTEGRATOR_DAC_OFFSET", 0x33aa4, 0 },
45835		{ "ISTRIMS", 14, 2 },
45836		{ "ISTRIM", 8, 6 },
45837		{ "HALF1", 7, 1 },
45838		{ "HALF2", 6, 1 },
45839		{ "INTDAC", 0, 6 },
45840	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_CONTROL", 0x33aa8, 0 },
45841		{ "BLKAZ", 15, 1 },
45842		{ "WIDTH", 10, 5 },
45843		{ "MINWDTH", 5, 5 },
45844		{ "MINAMP", 0, 5 },
45845	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS", 0x33aac, 0 },
45846		{ "T5SMQM", 13, 3 },
45847		{ "T5SMQ", 5, 8 },
45848		{ "T5EMMD", 3, 2 },
45849		{ "T5EMBRDY", 2, 1 },
45850		{ "T5EMBUMP", 1, 1 },
45851		{ "T5EMEN", 0, 1 },
45852	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x33ab0, 0 },
45853		{ "EMF8", 15, 1 },
45854		{ "EMCNT", 4, 8 },
45855		{ "EMOFLO", 2, 1 },
45856		{ "EMCRST", 1, 1 },
45857		{ "EMCEN", 0, 1 },
45858	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x33ab4, 0 },
45859		{ "SM2RDY", 15, 1 },
45860		{ "SM2RST", 14, 1 },
45861		{ "APDF", 0, 12 },
45862	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x33ab8, 0 },
45863	{ "MAC_PORT_RX_LINK_BCST_DFE_TAP_ENABLE", 0x33ac0, 0 },
45864		{ "H_EN", 1, 12 },
45865	{ "MAC_PORT_RX_LINK_BCST_DFE_H1", 0x33ac4, 0 },
45866		{ "H1OSN", 14, 2 },
45867		{ "H1OMAG", 8, 6 },
45868		{ "H1ESN", 6, 2 },
45869		{ "H1EMAG", 0, 6 },
45870	{ "MAC_PORT_RX_LINK_BCST_DFE_H2", 0x33ac8, 0 },
45871		{ "H2OSN_ReadWrite", 14, 1 },
45872		{ "H2OSN_ReadOnly", 13, 1 },
45873		{ "H2OMAG", 8, 5 },
45874		{ "H2ESN_ReadWrite", 6, 1 },
45875		{ "H2ESN_ReadOnly", 5, 1 },
45876		{ "H2EMAG", 0, 5 },
45877	{ "MAC_PORT_RX_LINK_BCST_DFE_H3", 0x33acc, 0 },
45878		{ "H3OSN_ReadWrite", 13, 1 },
45879		{ "H3OSN_ReadOnly", 12, 1 },
45880		{ "H3OMAG", 8, 4 },
45881		{ "H3ESN_ReadWrite", 5, 1 },
45882		{ "H3ESN_ReadOnly", 4, 1 },
45883		{ "H3EMAG", 0, 4 },
45884	{ "MAC_PORT_RX_LINK_BCST_DFE_H4", 0x33ad0, 0 },
45885		{ "H4OGS", 14, 2 },
45886		{ "H4OSN_ReadWrite", 13, 1 },
45887		{ "H4OSN_ReadOnly", 12, 1 },
45888		{ "H4OMAG", 8, 4 },
45889		{ "H4EGS", 6, 2 },
45890		{ "H4ESN_ReadWrite", 5, 1 },
45891		{ "H4ESN_ReadOnly", 4, 1 },
45892		{ "H4EMAG", 0, 4 },
45893	{ "MAC_PORT_RX_LINK_BCST_DFE_H5", 0x33ad4, 0 },
45894		{ "H5OGS", 14, 2 },
45895		{ "H5OSN_ReadWrite", 13, 1 },
45896		{ "H5OSN_ReadOnly", 12, 1 },
45897		{ "H5OMAG", 8, 4 },
45898		{ "H5EGS", 6, 2 },
45899		{ "H5ESN_ReadWrite", 5, 1 },
45900		{ "H5ESN_ReadOnly", 4, 1 },
45901		{ "H5EMAG", 0, 4 },
45902	{ "MAC_PORT_RX_LINK_BCST_DFE_H6_AND_H7", 0x33ad8, 0 },
45903		{ "H7GS", 14, 2 },
45904		{ "H7SN_ReadWrite", 13, 1 },
45905		{ "H7SN_ReadOnly", 12, 1 },
45906		{ "H7MAG", 8, 4 },
45907		{ "H6GS", 6, 2 },
45908		{ "H6SN_ReadWrite", 5, 1 },
45909		{ "H6SN_ReadOnly", 4, 1 },
45910		{ "H6MAG", 0, 4 },
45911	{ "MAC_PORT_RX_LINK_BCST_DFE_H8_AND_H9", 0x33adc, 0 },
45912		{ "H9GS", 14, 2 },
45913		{ "H9SN_ReadWrite", 13, 1 },
45914		{ "H9SN_ReadOnly", 12, 1 },
45915		{ "H9MAG", 8, 4 },
45916		{ "H8GS", 6, 2 },
45917		{ "H8SN_ReadWrite", 5, 1 },
45918		{ "H8SN_ReadOnly", 4, 1 },
45919		{ "H8MAG", 0, 4 },
45920	{ "MAC_PORT_RX_LINK_BCST_DFE_H10_AND_H11", 0x33ae0, 0 },
45921		{ "H11GS", 14, 2 },
45922		{ "H11SN_ReadWrite", 13, 1 },
45923		{ "H11SN_ReadOnly", 12, 1 },
45924		{ "H11MAG", 8, 4 },
45925		{ "H10GS", 6, 2 },
45926		{ "H10SN_ReadWrite", 5, 1 },
45927		{ "H10SN_ReadOnly", 4, 1 },
45928		{ "H10MAG", 0, 4 },
45929	{ "MAC_PORT_RX_LINK_BCST_DFE_H12", 0x33ae4, 0 },
45930		{ "H12GS", 6, 2 },
45931		{ "H12SN_ReadWrite", 5, 1 },
45932		{ "H12SN_ReadOnly", 4, 1 },
45933		{ "H12MAG", 0, 4 },
45934	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_2", 0x33af8, 0 },
45935		{ "DFEDACLSSD", 6, 1 },
45936		{ "SDLSSD", 5, 1 },
45937		{ "DFEOBSBIAS", 4, 1 },
45938		{ "GBOFSTLSSD", 3, 1 },
45939		{ "RXDOBS", 2, 1 },
45940		{ "ACJZPT", 1, 1 },
45941		{ "ACJZNT", 0, 1 },
45942	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_1", 0x33afc, 0 },
45943		{ "PHSLOCK", 10, 1 },
45944		{ "TESTMODE", 9, 1 },
45945		{ "CALMODE", 8, 1 },
45946		{ "AMPSEL", 7, 1 },
45947		{ "WHICHNRZ", 6, 1 },
45948		{ "BANKA", 5, 1 },
45949		{ "BANKB", 4, 1 },
45950		{ "ACJPDP", 3, 1 },
45951		{ "ACJPDN", 2, 1 },
45952		{ "LSSDT", 1, 1 },
45953		{ "MTHOLD", 0, 1 },
45954	{ "MAC_PORT_CFG", 0x34800, 0 },
45955		{ "MAC_Clk_Sel", 29, 3 },
45956		{ "SinkTx", 27, 1 },
45957		{ "SinkTxOnLinkDown", 26, 1 },
45958		{ "LoopNoFwd", 24, 1 },
45959		{ "Smux_Rx_Loop", 19, 1 },
45960		{ "Rx_Lane_Swap", 18, 1 },
45961		{ "Tx_Lane_Swap", 17, 1 },
45962		{ "Signal_Det", 14, 1 },
45963		{ "SmuxTxSel", 9, 1 },
45964		{ "SmuxRxSel", 8, 1 },
45965		{ "PortSpeed", 4, 2 },
45966		{ "Rx_Byte_Swap", 3, 1 },
45967		{ "Tx_Byte_Swap", 2, 1 },
45968		{ "Port_Sel", 0, 1 },
45969	{ "MAC_PORT_RESET_CTRL", 0x34804, 0 },
45970		{ "TWGDSK_HSSC16B", 31, 1 },
45971		{ "EEE_RESET", 30, 1 },
45972		{ "PTP_TIMER", 29, 1 },
45973		{ "MtipRefReset", 28, 1 },
45974		{ "MtipTxffReset", 27, 1 },
45975		{ "MtipRxffReset", 26, 1 },
45976		{ "MtipRegReset", 25, 1 },
45977		{ "AEC3Reset", 23, 1 },
45978		{ "AEC2Reset", 22, 1 },
45979		{ "AEC1Reset", 21, 1 },
45980		{ "AEC0Reset", 20, 1 },
45981		{ "AET3Reset", 19, 1 },
45982		{ "AET2Reset", 18, 1 },
45983		{ "AET1Reset", 17, 1 },
45984		{ "AET0Reset", 16, 1 },
45985		{ "TXIF_Reset", 12, 1 },
45986		{ "RXIF_Reset", 11, 1 },
45987		{ "AuxExt_Reset", 10, 1 },
45988		{ "MtipSd3TxRst", 9, 1 },
45989		{ "MtipSd2TxRst", 8, 1 },
45990		{ "MtipSd1TxRst", 7, 1 },
45991		{ "MtipSd0TxRst", 6, 1 },
45992		{ "MtipSd3RxRst", 5, 1 },
45993		{ "MtipSd2RxRst", 4, 1 },
45994		{ "MtipSd1RxRst", 3, 1 },
45995		{ "WOL_Reset", 2, 1 },
45996		{ "MtipSd0RxRst", 1, 1 },
45997		{ "HSS_Reset", 0, 1 },
45998	{ "MAC_PORT_LED_CFG", 0x34808, 0 },
45999		{ "Led1_Cfg", 5, 3 },
46000		{ "Led1_Polarity_Inv", 4, 1 },
46001		{ "Led0_Cfg", 1, 3 },
46002		{ "Led0_Polarity_Inv", 0, 1 },
46003	{ "MAC_PORT_LED_COUNTHI", 0x3480c, 0 },
46004	{ "MAC_PORT_LED_COUNTLO", 0x34810, 0 },
46005	{ "MAC_PORT_CFG3", 0x34814, 0 },
46006		{ "FPGA_PTP_PORT", 26, 2 },
46007		{ "FCSDisCtrl", 25, 1 },
46008		{ "SigDetCtrl", 24, 1 },
46009		{ "tx_lane", 23, 1 },
46010		{ "rx_lane", 22, 1 },
46011		{ "se_clr", 21, 1 },
46012		{ "an_ena", 17, 4 },
46013		{ "sd_rx_clk_ena", 13, 4 },
46014		{ "sd_tx_clk_ena", 9, 4 },
46015		{ "SGMIISEL", 8, 1 },
46016		{ "HSSPLLSEL", 4, 4 },
46017		{ "HSSC16C20SEL", 0, 4 },
46018	{ "MAC_PORT_CFG2", 0x34818, 0 },
46019		{ "Rx_Polarity_Inv", 28, 4 },
46020		{ "Tx_Polarity_Inv", 24, 4 },
46021		{ "InstanceNum", 22, 2 },
46022		{ "StopOnPerr", 21, 1 },
46023		{ "PatEn", 18, 1 },
46024		{ "MagicEn", 17, 1 },
46025		{ "T5_AEC_PMA_TX_READY", 4, 4 },
46026		{ "T5_AEC_PMA_RX_READY", 0, 4 },
46027	{ "MAC_PORT_PKT_COUNT", 0x3481c, 0 },
46028		{ "tx_sop_count", 24, 8 },
46029		{ "tx_eop_count", 16, 8 },
46030		{ "rx_sop_count", 8, 8 },
46031		{ "rx_eop_count", 0, 8 },
46032	{ "MAC_PORT_CFG4", 0x34820, 0 },
46033		{ "AEC3_RX_WIDTH", 14, 2 },
46034		{ "AEC2_RX_WIDTH", 12, 2 },
46035		{ "AEC1_RX_WIDTH", 10, 2 },
46036		{ "AEC0_RX_WIDTH", 8, 2 },
46037		{ "AEC3_TX_WIDTH", 6, 2 },
46038		{ "AEC2_TX_WIDTH", 4, 2 },
46039		{ "AEC1_TX_WIDTH", 2, 2 },
46040		{ "AEC0_TX_WIDTH", 0, 2 },
46041	{ "MAC_PORT_MAGIC_MACID_LO", 0x34824, 0 },
46042	{ "MAC_PORT_MAGIC_MACID_HI", 0x34828, 0 },
46043	{ "MAC_PORT_LINK_STATUS", 0x34834, 0 },
46044		{ "an_done", 6, 1 },
46045		{ "align_done", 5, 1 },
46046		{ "block_lock", 4, 1 },
46047		{ "remflt", 3, 1 },
46048		{ "locflt", 2, 1 },
46049		{ "linkup", 1, 1 },
46050		{ "linkdn", 0, 1 },
46051	{ "MAC_PORT_EPIO_DATA0", 0x348c0, 0 },
46052	{ "MAC_PORT_EPIO_DATA1", 0x348c4, 0 },
46053	{ "MAC_PORT_EPIO_DATA2", 0x348c8, 0 },
46054	{ "MAC_PORT_EPIO_DATA3", 0x348cc, 0 },
46055	{ "MAC_PORT_EPIO_OP", 0x348d0, 0 },
46056		{ "Busy", 31, 1 },
46057		{ "Write", 8, 1 },
46058		{ "Address", 0, 8 },
46059	{ "MAC_PORT_WOL_STATUS", 0x348d4, 0 },
46060		{ "MagicDetected", 31, 1 },
46061		{ "PatDetected", 30, 1 },
46062		{ "ClearMagic", 4, 1 },
46063		{ "ClearMatch", 3, 1 },
46064		{ "MatchedFilter", 0, 3 },
46065	{ "MAC_PORT_INT_EN", 0x348d8, 0 },
46066		{ "tx_ts_avail", 29, 1 },
46067		{ "PatDetWake", 26, 1 },
46068		{ "MagicWake", 25, 1 },
46069		{ "SigDetChg", 24, 1 },
46070		{ "AE_Train_Local", 22, 1 },
46071		{ "HSSPLL_LOCK", 21, 1 },
46072		{ "HSSPRT_READY", 20, 1 },
46073		{ "AutoNeg_Done", 19, 1 },
46074		{ "PCS_Link_Good", 12, 1 },
46075		{ "PCS_Link_Fail", 11, 1 },
46076		{ "RxFifoOverFlow", 10, 1 },
46077		{ "HSSPRBSErr", 9, 1 },
46078		{ "HSSEyeQual", 8, 1 },
46079		{ "RemoteFault", 7, 1 },
46080		{ "LocalFault", 6, 1 },
46081		{ "MAC_Link_Down", 5, 1 },
46082		{ "MAC_Link_Up", 4, 1 },
46083		{ "an_page_rcvd", 2, 1 },
46084		{ "TxFifo_prty_err", 1, 1 },
46085		{ "RxFifo_prty_err", 0, 1 },
46086	{ "MAC_PORT_INT_CAUSE", 0x348dc, 0 },
46087		{ "tx_ts_avail", 29, 1 },
46088		{ "PatDetWake", 26, 1 },
46089		{ "MagicWake", 25, 1 },
46090		{ "SigDetChg", 24, 1 },
46091		{ "AE_Train_Local", 22, 1 },
46092		{ "HSSPLL_LOCK", 21, 1 },
46093		{ "HSSPRT_READY", 20, 1 },
46094		{ "AutoNeg_Done", 19, 1 },
46095		{ "PCS_Link_Good", 12, 1 },
46096		{ "PCS_Link_Fail", 11, 1 },
46097		{ "RxFifoOverFlow", 10, 1 },
46098		{ "HSSPRBSErr", 9, 1 },
46099		{ "HSSEyeQual", 8, 1 },
46100		{ "RemoteFault", 7, 1 },
46101		{ "LocalFault", 6, 1 },
46102		{ "MAC_Link_Down", 5, 1 },
46103		{ "MAC_Link_Up", 4, 1 },
46104		{ "an_page_rcvd", 2, 1 },
46105		{ "TxFifo_prty_err", 1, 1 },
46106		{ "RxFifo_prty_err", 0, 1 },
46107	{ "MAC_PORT_PERR_INT_EN", 0x348e0, 0 },
46108		{ "Perr_pkt_ram", 24, 1 },
46109		{ "Perr_mask_ram", 23, 1 },
46110		{ "Perr_crc_ram", 22, 1 },
46111		{ "rx_dff_seg0", 21, 1 },
46112		{ "rx_sff_seg0", 20, 1 },
46113		{ "rx_dff_mac10", 19, 1 },
46114		{ "rx_sff_mac10", 18, 1 },
46115		{ "tx_dff_seg0", 17, 1 },
46116		{ "tx_sff_seg0", 16, 1 },
46117		{ "tx_dff_mac10", 15, 1 },
46118		{ "tx_sff_mac10", 14, 1 },
46119		{ "rx_stats", 13, 1 },
46120		{ "tx_stats", 12, 1 },
46121		{ "Perr3_rx_mix", 11, 1 },
46122		{ "Perr3_rx_sd", 10, 1 },
46123		{ "Perr3_tx", 9, 1 },
46124		{ "Perr2_rx_mix", 8, 1 },
46125		{ "Perr2_rx_sd", 7, 1 },
46126		{ "Perr2_tx", 6, 1 },
46127		{ "Perr1_rx_mix", 5, 1 },
46128		{ "Perr1_rx_sd", 4, 1 },
46129		{ "Perr1_tx", 3, 1 },
46130		{ "Perr0_rx_mix", 2, 1 },
46131		{ "Perr0_rx_sd", 1, 1 },
46132		{ "Perr0_tx", 0, 1 },
46133	{ "MAC_PORT_PERR_INT_CAUSE", 0x348e4, 0 },
46134		{ "Perr_pkt_ram", 24, 1 },
46135		{ "Perr_mask_ram", 23, 1 },
46136		{ "Perr_crc_ram", 22, 1 },
46137		{ "rx_dff_seg0", 21, 1 },
46138		{ "rx_sff_seg0", 20, 1 },
46139		{ "rx_dff_mac10", 19, 1 },
46140		{ "rx_sff_mac10", 18, 1 },
46141		{ "tx_dff_seg0", 17, 1 },
46142		{ "tx_sff_seg0", 16, 1 },
46143		{ "tx_dff_mac10", 15, 1 },
46144		{ "tx_sff_mac10", 14, 1 },
46145		{ "rx_stats", 13, 1 },
46146		{ "tx_stats", 12, 1 },
46147		{ "Perr3_rx_mix", 11, 1 },
46148		{ "Perr3_rx_sd", 10, 1 },
46149		{ "Perr3_tx", 9, 1 },
46150		{ "Perr2_rx_mix", 8, 1 },
46151		{ "Perr2_rx_sd", 7, 1 },
46152		{ "Perr2_tx", 6, 1 },
46153		{ "Perr1_rx_mix", 5, 1 },
46154		{ "Perr1_rx_sd", 4, 1 },
46155		{ "Perr1_tx", 3, 1 },
46156		{ "Perr0_rx_mix", 2, 1 },
46157		{ "Perr0_rx_sd", 1, 1 },
46158		{ "Perr0_tx", 0, 1 },
46159	{ "MAC_PORT_PERR_ENABLE", 0x348e8, 0 },
46160		{ "Perr_pkt_ram", 24, 1 },
46161		{ "Perr_mask_ram", 23, 1 },
46162		{ "Perr_crc_ram", 22, 1 },
46163		{ "rx_dff_seg0", 21, 1 },
46164		{ "rx_sff_seg0", 20, 1 },
46165		{ "rx_dff_mac10", 19, 1 },
46166		{ "rx_sff_mac10", 18, 1 },
46167		{ "tx_dff_seg0", 17, 1 },
46168		{ "tx_sff_seg0", 16, 1 },
46169		{ "tx_dff_mac10", 15, 1 },
46170		{ "tx_sff_mac10", 14, 1 },
46171		{ "rx_stats", 13, 1 },
46172		{ "tx_stats", 12, 1 },
46173		{ "Perr3_rx_mix", 11, 1 },
46174		{ "Perr3_rx_sd", 10, 1 },
46175		{ "Perr3_tx", 9, 1 },
46176		{ "Perr2_rx_mix", 8, 1 },
46177		{ "Perr2_rx_sd", 7, 1 },
46178		{ "Perr2_tx", 6, 1 },
46179		{ "Perr1_rx_mix", 5, 1 },
46180		{ "Perr1_rx_sd", 4, 1 },
46181		{ "Perr1_tx", 3, 1 },
46182		{ "Perr0_rx_mix", 2, 1 },
46183		{ "Perr0_rx_sd", 1, 1 },
46184		{ "Perr0_tx", 0, 1 },
46185	{ "MAC_PORT_PERR_INJECT", 0x348ec, 0 },
46186		{ "MemSel", 1, 5 },
46187		{ "InjectDataErr", 0, 1 },
46188	{ "MAC_PORT_HSS_CFG0", 0x348f0, 0 },
46189		{ "TXDTS", 31, 1 },
46190		{ "TXCTS", 30, 1 },
46191		{ "TXBTS", 29, 1 },
46192		{ "TXATS", 28, 1 },
46193		{ "TXDOBS", 27, 1 },
46194		{ "TXCOBS", 26, 1 },
46195		{ "TXBOBS", 25, 1 },
46196		{ "TXAOBS", 24, 1 },
46197		{ "HSSREFCLKVALIDA", 20, 1 },
46198		{ "HSSREFCLKVALIDB", 19, 1 },
46199		{ "HSSRESYNCA", 18, 1 },
46200		{ "HSSAVDHI", 17, 1 },
46201		{ "HSSRESYNCB", 16, 1 },
46202		{ "HSSRECCALA", 15, 1 },
46203		{ "HSSRXACMODE", 14, 1 },
46204		{ "HSSRECCALB", 13, 1 },
46205		{ "HSSPLLBYPA", 12, 1 },
46206		{ "HSSPLLBYPB", 11, 1 },
46207		{ "HSSPDWNPLLA", 10, 1 },
46208		{ "HSSPDWNPLLB", 9, 1 },
46209		{ "HSSVCOSELA", 8, 1 },
46210		{ "HSSVCOSELB", 7, 1 },
46211		{ "HSSCALCOMP", 6, 1 },
46212		{ "HSSCALENAB", 5, 1 },
46213		{ "HSSEXTC16SEL", 4, 1 },
46214	{ "MAC_PORT_HSS_CFG1", 0x348f4, 0 },
46215		{ "RXACONFIGSEL", 30, 2 },
46216		{ "RXAQUIET", 29, 1 },
46217		{ "RXAREFRESH", 28, 1 },
46218		{ "RXBCONFIGSEL", 26, 2 },
46219		{ "RXBQUIET", 25, 1 },
46220		{ "RXBREFRESH", 24, 1 },
46221		{ "RXCCONFIGSEL", 22, 2 },
46222		{ "RXCQUIET", 21, 1 },
46223		{ "RXCREFRESH", 20, 1 },
46224		{ "RXDCONFIGSEL", 18, 2 },
46225		{ "RXDQUIET", 17, 1 },
46226		{ "RXDREFRESH", 16, 1 },
46227		{ "TXACONFIGSEL", 14, 2 },
46228		{ "TXAQUIET", 13, 1 },
46229		{ "TXAREFRESH", 12, 1 },
46230		{ "TXBCONFIGSEL", 10, 2 },
46231		{ "TXBQUIET", 9, 1 },
46232		{ "TXBREFRESH", 8, 1 },
46233		{ "TXCCONFIGSEL", 6, 2 },
46234		{ "TXCQUIET", 5, 1 },
46235		{ "TXCREFRESH", 4, 1 },
46236		{ "TXDCONFIGSEL", 2, 2 },
46237		{ "TXDQUIET", 1, 1 },
46238		{ "TXDREFRESH", 0, 1 },
46239	{ "MAC_PORT_HSS_CFG2", 0x348f8, 0 },
46240		{ "RXAASSTCLK", 31, 1 },
46241		{ "T5RXAPRBSRST", 30, 1 },
46242		{ "RXBASSTCLK", 29, 1 },
46243		{ "T5RXBPRBSRST", 28, 1 },
46244		{ "RXCASSTCLK", 27, 1 },
46245		{ "T5RXCPRBSRST", 26, 1 },
46246		{ "RXDASSTCLK", 25, 1 },
46247		{ "T5RXDPRBSRST", 24, 1 },
46248		{ "RXDDATASYNC", 23, 1 },
46249		{ "RXCDATASYNC", 22, 1 },
46250		{ "RXBDATASYNC", 21, 1 },
46251		{ "RXADATASYNC", 20, 1 },
46252		{ "RXDEARLYIN", 19, 1 },
46253		{ "RXDLATEIN", 18, 1 },
46254		{ "RXDPHSLOCK", 17, 1 },
46255		{ "RXDPHSDNIN", 16, 1 },
46256		{ "RXDPHSUPIN", 15, 1 },
46257		{ "RXCEARLYIN", 14, 1 },
46258		{ "RXCLATEIN", 13, 1 },
46259		{ "RXCPHSLOCK", 12, 1 },
46260		{ "RXCPHSDNIN", 11, 1 },
46261		{ "RXCPHSUPIN", 10, 1 },
46262		{ "RXBEARLYIN", 9, 1 },
46263		{ "RXBLATEIN", 8, 1 },
46264		{ "RXBPHSLOCK", 7, 1 },
46265		{ "RXBPHSDNIN", 6, 1 },
46266		{ "RXBPHSUPIN", 5, 1 },
46267		{ "RXAEARLYIN", 4, 1 },
46268		{ "RXALATEIN", 3, 1 },
46269		{ "RXAPHSLOCK", 2, 1 },
46270		{ "RXAPHSDNIN", 1, 1 },
46271		{ "RXAPHSUPIN", 0, 1 },
46272	{ "MAC_PORT_HSS_CFG3", 0x348fc, 0 },
46273		{ "HSSCALSSTN", 25, 3 },
46274		{ "HSSCALSSTP", 22, 3 },
46275		{ "HSSVBOOSTDIVB", 19, 3 },
46276		{ "HSSVBOOSTDIVA", 16, 3 },
46277		{ "HSSPLLCONFIGB", 8, 8 },
46278		{ "HSSPLLCONFIGA", 0, 8 },
46279	{ "MAC_PORT_HSS_CFG4", 0x34900, 0 },
46280		{ "HSSDIVSELA", 9, 9 },
46281		{ "HSSDIVSELB", 0, 9 },
46282	{ "MAC_PORT_HSS_STATUS", 0x34904, 0 },
46283		{ "RXDPRBSSYNC", 15, 1 },
46284		{ "RXCPRBSSYNC", 14, 1 },
46285		{ "RXBPRBSSYNC", 13, 1 },
46286		{ "RXAPRBSSYNC", 12, 1 },
46287		{ "RXDPRBSERR", 11, 1 },
46288		{ "RXCPRBSERR", 10, 1 },
46289		{ "RXBPRBSERR", 9, 1 },
46290		{ "RXAPRBSERR", 8, 1 },
46291		{ "RXDSIGDET", 7, 1 },
46292		{ "RXCSIGDET", 6, 1 },
46293		{ "RXBSIGDET", 5, 1 },
46294		{ "RXASIGDET", 4, 1 },
46295		{ "HSSPLLLOCKB", 3, 1 },
46296		{ "HSSPLLLOCKA", 2, 1 },
46297		{ "HSSPRTREADYB", 1, 1 },
46298		{ "HSSPRTREADYA", 0, 1 },
46299	{ "MAC_PORT_HSS_EEE_STATUS", 0x34908, 0 },
46300		{ "RXAQUIET_STATUS", 15, 1 },
46301		{ "RXAREFRESH_STATUS", 14, 1 },
46302		{ "RXBQUIET_STATUS", 13, 1 },
46303		{ "RXBREFRESH_STATUS", 12, 1 },
46304		{ "RXCQUIET_STATUS", 11, 1 },
46305		{ "RXCREFRESH_STATUS", 10, 1 },
46306		{ "RXDQUIET_STATUS", 9, 1 },
46307		{ "RXDREFRESH_STATUS", 8, 1 },
46308		{ "TXAQUIET_STATUS", 7, 1 },
46309		{ "TXAREFRESH_STATUS", 6, 1 },
46310		{ "TXBQUIET_STATUS", 5, 1 },
46311		{ "TXBREFRESH_STATUS", 4, 1 },
46312		{ "TXCQUIET_STATUS", 3, 1 },
46313		{ "TXCREFRESH_STATUS", 2, 1 },
46314		{ "TXDQUIET_STATUS", 1, 1 },
46315		{ "TXDREFRESH_STATUS", 0, 1 },
46316	{ "MAC_PORT_HSS_SIGDET_STATUS", 0x3490c, 0 },
46317	{ "MAC_PORT_HSS_PL_CTL", 0x34910, 0 },
46318		{ "TOV", 16, 8 },
46319		{ "TSU", 8, 8 },
46320		{ "IPW", 0, 8 },
46321	{ "MAC_PORT_RUNT_FRAME", 0x34914, 0 },
46322		{ "runtclear", 16, 1 },
46323		{ "runt", 0, 16 },
46324	{ "MAC_PORT_EEE_STATUS", 0x34918, 0 },
46325		{ "eee_tx_10g_state", 10, 2 },
46326		{ "eee_rx_10g_state", 8, 2 },
46327		{ "eee_tx_1g_state", 6, 2 },
46328		{ "eee_rx_1g_state", 4, 2 },
46329		{ "pma_rx_refresh", 3, 1 },
46330		{ "pma_rx_quiet", 2, 1 },
46331		{ "pma_tx_refresh", 1, 1 },
46332		{ "pma_tx_quiet", 0, 1 },
46333	{ "MAC_PORT_CGEN", 0x3491c, 0 },
46334		{ "CGEN", 8, 1 },
46335		{ "sd7_CGEN", 7, 1 },
46336		{ "sd6_CGEN", 6, 1 },
46337		{ "sd5_CGEN", 5, 1 },
46338		{ "sd4_CGEN", 4, 1 },
46339		{ "sd3_CGEN", 3, 1 },
46340		{ "sd2_CGEN", 2, 1 },
46341		{ "sd1_CGEN", 1, 1 },
46342		{ "sd0_CGEN", 0, 1 },
46343	{ "MAC_PORT_CGEN_MTIP", 0x34920, 0 },
46344		{ "MACSEG5_CGEN", 11, 1 },
46345		{ "PCSSEG5_CGEN", 10, 1 },
46346		{ "MACSEG4_CGEN", 9, 1 },
46347		{ "PCSSEG4_CGEN", 8, 1 },
46348		{ "MACSEG3_CGEN", 7, 1 },
46349		{ "PCSSEG3_CGEN", 6, 1 },
46350		{ "MACSEG2_CGEN", 5, 1 },
46351		{ "PCSSEG2_CGEN", 4, 1 },
46352		{ "MACSEG1_CGEN", 3, 1 },
46353		{ "PCSSEG1_CGEN", 2, 1 },
46354		{ "MACSEG0_CGEN", 1, 1 },
46355		{ "PCSSEG0_CGEN", 0, 1 },
46356	{ "MAC_PORT_TX_TS_ID", 0x34924, 0 },
46357	{ "MAC_PORT_TX_TS_VAL_LO", 0x34928, 0 },
46358	{ "MAC_PORT_TX_TS_VAL_HI", 0x3492c, 0 },
46359	{ "MAC_PORT_EEE_CTL", 0x34930, 0 },
46360		{ "EEE_CTRL", 2, 30 },
46361		{ "TICK_START", 1, 1 },
46362		{ "En", 0, 1 },
46363	{ "MAC_PORT_EEE_TX_CTL", 0x34934, 0 },
46364		{ "WAKE_TIMER", 16, 16 },
46365		{ "HSS_TIMER", 5, 4 },
46366		{ "HSS_CTL", 4, 1 },
46367		{ "LPI_ACTIVE", 3, 1 },
46368		{ "LPI_TXHOLD", 2, 1 },
46369		{ "LPI_REQ", 1, 1 },
46370		{ "EEE_TX_RESET", 0, 1 },
46371	{ "MAC_PORT_EEE_RX_CTL", 0x34938, 0 },
46372		{ "WAKE_TIMER", 16, 16 },
46373		{ "HSS_TIMER", 5, 4 },
46374		{ "HSS_CTL", 4, 1 },
46375		{ "LPI_IND", 1, 1 },
46376		{ "EEE_RX_RESET", 0, 1 },
46377	{ "MAC_PORT_EEE_TX_10G_SLEEP_TIMER", 0x3493c, 0 },
46378	{ "MAC_PORT_EEE_TX_10G_QUIET_TIMER", 0x34940, 0 },
46379	{ "MAC_PORT_EEE_TX_10G_WAKE_TIMER", 0x34944, 0 },
46380	{ "MAC_PORT_EEE_TX_1G_SLEEP_TIMER", 0x34948, 0 },
46381	{ "MAC_PORT_EEE_TX_1G_QUIET_TIMER", 0x3494c, 0 },
46382	{ "MAC_PORT_EEE_TX_1G_REFRESH_TIMER", 0x34950, 0 },
46383	{ "MAC_PORT_EEE_RX_10G_QUIET_TIMER", 0x34954, 0 },
46384	{ "MAC_PORT_EEE_RX_10G_WAKE_TIMER", 0x34958, 0 },
46385	{ "MAC_PORT_EEE_RX_10G_WF_TIMER", 0x3495c, 0 },
46386	{ "MAC_PORT_EEE_RX_1G_QUIET_TIMER", 0x34960, 0 },
46387	{ "MAC_PORT_EEE_RX_1G_WAKE_TIMER", 0x34964, 0 },
46388	{ "MAC_PORT_EEE_WF_COUNT", 0x34968, 0 },
46389		{ "wake_cnt_clr", 16, 1 },
46390		{ "wake_cnt", 0, 16 },
46391	{ "MAC_PORT_PTP_TIMER_RD0_LO", 0x3496c, 0 },
46392	{ "MAC_PORT_PTP_TIMER_RD0_HI", 0x34970, 0 },
46393	{ "MAC_PORT_PTP_TIMER_RD1_LO", 0x34974, 0 },
46394	{ "MAC_PORT_PTP_TIMER_RD1_HI", 0x34978, 0 },
46395	{ "MAC_PORT_PTP_TIMER_WR_LO", 0x3497c, 0 },
46396	{ "MAC_PORT_PTP_TIMER_WR_HI", 0x34980, 0 },
46397	{ "MAC_PORT_PTP_TIMER_OFFSET_0", 0x34984, 0 },
46398	{ "MAC_PORT_PTP_TIMER_OFFSET_1", 0x34988, 0 },
46399	{ "MAC_PORT_PTP_TIMER_OFFSET_2", 0x3498c, 0 },
46400	{ "MAC_PORT_PTP_SUM_LO", 0x34990, 0 },
46401	{ "MAC_PORT_PTP_SUM_HI", 0x34994, 0 },
46402	{ "MAC_PORT_PTP_TIMER_INCR0", 0x34998, 0 },
46403		{ "Y", 16, 16 },
46404		{ "X", 0, 16 },
46405	{ "MAC_PORT_PTP_TIMER_INCR1", 0x3499c, 0 },
46406		{ "Y_TICK", 16, 16 },
46407		{ "X_TICK", 0, 16 },
46408	{ "MAC_PORT_PTP_DRIFT_ADJUST_COUNT", 0x349a0, 0 },
46409	{ "MAC_PORT_PTP_OFFSET_ADJUST_FINE", 0x349a4, 0 },
46410		{ "B", 16, 16 },
46411		{ "A", 0, 16 },
46412	{ "MAC_PORT_PTP_OFFSET_ADJUST_TOTAL", 0x349a8, 0 },
46413	{ "MAC_PORT_PTP_CFG", 0x349ac, 0 },
46414		{ "FRZ", 18, 1 },
46415		{ "OFFSER_ADJUST_SIGN", 17, 1 },
46416		{ "ADD_OFFSET", 16, 1 },
46417		{ "CYCLE1", 8, 8 },
46418		{ "Q", 0, 8 },
46419	{ "MAC_PORT_MTIP_REVISION", 0x34a00, 0 },
46420		{ "CUSTREV", 16, 16 },
46421		{ "VER", 8, 8 },
46422		{ "REV", 0, 8 },
46423	{ "MAC_PORT_MTIP_SCRATCH", 0x34a04, 0 },
46424	{ "MAC_PORT_MTIP_COMMAND_CONFIG", 0x34a08, 0 },
46425		{ "TX_FLUSH", 22, 1 },
46426		{ "RX_SFD_ANY", 21, 1 },
46427		{ "PAUSE_PFC_COMP", 20, 1 },
46428		{ "PFC_MODE", 19, 1 },
46429		{ "RS_COL_CNT_EXT", 18, 1 },
46430		{ "NO_LGTH_CHECK", 17, 1 },
46431		{ "SEND_IDLE", 16, 1 },
46432		{ "PHY_TXENA", 15, 1 },
46433		{ "RX_ERR_DISC", 14, 1 },
46434		{ "CMD_FRAME_ENA", 13, 1 },
46435		{ "SW_RESET", 12, 1 },
46436		{ "TX_PAD_EN", 11, 1 },
46437		{ "LOOPBACK_EN", 10, 1 },
46438		{ "TX_ADDR_INS", 9, 1 },
46439		{ "PAUSE_IGNORE", 8, 1 },
46440		{ "PAUSE_FWD", 7, 1 },
46441		{ "CRC_FWD", 6, 1 },
46442		{ "PAD_EN", 5, 1 },
46443		{ "PROMIS_EN", 4, 1 },
46444		{ "WAN_MODE", 3, 1 },
46445		{ "RX_ENA", 1, 1 },
46446		{ "TX_ENA", 0, 1 },
46447	{ "MAC_PORT_MTIP_MAC_ADDR_0", 0x34a0c, 0 },
46448	{ "MAC_PORT_MTIP_MAC_ADDR_1", 0x34a10, 0 },
46449	{ "MAC_PORT_MTIP_FRM_LENGTH", 0x34a14, 0 },
46450	{ "MAC_PORT_MTIP_RX_FIFO_SECTIONS", 0x34a1c, 0 },
46451		{ "AVAIL", 16, 16 },
46452		{ "EMPTY", 0, 16 },
46453	{ "MAC_PORT_MTIP_TX_FIFO_SECTIONS", 0x34a20, 0 },
46454		{ "AVAIL", 16, 16 },
46455		{ "EMPTY", 0, 16 },
46456	{ "MAC_PORT_MTIP_RX_FIFO_ALMOST_F_E", 0x34a24, 0 },
46457		{ "AlmstFull", 16, 16 },
46458		{ "AlmstEmpty", 0, 16 },
46459	{ "MAC_PORT_MTIP_TX_FIFO_ALMOST_F_E", 0x34a28, 0 },
46460		{ "AlmstFull", 16, 16 },
46461		{ "AlmstEmpty", 0, 16 },
46462	{ "MAC_PORT_MTIP_HASHTABLE_LOAD", 0x34a2c, 0 },
46463		{ "ENABLE", 8, 1 },
46464		{ "ADDR", 0, 6 },
46465	{ "MAC_PORT_MTIP_MAC_STATUS", 0x34a40, 0 },
46466		{ "TS_AVAIL", 3, 1 },
46467		{ "PHY_LOS", 2, 1 },
46468		{ "RX_REM_FAULT", 1, 1 },
46469		{ "RX_LOC_FAULT", 0, 1 },
46470	{ "MAC_PORT_MTIP_TX_IPG_LENGTH", 0x34a44, 0 },
46471	{ "MAC_PORT_MTIP_MAC_CREDIT_TRIGGER", 0x34a48, 0 },
46472	{ "MAC_PORT_MTIP_INIT_CREDIT", 0x34a4c, 0 },
46473	{ "MAC_PORT_MTIP_CURRENT_CREDIT", 0x34a50, 0 },
46474	{ "MAC_PORT_RX_PAUSE_STATUS", 0x34a74, 0 },
46475	{ "MAC_PORT_MTIP_TS_TIMESTAMP", 0x34a7c, 0 },
46476	{ "MAC_PORT_AFRAMESTRANSMITTEDOK", 0x34a80, 0 },
46477	{ "MAC_PORT_AFRAMESTRANSMITTEDOKHI", 0x34a84, 0 },
46478	{ "MAC_PORT_AFRAMESRECEIVEDOK", 0x34a88, 0 },
46479	{ "MAC_PORT_AFRAMESRECEIVEDOKHI", 0x34a8c, 0 },
46480	{ "MAC_PORT_AFRAMECHECKSEQUENCEERRORS", 0x34a90, 0 },
46481	{ "MAC_PORT_AFRAMECHECKSEQUENCEERRORSHI", 0x34a94, 0 },
46482	{ "MAC_PORT_AALIGNMENTERRORS", 0x34a98, 0 },
46483	{ "MAC_PORT_AALIGNMENTERRORSHI", 0x34a9c, 0 },
46484	{ "MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTED", 0x34aa0, 0 },
46485	{ "MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTEDHI", 0x34aa4, 0 },
46486	{ "MAC_PORT_APAUSEMACCTRLFRAMESRECEIVED", 0x34aa8, 0 },
46487	{ "MAC_PORT_APAUSEMACCTRLFRAMESRECEIVEDHI", 0x34aac, 0 },
46488	{ "MAC_PORT_AFRAMETOOLONGERRORS", 0x34ab0, 0 },
46489	{ "MAC_PORT_AFRAMETOOLONGERRORSHI", 0x34ab4, 0 },
46490	{ "MAC_PORT_AINRANGELENGTHERRORS", 0x34ab8, 0 },
46491	{ "MAC_PORT_AINRANGELENGTHERRORSHI", 0x34abc, 0 },
46492	{ "MAC_PORT_VLANTRANSMITTEDOK", 0x34ac0, 0 },
46493	{ "MAC_PORT_VLANTRANSMITTEDOKHI", 0x34ac4, 0 },
46494	{ "MAC_PORT_VLANRECEIVEDOK", 0x34ac8, 0 },
46495	{ "MAC_PORT_VLANRECEIVEDOKHI", 0x34acc, 0 },
46496	{ "MAC_PORT_AOCTETSTRANSMITTEDOK", 0x34ad0, 0 },
46497	{ "MAC_PORT_AOCTETSTRANSMITTEDOKHI", 0x34ad4, 0 },
46498	{ "MAC_PORT_AOCTETSRECEIVEDOK", 0x34ad8, 0 },
46499	{ "MAC_PORT_AOCTETSRECEIVEDOKHI", 0x34adc, 0 },
46500	{ "MAC_PORT_IFINUCASTPKTS", 0x34ae0, 0 },
46501	{ "MAC_PORT_IFINUCASTPKTSHI", 0x34ae4, 0 },
46502	{ "MAC_PORT_IFINMULTICASTPKTS", 0x34ae8, 0 },
46503	{ "MAC_PORT_IFINMULTICASTPKTSHI", 0x34aec, 0 },
46504	{ "MAC_PORT_IFINBROADCASTPKTS", 0x34af0, 0 },
46505	{ "MAC_PORT_IFINBROADCASTPKTSHI", 0x34af4, 0 },
46506	{ "MAC_PORT_IFOUTERRORS", 0x34af8, 0 },
46507	{ "MAC_PORT_IFOUTERRORSHI", 0x34afc, 0 },
46508	{ "MAC_PORT_IFOUTUCASTPKTS", 0x34b08, 0 },
46509	{ "MAC_PORT_IFOUTUCASTPKTSHI", 0x34b0c, 0 },
46510	{ "MAC_PORT_IFOUTMULTICASTPKTS", 0x34b10, 0 },
46511	{ "MAC_PORT_IFOUTMULTICASTPKTSHI", 0x34b14, 0 },
46512	{ "MAC_PORT_IFOUTBROADCASTPKTS", 0x34b18, 0 },
46513	{ "MAC_PORT_IFOUTBROADCASTPKTSHI", 0x34b1c, 0 },
46514	{ "MAC_PORT_ETHERSTATSDROPEVENTS", 0x34b20, 0 },
46515	{ "MAC_PORT_ETHERSTATSDROPEVENTSHI", 0x34b24, 0 },
46516	{ "MAC_PORT_ETHERSTATSOCTETS", 0x34b28, 0 },
46517	{ "MAC_PORT_ETHERSTATSOCTETSHI", 0x34b2c, 0 },
46518	{ "MAC_PORT_ETHERSTATSPKTS", 0x34b30, 0 },
46519	{ "MAC_PORT_ETHERSTATSPKTSHI", 0x34b34, 0 },
46520	{ "MAC_PORT_ETHERSTATSUNDERSIZEPKTS", 0x34b38, 0 },
46521	{ "MAC_PORT_ETHERSTATSUNDERSIZEPKTSHI", 0x34b3c, 0 },
46522	{ "MAC_PORT_ETHERSTATSPKTS64OCTETS", 0x34b40, 0 },
46523	{ "MAC_PORT_ETHERSTATSPKTS64OCTETSHI", 0x34b44, 0 },
46524	{ "MAC_PORT_ETHERSTATSPKTS65TO127OCTETS", 0x34b48, 0 },
46525	{ "MAC_PORT_ETHERSTATSPKTS65TO127OCTETSHI", 0x34b4c, 0 },
46526	{ "MAC_PORT_ETHERSTATSPKTS128TO255OCTETS", 0x34b50, 0 },
46527	{ "MAC_PORT_ETHERSTATSPKTS128TO255OCTETSHI", 0x34b54, 0 },
46528	{ "MAC_PORT_ETHERSTATSPKTS256TO511OCTETS", 0x34b58, 0 },
46529	{ "MAC_PORT_ETHERSTATSPKTS256TO511OCTETSHI", 0x34b5c, 0 },
46530	{ "MAC_PORT_ETHERSTATSPKTS512TO1023OCTETS", 0x34b60, 0 },
46531	{ "MAC_PORT_ETHERSTATSPKTS512TO1023OCTETSHI", 0x34b64, 0 },
46532	{ "MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETS", 0x34b68, 0 },
46533	{ "MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETSHI", 0x34b6c, 0 },
46534	{ "MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETS", 0x34b70, 0 },
46535	{ "MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETSHI", 0x34b74, 0 },
46536	{ "MAC_PORT_ETHERSTATSOVERSIZEPKTS", 0x34b78, 0 },
46537	{ "MAC_PORT_ETHERSTATSOVERSIZEPKTSHI", 0x34b7c, 0 },
46538	{ "MAC_PORT_ETHERSTATSJABBERS", 0x34b80, 0 },
46539	{ "MAC_PORT_ETHERSTATSJABBERSHI", 0x34b84, 0 },
46540	{ "MAC_PORT_ETHERSTATSFRAGMENTS", 0x34b88, 0 },
46541	{ "MAC_PORT_ETHERSTATSFRAGMENTSHI", 0x34b8c, 0 },
46542	{ "MAC_PORT_IFINERRORS", 0x34b90, 0 },
46543	{ "MAC_PORT_IFINERRORSHI", 0x34b94, 0 },
46544	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0", 0x34b98, 0 },
46545	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0HI", 0x34b9c, 0 },
46546	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1", 0x34ba0, 0 },
46547	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1HI", 0x34ba4, 0 },
46548	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2", 0x34ba8, 0 },
46549	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2HI", 0x34bac, 0 },
46550	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3", 0x34bb0, 0 },
46551	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3HI", 0x34bb4, 0 },
46552	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4", 0x34bb8, 0 },
46553	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4HI", 0x34bbc, 0 },
46554	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5", 0x34bc0, 0 },
46555	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5HI", 0x34bc4, 0 },
46556	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6", 0x34bc8, 0 },
46557	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6HI", 0x34bcc, 0 },
46558	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7", 0x34bd0, 0 },
46559	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7HI", 0x34bd4, 0 },
46560	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0", 0x34bd8, 0 },
46561	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0HI", 0x34bdc, 0 },
46562	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1", 0x34be0, 0 },
46563	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1HI", 0x34be4, 0 },
46564	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2", 0x34be8, 0 },
46565	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2HI", 0x34bec, 0 },
46566	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3", 0x34bf0, 0 },
46567	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3HI", 0x34bf4, 0 },
46568	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4", 0x34bf8, 0 },
46569	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4HI", 0x34bfc, 0 },
46570	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5", 0x34c00, 0 },
46571	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5HI", 0x34c04, 0 },
46572	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6", 0x34c08, 0 },
46573	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6HI", 0x34c0c, 0 },
46574	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7", 0x34c10, 0 },
46575	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7HI", 0x34c14, 0 },
46576	{ "MAC_PORT_AMACCONTROLFRAMESTRANSMITTED", 0x34c18, 0 },
46577	{ "MAC_PORT_AMACCONTROLFRAMESTRANSMITTEDHI", 0x34c1c, 0 },
46578	{ "MAC_PORT_AMACCONTROLFRAMESRECEIVED", 0x34c20, 0 },
46579	{ "MAC_PORT_AMACCONTROLFRAMESRECEIVEDHI", 0x34c24, 0 },
46580	{ "MAC_PORT_MTIP_SGMII_CONTROL", 0x34d00, 0 },
46581		{ "Reset", 15, 1 },
46582		{ "Loopback", 14, 1 },
46583		{ "sppedsel1", 13, 1 },
46584		{ "AN_EN", 12, 1 },
46585		{ "PWRDWN", 11, 1 },
46586		{ "Isolate", 10, 1 },
46587		{ "AN_RESTART", 9, 1 },
46588		{ "DPLX", 8, 1 },
46589		{ "CollisionTest", 7, 1 },
46590		{ "SpeedSel0", 6, 1 },
46591	{ "MAC_PORT_MTIP_SGMII_STATUS", 0x34d04, 0 },
46592		{ "100BaseT4", 15, 1 },
46593		{ "100BaseXFullDplx", 14, 1 },
46594		{ "100BaseXHalfDplx", 13, 1 },
46595		{ "10MbpsFullDplx", 12, 1 },
46596		{ "10MbpsHalfDplx", 11, 1 },
46597		{ "100BaseT2FullDplx", 10, 1 },
46598		{ "100BaseT2HalfDplx", 9, 1 },
46599		{ "ExtdStatus", 8, 1 },
46600		{ "AN_Complete", 5, 1 },
46601		{ "SGMII_REM_FAULT", 4, 1 },
46602		{ "AN_Ability", 3, 1 },
46603		{ "LINK_STATUS", 2, 1 },
46604		{ "JabberDetect", 1, 1 },
46605		{ "ExtdCapability", 0, 1 },
46606	{ "MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_0", 0x34d08, 0 },
46607	{ "MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_1", 0x34d0c, 0 },
46608	{ "MAC_PORT_MTIP_SGMII_DEV_ABILITY", 0x34d10, 0 },
46609		{ "NP", 15, 1 },
46610		{ "ACK", 14, 1 },
46611		{ "RF2", 13, 1 },
46612		{ "RF1", 12, 1 },
46613		{ "PS2", 8, 1 },
46614		{ "PS1", 7, 1 },
46615		{ "HD", 6, 1 },
46616		{ "FD", 5, 1 },
46617	{ "MAC_PORT_MTIP_SGMII_PARTNER_ABILITY", 0x34d14, 0 },
46618		{ "CuLinkStatus", 15, 1 },
46619		{ "ACK", 14, 1 },
46620		{ "CuDplxStatus", 12, 1 },
46621		{ "CuSpeed", 10, 2 },
46622	{ "MAC_PORT_MTIP_SGMII_AN_EXPANSION", 0x34d18, 0 },
46623		{ "PgRcvd", 1, 1 },
46624		{ "RealTimePgRcvd", 0, 1 },
46625	{ "MAC_PORT_MTIP_SGMII_DEVICE_NP", 0x34d1c, 0 },
46626	{ "MAC_PORT_MTIP_SGMII_PARTNER_NP", 0x34d20, 0 },
46627	{ "MAC_PORT_MTIP_SGMII_EXTENDED_STATUS", 0x34d3c, 0 },
46628	{ "MAC_PORT_MTIP_SGMII_LINK_TIMER_LO", 0x34d48, 0 },
46629	{ "MAC_PORT_MTIP_SGMII_LINK_TIMER_HI", 0x34d4c, 0 },
46630	{ "MAC_PORT_MTIP_SGMII_IF_MODE", 0x34d50, 0 },
46631		{ "SGMII_PCS_ENABLE", 5, 1 },
46632		{ "SGMII_HDUPLEX", 4, 1 },
46633		{ "SGMII_SPEED", 2, 2 },
46634		{ "USE_SGMII_AN", 1, 1 },
46635		{ "SGMII_ENA", 0, 1 },
46636	{ "MAC_PORT_MTIP_ACT_CTL_SEG", 0x35200, 0 },
46637	{ "MAC_PORT_MTIP_MODE_CTL_SEG", 0x35204, 0 },
46638	{ "MAC_PORT_MTIP_TXCLK_CTL_SEG", 0x35208, 0 },
46639	{ "MAC_PORT_MTIP_TX_PRMBL_CTL_SEG", 0x3520c, 0 },
46640	{ "MAC_PORT_MTIP_WAN_RS_COL_CNT", 0x35220, 0 },
46641	{ "MAC_PORT_MTIP_VL_INTVL", 0x35240, 0 },
46642		{ "VL_INTVL", 1, 1 },
46643	{ "MAC_PORT_MTIP_MDIO_CFG_STATUS", 0x35600, 0 },
46644		{ "CLK_DIV", 7, 9 },
46645		{ "CL45_EN", 6, 1 },
46646		{ "disable_preamble", 5, 1 },
46647		{ "mdio_hold_time", 2, 3 },
46648		{ "mdio_read_err", 1, 1 },
46649		{ "mdio_busy", 0, 1 },
46650	{ "MAC_PORT_MTIP_MDIO_COMMAND", 0x35604, 0 },
46651		{ "read", 15, 1 },
46652		{ "read_incr", 14, 1 },
46653		{ "port_addr", 5, 5 },
46654		{ "dev_addr", 0, 5 },
46655	{ "MAC_PORT_MTIP_MDIO_DATA", 0x35608, 0 },
46656		{ "readbusy", 31, 1 },
46657		{ "data_word", 0, 16 },
46658	{ "MAC_PORT_MTIP_MDIO_REGADDR", 0x3560c, 0 },
46659	{ "MAC_PORT_MTIP_VLAN_TPID_0", 0x35a00, 0 },
46660	{ "MAC_PORT_MTIP_VLAN_TPID_1", 0x35a04, 0 },
46661	{ "MAC_PORT_MTIP_VLAN_TPID_2", 0x35a08, 0 },
46662	{ "MAC_PORT_MTIP_VLAN_TPID_3", 0x35a0c, 0 },
46663	{ "MAC_PORT_MTIP_VLAN_TPID_4", 0x35a10, 0 },
46664	{ "MAC_PORT_MTIP_VLAN_TPID_5", 0x35a14, 0 },
46665	{ "MAC_PORT_MTIP_VLAN_TPID_6", 0x35a18, 0 },
46666	{ "MAC_PORT_MTIP_VLAN_TPID_7", 0x35a1c, 0 },
46667	{ "MAC_PORT_MTIP_PCS_CTL", 0x35e00, 0 },
46668		{ "RESET", 15, 1 },
46669		{ "LPBK", 14, 1 },
46670		{ "SPEED_SEL1", 13, 1 },
46671		{ "LP_MODE", 11, 1 },
46672		{ "SPEED_SEL0", 6, 1 },
46673		{ "SPEED", 2, 4 },
46674	{ "MAC_PORT_MTIP_PCS_STATUS1", 0x35e04, 0 },
46675		{ "FaultDet", 7, 1 },
46676		{ "rx_link_status", 2, 1 },
46677		{ "LoPwrAbl", 1, 1 },
46678	{ "MAC_PORT_MTIP_PCS_DEVICE_ID0", 0x35e08, 0 },
46679	{ "MAC_PORT_MTIP_PCS_DEVICE_ID1", 0x35e0c, 0 },
46680	{ "MAC_PORT_MTIP_PCS_SPEED_ABILITY", 0x35e10, 0 },
46681		{ "100G", 8, 1 },
46682		{ "40G", 7, 1 },
46683		{ "10BASE_TL", 1, 1 },
46684		{ "10G", 0, 1 },
46685	{ "MAC_PORT_MTIP_PCS_DEVICE_PKG1", 0x35e14, 0 },
46686		{ "TC", 6, 1 },
46687		{ "DTEXS", 5, 1 },
46688		{ "PHYXS", 4, 1 },
46689		{ "PCS", 3, 1 },
46690		{ "WIS", 2, 1 },
46691		{ "PMD_PMA", 1, 1 },
46692		{ "CL22", 0, 1 },
46693	{ "MAC_PORT_MTIP_PCS_DEVICE_PKG2", 0x35e18, 0 },
46694		{ "VendDev2", 15, 1 },
46695		{ "VendDev1", 14, 1 },
46696		{ "CL22EXT", 13, 1 },
46697	{ "MAC_PORT_MTIP_PCS_CTL2", 0x35e1c, 0 },
46698	{ "MAC_PORT_MTIP_PCS_STATUS2", 0x35e20, 0 },
46699		{ "Device", 15, 1 },
46700		{ "TxFault", 7, 1 },
46701		{ "RxFault", 6, 1 },
46702		{ "100BASE_R", 5, 1 },
46703		{ "40GBASE_R", 4, 1 },
46704		{ "10GBASE_T", 3, 1 },
46705		{ "10GBASE_W", 2, 1 },
46706		{ "10GBASE_X", 1, 1 },
46707		{ "10GBASE_R", 0, 1 },
46708	{ "MAC_PORT_MTIP_PCS_PKG_ID0", 0x35e38, 0 },
46709	{ "MAC_PORT_MTIP_PCS_PKG_ID1", 0x35e3c, 0 },
46710	{ "MAC_PORT_MTIP_PCS_BASER_STATUS1", 0x35e80, 0 },
46711		{ "RxLinkStatus", 12, 1 },
46712		{ "RESEREVED", 4, 8 },
46713		{ "10GPRBS9", 3, 1 },
46714		{ "10GPRBS31", 2, 1 },
46715		{ "HiBER", 1, 1 },
46716		{ "blocklock", 0, 1 },
46717	{ "MAC_PORT_MTIP_PCS_BASER_STATUS2", 0x35e84, 0 },
46718		{ "blocklockLL", 15, 1 },
46719		{ "HiBERLH", 14, 1 },
46720		{ "HiBERCount", 8, 6 },
46721		{ "ErrBlkCnt", 0, 8 },
46722	{ "MAC_PORT_MTIP_10GBASER_SEED_A", 0x35e88, 0 },
46723	{ "MAC_PORT_MTIP_10GBASER_SEED_A1", 0x35e8c, 0 },
46724	{ "MAC_PORT_MTIP_10GBASER_SEED_A2", 0x35e90, 0 },
46725	{ "MAC_PORT_MTIP_10GBASER_SEED_A3", 0x35e94, 0 },
46726	{ "MAC_PORT_MTIP_10GBASER_SEED_B", 0x35e98, 0 },
46727	{ "MAC_PORT_MTIP_10GBASER_SEED_B1", 0x35e9c, 0 },
46728	{ "MAC_PORT_MTIP_10GBASER_SEED_B2", 0x35ea0, 0 },
46729	{ "MAC_PORT_MTIP_10GBASER_SEED_B3", 0x35ea4, 0 },
46730	{ "MAC_PORT_MTIP_BASER_TEST_CTRL", 0x35ea8, 0 },
46731		{ "TXPRBS9", 6, 1 },
46732		{ "RXPRBS31", 5, 1 },
46733		{ "TXPRBS31", 4, 1 },
46734		{ "TxTestPatEn", 3, 1 },
46735		{ "RxTestPatEn", 2, 1 },
46736		{ "TestPatSel", 1, 1 },
46737		{ "DataPatSel", 0, 1 },
46738	{ "MAC_PORT_MTIP_BASER_TEST_ERR_CNT", 0x35eac, 0 },
46739	{ "MAC_PORT_MTIP_BER_HIGH_ORDER_CNT", 0x35eb0, 0 },
46740	{ "MAC_PORT_MTIP_BLK_HIGH_ORDER_CNT", 0x35eb4, 0 },
46741		{ "HiCountPrsnt", 15, 1 },
46742		{ "BLOCK_CNT_HI", 0, 14 },
46743	{ "MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS1", 0x35ec8, 0 },
46744		{ "alignstatus", 12, 1 },
46745		{ "Lane7", 7, 1 },
46746		{ "Lane6", 6, 1 },
46747		{ "Lane5", 5, 1 },
46748		{ "Lane4", 4, 1 },
46749		{ "Lane3", 3, 1 },
46750		{ "Lane2", 2, 1 },
46751		{ "Lane1", 1, 1 },
46752		{ "Lane0", 0, 1 },
46753	{ "MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS2", 0x35ecc, 0 },
46754		{ "Lane19", 11, 1 },
46755		{ "Lane18", 10, 1 },
46756		{ "Lane17", 9, 1 },
46757		{ "Lane16", 8, 1 },
46758		{ "Lane15", 7, 1 },
46759		{ "Lane14", 6, 1 },
46760		{ "Lane13", 5, 1 },
46761		{ "Lane12", 4, 1 },
46762		{ "Lane11", 3, 1 },
46763		{ "Lane10", 2, 1 },
46764		{ "Lane9", 1, 1 },
46765		{ "Lane8", 0, 1 },
46766	{ "MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS3", 0x35ed0, 0 },
46767		{ "AMLOCK7", 7, 1 },
46768		{ "AMLOCK6", 6, 1 },
46769		{ "AMLOCK5", 5, 1 },
46770		{ "AMLOCK4", 4, 1 },
46771		{ "AMLOCK3", 3, 1 },
46772		{ "AMLOCK2", 2, 1 },
46773		{ "AMLOCK1", 1, 1 },
46774		{ "AMLOCK0", 0, 1 },
46775	{ "MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS4", 0x35ed4, 0 },
46776		{ "AMLOCK19", 11, 1 },
46777		{ "AMLOCK18", 10, 1 },
46778		{ "AMLOCK17", 9, 1 },
46779		{ "AMLOCK16", 8, 1 },
46780		{ "AMLOCK15", 7, 1 },
46781		{ "AMLOCK14", 6, 1 },
46782		{ "AMLOCK13", 5, 1 },
46783		{ "AMLOCK12", 4, 1 },
46784		{ "AMLOCK11", 3, 1 },
46785		{ "AMLOCK10", 2, 1 },
46786		{ "AMLOCK9", 1, 1 },
46787		{ "AMLOCK8", 0, 1 },
46788	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_0", 0x35f68, 0 },
46789	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_1", 0x35f6c, 0 },
46790	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_2", 0x35f70, 0 },
46791	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_3", 0x35f74, 0 },
46792	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_4", 0x35f78, 0 },
46793	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_5", 0x35f7c, 0 },
46794	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_6", 0x35f80, 0 },
46795	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_7", 0x35f84, 0 },
46796	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_8", 0x35f88, 0 },
46797	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_9", 0x35f8c, 0 },
46798	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_10", 0x35f90, 0 },
46799	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_11", 0x35f94, 0 },
46800	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_12", 0x35f98, 0 },
46801	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_13", 0x35f9c, 0 },
46802	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_14", 0x35fa0, 0 },
46803	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_15", 0x35fa4, 0 },
46804	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_16", 0x35fa8, 0 },
46805	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_17", 0x35fac, 0 },
46806	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_18", 0x35fb0, 0 },
46807	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_19", 0x35fb4, 0 },
46808	{ "MAC_PORT_MTIP_PCS_LANE_MAP_0", 0x35fb8, 0 },
46809	{ "MAC_PORT_MTIP_PCS_LANE_MAP_1", 0x35fbc, 0 },
46810	{ "MAC_PORT_MTIP_PCS_LANE_MAP_2", 0x35fc0, 0 },
46811	{ "MAC_PORT_MTIP_PCS_LANE_MAP_3", 0x35fc4, 0 },
46812	{ "MAC_PORT_MTIP_PCS_LANE_MAP_4", 0x35fc8, 0 },
46813	{ "MAC_PORT_MTIP_PCS_LANE_MAP_5", 0x35fcc, 0 },
46814	{ "MAC_PORT_MTIP_PCS_LANE_MAP_6", 0x35fd0, 0 },
46815	{ "MAC_PORT_MTIP_PCS_LANE_MAP_7", 0x35fd4, 0 },
46816	{ "MAC_PORT_MTIP_PCS_LANE_MAP_8", 0x35fd8, 0 },
46817	{ "MAC_PORT_MTIP_PCS_LANE_MAP_9", 0x35fdc, 0 },
46818	{ "MAC_PORT_MTIP_PCS_LANE_MAP_10", 0x35fe0, 0 },
46819	{ "MAC_PORT_MTIP_PCS_LANE_MAP_11", 0x35fe4, 0 },
46820	{ "MAC_PORT_MTIP_PCS_LANE_MAP_12", 0x35fe8, 0 },
46821	{ "MAC_PORT_MTIP_PCS_LANE_MAP_13", 0x35fec, 0 },
46822	{ "MAC_PORT_MTIP_PCS_LANE_MAP_14", 0x35ff0, 0 },
46823	{ "MAC_PORT_MTIP_PCS_LANE_MAP_15", 0x35ff4, 0 },
46824	{ "MAC_PORT_MTIP_PCS_LANE_MAP_16", 0x35ff8, 0 },
46825	{ "MAC_PORT_MTIP_PCS_LANE_MAP_17", 0x35ffc, 0 },
46826	{ "MAC_PORT_MTIP_PCS_LANE_MAP_18", 0x36000, 0 },
46827	{ "MAC_PORT_MTIP_PCS_LANE_MAP_19", 0x36004, 0 },
46828	{ "MAC_PORT_BEAN_CTL", 0x36200, 0 },
46829		{ "AN_RESET", 15, 1 },
46830		{ "EXT_NXP_CTRL", 13, 1 },
46831		{ "BEAN_EN", 12, 1 },
46832		{ "RESTART_BEAN", 9, 1 },
46833	{ "MAC_PORT_BEAN_STATUS", 0x36204, 0 },
46834		{ "PDF", 9, 1 },
46835		{ "EXT_NXP_STATUS", 7, 1 },
46836		{ "PAGE_RCVD", 6, 1 },
46837		{ "BEAN_COMPLETE", 5, 1 },
46838		{ "REM_FAULT_STATUS", 4, 1 },
46839		{ "BEAN_ABILITY", 3, 1 },
46840		{ "LINK_STATUS", 2, 1 },
46841		{ "LP_BEAN_ABILITY", 0, 1 },
46842	{ "MAC_PORT_BEAN_ABILITY_0", 0x36208, 0 },
46843		{ "NXP", 15, 1 },
46844		{ "ACK", 14, 1 },
46845		{ "REM_FAULT", 13, 1 },
46846		{ "PAUSE_ABILITY", 10, 3 },
46847		{ "ECHO_NONCE", 5, 5 },
46848		{ "SELECTOR", 0, 5 },
46849	{ "MAC_PORT_BEAN_ABILITY_1", 0x3620c, 0 },
46850		{ "TECH_ABILITY_1", 5, 11 },
46851		{ "TX_NONCE", 0, 5 },
46852	{ "MAC_PORT_BEAN_ABILITY_2", 0x36210, 0 },
46853		{ "T5_FEC_ABILITY", 14, 2 },
46854		{ "TECH_ABILITY_2", 0, 14 },
46855	{ "MAC_PORT_BEAN_REM_ABILITY_0", 0x36214, 0 },
46856		{ "NXP", 15, 1 },
46857		{ "ACK", 14, 1 },
46858		{ "REM_FAULT", 13, 1 },
46859		{ "PAUSE_ABILITY", 10, 3 },
46860		{ "ECHO_NONCE", 5, 5 },
46861		{ "SELECTOR", 0, 5 },
46862	{ "MAC_PORT_BEAN_REM_ABILITY_1", 0x36218, 0 },
46863		{ "TECH_ABILITY_1", 5, 11 },
46864		{ "TX_NONCE", 0, 5 },
46865	{ "MAC_PORT_BEAN_REM_ABILITY_2", 0x3621c, 0 },
46866		{ "T5_FEC_ABILITY", 14, 2 },
46867		{ "TECH_ABILITY_2", 0, 14 },
46868	{ "MAC_PORT_BEAN_MS_COUNT", 0x36220, 0 },
46869	{ "MAC_PORT_BEAN_XNP_0", 0x36224, 0 },
46870		{ "XNP", 15, 1 },
46871		{ "ACKNOWLEDGE", 14, 1 },
46872		{ "MP", 13, 1 },
46873		{ "ACK2", 12, 1 },
46874		{ "TOGGLE", 11, 1 },
46875		{ "MU", 0, 11 },
46876	{ "MAC_PORT_BEAN_XNP_1", 0x36228, 0 },
46877	{ "MAC_PORT_BEAN_XNP_2", 0x3622c, 0 },
46878	{ "MAC_PORT_LP_BEAN_XNP_0", 0x36230, 0 },
46879		{ "XNP", 15, 1 },
46880		{ "ACKNOWLEDGE", 14, 1 },
46881		{ "MP", 13, 1 },
46882		{ "ACK2", 12, 1 },
46883		{ "TOGGLE", 11, 1 },
46884		{ "MU", 0, 11 },
46885	{ "MAC_PORT_LP_BEAN_XNP_1", 0x36234, 0 },
46886	{ "MAC_PORT_LP_BEAN_XNP_2", 0x36238, 0 },
46887	{ "MAC_PORT_BEAN_ETH_STATUS", 0x3623c, 0 },
46888		{ "100GCR10", 8, 1 },
46889		{ "40GCR4", 6, 1 },
46890		{ "40GKR4", 5, 1 },
46891		{ "FEC", 4, 1 },
46892		{ "10GKR", 3, 1 },
46893		{ "10GKX4", 2, 1 },
46894		{ "1GKX", 1, 1 },
46895	{ "MAC_PORT_BEAN_CTL_LANE1", 0x36240, 0 },
46896		{ "AN_RESET", 15, 1 },
46897		{ "EXT_NXP_CTRL", 13, 1 },
46898		{ "BEAN_EN", 12, 1 },
46899		{ "RESTART_BEAN", 9, 1 },
46900	{ "MAC_PORT_BEAN_STATUS_LANE1", 0x36244, 0 },
46901		{ "PDF", 9, 1 },
46902		{ "EXT_NXP_STATUS", 7, 1 },
46903		{ "PAGE_RCVD", 6, 1 },
46904		{ "BEAN_COMPLETE", 5, 1 },
46905		{ "REM_FAULT_STATUS", 4, 1 },
46906		{ "BEAN_ABILITY", 3, 1 },
46907		{ "LINK_STATUS", 2, 1 },
46908		{ "LP_BEAN_ABILITY", 0, 1 },
46909	{ "MAC_PORT_BEAN_ABILITY_0_LANE1", 0x36248, 0 },
46910		{ "NXP", 15, 1 },
46911		{ "ACK", 14, 1 },
46912		{ "REM_FAULT", 13, 1 },
46913		{ "PAUSE_ABILITY", 10, 3 },
46914		{ "ECHO_NONCE", 5, 5 },
46915		{ "SELECTOR", 0, 5 },
46916	{ "MAC_PORT_BEAN_ABILITY_1_LANE1", 0x3624c, 0 },
46917		{ "TECH_ABILITY_1", 5, 11 },
46918		{ "TX_NONCE", 0, 5 },
46919	{ "MAC_PORT_BEAN_ABILITY_2_LANE1", 0x36250, 0 },
46920		{ "T5_FEC_ABILITY", 14, 2 },
46921		{ "TECH_ABILITY_2", 0, 14 },
46922	{ "MAC_PORT_BEAN_REM_ABILITY_0_LANE1", 0x36254, 0 },
46923		{ "NXP", 15, 1 },
46924		{ "ACK", 14, 1 },
46925		{ "REM_FAULT", 13, 1 },
46926		{ "PAUSE_ABILITY", 10, 3 },
46927		{ "ECHO_NONCE", 5, 5 },
46928		{ "SELECTOR", 0, 5 },
46929	{ "MAC_PORT_BEAN_REM_ABILITY_1_LANE1", 0x36258, 0 },
46930		{ "TECH_ABILITY_1", 5, 11 },
46931		{ "TX_NONCE", 0, 5 },
46932	{ "MAC_PORT_BEAN_REM_ABILITY_2_LANE1", 0x3625c, 0 },
46933		{ "T5_FEC_ABILITY", 14, 2 },
46934		{ "TECH_ABILITY_2", 0, 14 },
46935	{ "MAC_PORT_BEAN_MS_COUNT_LANE1", 0x36260, 0 },
46936	{ "MAC_PORT_BEAN_XNP_0_LANE1", 0x36264, 0 },
46937		{ "XNP", 15, 1 },
46938		{ "ACKNOWLEDGE", 14, 1 },
46939		{ "MP", 13, 1 },
46940		{ "ACK2", 12, 1 },
46941		{ "TOGGLE", 11, 1 },
46942		{ "MU", 0, 11 },
46943	{ "MAC_PORT_BEAN_XNP_1_LANE1", 0x36268, 0 },
46944	{ "MAC_PORT_BEAN_XNP_2_LANE1", 0x3626c, 0 },
46945	{ "MAC_PORT_LP_BEAN_XNP_0_LANE1", 0x36270, 0 },
46946		{ "XNP", 15, 1 },
46947		{ "ACKNOWLEDGE", 14, 1 },
46948		{ "MP", 13, 1 },
46949		{ "ACK2", 12, 1 },
46950		{ "TOGGLE", 11, 1 },
46951		{ "MU", 0, 11 },
46952	{ "MAC_PORT_LP_BEAN_XNP_1_LANE1", 0x36274, 0 },
46953	{ "MAC_PORT_LP_BEAN_XNP_2_LANE1", 0x36278, 0 },
46954	{ "MAC_PORT_BEAN_ETH_STATUS_LANE1", 0x3627c, 0 },
46955		{ "100GCR10", 8, 1 },
46956		{ "40GCR4", 6, 1 },
46957		{ "40GKR4", 5, 1 },
46958		{ "FEC", 4, 1 },
46959		{ "10GKR", 3, 1 },
46960		{ "10GKX4", 2, 1 },
46961		{ "1GKX", 1, 1 },
46962	{ "MAC_PORT_BEAN_CTL_LANE2", 0x36280, 0 },
46963		{ "AN_RESET", 15, 1 },
46964		{ "EXT_NXP_CTRL", 13, 1 },
46965		{ "BEAN_EN", 12, 1 },
46966		{ "RESTART_BEAN", 9, 1 },
46967	{ "MAC_PORT_BEAN_STATUS_LANE2", 0x36284, 0 },
46968		{ "PDF", 9, 1 },
46969		{ "EXT_NXP_STATUS", 7, 1 },
46970		{ "PAGE_RCVD", 6, 1 },
46971		{ "BEAN_COMPLETE", 5, 1 },
46972		{ "REM_FAULT_STATUS", 4, 1 },
46973		{ "BEAN_ABILITY", 3, 1 },
46974		{ "LINK_STATUS", 2, 1 },
46975		{ "LP_BEAN_ABILITY", 0, 1 },
46976	{ "MAC_PORT_BEAN_ABILITY_0_LANE2", 0x36288, 0 },
46977		{ "NXP", 15, 1 },
46978		{ "ACK", 14, 1 },
46979		{ "REM_FAULT", 13, 1 },
46980		{ "PAUSE_ABILITY", 10, 3 },
46981		{ "ECHO_NONCE", 5, 5 },
46982		{ "SELECTOR", 0, 5 },
46983	{ "MAC_PORT_BEAN_ABILITY_1_LANE2", 0x3628c, 0 },
46984		{ "TECH_ABILITY_1", 5, 11 },
46985		{ "TX_NONCE", 0, 5 },
46986	{ "MAC_PORT_BEAN_ABILITY_2_LANE2", 0x36290, 0 },
46987		{ "T5_FEC_ABILITY", 14, 2 },
46988		{ "TECH_ABILITY_2", 0, 14 },
46989	{ "MAC_PORT_BEAN_REM_ABILITY_0_LANE2", 0x36294, 0 },
46990		{ "NXP", 15, 1 },
46991		{ "ACK", 14, 1 },
46992		{ "REM_FAULT", 13, 1 },
46993		{ "PAUSE_ABILITY", 10, 3 },
46994		{ "ECHO_NONCE", 5, 5 },
46995		{ "SELECTOR", 0, 5 },
46996	{ "MAC_PORT_BEAN_REM_ABILITY_1_LANE2", 0x36298, 0 },
46997		{ "TECH_ABILITY_1", 5, 11 },
46998		{ "TX_NONCE", 0, 5 },
46999	{ "MAC_PORT_BEAN_REM_ABILITY_2_LANE2", 0x3629c, 0 },
47000		{ "T5_FEC_ABILITY", 14, 2 },
47001		{ "TECH_ABILITY_2", 0, 14 },
47002	{ "MAC_PORT_BEAN_MS_COUNT_LANE2", 0x362a0, 0 },
47003	{ "MAC_PORT_BEAN_XNP_0_LANE2", 0x362a4, 0 },
47004		{ "XNP", 15, 1 },
47005		{ "ACKNOWLEDGE", 14, 1 },
47006		{ "MP", 13, 1 },
47007		{ "ACK2", 12, 1 },
47008		{ "TOGGLE", 11, 1 },
47009		{ "MU", 0, 11 },
47010	{ "MAC_PORT_BEAN_XNP_1_LANE2", 0x362a8, 0 },
47011	{ "MAC_PORT_BEAN_XNP_2_LANE2", 0x362ac, 0 },
47012	{ "MAC_PORT_LP_BEAN_XNP_0_LANE2", 0x362b0, 0 },
47013		{ "XNP", 15, 1 },
47014		{ "ACKNOWLEDGE", 14, 1 },
47015		{ "MP", 13, 1 },
47016		{ "ACK2", 12, 1 },
47017		{ "TOGGLE", 11, 1 },
47018		{ "MU", 0, 11 },
47019	{ "MAC_PORT_LP_BEAN_XNP_1_LANE2", 0x362b4, 0 },
47020	{ "MAC_PORT_LP_BEAN_XNP_2_LANE2", 0x362b8, 0 },
47021	{ "MAC_PORT_BEAN_ETH_STATUS_LANE2", 0x362bc, 0 },
47022		{ "100GCR10", 8, 1 },
47023		{ "40GCR4", 6, 1 },
47024		{ "40GKR4", 5, 1 },
47025		{ "FEC", 4, 1 },
47026		{ "10GKR", 3, 1 },
47027		{ "10GKX4", 2, 1 },
47028		{ "1GKX", 1, 1 },
47029	{ "MAC_PORT_BEAN_CTL_LANE3", 0x362c0, 0 },
47030		{ "AN_RESET", 15, 1 },
47031		{ "EXT_NXP_CTRL", 13, 1 },
47032		{ "BEAN_EN", 12, 1 },
47033		{ "RESTART_BEAN", 9, 1 },
47034	{ "MAC_PORT_BEAN_STATUS_LANE3", 0x362c4, 0 },
47035		{ "PDF", 9, 1 },
47036		{ "EXT_NXP_STATUS", 7, 1 },
47037		{ "PAGE_RCVD", 6, 1 },
47038		{ "BEAN_COMPLETE", 5, 1 },
47039		{ "REM_FAULT_STATUS", 4, 1 },
47040		{ "BEAN_ABILITY", 3, 1 },
47041		{ "LINK_STATUS", 2, 1 },
47042		{ "LP_BEAN_ABILITY", 0, 1 },
47043	{ "MAC_PORT_BEAN_ABILITY_0_LANE3", 0x362c8, 0 },
47044		{ "NXP", 15, 1 },
47045		{ "ACK", 14, 1 },
47046		{ "REM_FAULT", 13, 1 },
47047		{ "PAUSE_ABILITY", 10, 3 },
47048		{ "ECHO_NONCE", 5, 5 },
47049		{ "SELECTOR", 0, 5 },
47050	{ "MAC_PORT_BEAN_ABILITY_1_LANE3", 0x362cc, 0 },
47051		{ "TECH_ABILITY_1", 5, 11 },
47052		{ "TX_NONCE", 0, 5 },
47053	{ "MAC_PORT_BEAN_ABILITY_2_LANE3", 0x362d0, 0 },
47054		{ "T5_FEC_ABILITY", 14, 2 },
47055		{ "TECH_ABILITY_2", 0, 14 },
47056	{ "MAC_PORT_BEAN_REM_ABILITY_0_LANE3", 0x362d4, 0 },
47057		{ "NXP", 15, 1 },
47058		{ "ACK", 14, 1 },
47059		{ "REM_FAULT", 13, 1 },
47060		{ "PAUSE_ABILITY", 10, 3 },
47061		{ "ECHO_NONCE", 5, 5 },
47062		{ "SELECTOR", 0, 5 },
47063	{ "MAC_PORT_BEAN_REM_ABILITY_1_LANE3", 0x362d8, 0 },
47064		{ "TECH_ABILITY_1", 5, 11 },
47065		{ "TX_NONCE", 0, 5 },
47066	{ "MAC_PORT_BEAN_REM_ABILITY_2_LANE3", 0x362dc, 0 },
47067		{ "T5_FEC_ABILITY", 14, 2 },
47068		{ "TECH_ABILITY_2", 0, 14 },
47069	{ "MAC_PORT_BEAN_MS_COUNT_LANE3", 0x362e0, 0 },
47070	{ "MAC_PORT_BEAN_XNP_0_LANE3", 0x362e4, 0 },
47071		{ "XNP", 15, 1 },
47072		{ "ACKNOWLEDGE", 14, 1 },
47073		{ "MP", 13, 1 },
47074		{ "ACK2", 12, 1 },
47075		{ "TOGGLE", 11, 1 },
47076		{ "MU", 0, 11 },
47077	{ "MAC_PORT_BEAN_XNP_1_LANE3", 0x362e8, 0 },
47078	{ "MAC_PORT_BEAN_XNP_2_LANE3", 0x362ec, 0 },
47079	{ "MAC_PORT_LP_BEAN_XNP_0_LANE3", 0x362f0, 0 },
47080		{ "XNP", 15, 1 },
47081		{ "ACKNOWLEDGE", 14, 1 },
47082		{ "MP", 13, 1 },
47083		{ "ACK2", 12, 1 },
47084		{ "TOGGLE", 11, 1 },
47085		{ "MU", 0, 11 },
47086	{ "MAC_PORT_LP_BEAN_XNP_1_LANE3", 0x362f4, 0 },
47087	{ "MAC_PORT_LP_BEAN_XNP_2_LANE3", 0x362f8, 0 },
47088	{ "MAC_PORT_BEAN_ETH_STATUS_LANE3", 0x362fc, 0 },
47089		{ "100GCR10", 8, 1 },
47090		{ "40GCR4", 6, 1 },
47091		{ "40GKR4", 5, 1 },
47092		{ "FEC", 4, 1 },
47093		{ "10GKR", 3, 1 },
47094		{ "10GKX4", 2, 1 },
47095		{ "1GKX", 1, 1 },
47096	{ "MAC_PORT_FEC_KR_CONTROL", 0x36600, 0 },
47097		{ "enable_tr", 1, 1 },
47098		{ "restart_tr", 0, 1 },
47099	{ "MAC_PORT_FEC_KR_STATUS", 0x36604, 0 },
47100		{ "fecKRsigdet", 15, 1 },
47101		{ "train_fail", 3, 1 },
47102		{ "startup_status", 2, 1 },
47103		{ "frame_lock", 1, 1 },
47104		{ "rx_status", 0, 1 },
47105	{ "MAC_PORT_FEC_KR_LP_COEFF", 0x36608, 0 },
47106		{ "Preset", 13, 1 },
47107		{ "Initialize", 12, 1 },
47108		{ "CP1_UPD", 4, 2 },
47109		{ "C0_UPD", 2, 2 },
47110		{ "CN1_UPD", 0, 2 },
47111	{ "MAC_PORT_FEC_KR_LP_STAT", 0x3660c, 0 },
47112		{ "rx_ready", 15, 1 },
47113		{ "CP1_STAT", 4, 2 },
47114		{ "C0_STAT", 2, 2 },
47115		{ "CN1_STAT", 0, 2 },
47116	{ "MAC_PORT_FEC_KR_LD_COEFF", 0x36610, 0 },
47117		{ "Preset", 13, 1 },
47118		{ "Initialize", 12, 1 },
47119		{ "CP1_UPD", 4, 2 },
47120		{ "C0_UPD", 2, 2 },
47121		{ "CN1_UPD", 0, 2 },
47122	{ "MAC_PORT_FEC_KR_LD_STAT", 0x36614, 0 },
47123		{ "rx_ready", 15, 1 },
47124		{ "CP1_STAT", 4, 2 },
47125		{ "C0_STAT", 2, 2 },
47126		{ "CN1_STAT", 0, 2 },
47127	{ "MAC_PORT_FEC_ABILITY", 0x36618, 0 },
47128		{ "fec_ind_ability", 1, 1 },
47129		{ "ability", 0, 1 },
47130	{ "MAC_PORT_FEC_CONTROL", 0x3661c, 0 },
47131		{ "fec_en_err_ind", 1, 1 },
47132		{ "fec_en", 0, 1 },
47133	{ "MAC_PORT_FEC_STATUS", 0x36620, 0 },
47134		{ "FEC_LOCKED_100", 1, 1 },
47135		{ "FEC_LOCKED", 0, 1 },
47136	{ "MAC_PORT_FEC_CERR_CNT_0", 0x36624, 0 },
47137	{ "MAC_PORT_FEC_CERR_CNT_1", 0x36628, 0 },
47138	{ "MAC_PORT_FEC_NCERR_CNT_0", 0x3662c, 0 },
47139	{ "MAC_PORT_FEC_NCERR_CNT_1", 0x36630, 0 },
47140	{ "MAC_PORT_AE_RX_COEF_REQ", 0x36a00, 0 },
47141		{ "RXREQ_CPRE", 13, 1 },
47142		{ "RXREQ_CINIT", 12, 1 },
47143		{ "T5_RXREQ_C2", 4, 2 },
47144		{ "T5_RXREQ_C1", 2, 2 },
47145		{ "T5_RXREQ_C0", 0, 2 },
47146	{ "MAC_PORT_AE_RX_COEF_STAT", 0x36a04, 0 },
47147		{ "T5_AE0_RXSTAT_RDY", 15, 1 },
47148		{ "T5_AE0_RXSTAT_C2", 4, 2 },
47149		{ "T5_AE0_RXSTAT_C1", 2, 2 },
47150		{ "T5_AE0_RXSTAT_C0", 0, 2 },
47151	{ "MAC_PORT_AE_TX_COEF_REQ", 0x36a08, 0 },
47152		{ "TXREQ_CPRE", 13, 1 },
47153		{ "TXREQ_CINIT", 12, 1 },
47154		{ "T5_TXREQ_C2", 4, 2 },
47155		{ "T5_TXREQ_C1", 2, 2 },
47156		{ "T5_TXREQ_C0", 0, 2 },
47157	{ "MAC_PORT_AE_TX_COEF_STAT", 0x36a0c, 0 },
47158		{ "TXSTAT_RDY", 15, 1 },
47159		{ "T5_TXSTAT_C2", 4, 2 },
47160		{ "T5_TXSTAT_C1", 2, 2 },
47161		{ "T5_TXSTAT_C0", 0, 2 },
47162	{ "MAC_PORT_AE_REG_MODE", 0x36a10, 0 },
47163		{ "AET_RSVD", 7, 1 },
47164		{ "AET_ENABLE", 6, 1 },
47165		{ "MAN_DEC", 4, 2 },
47166		{ "MANUAL_RDY", 3, 1 },
47167		{ "MWT_DISABLE", 2, 1 },
47168		{ "MDIO_OVR", 1, 1 },
47169		{ "STICKY_MODE", 0, 1 },
47170	{ "MAC_PORT_AE_PRBS_CTL", 0x36a14, 0 },
47171		{ "PRBS_CHK_ERRCNT", 8, 8 },
47172		{ "PRBS_SYNCCNT", 5, 3 },
47173		{ "PRBS_CHK_SYNC", 4, 1 },
47174		{ "PRBS_CHK_RST", 3, 1 },
47175		{ "PRBS_CHK_OFF", 2, 1 },
47176		{ "PRBS_GEN_FRCERR", 1, 1 },
47177		{ "PRBS_GEN_OFF", 0, 1 },
47178	{ "MAC_PORT_AE_FSM_CTL", 0x36a18, 0 },
47179		{ "CIN_ENABLE", 15, 1 },
47180		{ "FSM_TR_LCL", 14, 1 },
47181		{ "FSM_GDMRK", 11, 3 },
47182		{ "FSM_BADMRK", 8, 3 },
47183		{ "FSM_TR_FAIL", 7, 1 },
47184		{ "FSM_TR_ACT", 6, 1 },
47185		{ "FSM_FRM_LCK", 5, 1 },
47186		{ "FSM_TR_COMP", 4, 1 },
47187		{ "MC_RX_RDY", 3, 1 },
47188		{ "FSM_CU_DIS", 2, 1 },
47189		{ "FSM_TR_RST", 1, 1 },
47190		{ "FSM_TR_EN", 0, 1 },
47191	{ "MAC_PORT_AE_FSM_STATE", 0x36a1c, 0 },
47192		{ "CC2FSM_STATE", 13, 3 },
47193		{ "CC1FSM_STATE", 10, 3 },
47194		{ "CC0FSM_STATE", 7, 3 },
47195		{ "FLFSM_STATE", 4, 3 },
47196		{ "TFSM_STATE", 0, 3 },
47197	{ "MAC_PORT_AE_RX_COEF_REQ_1", 0x36a20, 0 },
47198		{ "RXREQ_CPRE", 13, 1 },
47199		{ "RXREQ_CINIT", 12, 1 },
47200		{ "T5_RXREQ_C2", 4, 2 },
47201		{ "T5_RXREQ_C1", 2, 2 },
47202		{ "T5_RXREQ_C0", 0, 2 },
47203	{ "MAC_PORT_AE_RX_COEF_STAT_1", 0x36a24, 0 },
47204		{ "T5_AE1_RXSTAT_RDY", 15, 1 },
47205		{ "T5_AE1_RXSTAT_C2", 4, 2 },
47206		{ "T5_AE1_RXSTAT_C1", 2, 2 },
47207		{ "T5_AE1_RXSTAT_C0", 0, 2 },
47208	{ "MAC_PORT_AE_TX_COEF_REQ_1", 0x36a28, 0 },
47209		{ "TXREQ_CPRE", 13, 1 },
47210		{ "TXREQ_CINIT", 12, 1 },
47211		{ "T5_TXREQ_C2", 4, 2 },
47212		{ "T5_TXREQ_C1", 2, 2 },
47213		{ "T5_TXREQ_C0", 0, 2 },
47214	{ "MAC_PORT_AE_TX_COEF_STAT_1", 0x36a2c, 0 },
47215		{ "TXSTAT_RDY", 15, 1 },
47216		{ "T5_TXSTAT_C2", 4, 2 },
47217		{ "T5_TXSTAT_C1", 2, 2 },
47218		{ "T5_TXSTAT_C0", 0, 2 },
47219	{ "MAC_PORT_AE_REG_MODE_1", 0x36a30, 0 },
47220		{ "AET_RSVD", 7, 1 },
47221		{ "AET_ENABLE", 6, 1 },
47222		{ "MAN_DEC", 4, 2 },
47223		{ "MANUAL_RDY", 3, 1 },
47224		{ "MWT_DISABLE", 2, 1 },
47225		{ "MDIO_OVR", 1, 1 },
47226		{ "STICKY_MODE", 0, 1 },
47227	{ "MAC_PORT_AE_PRBS_CTL_1", 0x36a34, 0 },
47228		{ "PRBS_CHK_ERRCNT", 8, 8 },
47229		{ "PRBS_SYNCCNT", 5, 3 },
47230		{ "PRBS_CHK_SYNC", 4, 1 },
47231		{ "PRBS_CHK_RST", 3, 1 },
47232		{ "PRBS_CHK_OFF", 2, 1 },
47233		{ "PRBS_GEN_FRCERR", 1, 1 },
47234		{ "PRBS_GEN_OFF", 0, 1 },
47235	{ "MAC_PORT_AE_FSM_CTL_1", 0x36a38, 0 },
47236		{ "CIN_ENABLE", 15, 1 },
47237		{ "FSM_TR_LCL", 14, 1 },
47238		{ "FSM_GDMRK", 11, 3 },
47239		{ "FSM_BADMRK", 8, 3 },
47240		{ "FSM_TR_FAIL", 7, 1 },
47241		{ "FSM_TR_ACT", 6, 1 },
47242		{ "FSM_FRM_LCK", 5, 1 },
47243		{ "FSM_TR_COMP", 4, 1 },
47244		{ "MC_RX_RDY", 3, 1 },
47245		{ "FSM_CU_DIS", 2, 1 },
47246		{ "FSM_TR_RST", 1, 1 },
47247		{ "FSM_TR_EN", 0, 1 },
47248	{ "MAC_PORT_AE_FSM_STATE_1", 0x36a3c, 0 },
47249		{ "CC2FSM_STATE", 13, 3 },
47250		{ "CC1FSM_STATE", 10, 3 },
47251		{ "CC0FSM_STATE", 7, 3 },
47252		{ "FLFSM_STATE", 4, 3 },
47253		{ "TFSM_STATE", 0, 3 },
47254	{ "MAC_PORT_AE_RX_COEF_REQ_2", 0x36a40, 0 },
47255		{ "RXREQ_CPRE", 13, 1 },
47256		{ "RXREQ_CINIT", 12, 1 },
47257		{ "T5_RXREQ_C2", 4, 2 },
47258		{ "T5_RXREQ_C1", 2, 2 },
47259		{ "T5_RXREQ_C0", 0, 2 },
47260	{ "MAC_PORT_AE_RX_COEF_STAT_2", 0x36a44, 0 },
47261		{ "T5_AE2_RXSTAT_RDY", 15, 1 },
47262		{ "T5_AE2_RXSTAT_C2", 4, 2 },
47263		{ "T5_AE2_RXSTAT_C1", 2, 2 },
47264		{ "T5_AE2_RXSTAT_C0", 0, 2 },
47265	{ "MAC_PORT_AE_TX_COEF_REQ_2", 0x36a48, 0 },
47266		{ "TXREQ_CPRE", 13, 1 },
47267		{ "TXREQ_CINIT", 12, 1 },
47268		{ "T5_TXREQ_C2", 4, 2 },
47269		{ "T5_TXREQ_C1", 2, 2 },
47270		{ "T5_TXREQ_C0", 0, 2 },
47271	{ "MAC_PORT_AE_TX_COEF_STAT_2", 0x36a4c, 0 },
47272		{ "TXSTAT_RDY", 15, 1 },
47273		{ "T5_TXSTAT_C2", 4, 2 },
47274		{ "T5_TXSTAT_C1", 2, 2 },
47275		{ "T5_TXSTAT_C0", 0, 2 },
47276	{ "MAC_PORT_AE_REG_MODE_2", 0x36a50, 0 },
47277		{ "AET_RSVD", 7, 1 },
47278		{ "AET_ENABLE", 6, 1 },
47279		{ "MAN_DEC", 4, 2 },
47280		{ "MANUAL_RDY", 3, 1 },
47281		{ "MWT_DISABLE", 2, 1 },
47282		{ "MDIO_OVR", 1, 1 },
47283		{ "STICKY_MODE", 0, 1 },
47284	{ "MAC_PORT_AE_PRBS_CTL_2", 0x36a54, 0 },
47285		{ "PRBS_CHK_ERRCNT", 8, 8 },
47286		{ "PRBS_SYNCCNT", 5, 3 },
47287		{ "PRBS_CHK_SYNC", 4, 1 },
47288		{ "PRBS_CHK_RST", 3, 1 },
47289		{ "PRBS_CHK_OFF", 2, 1 },
47290		{ "PRBS_GEN_FRCERR", 1, 1 },
47291		{ "PRBS_GEN_OFF", 0, 1 },
47292	{ "MAC_PORT_AE_FSM_CTL_2", 0x36a58, 0 },
47293		{ "CIN_ENABLE", 15, 1 },
47294		{ "FSM_TR_LCL", 14, 1 },
47295		{ "FSM_GDMRK", 11, 3 },
47296		{ "FSM_BADMRK", 8, 3 },
47297		{ "FSM_TR_FAIL", 7, 1 },
47298		{ "FSM_TR_ACT", 6, 1 },
47299		{ "FSM_FRM_LCK", 5, 1 },
47300		{ "FSM_TR_COMP", 4, 1 },
47301		{ "MC_RX_RDY", 3, 1 },
47302		{ "FSM_CU_DIS", 2, 1 },
47303		{ "FSM_TR_RST", 1, 1 },
47304		{ "FSM_TR_EN", 0, 1 },
47305	{ "MAC_PORT_AE_FSM_STATE_2", 0x36a5c, 0 },
47306		{ "CC2FSM_STATE", 13, 3 },
47307		{ "CC1FSM_STATE", 10, 3 },
47308		{ "CC0FSM_STATE", 7, 3 },
47309		{ "FLFSM_STATE", 4, 3 },
47310		{ "TFSM_STATE", 0, 3 },
47311	{ "MAC_PORT_AE_RX_COEF_REQ_3", 0x36a60, 0 },
47312		{ "RXREQ_CPRE", 13, 1 },
47313		{ "RXREQ_CINIT", 12, 1 },
47314		{ "T5_RXREQ_C2", 4, 2 },
47315		{ "T5_RXREQ_C1", 2, 2 },
47316		{ "T5_RXREQ_C0", 0, 2 },
47317	{ "MAC_PORT_AE_RX_COEF_STAT_3", 0x36a64, 0 },
47318		{ "T5_AE3_RXSTAT_RDY", 15, 1 },
47319		{ "T5_AE3_RXSTAT_C2", 4, 2 },
47320		{ "T5_AE3_RXSTAT_C1", 2, 2 },
47321		{ "T5_AE3_RXSTAT_C0", 0, 2 },
47322	{ "MAC_PORT_AE_TX_COEF_REQ_3", 0x36a68, 0 },
47323		{ "TXREQ_CPRE", 13, 1 },
47324		{ "TXREQ_CINIT", 12, 1 },
47325		{ "T5_TXREQ_C2", 4, 2 },
47326		{ "T5_TXREQ_C1", 2, 2 },
47327		{ "T5_TXREQ_C0", 0, 2 },
47328	{ "MAC_PORT_AE_TX_COEF_STAT_3", 0x36a6c, 0 },
47329		{ "TXSTAT_RDY", 15, 1 },
47330		{ "T5_TXSTAT_C2", 4, 2 },
47331		{ "T5_TXSTAT_C1", 2, 2 },
47332		{ "T5_TXSTAT_C0", 0, 2 },
47333	{ "MAC_PORT_AE_REG_MODE_3", 0x36a70, 0 },
47334		{ "AET_RSVD", 7, 1 },
47335		{ "AET_ENABLE", 6, 1 },
47336		{ "MAN_DEC", 4, 2 },
47337		{ "MANUAL_RDY", 3, 1 },
47338		{ "MWT_DISABLE", 2, 1 },
47339		{ "MDIO_OVR", 1, 1 },
47340		{ "STICKY_MODE", 0, 1 },
47341	{ "MAC_PORT_AE_PRBS_CTL_3", 0x36a74, 0 },
47342		{ "PRBS_CHK_ERRCNT", 8, 8 },
47343		{ "PRBS_SYNCCNT", 5, 3 },
47344		{ "PRBS_CHK_SYNC", 4, 1 },
47345		{ "PRBS_CHK_RST", 3, 1 },
47346		{ "PRBS_CHK_OFF", 2, 1 },
47347		{ "PRBS_GEN_FRCERR", 1, 1 },
47348		{ "PRBS_GEN_OFF", 0, 1 },
47349	{ "MAC_PORT_AE_FSM_CTL_3", 0x36a78, 0 },
47350		{ "CIN_ENABLE", 15, 1 },
47351		{ "FSM_TR_LCL", 14, 1 },
47352		{ "FSM_GDMRK", 11, 3 },
47353		{ "FSM_BADMRK", 8, 3 },
47354		{ "FSM_TR_FAIL", 7, 1 },
47355		{ "FSM_TR_ACT", 6, 1 },
47356		{ "FSM_FRM_LCK", 5, 1 },
47357		{ "FSM_TR_COMP", 4, 1 },
47358		{ "MC_RX_RDY", 3, 1 },
47359		{ "FSM_CU_DIS", 2, 1 },
47360		{ "FSM_TR_RST", 1, 1 },
47361		{ "FSM_TR_EN", 0, 1 },
47362	{ "MAC_PORT_AE_FSM_STATE_3", 0x36a7c, 0 },
47363		{ "CC2FSM_STATE", 13, 3 },
47364		{ "CC1FSM_STATE", 10, 3 },
47365		{ "CC0FSM_STATE", 7, 3 },
47366		{ "FLFSM_STATE", 4, 3 },
47367		{ "TFSM_STATE", 0, 3 },
47368	{ "MAC_PORT_AE_TX_DIS", 0x36a80, 0 },
47369	{ "MAC_PORT_AE_KR_CTRL", 0x36a84, 0 },
47370		{ "Training_Enable", 1, 1 },
47371		{ "Restart_Training", 0, 1 },
47372	{ "MAC_PORT_AE_RX_SIGDET", 0x36a88, 0 },
47373	{ "MAC_PORT_AE_KR_STATUS", 0x36a8c, 0 },
47374		{ "Training_Failure", 3, 1 },
47375		{ "Training", 2, 1 },
47376		{ "Frame_Lock", 1, 1 },
47377		{ "RX_Trained", 0, 1 },
47378	{ "MAC_PORT_AE_TX_DIS_1", 0x36a90, 0 },
47379	{ "MAC_PORT_AE_KR_CTRL_1", 0x36a94, 0 },
47380		{ "Training_Enable", 1, 1 },
47381		{ "Restart_Training", 0, 1 },
47382	{ "MAC_PORT_AE_RX_SIGDET_1", 0x36a98, 0 },
47383	{ "MAC_PORT_AE_KR_STATUS_1", 0x36a9c, 0 },
47384		{ "Training_Failure", 3, 1 },
47385		{ "Training", 2, 1 },
47386		{ "Frame_Lock", 1, 1 },
47387		{ "RX_Trained", 0, 1 },
47388	{ "MAC_PORT_AE_TX_DIS_2", 0x36aa0, 0 },
47389	{ "MAC_PORT_AE_KR_CTRL_2", 0x36aa4, 0 },
47390		{ "Training_Enable", 1, 1 },
47391		{ "Restart_Training", 0, 1 },
47392	{ "MAC_PORT_AE_RX_SIGDET_2", 0x36aa8, 0 },
47393	{ "MAC_PORT_AE_KR_STATUS_2", 0x36aac, 0 },
47394		{ "Training_Failure", 3, 1 },
47395		{ "Training", 2, 1 },
47396		{ "Frame_Lock", 1, 1 },
47397		{ "RX_Trained", 0, 1 },
47398	{ "MAC_PORT_AE_TX_DIS_3", 0x36ab0, 0 },
47399	{ "MAC_PORT_AE_KR_CTRL_3", 0x36ab4, 0 },
47400		{ "Training_Enable", 1, 1 },
47401		{ "Restart_Training", 0, 1 },
47402	{ "MAC_PORT_AE_RX_SIGDET_3", 0x36ab8, 0 },
47403	{ "MAC_PORT_AE_KR_STATUS_3", 0x36abc, 0 },
47404		{ "Training_Failure", 3, 1 },
47405		{ "Training", 2, 1 },
47406		{ "Frame_Lock", 1, 1 },
47407		{ "RX_Trained", 0, 1 },
47408	{ "MAC_PORT_AET_STAGE_CONFIGURATION_0", 0x36b00, 0 },
47409		{ "EN_HOLD_FAIL", 14, 1 },
47410		{ "INIT_METH", 12, 2 },
47411		{ "CE_DECS", 8, 4 },
47412		{ "EN_ZFE", 7, 1 },
47413		{ "EN_GAIN_TOG", 6, 1 },
47414		{ "EN_AI_C1", 5, 1 },
47415		{ "EN_MAX_ST", 4, 1 },
47416		{ "EN_H1T_EQ", 3, 1 },
47417		{ "H1TEQ_GOAL", 0, 3 },
47418	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_0", 0x36b04, 0 },
47419		{ "GAIN_TH", 6, 5 },
47420		{ "EN_SD_TH", 5, 1 },
47421		{ "EN_AMIN_TH", 4, 1 },
47422		{ "AMIN_TH", 0, 4 },
47423	{ "MAC_PORT_AET_ZFE_LIMITS_0", 0x36b08, 0 },
47424		{ "ACC_LIM", 8, 4 },
47425		{ "CNV_LIM", 4, 4 },
47426		{ "TOG_LIM", 0, 4 },
47427	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_0", 0x36b0c, 0 },
47428		{ "BOOT_LUT7", 12, 4 },
47429		{ "BOOT_LUT6", 8, 4 },
47430		{ "BOOT_LUT45", 4, 4 },
47431		{ "BOOT_LUT0123", 2, 2 },
47432		{ "BOOT_DEC_C0", 1, 1 },
47433	{ "MAC_PORT_AET_STATUS_0", 0x36b10, 0 },
47434		{ "AET_STAT", 9, 4 },
47435		{ "NEU_STATE", 5, 4 },
47436		{ "CTRL_STATE", 0, 5 },
47437	{ "MAC_PORT_AET_STAGE_CONFIGURATION_1", 0x36b20, 0 },
47438		{ "EN_HOLD_FAIL", 14, 1 },
47439		{ "INIT_METH", 12, 2 },
47440		{ "CE_DECS", 8, 4 },
47441		{ "EN_ZFE", 7, 1 },
47442		{ "EN_GAIN_TOG", 6, 1 },
47443		{ "EN_AI_C1", 5, 1 },
47444		{ "EN_MAX_ST", 4, 1 },
47445		{ "EN_H1T_EQ", 3, 1 },
47446		{ "H1TEQ_GOAL", 0, 3 },
47447	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_1", 0x36b24, 0 },
47448		{ "GAIN_TH", 6, 5 },
47449		{ "EN_SD_TH", 5, 1 },
47450		{ "EN_AMIN_TH", 4, 1 },
47451		{ "AMIN_TH", 0, 4 },
47452	{ "MAC_PORT_AET_ZFE_LIMITS_1", 0x36b28, 0 },
47453		{ "ACC_LIM", 8, 4 },
47454		{ "CNV_LIM", 4, 4 },
47455		{ "TOG_LIM", 0, 4 },
47456	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_1", 0x36b2c, 0 },
47457		{ "BOOT_LUT7", 12, 4 },
47458		{ "BOOT_LUT6", 8, 4 },
47459		{ "BOOT_LUT45", 4, 4 },
47460		{ "BOOT_LUT0123", 2, 2 },
47461		{ "BOOT_DEC_C0", 1, 1 },
47462	{ "MAC_PORT_AET_STATUS_1", 0x36b30, 0 },
47463		{ "AET_STAT", 9, 4 },
47464		{ "NEU_STATE", 5, 4 },
47465		{ "CTRL_STATE", 0, 5 },
47466	{ "MAC_PORT_AET_STAGE_CONFIGURATION_2", 0x36b40, 0 },
47467		{ "EN_HOLD_FAIL", 14, 1 },
47468		{ "INIT_METH", 12, 2 },
47469		{ "CE_DECS", 8, 4 },
47470		{ "EN_ZFE", 7, 1 },
47471		{ "EN_GAIN_TOG", 6, 1 },
47472		{ "EN_AI_C1", 5, 1 },
47473		{ "EN_MAX_ST", 4, 1 },
47474		{ "EN_H1T_EQ", 3, 1 },
47475		{ "H1TEQ_GOAL", 0, 3 },
47476	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_2", 0x36b44, 0 },
47477		{ "GAIN_TH", 6, 5 },
47478		{ "EN_SD_TH", 5, 1 },
47479		{ "EN_AMIN_TH", 4, 1 },
47480		{ "AMIN_TH", 0, 4 },
47481	{ "MAC_PORT_AET_ZFE_LIMITS_2", 0x36b48, 0 },
47482		{ "ACC_LIM", 8, 4 },
47483		{ "CNV_LIM", 4, 4 },
47484		{ "TOG_LIM", 0, 4 },
47485	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_2", 0x36b4c, 0 },
47486		{ "BOOT_LUT7", 12, 4 },
47487		{ "BOOT_LUT6", 8, 4 },
47488		{ "BOOT_LUT45", 4, 4 },
47489		{ "BOOT_LUT0123", 2, 2 },
47490		{ "BOOT_DEC_C0", 1, 1 },
47491	{ "MAC_PORT_AET_STATUS_2", 0x36b50, 0 },
47492		{ "AET_STAT", 9, 4 },
47493		{ "NEU_STATE", 5, 4 },
47494		{ "CTRL_STATE", 0, 5 },
47495	{ "MAC_PORT_AET_STAGE_CONFIGURATION_3", 0x36b60, 0 },
47496		{ "EN_HOLD_FAIL", 14, 1 },
47497		{ "INIT_METH", 12, 2 },
47498		{ "CE_DECS", 8, 4 },
47499		{ "EN_ZFE", 7, 1 },
47500		{ "EN_GAIN_TOG", 6, 1 },
47501		{ "EN_AI_C1", 5, 1 },
47502		{ "EN_MAX_ST", 4, 1 },
47503		{ "EN_H1T_EQ", 3, 1 },
47504		{ "H1TEQ_GOAL", 0, 3 },
47505	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_3", 0x36b64, 0 },
47506		{ "GAIN_TH", 6, 5 },
47507		{ "EN_SD_TH", 5, 1 },
47508		{ "EN_AMIN_TH", 4, 1 },
47509		{ "AMIN_TH", 0, 4 },
47510	{ "MAC_PORT_AET_ZFE_LIMITS_3", 0x36b68, 0 },
47511		{ "ACC_LIM", 8, 4 },
47512		{ "CNV_LIM", 4, 4 },
47513		{ "TOG_LIM", 0, 4 },
47514	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_3", 0x36b6c, 0 },
47515		{ "BOOT_LUT7", 12, 4 },
47516		{ "BOOT_LUT6", 8, 4 },
47517		{ "BOOT_LUT45", 4, 4 },
47518		{ "BOOT_LUT0123", 2, 2 },
47519		{ "BOOT_DEC_C0", 1, 1 },
47520	{ "MAC_PORT_AET_STATUS_3", 0x36b70, 0 },
47521		{ "AET_STAT", 9, 4 },
47522		{ "NEU_STATE", 5, 4 },
47523		{ "CTRL_STATE", 0, 5 },
47524	{ "MAC_PORT_ANALOG_TEST_MUX", 0x37814, 0 },
47525	{ "MAC_PORT_BANDGAP_CONTROL", 0x3782c, 0 },
47526	{ "MAC_PORT_RESISTOR_CALIBRATION_CONTROL", 0x37880, 0 },
47527		{ "RCCTL1", 5, 1 },
47528		{ "RCCTL0", 4, 1 },
47529		{ "RCAMP1", 3, 1 },
47530		{ "RCAMP0", 2, 1 },
47531		{ "RCAMPEN", 1, 1 },
47532		{ "RCRST", 0, 1 },
47533	{ "MAC_PORT_RESISTOR_CALIBRATION_STATUS_1", 0x37884, 0 },
47534		{ "RCERR", 1, 1 },
47535		{ "RCCOMP", 0, 1 },
47536	{ "MAC_PORT_RESISTOR_CALIBRATION_STATUS_2", 0x37888, 0 },
47537	{ "MAC_PORT_RESISTOR_CALIBRATION_STATUS_3", 0x3788c, 0 },
47538	{ "MAC_PORT_MACRO_TEST_CONTROL_6", 0x378e8, 0 },
47539		{ "LBIST", 7, 1 },
47540		{ "LOGICTEST", 6, 1 },
47541		{ "MAVDHI", 5, 1 },
47542		{ "AUXEN", 4, 1 },
47543		{ "JTAGMD", 3, 1 },
47544		{ "RXACMODE", 2, 1 },
47545		{ "HSSACJPC", 1, 1 },
47546		{ "HSSACJAC", 0, 1 },
47547	{ "MAC_PORT_MACRO_TEST_CONTROL_5", 0x378ec, 0 },
47548		{ "REFVALIDD", 6, 1 },
47549		{ "REFVALIDC", 5, 1 },
47550		{ "REFVALIDB", 4, 1 },
47551		{ "REFVALIDA", 3, 1 },
47552		{ "REFSELRESET", 2, 1 },
47553		{ "SOFTRESET", 1, 1 },
47554		{ "MACROTEST", 0, 1 },
47555	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_0", 0x37b00, 0 },
47556	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_1", 0x37b04, 0 },
47557		{ "LDET", 4, 1 },
47558		{ "CCERR", 3, 1 },
47559		{ "CCCMP", 2, 1 },
47560	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_2", 0x37b08, 0 },
47561	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_3", 0x37b0c, 0 },
47562		{ "FMIN", 3, 1 },
47563		{ "FMAX", 2, 1 },
47564		{ "CVHOLD", 1, 1 },
47565	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_4", 0x37b10, 0 },
47566		{ "CMETH", 2, 1 },
47567		{ "RECAL", 1, 1 },
47568		{ "CCLD", 0, 1 },
47569	{ "MAC_PORT_PLLA_CHARGE_PUMP_CONTROL", 0x37b28, 0 },
47570	{ "MAC_PORT_PLLA_PCLK_CONTROL", 0x37b3c, 0 },
47571		{ "SPEDIV", 3, 5 },
47572		{ "PCKSEL", 0, 3 },
47573	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_CONTROL", 0x37b40, 0 },
47574		{ "EMIL", 2, 1 },
47575		{ "EMID", 1, 1 },
47576		{ "EMIS", 0, 1 },
47577	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_1", 0x37b44, 0 },
47578	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_2", 0x37b48, 0 },
47579	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_3", 0x37b4c, 0 },
47580	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_4", 0x37b50, 0 },
47581	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_4", 0x37bf0, 0 },
47582		{ "VBST", 1, 3 },
47583	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_3", 0x37bf4, 0 },
47584		{ "RESYNC", 6, 1 },
47585		{ "RXCLKSEL", 5, 1 },
47586		{ "FRCBAND", 4, 1 },
47587		{ "PLLBYP", 3, 1 },
47588		{ "PDWNP", 2, 1 },
47589		{ "VCOSEL", 1, 1 },
47590		{ "DIVSEL8", 0, 1 },
47591	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_2", 0x37bf8, 0 },
47592	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_1", 0x37bfc, 0 },
47593	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_0", 0x37c00, 0 },
47594	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_1", 0x37c04, 0 },
47595		{ "LDET", 4, 1 },
47596		{ "CCERR", 3, 1 },
47597		{ "CCCMP", 2, 1 },
47598	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_2", 0x37c08, 0 },
47599	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_3", 0x37c0c, 0 },
47600		{ "FMIN", 3, 1 },
47601		{ "FMAX", 2, 1 },
47602		{ "CVHOLD", 1, 1 },
47603	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_4", 0x37c10, 0 },
47604		{ "CMETH", 2, 1 },
47605		{ "RECAL", 1, 1 },
47606		{ "CCLD", 0, 1 },
47607	{ "MAC_PORT_PLLB_CHARGE_PUMP_CONTROL", 0x37c28, 0 },
47608	{ "MAC_PORT_PLLB_PCLK_CONTROL", 0x37c3c, 0 },
47609		{ "SPEDIV", 3, 5 },
47610		{ "PCKSEL", 0, 3 },
47611	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_CONTROL", 0x37c40, 0 },
47612		{ "EMIL", 2, 1 },
47613		{ "EMID", 1, 1 },
47614		{ "EMIS", 0, 1 },
47615	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_1", 0x37c44, 0 },
47616	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_2", 0x37c48, 0 },
47617	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_3", 0x37c4c, 0 },
47618	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_4", 0x37c50, 0 },
47619	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_4", 0x37cf0, 0 },
47620		{ "VBST", 1, 3 },
47621	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_3", 0x37cf4, 0 },
47622		{ "RESYNC", 6, 1 },
47623		{ "RXCLKSEL", 5, 1 },
47624		{ "FRCBAND", 4, 1 },
47625		{ "PLLBYP", 3, 1 },
47626		{ "PDWNP", 2, 1 },
47627		{ "VCOSEL", 1, 1 },
47628		{ "DIVSEL8", 0, 1 },
47629	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_2", 0x37cf8, 0 },
47630	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_1", 0x37cfc, 0 },
47631	{ "MAC_PORT_TX_LINKA_TRANSMIT_CONFIGURATION_MODE", 0x37000, 0 },
47632		{ "T5_TX_LINKEN", 15, 1 },
47633		{ "T5_TX_LINKRST", 14, 1 },
47634		{ "T5_TX_CFGWRT", 13, 1 },
47635		{ "T5_TX_CFGPTR", 11, 2 },
47636		{ "T5_TX_CFGEXT", 10, 1 },
47637		{ "T5_TX_CFGACT", 9, 1 },
47638		{ "T5_TX_RSYNCC", 8, 1 },
47639		{ "T5_TX_PLLSEL", 6, 2 },
47640		{ "T5_TX_EXTC16", 5, 1 },
47641		{ "T5_TX_DCKSEL", 4, 1 },
47642		{ "T5_TX_RXLOOP", 3, 1 },
47643		{ "T5_TX_BWSEL", 2, 1 },
47644		{ "T5_TX_RTSEL", 0, 2 },
47645	{ "MAC_PORT_TX_LINKA_TRANSMIT_TEST_CONTROL", 0x37004, 0 },
47646		{ "SPSEL", 11, 3 },
47647		{ "AFDWEN", 7, 1 },
47648		{ "PRST", 4, 1 },
47649		{ "TPGMD", 3, 1 },
47650		{ "TPSEL", 0, 3 },
47651	{ "MAC_PORT_TX_LINKA_TRANSMIT_COEFFICIENT_CONTROL", 0x37008, 0 },
47652		{ "ZCALOVRD", 8, 1 },
47653		{ "AMMODE", 7, 1 },
47654		{ "AEPOL", 6, 1 },
47655		{ "AESRC", 5, 1 },
47656		{ "EQMODE", 4, 1 },
47657		{ "OCOEF", 3, 1 },
47658		{ "COEFRST", 2, 1 },
47659		{ "ALOAD", 0, 1 },
47660	{ "MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_MODE_CONTROL", 0x3700c, 0 },
47661		{ "T5DRVHIZ", 5, 1 },
47662		{ "T5SASIMP", 4, 1 },
47663		{ "T5SLEW", 2, 2 },
47664	{ "MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x37010, 0 },
47665		{ "T5C2BUFDCEN", 5, 1 },
47666		{ "T5DCCEN", 4, 1 },
47667		{ "T5REGBYP", 3, 1 },
47668		{ "T5REGAEN", 2, 1 },
47669		{ "T5REGAMP", 0, 2 },
47670	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x37014, 0 },
47671		{ "RSTEP", 15, 1 },
47672		{ "RLOCK", 14, 1 },
47673		{ "RPOS", 8, 6 },
47674		{ "DCLKSAM", 7, 1 },
47675	{ "MAC_PORT_TX_LINKA_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x37018, 0 },
47676		{ "CALSSTN", 3, 3 },
47677		{ "CALSSTP", 0, 3 },
47678	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3701c, 0 },
47679	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT", 0x37020, 0 },
47680	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT", 0x37024, 0 },
47681	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT", 0x37028, 0 },
47682	{ "MAC_PORT_TX_LINKA_TRANSMIT_AMPLITUDE", 0x37030, 0 },
47683	{ "MAC_PORT_TX_LINKA_TRANSMIT_POLARITY", 0x37034, 0 },
47684		{ "TXPOL", 4, 3 },
47685		{ "NXTPOL", 0, 3 },
47686	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x37038, 0 },
47687		{ "CPREST", 13, 1 },
47688		{ "CINIT", 12, 1 },
47689		{ "C2UPDT", 4, 2 },
47690		{ "C1UPDT", 2, 2 },
47691		{ "C0UPDT", 0, 2 },
47692	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3703c, 0 },
47693		{ "C2STAT", 4, 2 },
47694		{ "C1STAT", 2, 2 },
47695		{ "C0STAT", 0, 2 },
47696	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x37040, 0 },
47697	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x37044, 0 },
47698	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x37048, 0 },
47699	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x37060, 0 },
47700	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x37064, 0 },
47701	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x37068, 0 },
47702	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x37070, 0 },
47703		{ "MAINSC", 6, 6 },
47704		{ "POSTSC", 0, 6 },
47705	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x37074, 0 },
47706	{ "MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x37078, 0 },
47707	{ "MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3707c, 0 },
47708		{ "T5XADDR", 1, 5 },
47709		{ "T5XWR", 0, 1 },
47710	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x37080, 0 },
47711	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x37084, 0 },
47712	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x37088, 0 },
47713	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_CONTROL", 0x3708c, 0 },
47714		{ "DCCTIMEDOUT", 15, 1 },
47715		{ "DCCTIMEEN", 14, 1 },
47716		{ "DCCLOCK", 13, 1 },
47717		{ "DCCOFFSET", 8, 5 },
47718		{ "DCCSTEP", 6, 2 },
47719		{ "DCCASTEP", 1, 5 },
47720		{ "DCCAEN", 0, 1 },
47721	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_OVERRIDE", 0x37090, 0 },
47722		{ "DCCOUT", 12, 1 },
47723		{ "DCCCLK", 11, 1 },
47724		{ "DCCHOLD", 10, 1 },
47725		{ "DCCSIGN", 8, 2 },
47726		{ "DCCAMP", 1, 7 },
47727		{ "DCCOEN", 0, 1 },
47728	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_APPLIED", 0x37094, 0 },
47729		{ "DCCASIGN", 7, 2 },
47730		{ "DCCAAMP", 0, 7 },
47731	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_TIME_OUT", 0x37098, 0 },
47732	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AZ_CONTROL", 0x3709c, 0 },
47733		{ "LPIDCLK", 4, 1 },
47734		{ "LPITERM", 2, 2 },
47735		{ "LPIPRCD", 0, 2 },
47736	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_4", 0x370f0, 0 },
47737		{ "SDOVRDEN", 8, 1 },
47738		{ "SDOVRD", 0, 8 },
47739	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_3", 0x370f4, 0 },
47740		{ "SLEWCODE", 1, 2 },
47741		{ "ASEGEN", 0, 1 },
47742	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_2", 0x370f8, 0 },
47743		{ "AECMDVAL", 14, 1 },
47744		{ "AECMD1312", 12, 2 },
47745		{ "AECMD70", 0, 8 },
47746	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_1", 0x370fc, 0 },
47747		{ "C48DIVCTL", 12, 3 },
47748		{ "RATEDIVCTL", 9, 3 },
47749		{ "ANLGFLSH", 8, 1 },
47750		{ "DCCTSTOUT", 7, 1 },
47751		{ "BSOUT", 6, 1 },
47752		{ "BSIN", 5, 1 },
47753		{ "JTAGAMPL", 3, 2 },
47754		{ "JTAGTS", 2, 1 },
47755		{ "TS", 1, 1 },
47756		{ "OBS", 0, 1 },
47757	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x34000, 0 },
47758	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x34008, 0 },
47759	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x34010, 0 },
47760		{ "C0MAX", 8, 5 },
47761		{ "C0MIN", 0, 5 },
47762	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x34018, 0 },
47763	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x34020, 0 },
47764		{ "C1MAX", 8, 7 },
47765		{ "C1MIN", 0, 7 },
47766	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x34028, 0 },
47767	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x34030, 0 },
47768		{ "C2MAX", 8, 6 },
47769		{ "C2MIN", 0, 6 },
47770	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x34038, 0 },
47771	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x34040, 0 },
47772	{ "MAC_PORT_TX_LINKB_TRANSMIT_CONFIGURATION_MODE", 0x37100, 0 },
47773		{ "T5_TX_LINKEN", 15, 1 },
47774		{ "T5_TX_LINKRST", 14, 1 },
47775		{ "T5_TX_CFGWRT", 13, 1 },
47776		{ "T5_TX_CFGPTR", 11, 2 },
47777		{ "T5_TX_CFGEXT", 10, 1 },
47778		{ "T5_TX_CFGACT", 9, 1 },
47779		{ "T5_TX_RSYNCC", 8, 1 },
47780		{ "T5_TX_PLLSEL", 6, 2 },
47781		{ "T5_TX_EXTC16", 5, 1 },
47782		{ "T5_TX_DCKSEL", 4, 1 },
47783		{ "T5_TX_RXLOOP", 3, 1 },
47784		{ "T5_TX_BWSEL", 2, 1 },
47785		{ "T5_TX_RTSEL", 0, 2 },
47786	{ "MAC_PORT_TX_LINKB_TRANSMIT_TEST_CONTROL", 0x37104, 0 },
47787		{ "SPSEL", 11, 3 },
47788		{ "AFDWEN", 7, 1 },
47789		{ "PRST", 4, 1 },
47790		{ "TPGMD", 3, 1 },
47791		{ "TPSEL", 0, 3 },
47792	{ "MAC_PORT_TX_LINKB_TRANSMIT_COEFFICIENT_CONTROL", 0x37108, 0 },
47793		{ "ZCALOVRD", 8, 1 },
47794		{ "AMMODE", 7, 1 },
47795		{ "AEPOL", 6, 1 },
47796		{ "AESRC", 5, 1 },
47797		{ "EQMODE", 4, 1 },
47798		{ "OCOEF", 3, 1 },
47799		{ "COEFRST", 2, 1 },
47800		{ "ALOAD", 0, 1 },
47801	{ "MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_MODE_CONTROL", 0x3710c, 0 },
47802		{ "T5DRVHIZ", 5, 1 },
47803		{ "T5SASIMP", 4, 1 },
47804		{ "T5SLEW", 2, 2 },
47805	{ "MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x37110, 0 },
47806		{ "T5C2BUFDCEN", 5, 1 },
47807		{ "T5DCCEN", 4, 1 },
47808		{ "T5REGBYP", 3, 1 },
47809		{ "T5REGAEN", 2, 1 },
47810		{ "T5REGAMP", 0, 2 },
47811	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x37114, 0 },
47812		{ "RSTEP", 15, 1 },
47813		{ "RLOCK", 14, 1 },
47814		{ "RPOS", 8, 6 },
47815		{ "DCLKSAM", 7, 1 },
47816	{ "MAC_PORT_TX_LINKB_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x37118, 0 },
47817		{ "CALSSTN", 3, 3 },
47818		{ "CALSSTP", 0, 3 },
47819	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3711c, 0 },
47820	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT", 0x37120, 0 },
47821	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT", 0x37124, 0 },
47822	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT", 0x37128, 0 },
47823	{ "MAC_PORT_TX_LINKB_TRANSMIT_AMPLITUDE", 0x37130, 0 },
47824	{ "MAC_PORT_TX_LINKB_TRANSMIT_POLARITY", 0x37134, 0 },
47825		{ "TXPOL", 4, 3 },
47826		{ "NXTPOL", 0, 3 },
47827	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x37138, 0 },
47828		{ "CPREST", 13, 1 },
47829		{ "CINIT", 12, 1 },
47830		{ "C2UPDT", 4, 2 },
47831		{ "C1UPDT", 2, 2 },
47832		{ "C0UPDT", 0, 2 },
47833	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3713c, 0 },
47834		{ "C2STAT", 4, 2 },
47835		{ "C1STAT", 2, 2 },
47836		{ "C0STAT", 0, 2 },
47837	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x37140, 0 },
47838	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x37144, 0 },
47839	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x37148, 0 },
47840	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x37160, 0 },
47841	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x37164, 0 },
47842	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x37168, 0 },
47843	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x37170, 0 },
47844		{ "MAINSC", 6, 6 },
47845		{ "POSTSC", 0, 6 },
47846	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x37174, 0 },
47847	{ "MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x37178, 0 },
47848	{ "MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3717c, 0 },
47849		{ "T5XADDR", 1, 5 },
47850		{ "T5XWR", 0, 1 },
47851	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x37180, 0 },
47852	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x37184, 0 },
47853	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x37188, 0 },
47854	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_CONTROL", 0x3718c, 0 },
47855		{ "DCCTIMEDOUT", 15, 1 },
47856		{ "DCCTIMEEN", 14, 1 },
47857		{ "DCCLOCK", 13, 1 },
47858		{ "DCCOFFSET", 8, 5 },
47859		{ "DCCSTEP", 6, 2 },
47860		{ "DCCASTEP", 1, 5 },
47861		{ "DCCAEN", 0, 1 },
47862	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_OVERRIDE", 0x37190, 0 },
47863		{ "DCCOUT", 12, 1 },
47864		{ "DCCCLK", 11, 1 },
47865		{ "DCCHOLD", 10, 1 },
47866		{ "DCCSIGN", 8, 2 },
47867		{ "DCCAMP", 1, 7 },
47868		{ "DCCOEN", 0, 1 },
47869	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_APPLIED", 0x37194, 0 },
47870		{ "DCCASIGN", 7, 2 },
47871		{ "DCCAAMP", 0, 7 },
47872	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_TIME_OUT", 0x37198, 0 },
47873	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AZ_CONTROL", 0x3719c, 0 },
47874		{ "LPIDCLK", 4, 1 },
47875		{ "LPITERM", 2, 2 },
47876		{ "LPIPRCD", 0, 2 },
47877	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_4", 0x371f0, 0 },
47878		{ "SDOVRDEN", 8, 1 },
47879		{ "SDOVRD", 0, 8 },
47880	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_3", 0x371f4, 0 },
47881		{ "SLEWCODE", 1, 2 },
47882		{ "ASEGEN", 0, 1 },
47883	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_2", 0x371f8, 0 },
47884		{ "AECMDVAL", 14, 1 },
47885		{ "AECMD1312", 12, 2 },
47886		{ "AECMD70", 0, 8 },
47887	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_1", 0x371fc, 0 },
47888		{ "C48DIVCTL", 12, 3 },
47889		{ "RATEDIVCTL", 9, 3 },
47890		{ "ANLGFLSH", 8, 1 },
47891		{ "DCCTSTOUT", 7, 1 },
47892		{ "BSOUT", 6, 1 },
47893		{ "BSIN", 5, 1 },
47894		{ "JTAGAMPL", 3, 2 },
47895		{ "JTAGTS", 2, 1 },
47896		{ "TS", 1, 1 },
47897		{ "OBS", 0, 1 },
47898	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x34000, 0 },
47899	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x34008, 0 },
47900	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x34010, 0 },
47901		{ "C0MAX", 8, 5 },
47902		{ "C0MIN", 0, 5 },
47903	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x34018, 0 },
47904	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x34020, 0 },
47905		{ "C1MAX", 8, 7 },
47906		{ "C1MIN", 0, 7 },
47907	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x34028, 0 },
47908	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x34030, 0 },
47909		{ "C2MAX", 8, 6 },
47910		{ "C2MIN", 0, 6 },
47911	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x34038, 0 },
47912	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x34040, 0 },
47913	{ "MAC_PORT_TX_LINKC_TRANSMIT_CONFIGURATION_MODE", 0x37400, 0 },
47914		{ "T5_TX_LINKEN", 15, 1 },
47915		{ "T5_TX_LINKRST", 14, 1 },
47916		{ "T5_TX_CFGWRT", 13, 1 },
47917		{ "T5_TX_CFGPTR", 11, 2 },
47918		{ "T5_TX_CFGEXT", 10, 1 },
47919		{ "T5_TX_CFGACT", 9, 1 },
47920		{ "T5_TX_RSYNCC", 8, 1 },
47921		{ "T5_TX_PLLSEL", 6, 2 },
47922		{ "T5_TX_EXTC16", 5, 1 },
47923		{ "T5_TX_DCKSEL", 4, 1 },
47924		{ "T5_TX_RXLOOP", 3, 1 },
47925		{ "T5_TX_BWSEL", 2, 1 },
47926		{ "T5_TX_RTSEL", 0, 2 },
47927	{ "MAC_PORT_TX_LINKC_TRANSMIT_TEST_CONTROL", 0x37404, 0 },
47928		{ "SPSEL", 11, 3 },
47929		{ "AFDWEN", 7, 1 },
47930		{ "PRST", 4, 1 },
47931		{ "TPGMD", 3, 1 },
47932		{ "TPSEL", 0, 3 },
47933	{ "MAC_PORT_TX_LINKC_TRANSMIT_COEFFICIENT_CONTROL", 0x37408, 0 },
47934		{ "ZCALOVRD", 8, 1 },
47935		{ "AMMODE", 7, 1 },
47936		{ "AEPOL", 6, 1 },
47937		{ "AESRC", 5, 1 },
47938		{ "EQMODE", 4, 1 },
47939		{ "OCOEF", 3, 1 },
47940		{ "COEFRST", 2, 1 },
47941		{ "ALOAD", 0, 1 },
47942	{ "MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_MODE_CONTROL", 0x3740c, 0 },
47943		{ "T5DRVHIZ", 5, 1 },
47944		{ "T5SASIMP", 4, 1 },
47945		{ "T5SLEW", 2, 2 },
47946	{ "MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x37410, 0 },
47947		{ "T5C2BUFDCEN", 5, 1 },
47948		{ "T5DCCEN", 4, 1 },
47949		{ "T5REGBYP", 3, 1 },
47950		{ "T5REGAEN", 2, 1 },
47951		{ "T5REGAMP", 0, 2 },
47952	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x37414, 0 },
47953		{ "RSTEP", 15, 1 },
47954		{ "RLOCK", 14, 1 },
47955		{ "RPOS", 8, 6 },
47956		{ "DCLKSAM", 7, 1 },
47957	{ "MAC_PORT_TX_LINKC_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x37418, 0 },
47958		{ "CALSSTN", 3, 3 },
47959		{ "CALSSTP", 0, 3 },
47960	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3741c, 0 },
47961	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT", 0x37420, 0 },
47962	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT", 0x37424, 0 },
47963	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT", 0x37428, 0 },
47964	{ "MAC_PORT_TX_LINKC_TRANSMIT_AMPLITUDE", 0x37430, 0 },
47965	{ "MAC_PORT_TX_LINKC_TRANSMIT_POLARITY", 0x37434, 0 },
47966		{ "TXPOL", 4, 3 },
47967		{ "NXTPOL", 0, 3 },
47968	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x37438, 0 },
47969		{ "CPREST", 13, 1 },
47970		{ "CINIT", 12, 1 },
47971		{ "C2UPDT", 4, 2 },
47972		{ "C1UPDT", 2, 2 },
47973		{ "C0UPDT", 0, 2 },
47974	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3743c, 0 },
47975		{ "C2STAT", 4, 2 },
47976		{ "C1STAT", 2, 2 },
47977		{ "C0STAT", 0, 2 },
47978	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x37440, 0 },
47979	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x37444, 0 },
47980	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x37448, 0 },
47981	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x37460, 0 },
47982	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x37464, 0 },
47983	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x37468, 0 },
47984	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x37470, 0 },
47985		{ "MAINSC", 6, 6 },
47986		{ "POSTSC", 0, 6 },
47987	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x37474, 0 },
47988	{ "MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x37478, 0 },
47989	{ "MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3747c, 0 },
47990		{ "T5XADDR", 1, 5 },
47991		{ "T5XWR", 0, 1 },
47992	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x37480, 0 },
47993	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x37484, 0 },
47994	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x37488, 0 },
47995	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_CONTROL", 0x3748c, 0 },
47996		{ "DCCTIMEDOUT", 15, 1 },
47997		{ "DCCTIMEEN", 14, 1 },
47998		{ "DCCLOCK", 13, 1 },
47999		{ "DCCOFFSET", 8, 5 },
48000		{ "DCCSTEP", 6, 2 },
48001		{ "DCCASTEP", 1, 5 },
48002		{ "DCCAEN", 0, 1 },
48003	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_OVERRIDE", 0x37490, 0 },
48004		{ "DCCOUT", 12, 1 },
48005		{ "DCCCLK", 11, 1 },
48006		{ "DCCHOLD", 10, 1 },
48007		{ "DCCSIGN", 8, 2 },
48008		{ "DCCAMP", 1, 7 },
48009		{ "DCCOEN", 0, 1 },
48010	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_APPLIED", 0x37494, 0 },
48011		{ "DCCASIGN", 7, 2 },
48012		{ "DCCAAMP", 0, 7 },
48013	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_TIME_OUT", 0x37498, 0 },
48014	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AZ_CONTROL", 0x3749c, 0 },
48015		{ "LPIDCLK", 4, 1 },
48016		{ "LPITERM", 2, 2 },
48017		{ "LPIPRCD", 0, 2 },
48018	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_4", 0x374f0, 0 },
48019		{ "SDOVRDEN", 8, 1 },
48020		{ "SDOVRD", 0, 8 },
48021	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_3", 0x374f4, 0 },
48022		{ "SLEWCODE", 1, 2 },
48023		{ "ASEGEN", 0, 1 },
48024	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_2", 0x374f8, 0 },
48025		{ "AECMDVAL", 14, 1 },
48026		{ "AECMD1312", 12, 2 },
48027		{ "AECMD70", 0, 8 },
48028	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_1", 0x374fc, 0 },
48029		{ "C48DIVCTL", 12, 3 },
48030		{ "RATEDIVCTL", 9, 3 },
48031		{ "ANLGFLSH", 8, 1 },
48032		{ "DCCTSTOUT", 7, 1 },
48033		{ "BSOUT", 6, 1 },
48034		{ "BSIN", 5, 1 },
48035		{ "JTAGAMPL", 3, 2 },
48036		{ "JTAGTS", 2, 1 },
48037		{ "TS", 1, 1 },
48038		{ "OBS", 0, 1 },
48039	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x34000, 0 },
48040	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x34008, 0 },
48041	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x34010, 0 },
48042		{ "C0MAX", 8, 5 },
48043		{ "C0MIN", 0, 5 },
48044	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x34018, 0 },
48045	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x34020, 0 },
48046		{ "C1MAX", 8, 7 },
48047		{ "C1MIN", 0, 7 },
48048	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x34028, 0 },
48049	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x34030, 0 },
48050		{ "C2MAX", 8, 6 },
48051		{ "C2MIN", 0, 6 },
48052	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x34038, 0 },
48053	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x34040, 0 },
48054	{ "MAC_PORT_TX_LINKD_TRANSMIT_CONFIGURATION_MODE", 0x37500, 0 },
48055		{ "T5_TX_LINKEN", 15, 1 },
48056		{ "T5_TX_LINKRST", 14, 1 },
48057		{ "T5_TX_CFGWRT", 13, 1 },
48058		{ "T5_TX_CFGPTR", 11, 2 },
48059		{ "T5_TX_CFGEXT", 10, 1 },
48060		{ "T5_TX_CFGACT", 9, 1 },
48061		{ "T5_TX_RSYNCC", 8, 1 },
48062		{ "T5_TX_PLLSEL", 6, 2 },
48063		{ "T5_TX_EXTC16", 5, 1 },
48064		{ "T5_TX_DCKSEL", 4, 1 },
48065		{ "T5_TX_RXLOOP", 3, 1 },
48066		{ "T5_TX_BWSEL", 2, 1 },
48067		{ "T5_TX_RTSEL", 0, 2 },
48068	{ "MAC_PORT_TX_LINKD_TRANSMIT_TEST_CONTROL", 0x37504, 0 },
48069		{ "SPSEL", 11, 3 },
48070		{ "AFDWEN", 7, 1 },
48071		{ "PRST", 4, 1 },
48072		{ "TPGMD", 3, 1 },
48073		{ "TPSEL", 0, 3 },
48074	{ "MAC_PORT_TX_LINKD_TRANSMIT_COEFFICIENT_CONTROL", 0x37508, 0 },
48075		{ "ZCALOVRD", 8, 1 },
48076		{ "AMMODE", 7, 1 },
48077		{ "AEPOL", 6, 1 },
48078		{ "AESRC", 5, 1 },
48079		{ "EQMODE", 4, 1 },
48080		{ "OCOEF", 3, 1 },
48081		{ "COEFRST", 2, 1 },
48082		{ "ALOAD", 0, 1 },
48083	{ "MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_MODE_CONTROL", 0x3750c, 0 },
48084		{ "T5DRVHIZ", 5, 1 },
48085		{ "T5SASIMP", 4, 1 },
48086		{ "T5SLEW", 2, 2 },
48087	{ "MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x37510, 0 },
48088		{ "T5C2BUFDCEN", 5, 1 },
48089		{ "T5DCCEN", 4, 1 },
48090		{ "T5REGBYP", 3, 1 },
48091		{ "T5REGAEN", 2, 1 },
48092		{ "T5REGAMP", 0, 2 },
48093	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x37514, 0 },
48094		{ "RSTEP", 15, 1 },
48095		{ "RLOCK", 14, 1 },
48096		{ "RPOS", 8, 6 },
48097		{ "DCLKSAM", 7, 1 },
48098	{ "MAC_PORT_TX_LINKD_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x37518, 0 },
48099		{ "CALSSTN", 3, 3 },
48100		{ "CALSSTP", 0, 3 },
48101	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3751c, 0 },
48102	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT", 0x37520, 0 },
48103	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT", 0x37524, 0 },
48104	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT", 0x37528, 0 },
48105	{ "MAC_PORT_TX_LINKD_TRANSMIT_AMPLITUDE", 0x37530, 0 },
48106	{ "MAC_PORT_TX_LINKD_TRANSMIT_POLARITY", 0x37534, 0 },
48107		{ "TXPOL", 4, 3 },
48108		{ "NXTPOL", 0, 3 },
48109	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x37538, 0 },
48110		{ "CPREST", 13, 1 },
48111		{ "CINIT", 12, 1 },
48112		{ "C2UPDT", 4, 2 },
48113		{ "C1UPDT", 2, 2 },
48114		{ "C0UPDT", 0, 2 },
48115	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3753c, 0 },
48116		{ "C2STAT", 4, 2 },
48117		{ "C1STAT", 2, 2 },
48118		{ "C0STAT", 0, 2 },
48119	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x37540, 0 },
48120	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x37544, 0 },
48121	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x37548, 0 },
48122	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x37560, 0 },
48123	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x37564, 0 },
48124	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x37568, 0 },
48125	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x37570, 0 },
48126		{ "MAINSC", 6, 6 },
48127		{ "POSTSC", 0, 6 },
48128	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x37574, 0 },
48129	{ "MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x37578, 0 },
48130	{ "MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3757c, 0 },
48131		{ "T5XADDR", 1, 5 },
48132		{ "T5XWR", 0, 1 },
48133	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x37580, 0 },
48134	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x37584, 0 },
48135	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x37588, 0 },
48136	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_CONTROL", 0x3758c, 0 },
48137		{ "DCCTIMEDOUT", 15, 1 },
48138		{ "DCCTIMEEN", 14, 1 },
48139		{ "DCCLOCK", 13, 1 },
48140		{ "DCCOFFSET", 8, 5 },
48141		{ "DCCSTEP", 6, 2 },
48142		{ "DCCASTEP", 1, 5 },
48143		{ "DCCAEN", 0, 1 },
48144	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_OVERRIDE", 0x37590, 0 },
48145		{ "DCCOUT", 12, 1 },
48146		{ "DCCCLK", 11, 1 },
48147		{ "DCCHOLD", 10, 1 },
48148		{ "DCCSIGN", 8, 2 },
48149		{ "DCCAMP", 1, 7 },
48150		{ "DCCOEN", 0, 1 },
48151	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_APPLIED", 0x37594, 0 },
48152		{ "DCCASIGN", 7, 2 },
48153		{ "DCCAAMP", 0, 7 },
48154	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_TIME_OUT", 0x37598, 0 },
48155	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AZ_CONTROL", 0x3759c, 0 },
48156		{ "LPIDCLK", 4, 1 },
48157		{ "LPITERM", 2, 2 },
48158		{ "LPIPRCD", 0, 2 },
48159	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_4", 0x375f0, 0 },
48160		{ "SDOVRDEN", 8, 1 },
48161		{ "SDOVRD", 0, 8 },
48162	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_3", 0x375f4, 0 },
48163		{ "SLEWCODE", 1, 2 },
48164		{ "ASEGEN", 0, 1 },
48165	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_2", 0x375f8, 0 },
48166		{ "AECMDVAL", 14, 1 },
48167		{ "AECMD1312", 12, 2 },
48168		{ "AECMD70", 0, 8 },
48169	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_1", 0x375fc, 0 },
48170		{ "C48DIVCTL", 12, 3 },
48171		{ "RATEDIVCTL", 9, 3 },
48172		{ "ANLGFLSH", 8, 1 },
48173		{ "DCCTSTOUT", 7, 1 },
48174		{ "BSOUT", 6, 1 },
48175		{ "BSIN", 5, 1 },
48176		{ "JTAGAMPL", 3, 2 },
48177		{ "JTAGTS", 2, 1 },
48178		{ "TS", 1, 1 },
48179		{ "OBS", 0, 1 },
48180	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x34000, 0 },
48181	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x34008, 0 },
48182	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x34010, 0 },
48183		{ "C0MAX", 8, 5 },
48184		{ "C0MIN", 0, 5 },
48185	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x34018, 0 },
48186	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x34020, 0 },
48187		{ "C1MAX", 8, 7 },
48188		{ "C1MIN", 0, 7 },
48189	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x34028, 0 },
48190	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x34030, 0 },
48191		{ "C2MAX", 8, 6 },
48192		{ "C2MIN", 0, 6 },
48193	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x34038, 0 },
48194	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x34040, 0 },
48195	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_CONFIGURATION_MODE", 0x37900, 0 },
48196		{ "T5_TX_LINKEN", 15, 1 },
48197		{ "T5_TX_LINKRST", 14, 1 },
48198		{ "T5_TX_CFGWRT", 13, 1 },
48199		{ "T5_TX_CFGPTR", 11, 2 },
48200		{ "T5_TX_CFGEXT", 10, 1 },
48201		{ "T5_TX_CFGACT", 9, 1 },
48202		{ "T5_TX_RSYNCC", 8, 1 },
48203		{ "T5_TX_PLLSEL", 6, 2 },
48204		{ "T5_TX_EXTC16", 5, 1 },
48205		{ "T5_TX_DCKSEL", 4, 1 },
48206		{ "T5_TX_RXLOOP", 3, 1 },
48207		{ "T5_TX_BWSEL", 2, 1 },
48208		{ "T5_TX_RTSEL", 0, 2 },
48209	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TEST_CONTROL", 0x37904, 0 },
48210		{ "SPSEL", 11, 3 },
48211		{ "AFDWEN", 7, 1 },
48212		{ "PRST", 4, 1 },
48213		{ "TPGMD", 3, 1 },
48214		{ "TPSEL", 0, 3 },
48215	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_COEFFICIENT_CONTROL", 0x37908, 0 },
48216		{ "ZCALOVRD", 8, 1 },
48217		{ "AMMODE", 7, 1 },
48218		{ "AEPOL", 6, 1 },
48219		{ "AESRC", 5, 1 },
48220		{ "EQMODE", 4, 1 },
48221		{ "OCOEF", 3, 1 },
48222		{ "COEFRST", 2, 1 },
48223		{ "ALOAD", 0, 1 },
48224	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_MODE_CONTROL", 0x3790c, 0 },
48225		{ "T5DRVHIZ", 5, 1 },
48226		{ "T5SASIMP", 4, 1 },
48227		{ "T5SLEW", 2, 2 },
48228	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x37910, 0 },
48229		{ "T5C2BUFDCEN", 5, 1 },
48230		{ "T5DCCEN", 4, 1 },
48231		{ "T5REGBYP", 3, 1 },
48232		{ "T5REGAEN", 2, 1 },
48233		{ "T5REGAMP", 0, 2 },
48234	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x37914, 0 },
48235		{ "RSTEP", 15, 1 },
48236		{ "RLOCK", 14, 1 },
48237		{ "RPOS", 8, 6 },
48238		{ "DCLKSAM", 7, 1 },
48239	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x37918, 0 },
48240		{ "CALSSTN", 3, 3 },
48241		{ "CALSSTP", 0, 3 },
48242	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3791c, 0 },
48243	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT", 0x37920, 0 },
48244	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT", 0x37924, 0 },
48245	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT", 0x37928, 0 },
48246	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AMPLITUDE", 0x37930, 0 },
48247	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_POLARITY", 0x37934, 0 },
48248		{ "TXPOL", 4, 3 },
48249		{ "NXTPOL", 0, 3 },
48250	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x37938, 0 },
48251		{ "CPREST", 13, 1 },
48252		{ "CINIT", 12, 1 },
48253		{ "C2UPDT", 4, 2 },
48254		{ "C1UPDT", 2, 2 },
48255		{ "C0UPDT", 0, 2 },
48256	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3793c, 0 },
48257		{ "C2STAT", 4, 2 },
48258		{ "C1STAT", 2, 2 },
48259		{ "C0STAT", 0, 2 },
48260	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x37940, 0 },
48261	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x37944, 0 },
48262	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x37948, 0 },
48263	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x37960, 0 },
48264	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x37964, 0 },
48265	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x37968, 0 },
48266	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x37970, 0 },
48267		{ "MAINSC", 6, 6 },
48268		{ "POSTSC", 0, 6 },
48269	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x37974, 0 },
48270	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x37978, 0 },
48271	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3797c, 0 },
48272		{ "T5XADDR", 1, 5 },
48273		{ "T5XWR", 0, 1 },
48274	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x37980, 0 },
48275	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x37984, 0 },
48276	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x37988, 0 },
48277	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_CONTROL", 0x3798c, 0 },
48278		{ "DCCTIMEDOUT", 15, 1 },
48279		{ "DCCTIMEEN", 14, 1 },
48280		{ "DCCLOCK", 13, 1 },
48281		{ "DCCOFFSET", 8, 5 },
48282		{ "DCCSTEP", 6, 2 },
48283		{ "DCCASTEP", 1, 5 },
48284		{ "DCCAEN", 0, 1 },
48285	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_OVERRIDE", 0x37990, 0 },
48286		{ "DCCOUT", 12, 1 },
48287		{ "DCCCLK", 11, 1 },
48288		{ "DCCHOLD", 10, 1 },
48289		{ "DCCSIGN", 8, 2 },
48290		{ "DCCAMP", 1, 7 },
48291		{ "DCCOEN", 0, 1 },
48292	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_APPLIED", 0x37994, 0 },
48293		{ "DCCASIGN", 7, 2 },
48294		{ "DCCAAMP", 0, 7 },
48295	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_TIME_OUT", 0x37998, 0 },
48296	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AZ_CONTROL", 0x3799c, 0 },
48297		{ "LPIDCLK", 4, 1 },
48298		{ "LPITERM", 2, 2 },
48299		{ "LPIPRCD", 0, 2 },
48300	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_4", 0x379f0, 0 },
48301		{ "SDOVRDEN", 8, 1 },
48302		{ "SDOVRD", 0, 8 },
48303	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_3", 0x379f4, 0 },
48304		{ "SLEWCODE", 1, 2 },
48305		{ "ASEGEN", 0, 1 },
48306	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_2", 0x379f8, 0 },
48307		{ "AECMDVAL", 14, 1 },
48308		{ "AECMD1312", 12, 2 },
48309		{ "AECMD70", 0, 8 },
48310	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_1", 0x379fc, 0 },
48311		{ "C48DIVCTL", 12, 3 },
48312		{ "RATEDIVCTL", 9, 3 },
48313		{ "ANLGFLSH", 8, 1 },
48314		{ "DCCTSTOUT", 7, 1 },
48315		{ "BSOUT", 6, 1 },
48316		{ "BSIN", 5, 1 },
48317		{ "JTAGAMPL", 3, 2 },
48318		{ "JTAGTS", 2, 1 },
48319		{ "TS", 1, 1 },
48320		{ "OBS", 0, 1 },
48321	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x34000, 0 },
48322	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x34008, 0 },
48323	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x34010, 0 },
48324		{ "C0MAX", 8, 5 },
48325		{ "C0MIN", 0, 5 },
48326	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x34018, 0 },
48327	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x34020, 0 },
48328		{ "C1MAX", 8, 7 },
48329		{ "C1MIN", 0, 7 },
48330	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x34028, 0 },
48331	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x34030, 0 },
48332		{ "C2MAX", 8, 6 },
48333		{ "C2MIN", 0, 6 },
48334	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x34038, 0 },
48335	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x34040, 0 },
48336	{ "MAC_PORT_RX_LINKA_RECEIVER_CONFIGURATION_MODE", 0x37200, 0 },
48337		{ "T5_RX_LINKEN", 15, 1 },
48338		{ "T5_RX_LINKRST", 14, 1 },
48339		{ "T5_RX_CFGWRT", 13, 1 },
48340		{ "T5_RX_CFGPTR", 11, 2 },
48341		{ "T5_RX_CFGEXT", 10, 1 },
48342		{ "T5_RX_CFGACT", 9, 1 },
48343		{ "T5_RX_AUXCLK", 8, 1 },
48344		{ "T5_RX_PLLSEL", 6, 2 },
48345		{ "T5_RX_DMSEL", 4, 2 },
48346		{ "T5_RX_BWSEL", 2, 2 },
48347		{ "T5_RX_RTSEL", 0, 2 },
48348	{ "MAC_PORT_RX_LINKA_RECEIVER_TEST_CONTROL", 0x37204, 0 },
48349		{ "RCLKEN", 15, 1 },
48350		{ "RRATE", 13, 2 },
48351		{ "FERRST", 10, 1 },
48352		{ "ERRST", 9, 1 },
48353		{ "SYNCST", 8, 1 },
48354		{ "WRPSM", 7, 1 },
48355		{ "WPLPEN", 6, 1 },
48356		{ "WRPMD", 5, 1 },
48357		{ "PRST", 4, 1 },
48358		{ "PCHKEN", 3, 1 },
48359		{ "PATSEL", 0, 3 },
48360	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_CONTROL", 0x37208, 0 },
48361		{ "FTHROT", 12, 4 },
48362		{ "RTHROT", 11, 1 },
48363		{ "FILTCTL", 7, 4 },
48364		{ "RSRVO", 5, 2 },
48365		{ "EXTEL", 4, 1 },
48366		{ "RSTUCK", 3, 1 },
48367		{ "FRZFW", 2, 1 },
48368		{ "RSTFW", 1, 1 },
48369		{ "SSCEN", 0, 1 },
48370	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_OFFSET_CONTROL", 0x3720c, 0 },
48371		{ "RSNP", 11, 1 },
48372		{ "TSOEN", 10, 1 },
48373		{ "OFFEN", 9, 1 },
48374		{ "TMSCAL", 7, 2 },
48375		{ "APADJ", 6, 1 },
48376		{ "RSEL", 5, 1 },
48377		{ "PHOFFS", 0, 5 },
48378	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_1", 0x37210, 0 },
48379		{ "ROT0A", 8, 6 },
48380		{ "ROT00", 0, 6 },
48381	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_2", 0x37214, 0 },
48382		{ "FREQFW", 8, 8 },
48383		{ "FWSNAP", 7, 1 },
48384		{ "ROT90", 0, 6 },
48385	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x37218, 0 },
48386		{ "RCALER", 15, 1 },
48387		{ "RAOOFF", 10, 5 },
48388		{ "RAEOFF", 5, 5 },
48389		{ "RDOFF", 0, 5 },
48390	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3721c, 0 },
48391		{ "RCALER", 15, 1 },
48392		{ "RBOOFF", 10, 5 },
48393		{ "RBEOFF", 5, 5 },
48394		{ "RDOFF", 0, 5 },
48395	{ "MAC_PORT_RX_LINKA_DFE_CONTROL", 0x37220, 0 },
48396		{ "REQCMP", 15, 1 },
48397		{ "DFEREQ", 14, 1 },
48398		{ "SPCEN", 13, 1 },
48399		{ "GATEEN", 12, 1 },
48400		{ "SPIFMT", 9, 3 },
48401		{ "DFEPWR", 6, 3 },
48402		{ "STNDBY", 5, 1 },
48403		{ "FRCH", 4, 1 },
48404		{ "NONRND", 3, 1 },
48405		{ "NONRNF", 2, 1 },
48406		{ "FSTLCK", 1, 1 },
48407		{ "DFERST", 0, 1 },
48408	{ "MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_1", 0x37224, 0 },
48409		{ "T5BYTE1", 8, 8 },
48410		{ "T5BYTE0", 0, 8 },
48411	{ "MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_2", 0x37228, 0 },
48412		{ "T5_RX_SMODE", 8, 3 },
48413		{ "T5_RX_ADCORR", 7, 1 },
48414		{ "T5_RX_TRAINEN", 6, 1 },
48415		{ "T5_RX_ASAMPQ", 3, 3 },
48416		{ "T5_RX_ASAMP", 0, 3 },
48417	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_1", 0x3722c, 0 },
48418		{ "POLE", 12, 2 },
48419		{ "PEAK", 8, 3 },
48420		{ "VOFFSN", 6, 2 },
48421		{ "VOFFA", 0, 6 },
48422	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_2", 0x37230, 0 },
48423		{ "T5SHORTV", 10, 1 },
48424		{ "T5VGAIN", 0, 5 },
48425	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_3", 0x37234, 0 },
48426		{ "HBND1", 10, 1 },
48427		{ "HBND0", 9, 1 },
48428		{ "VLCKD", 8, 1 },
48429		{ "VLCKDF", 7, 1 },
48430		{ "AMAXT", 0, 7 },
48431	{ "MAC_PORT_RX_LINKA_RECEIVER_DQCC_CONTROL_1", 0x37238, 0 },
48432		{ "IQSEP", 10, 5 },
48433		{ "DUTYQ", 5, 5 },
48434		{ "DUTYI", 0, 5 },
48435	{ "MAC_PORT_RX_LINKA_RECEIVER_DQCC_CONTROL_3", 0x37240, 0 },
48436		{ "DTHR", 8, 6 },
48437		{ "SNUL", 0, 5 },
48438	{ "MAC_PORT_RX_LINKA_RECEIVER_DACAP_AND_DACAN", 0x37248, 0 },
48439		{ "DACAN", 8, 8 },
48440		{ "DACAP", 0, 8 },
48441	{ "MAC_PORT_RX_LINKA_RECEIVER_DACA_MIN_AND_DACAZ", 0x3724c, 0 },
48442		{ "DACAZ", 8, 8 },
48443		{ "DACAM", 0, 8 },
48444	{ "MAC_PORT_RX_LINKA_RECEIVER_ADAC_CONTROL", 0x37250, 0 },
48445		{ "ADSN_ReadWrite", 8, 1 },
48446		{ "ADSN_ReadOnly", 7, 1 },
48447		{ "ADMAG", 0, 7 },
48448	{ "MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3725c, 0 },
48449		{ "H1O2", 8, 6 },
48450		{ "H1E2", 0, 6 },
48451	{ "MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x37260, 0 },
48452		{ "H1O3", 8, 6 },
48453		{ "H1E3", 0, 6 },
48454	{ "MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x37264, 0 },
48455		{ "H1O4", 8, 6 },
48456		{ "H1E4", 0, 6 },
48457	{ "MAC_PORT_RX_LINKA_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x37270, 0 },
48458		{ "DPCMD", 14, 1 },
48459		{ "DPCCVG", 13, 1 },
48460		{ "DACCVG", 12, 1 },
48461		{ "DPCTGT", 9, 3 },
48462		{ "BLKH1T", 8, 1 },
48463		{ "BLKOAE", 7, 1 },
48464		{ "H1TGT", 4, 3 },
48465		{ "OAE", 0, 4 },
48466	{ "MAC_PORT_RX_LINKA_DYNAMIC_DATA_CENTERING_DDC", 0x37274, 0 },
48467		{ "OLS", 11, 5 },
48468		{ "OES", 6, 5 },
48469		{ "BLKODEC", 5, 1 },
48470		{ "ODEC", 0, 5 },
48471	{ "MAC_PORT_RX_LINKA_RECEIVER_INTERNAL_STATUS", 0x37278, 0 },
48472		{ "T5BER6VAL", 15, 1 },
48473		{ "T5BER6", 14, 1 },
48474		{ "T5BER3VAL", 13, 1 },
48475		{ "T5TOOFAST", 12, 1 },
48476		{ "T5DPCCMP", 9, 1 },
48477		{ "T5DACCMP", 8, 1 },
48478		{ "T5DDCCMP", 7, 1 },
48479		{ "T5AERRFLG", 6, 1 },
48480		{ "T5WERRFLG", 5, 1 },
48481		{ "T5TRCMP", 4, 1 },
48482		{ "T5VLCKF", 3, 1 },
48483		{ "T5ROCCMP", 2, 1 },
48484		{ "T5DQCCCMP", 1, 1 },
48485		{ "T5OCCMP", 0, 1 },
48486	{ "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_1", 0x3727c, 0 },
48487		{ "FDPC", 15, 1 },
48488		{ "FDAC", 14, 1 },
48489		{ "FDDC", 13, 1 },
48490		{ "FNRND", 12, 1 },
48491		{ "FVGAIN", 11, 1 },
48492		{ "FVOFF", 10, 1 },
48493		{ "FSDET", 9, 1 },
48494		{ "FBER6", 8, 1 },
48495		{ "FROTO", 7, 1 },
48496		{ "FH4H5", 6, 1 },
48497		{ "FH2H3", 5, 1 },
48498		{ "FH1", 4, 1 },
48499		{ "FH1SN", 3, 1 },
48500		{ "FNRDF", 2, 1 },
48501		{ "FLOFF", 1, 1 },
48502		{ "FADAC", 0, 1 },
48503	{ "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_2", 0x37280, 0 },
48504		{ "H25SPC", 15, 1 },
48505		{ "FTOOFAST", 8, 1 },
48506		{ "FINTTRIM", 7, 1 },
48507		{ "FDINV", 6, 1 },
48508		{ "FHGS", 5, 1 },
48509		{ "FH6H12", 4, 1 },
48510		{ "FH1CAL", 3, 1 },
48511		{ "FINTCAL", 2, 1 },
48512		{ "FDCA", 1, 1 },
48513		{ "FDQCC", 0, 1 },
48514	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_EVN1_EVN2", 0x37284, 0 },
48515		{ "LOFE2S_ReadWrite", 16, 1 },
48516		{ "LOFE2S_ReadOnly", 14, 2 },
48517		{ "LOFE2", 8, 6 },
48518		{ "LOFE1S_ReadWrite", 7, 1 },
48519		{ "LOFE1S_ReadOnly", 6, 1 },
48520		{ "LOFE1", 0, 6 },
48521	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_ODD1_ODD2", 0x37288, 0 },
48522		{ "LOFO2S_ReadWrite", 15, 1 },
48523		{ "LOFO2S_ReadOnly", 14, 1 },
48524		{ "LOFO2", 8, 6 },
48525		{ "LOFO1S_ReadWrite", 7, 1 },
48526		{ "LOFO1S_ReadOnly", 6, 1 },
48527		{ "LOFO1", 0, 6 },
48528	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_EVN3_EVN4", 0x3728c, 0 },
48529		{ "LOFE4S_ReadWrite", 15, 1 },
48530		{ "LOFE4S_ReadOnly", 14, 1 },
48531		{ "LOFE", 8, 6 },
48532		{ "LOFE3S_ReadWrite", 7, 1 },
48533		{ "LOFE3S_ReadOnly", 6, 1 },
48534		{ "LOFE3", 0, 6 },
48535	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_ODD3_ODD4", 0x37290, 0 },
48536		{ "LOFO4S_ReadWrite", 15, 1 },
48537		{ "LOFO4S_ReadOnly", 14, 1 },
48538		{ "LOFO4", 8, 6 },
48539		{ "LOFO3S_ReadWrite", 7, 1 },
48540		{ "LOFO3S_ReadOnly", 6, 1 },
48541		{ "LOFO3", 0, 6 },
48542	{ "MAC_PORT_RX_LINKA_DFE_E0_AND_E1_OFFSET", 0x37294, 0 },
48543		{ "T5E1SN_ReadWrite", 15, 1 },
48544		{ "T5E1SN_ReadOnly", 14, 1 },
48545		{ "T5E1AMP", 8, 6 },
48546		{ "T5E0SN_ReadWrite", 7, 1 },
48547		{ "T5E0SN_ReadOnly", 6, 1 },
48548		{ "T5E0AMP", 0, 6 },
48549	{ "MAC_PORT_RX_LINKA_RECEIVER_LOFF_CONTROL", 0x37298, 0 },
48550		{ "T5LFREG", 12, 1 },
48551		{ "T5LFRC", 11, 1 },
48552		{ "T5LFSEL", 8, 3 },
48553	{ "MAC_PORT_RX_LINKA_RECEIVER_SIGDET_CONTROL", 0x3729c, 0 },
48554		{ "OFFSN_ReadWrite", 14, 1 },
48555		{ "OFFSN_ReadOnly", 13, 1 },
48556		{ "OFFAMP", 8, 5 },
48557		{ "SDACDC", 7, 1 },
48558		{ "SDPDN", 6, 1 },
48559		{ "SIGDET", 5, 1 },
48560		{ "SDLVL", 0, 5 },
48561	{ "MAC_PORT_RX_LINKA_RECEIVER_ANALOG_CONTROL_SWITCH", 0x372a0, 0 },
48562		{ "T5_RX_SETHDIS", 7, 1 },
48563		{ "T5_RX_PDTERM", 6, 1 },
48564		{ "T5_RX_BYPASS", 5, 1 },
48565		{ "T5_RX_LPFEN", 4, 1 },
48566		{ "T5_RX_VGABOD", 3, 1 },
48567		{ "T5_RX_VTBYP", 2, 1 },
48568		{ "T5_RX_VTERM", 0, 2 },
48569	{ "MAC_PORT_RX_LINKA_INTEGRATOR_DAC_OFFSET", 0x372a4, 0 },
48570		{ "ISTRIMS", 14, 2 },
48571		{ "ISTRIM", 8, 6 },
48572		{ "HALF1", 7, 1 },
48573		{ "HALF2", 6, 1 },
48574		{ "INTDAC", 0, 6 },
48575	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_CONTROL", 0x372a8, 0 },
48576		{ "BLKAZ", 15, 1 },
48577		{ "WIDTH", 10, 5 },
48578		{ "MINWDTH", 5, 5 },
48579		{ "MINAMP", 0, 5 },
48580	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS", 0x372ac, 0 },
48581		{ "T5SMQM", 13, 3 },
48582		{ "T5SMQ", 5, 8 },
48583		{ "T5EMMD", 3, 2 },
48584		{ "T5EMBRDY", 2, 1 },
48585		{ "T5EMBUMP", 1, 1 },
48586		{ "T5EMEN", 0, 1 },
48587	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x372b0, 0 },
48588		{ "EMF8", 15, 1 },
48589		{ "EMCNT", 4, 8 },
48590		{ "EMOFLO", 2, 1 },
48591		{ "EMCRST", 1, 1 },
48592		{ "EMCEN", 0, 1 },
48593	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x372b4, 0 },
48594		{ "SM2RDY", 15, 1 },
48595		{ "SM2RST", 14, 1 },
48596		{ "APDF", 0, 12 },
48597	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x372b8, 0 },
48598	{ "MAC_PORT_RX_LINKA_DFE_TAP_ENABLE", 0x372c0, 0 },
48599		{ "H_EN", 1, 12 },
48600	{ "MAC_PORT_RX_LINKA_DFE_H1", 0x372c4, 0 },
48601		{ "H1OSN", 14, 2 },
48602		{ "H1OMAG", 8, 6 },
48603		{ "H1ESN", 6, 2 },
48604		{ "H1EMAG", 0, 6 },
48605	{ "MAC_PORT_RX_LINKA_DFE_H2", 0x372c8, 0 },
48606		{ "H2OSN_ReadWrite", 14, 1 },
48607		{ "H2OSN_ReadOnly", 13, 1 },
48608		{ "H2OMAG", 8, 5 },
48609		{ "H2ESN_ReadWrite", 6, 1 },
48610		{ "H2ESN_ReadOnly", 5, 1 },
48611		{ "H2EMAG", 0, 5 },
48612	{ "MAC_PORT_RX_LINKA_DFE_H3", 0x372cc, 0 },
48613		{ "H3OSN_ReadWrite", 13, 1 },
48614		{ "H3OSN_ReadOnly", 12, 1 },
48615		{ "H3OMAG", 8, 4 },
48616		{ "H3ESN_ReadWrite", 5, 1 },
48617		{ "H3ESN_ReadOnly", 4, 1 },
48618		{ "H3EMAG", 0, 4 },
48619	{ "MAC_PORT_RX_LINKA_DFE_H4", 0x372d0, 0 },
48620		{ "H4OGS", 14, 2 },
48621		{ "H4OSN_ReadWrite", 13, 1 },
48622		{ "H4OSN_ReadOnly", 12, 1 },
48623		{ "H4OMAG", 8, 4 },
48624		{ "H4EGS", 6, 2 },
48625		{ "H4ESN_ReadWrite", 5, 1 },
48626		{ "H4ESN_ReadOnly", 4, 1 },
48627		{ "H4EMAG", 0, 4 },
48628	{ "MAC_PORT_RX_LINKA_DFE_H5", 0x372d4, 0 },
48629		{ "H5OGS", 14, 2 },
48630		{ "H5OSN_ReadWrite", 13, 1 },
48631		{ "H5OSN_ReadOnly", 12, 1 },
48632		{ "H5OMAG", 8, 4 },
48633		{ "H5EGS", 6, 2 },
48634		{ "H5ESN_ReadWrite", 5, 1 },
48635		{ "H5ESN_ReadOnly", 4, 1 },
48636		{ "H5EMAG", 0, 4 },
48637	{ "MAC_PORT_RX_LINKA_DFE_H6_AND_H7", 0x372d8, 0 },
48638		{ "H7GS", 14, 2 },
48639		{ "H7SN_ReadWrite", 13, 1 },
48640		{ "H7SN_ReadOnly", 12, 1 },
48641		{ "H7MAG", 8, 4 },
48642		{ "H6GS", 6, 2 },
48643		{ "H6SN_ReadWrite", 5, 1 },
48644		{ "H6SN_ReadOnly", 4, 1 },
48645		{ "H6MAG", 0, 4 },
48646	{ "MAC_PORT_RX_LINKA_DFE_H8_AND_H9", 0x372dc, 0 },
48647		{ "H9GS", 14, 2 },
48648		{ "H9SN_ReadWrite", 13, 1 },
48649		{ "H9SN_ReadOnly", 12, 1 },
48650		{ "H9MAG", 8, 4 },
48651		{ "H8GS", 6, 2 },
48652		{ "H8SN_ReadWrite", 5, 1 },
48653		{ "H8SN_ReadOnly", 4, 1 },
48654		{ "H8MAG", 0, 4 },
48655	{ "MAC_PORT_RX_LINKA_DFE_H10_AND_H11", 0x372e0, 0 },
48656		{ "H11GS", 14, 2 },
48657		{ "H11SN_ReadWrite", 13, 1 },
48658		{ "H11SN_ReadOnly", 12, 1 },
48659		{ "H11MAG", 8, 4 },
48660		{ "H10GS", 6, 2 },
48661		{ "H10SN_ReadWrite", 5, 1 },
48662		{ "H10SN_ReadOnly", 4, 1 },
48663		{ "H10MAG", 0, 4 },
48664	{ "MAC_PORT_RX_LINKA_DFE_H12", 0x372e4, 0 },
48665		{ "H12GS", 6, 2 },
48666		{ "H12SN_ReadWrite", 5, 1 },
48667		{ "H12SN_ReadOnly", 4, 1 },
48668		{ "H12MAG", 0, 4 },
48669	{ "MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_2", 0x372f8, 0 },
48670		{ "DFEDACLSSD", 6, 1 },
48671		{ "SDLSSD", 5, 1 },
48672		{ "DFEOBSBIAS", 4, 1 },
48673		{ "GBOFSTLSSD", 3, 1 },
48674		{ "RXDOBS", 2, 1 },
48675		{ "ACJZPT", 1, 1 },
48676		{ "ACJZNT", 0, 1 },
48677	{ "MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_1", 0x372fc, 0 },
48678		{ "PHSLOCK", 10, 1 },
48679		{ "TESTMODE", 9, 1 },
48680		{ "CALMODE", 8, 1 },
48681		{ "AMPSEL", 7, 1 },
48682		{ "WHICHNRZ", 6, 1 },
48683		{ "BANKA", 5, 1 },
48684		{ "BANKB", 4, 1 },
48685		{ "ACJPDP", 3, 1 },
48686		{ "ACJPDN", 2, 1 },
48687		{ "LSSDT", 1, 1 },
48688		{ "MTHOLD", 0, 1 },
48689	{ "MAC_PORT_RX_LINKB_RECEIVER_CONFIGURATION_MODE", 0x37300, 0 },
48690		{ "T5_RX_LINKEN", 15, 1 },
48691		{ "T5_RX_LINKRST", 14, 1 },
48692		{ "T5_RX_CFGWRT", 13, 1 },
48693		{ "T5_RX_CFGPTR", 11, 2 },
48694		{ "T5_RX_CFGEXT", 10, 1 },
48695		{ "T5_RX_CFGACT", 9, 1 },
48696		{ "T5_RX_AUXCLK", 8, 1 },
48697		{ "T5_RX_PLLSEL", 6, 2 },
48698		{ "T5_RX_DMSEL", 4, 2 },
48699		{ "T5_RX_BWSEL", 2, 2 },
48700		{ "T5_RX_RTSEL", 0, 2 },
48701	{ "MAC_PORT_RX_LINKB_RECEIVER_TEST_CONTROL", 0x37304, 0 },
48702		{ "RCLKEN", 15, 1 },
48703		{ "RRATE", 13, 2 },
48704		{ "FERRST", 10, 1 },
48705		{ "ERRST", 9, 1 },
48706		{ "SYNCST", 8, 1 },
48707		{ "WRPSM", 7, 1 },
48708		{ "WPLPEN", 6, 1 },
48709		{ "WRPMD", 5, 1 },
48710		{ "PRST", 4, 1 },
48711		{ "PCHKEN", 3, 1 },
48712		{ "PATSEL", 0, 3 },
48713	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_CONTROL", 0x37308, 0 },
48714		{ "FTHROT", 12, 4 },
48715		{ "RTHROT", 11, 1 },
48716		{ "FILTCTL", 7, 4 },
48717		{ "RSRVO", 5, 2 },
48718		{ "EXTEL", 4, 1 },
48719		{ "RSTUCK", 3, 1 },
48720		{ "FRZFW", 2, 1 },
48721		{ "RSTFW", 1, 1 },
48722		{ "SSCEN", 0, 1 },
48723	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_OFFSET_CONTROL", 0x3730c, 0 },
48724		{ "RSNP", 11, 1 },
48725		{ "TSOEN", 10, 1 },
48726		{ "OFFEN", 9, 1 },
48727		{ "TMSCAL", 7, 2 },
48728		{ "APADJ", 6, 1 },
48729		{ "RSEL", 5, 1 },
48730		{ "PHOFFS", 0, 5 },
48731	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_1", 0x37310, 0 },
48732		{ "ROT0A", 8, 6 },
48733		{ "ROT00", 0, 6 },
48734	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_2", 0x37314, 0 },
48735		{ "FREQFW", 8, 8 },
48736		{ "FWSNAP", 7, 1 },
48737		{ "ROT90", 0, 6 },
48738	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x37318, 0 },
48739		{ "RCALER", 15, 1 },
48740		{ "RAOOFF", 10, 5 },
48741		{ "RAEOFF", 5, 5 },
48742		{ "RDOFF", 0, 5 },
48743	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3731c, 0 },
48744		{ "RCALER", 15, 1 },
48745		{ "RBOOFF", 10, 5 },
48746		{ "RBEOFF", 5, 5 },
48747		{ "RDOFF", 0, 5 },
48748	{ "MAC_PORT_RX_LINKB_DFE_CONTROL", 0x37320, 0 },
48749		{ "REQCMP", 15, 1 },
48750		{ "DFEREQ", 14, 1 },
48751		{ "SPCEN", 13, 1 },
48752		{ "GATEEN", 12, 1 },
48753		{ "SPIFMT", 9, 3 },
48754		{ "DFEPWR", 6, 3 },
48755		{ "STNDBY", 5, 1 },
48756		{ "FRCH", 4, 1 },
48757		{ "NONRND", 3, 1 },
48758		{ "NONRNF", 2, 1 },
48759		{ "FSTLCK", 1, 1 },
48760		{ "DFERST", 0, 1 },
48761	{ "MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_1", 0x37324, 0 },
48762		{ "T5BYTE1", 8, 8 },
48763		{ "T5BYTE0", 0, 8 },
48764	{ "MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_2", 0x37328, 0 },
48765		{ "T5_RX_SMODE", 8, 3 },
48766		{ "T5_RX_ADCORR", 7, 1 },
48767		{ "T5_RX_TRAINEN", 6, 1 },
48768		{ "T5_RX_ASAMPQ", 3, 3 },
48769		{ "T5_RX_ASAMP", 0, 3 },
48770	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_1", 0x3732c, 0 },
48771		{ "POLE", 12, 2 },
48772		{ "PEAK", 8, 3 },
48773		{ "VOFFSN", 6, 2 },
48774		{ "VOFFA", 0, 6 },
48775	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_2", 0x37330, 0 },
48776		{ "T5SHORTV", 10, 1 },
48777		{ "T5VGAIN", 0, 5 },
48778	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_3", 0x37334, 0 },
48779		{ "HBND1", 10, 1 },
48780		{ "HBND0", 9, 1 },
48781		{ "VLCKD", 8, 1 },
48782		{ "VLCKDF", 7, 1 },
48783		{ "AMAXT", 0, 7 },
48784	{ "MAC_PORT_RX_LINKB_RECEIVER_DQCC_CONTROL_1", 0x37338, 0 },
48785		{ "IQSEP", 10, 5 },
48786		{ "DUTYQ", 5, 5 },
48787		{ "DUTYI", 0, 5 },
48788	{ "MAC_PORT_RX_LINKB_RECEIVER_DQCC_CONTROL_3", 0x37340, 0 },
48789		{ "DTHR", 8, 6 },
48790		{ "SNUL", 0, 5 },
48791	{ "MAC_PORT_RX_LINKB_RECEIVER_DACAP_AND_DACAN", 0x37348, 0 },
48792		{ "DACAN", 8, 8 },
48793		{ "DACAP", 0, 8 },
48794	{ "MAC_PORT_RX_LINKB_RECEIVER_DACA_MIN_AND_DACAZ", 0x3734c, 0 },
48795		{ "DACAZ", 8, 8 },
48796		{ "DACAM", 0, 8 },
48797	{ "MAC_PORT_RX_LINKB_RECEIVER_ADAC_CONTROL", 0x37350, 0 },
48798		{ "ADSN_ReadWrite", 8, 1 },
48799		{ "ADSN_ReadOnly", 7, 1 },
48800		{ "ADMAG", 0, 7 },
48801	{ "MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3735c, 0 },
48802		{ "H1O2", 8, 6 },
48803		{ "H1E2", 0, 6 },
48804	{ "MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x37360, 0 },
48805		{ "H1O3", 8, 6 },
48806		{ "H1E3", 0, 6 },
48807	{ "MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x37364, 0 },
48808		{ "H1O4", 8, 6 },
48809		{ "H1E4", 0, 6 },
48810	{ "MAC_PORT_RX_LINKB_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x37370, 0 },
48811		{ "DPCMD", 14, 1 },
48812		{ "DPCCVG", 13, 1 },
48813		{ "DACCVG", 12, 1 },
48814		{ "DPCTGT", 9, 3 },
48815		{ "BLKH1T", 8, 1 },
48816		{ "BLKOAE", 7, 1 },
48817		{ "H1TGT", 4, 3 },
48818		{ "OAE", 0, 4 },
48819	{ "MAC_PORT_RX_LINKB_DYNAMIC_DATA_CENTERING_DDC", 0x37374, 0 },
48820		{ "OLS", 11, 5 },
48821		{ "OES", 6, 5 },
48822		{ "BLKODEC", 5, 1 },
48823		{ "ODEC", 0, 5 },
48824	{ "MAC_PORT_RX_LINKB_RECEIVER_INTERNAL_STATUS", 0x37378, 0 },
48825		{ "T5BER6VAL", 15, 1 },
48826		{ "T5BER6", 14, 1 },
48827		{ "T5BER3VAL", 13, 1 },
48828		{ "T5TOOFAST", 12, 1 },
48829		{ "T5DPCCMP", 9, 1 },
48830		{ "T5DACCMP", 8, 1 },
48831		{ "T5DDCCMP", 7, 1 },
48832		{ "T5AERRFLG", 6, 1 },
48833		{ "T5WERRFLG", 5, 1 },
48834		{ "T5TRCMP", 4, 1 },
48835		{ "T5VLCKF", 3, 1 },
48836		{ "T5ROCCMP", 2, 1 },
48837		{ "T5DQCCCMP", 1, 1 },
48838		{ "T5OCCMP", 0, 1 },
48839	{ "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_1", 0x3737c, 0 },
48840		{ "FDPC", 15, 1 },
48841		{ "FDAC", 14, 1 },
48842		{ "FDDC", 13, 1 },
48843		{ "FNRND", 12, 1 },
48844		{ "FVGAIN", 11, 1 },
48845		{ "FVOFF", 10, 1 },
48846		{ "FSDET", 9, 1 },
48847		{ "FBER6", 8, 1 },
48848		{ "FROTO", 7, 1 },
48849		{ "FH4H5", 6, 1 },
48850		{ "FH2H3", 5, 1 },
48851		{ "FH1", 4, 1 },
48852		{ "FH1SN", 3, 1 },
48853		{ "FNRDF", 2, 1 },
48854		{ "FLOFF", 1, 1 },
48855		{ "FADAC", 0, 1 },
48856	{ "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_2", 0x37380, 0 },
48857		{ "H25SPC", 15, 1 },
48858		{ "FTOOFAST", 8, 1 },
48859		{ "FINTTRIM", 7, 1 },
48860		{ "FDINV", 6, 1 },
48861		{ "FHGS", 5, 1 },
48862		{ "FH6H12", 4, 1 },
48863		{ "FH1CAL", 3, 1 },
48864		{ "FINTCAL", 2, 1 },
48865		{ "FDCA", 1, 1 },
48866		{ "FDQCC", 0, 1 },
48867	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_EVN1_EVN2", 0x37384, 0 },
48868		{ "LOFE2S_ReadWrite", 16, 1 },
48869		{ "LOFE2S_ReadOnly", 14, 2 },
48870		{ "LOFE2", 8, 6 },
48871		{ "LOFE1S_ReadWrite", 7, 1 },
48872		{ "LOFE1S_ReadOnly", 6, 1 },
48873		{ "LOFE1", 0, 6 },
48874	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_ODD1_ODD2", 0x37388, 0 },
48875		{ "LOFO2S_ReadWrite", 15, 1 },
48876		{ "LOFO2S_ReadOnly", 14, 1 },
48877		{ "LOFO2", 8, 6 },
48878		{ "LOFO1S_ReadWrite", 7, 1 },
48879		{ "LOFO1S_ReadOnly", 6, 1 },
48880		{ "LOFO1", 0, 6 },
48881	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_EVN3_EVN4", 0x3738c, 0 },
48882		{ "LOFE4S_ReadWrite", 15, 1 },
48883		{ "LOFE4S_ReadOnly", 14, 1 },
48884		{ "LOFE", 8, 6 },
48885		{ "LOFE3S_ReadWrite", 7, 1 },
48886		{ "LOFE3S_ReadOnly", 6, 1 },
48887		{ "LOFE3", 0, 6 },
48888	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_ODD3_ODD4", 0x37390, 0 },
48889		{ "LOFO4S_ReadWrite", 15, 1 },
48890		{ "LOFO4S_ReadOnly", 14, 1 },
48891		{ "LOFO4", 8, 6 },
48892		{ "LOFO3S_ReadWrite", 7, 1 },
48893		{ "LOFO3S_ReadOnly", 6, 1 },
48894		{ "LOFO3", 0, 6 },
48895	{ "MAC_PORT_RX_LINKB_DFE_E0_AND_E1_OFFSET", 0x37394, 0 },
48896		{ "T5E1SN_ReadWrite", 15, 1 },
48897		{ "T5E1SN_ReadOnly", 14, 1 },
48898		{ "T5E1AMP", 8, 6 },
48899		{ "T5E0SN_ReadWrite", 7, 1 },
48900		{ "T5E0SN_ReadOnly", 6, 1 },
48901		{ "T5E0AMP", 0, 6 },
48902	{ "MAC_PORT_RX_LINKB_RECEIVER_LOFF_CONTROL", 0x37398, 0 },
48903		{ "T5LFREG", 12, 1 },
48904		{ "T5LFRC", 11, 1 },
48905		{ "T5LFSEL", 8, 3 },
48906	{ "MAC_PORT_RX_LINKB_RECEIVER_SIGDET_CONTROL", 0x3739c, 0 },
48907		{ "OFFSN_ReadWrite", 14, 1 },
48908		{ "OFFSN_ReadOnly", 13, 1 },
48909		{ "OFFAMP", 8, 5 },
48910		{ "SDACDC", 7, 1 },
48911		{ "SDPDN", 6, 1 },
48912		{ "SIGDET", 5, 1 },
48913		{ "SDLVL", 0, 5 },
48914	{ "MAC_PORT_RX_LINKB_RECEIVER_ANALOG_CONTROL_SWITCH", 0x373a0, 0 },
48915		{ "T5_RX_SETHDIS", 7, 1 },
48916		{ "T5_RX_PDTERM", 6, 1 },
48917		{ "T5_RX_BYPASS", 5, 1 },
48918		{ "T5_RX_LPFEN", 4, 1 },
48919		{ "T5_RX_VGABOD", 3, 1 },
48920		{ "T5_RX_VTBYP", 2, 1 },
48921		{ "T5_RX_VTERM", 0, 2 },
48922	{ "MAC_PORT_RX_LINKB_INTEGRATOR_DAC_OFFSET", 0x373a4, 0 },
48923		{ "ISTRIMS", 14, 2 },
48924		{ "ISTRIM", 8, 6 },
48925		{ "HALF1", 7, 1 },
48926		{ "HALF2", 6, 1 },
48927		{ "INTDAC", 0, 6 },
48928	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_CONTROL", 0x373a8, 0 },
48929		{ "BLKAZ", 15, 1 },
48930		{ "WIDTH", 10, 5 },
48931		{ "MINWDTH", 5, 5 },
48932		{ "MINAMP", 0, 5 },
48933	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS", 0x373ac, 0 },
48934		{ "T5SMQM", 13, 3 },
48935		{ "T5SMQ", 5, 8 },
48936		{ "T5EMMD", 3, 2 },
48937		{ "T5EMBRDY", 2, 1 },
48938		{ "T5EMBUMP", 1, 1 },
48939		{ "T5EMEN", 0, 1 },
48940	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x373b0, 0 },
48941		{ "EMF8", 15, 1 },
48942		{ "EMCNT", 4, 8 },
48943		{ "EMOFLO", 2, 1 },
48944		{ "EMCRST", 1, 1 },
48945		{ "EMCEN", 0, 1 },
48946	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x373b4, 0 },
48947		{ "SM2RDY", 15, 1 },
48948		{ "SM2RST", 14, 1 },
48949		{ "APDF", 0, 12 },
48950	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x373b8, 0 },
48951	{ "MAC_PORT_RX_LINKB_DFE_TAP_ENABLE", 0x373c0, 0 },
48952		{ "H_EN", 1, 12 },
48953	{ "MAC_PORT_RX_LINKB_DFE_H1", 0x373c4, 0 },
48954		{ "H1OSN", 14, 2 },
48955		{ "H1OMAG", 8, 6 },
48956		{ "H1ESN", 6, 2 },
48957		{ "H1EMAG", 0, 6 },
48958	{ "MAC_PORT_RX_LINKB_DFE_H2", 0x373c8, 0 },
48959		{ "H2OSN_ReadWrite", 14, 1 },
48960		{ "H2OSN_ReadOnly", 13, 1 },
48961		{ "H2OMAG", 8, 5 },
48962		{ "H2ESN_ReadWrite", 6, 1 },
48963		{ "H2ESN_ReadOnly", 5, 1 },
48964		{ "H2EMAG", 0, 5 },
48965	{ "MAC_PORT_RX_LINKB_DFE_H3", 0x373cc, 0 },
48966		{ "H3OSN_ReadWrite", 13, 1 },
48967		{ "H3OSN_ReadOnly", 12, 1 },
48968		{ "H3OMAG", 8, 4 },
48969		{ "H3ESN_ReadWrite", 5, 1 },
48970		{ "H3ESN_ReadOnly", 4, 1 },
48971		{ "H3EMAG", 0, 4 },
48972	{ "MAC_PORT_RX_LINKB_DFE_H4", 0x373d0, 0 },
48973		{ "H4OGS", 14, 2 },
48974		{ "H4OSN_ReadWrite", 13, 1 },
48975		{ "H4OSN_ReadOnly", 12, 1 },
48976		{ "H4OMAG", 8, 4 },
48977		{ "H4EGS", 6, 2 },
48978		{ "H4ESN_ReadWrite", 5, 1 },
48979		{ "H4ESN_ReadOnly", 4, 1 },
48980		{ "H4EMAG", 0, 4 },
48981	{ "MAC_PORT_RX_LINKB_DFE_H5", 0x373d4, 0 },
48982		{ "H5OGS", 14, 2 },
48983		{ "H5OSN_ReadWrite", 13, 1 },
48984		{ "H5OSN_ReadOnly", 12, 1 },
48985		{ "H5OMAG", 8, 4 },
48986		{ "H5EGS", 6, 2 },
48987		{ "H5ESN_ReadWrite", 5, 1 },
48988		{ "H5ESN_ReadOnly", 4, 1 },
48989		{ "H5EMAG", 0, 4 },
48990	{ "MAC_PORT_RX_LINKB_DFE_H6_AND_H7", 0x373d8, 0 },
48991		{ "H7GS", 14, 2 },
48992		{ "H7SN_ReadWrite", 13, 1 },
48993		{ "H7SN_ReadOnly", 12, 1 },
48994		{ "H7MAG", 8, 4 },
48995		{ "H6GS", 6, 2 },
48996		{ "H6SN_ReadWrite", 5, 1 },
48997		{ "H6SN_ReadOnly", 4, 1 },
48998		{ "H6MAG", 0, 4 },
48999	{ "MAC_PORT_RX_LINKB_DFE_H8_AND_H9", 0x373dc, 0 },
49000		{ "H9GS", 14, 2 },
49001		{ "H9SN_ReadWrite", 13, 1 },
49002		{ "H9SN_ReadOnly", 12, 1 },
49003		{ "H9MAG", 8, 4 },
49004		{ "H8GS", 6, 2 },
49005		{ "H8SN_ReadWrite", 5, 1 },
49006		{ "H8SN_ReadOnly", 4, 1 },
49007		{ "H8MAG", 0, 4 },
49008	{ "MAC_PORT_RX_LINKB_DFE_H10_AND_H11", 0x373e0, 0 },
49009		{ "H11GS", 14, 2 },
49010		{ "H11SN_ReadWrite", 13, 1 },
49011		{ "H11SN_ReadOnly", 12, 1 },
49012		{ "H11MAG", 8, 4 },
49013		{ "H10GS", 6, 2 },
49014		{ "H10SN_ReadWrite", 5, 1 },
49015		{ "H10SN_ReadOnly", 4, 1 },
49016		{ "H10MAG", 0, 4 },
49017	{ "MAC_PORT_RX_LINKB_DFE_H12", 0x373e4, 0 },
49018		{ "H12GS", 6, 2 },
49019		{ "H12SN_ReadWrite", 5, 1 },
49020		{ "H12SN_ReadOnly", 4, 1 },
49021		{ "H12MAG", 0, 4 },
49022	{ "MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_2", 0x373f8, 0 },
49023		{ "DFEDACLSSD", 6, 1 },
49024		{ "SDLSSD", 5, 1 },
49025		{ "DFEOBSBIAS", 4, 1 },
49026		{ "GBOFSTLSSD", 3, 1 },
49027		{ "RXDOBS", 2, 1 },
49028		{ "ACJZPT", 1, 1 },
49029		{ "ACJZNT", 0, 1 },
49030	{ "MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_1", 0x373fc, 0 },
49031		{ "PHSLOCK", 10, 1 },
49032		{ "TESTMODE", 9, 1 },
49033		{ "CALMODE", 8, 1 },
49034		{ "AMPSEL", 7, 1 },
49035		{ "WHICHNRZ", 6, 1 },
49036		{ "BANKA", 5, 1 },
49037		{ "BANKB", 4, 1 },
49038		{ "ACJPDP", 3, 1 },
49039		{ "ACJPDN", 2, 1 },
49040		{ "LSSDT", 1, 1 },
49041		{ "MTHOLD", 0, 1 },
49042	{ "MAC_PORT_RX_LINKC_RECEIVER_CONFIGURATION_MODE", 0x37600, 0 },
49043		{ "T5_RX_LINKEN", 15, 1 },
49044		{ "T5_RX_LINKRST", 14, 1 },
49045		{ "T5_RX_CFGWRT", 13, 1 },
49046		{ "T5_RX_CFGPTR", 11, 2 },
49047		{ "T5_RX_CFGEXT", 10, 1 },
49048		{ "T5_RX_CFGACT", 9, 1 },
49049		{ "T5_RX_AUXCLK", 8, 1 },
49050		{ "T5_RX_PLLSEL", 6, 2 },
49051		{ "T5_RX_DMSEL", 4, 2 },
49052		{ "T5_RX_BWSEL", 2, 2 },
49053		{ "T5_RX_RTSEL", 0, 2 },
49054	{ "MAC_PORT_RX_LINKC_RECEIVER_TEST_CONTROL", 0x37604, 0 },
49055		{ "RCLKEN", 15, 1 },
49056		{ "RRATE", 13, 2 },
49057		{ "FERRST", 10, 1 },
49058		{ "ERRST", 9, 1 },
49059		{ "SYNCST", 8, 1 },
49060		{ "WRPSM", 7, 1 },
49061		{ "WPLPEN", 6, 1 },
49062		{ "WRPMD", 5, 1 },
49063		{ "PRST", 4, 1 },
49064		{ "PCHKEN", 3, 1 },
49065		{ "PATSEL", 0, 3 },
49066	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_CONTROL", 0x37608, 0 },
49067		{ "FTHROT", 12, 4 },
49068		{ "RTHROT", 11, 1 },
49069		{ "FILTCTL", 7, 4 },
49070		{ "RSRVO", 5, 2 },
49071		{ "EXTEL", 4, 1 },
49072		{ "RSTUCK", 3, 1 },
49073		{ "FRZFW", 2, 1 },
49074		{ "RSTFW", 1, 1 },
49075		{ "SSCEN", 0, 1 },
49076	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_OFFSET_CONTROL", 0x3760c, 0 },
49077		{ "RSNP", 11, 1 },
49078		{ "TSOEN", 10, 1 },
49079		{ "OFFEN", 9, 1 },
49080		{ "TMSCAL", 7, 2 },
49081		{ "APADJ", 6, 1 },
49082		{ "RSEL", 5, 1 },
49083		{ "PHOFFS", 0, 5 },
49084	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_1", 0x37610, 0 },
49085		{ "ROT0A", 8, 6 },
49086		{ "ROT00", 0, 6 },
49087	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_2", 0x37614, 0 },
49088		{ "FREQFW", 8, 8 },
49089		{ "FWSNAP", 7, 1 },
49090		{ "ROT90", 0, 6 },
49091	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x37618, 0 },
49092		{ "RCALER", 15, 1 },
49093		{ "RAOOFF", 10, 5 },
49094		{ "RAEOFF", 5, 5 },
49095		{ "RDOFF", 0, 5 },
49096	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3761c, 0 },
49097		{ "RCALER", 15, 1 },
49098		{ "RBOOFF", 10, 5 },
49099		{ "RBEOFF", 5, 5 },
49100		{ "RDOFF", 0, 5 },
49101	{ "MAC_PORT_RX_LINKC_DFE_CONTROL", 0x37620, 0 },
49102		{ "REQCMP", 15, 1 },
49103		{ "DFEREQ", 14, 1 },
49104		{ "SPCEN", 13, 1 },
49105		{ "GATEEN", 12, 1 },
49106		{ "SPIFMT", 9, 3 },
49107		{ "DFEPWR", 6, 3 },
49108		{ "STNDBY", 5, 1 },
49109		{ "FRCH", 4, 1 },
49110		{ "NONRND", 3, 1 },
49111		{ "NONRNF", 2, 1 },
49112		{ "FSTLCK", 1, 1 },
49113		{ "DFERST", 0, 1 },
49114	{ "MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_1", 0x37624, 0 },
49115		{ "T5BYTE1", 8, 8 },
49116		{ "T5BYTE0", 0, 8 },
49117	{ "MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_2", 0x37628, 0 },
49118		{ "T5_RX_SMODE", 8, 3 },
49119		{ "T5_RX_ADCORR", 7, 1 },
49120		{ "T5_RX_TRAINEN", 6, 1 },
49121		{ "T5_RX_ASAMPQ", 3, 3 },
49122		{ "T5_RX_ASAMP", 0, 3 },
49123	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_1", 0x3762c, 0 },
49124		{ "POLE", 12, 2 },
49125		{ "PEAK", 8, 3 },
49126		{ "VOFFSN", 6, 2 },
49127		{ "VOFFA", 0, 6 },
49128	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_2", 0x37630, 0 },
49129		{ "T5SHORTV", 10, 1 },
49130		{ "T5VGAIN", 0, 5 },
49131	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_3", 0x37634, 0 },
49132		{ "HBND1", 10, 1 },
49133		{ "HBND0", 9, 1 },
49134		{ "VLCKD", 8, 1 },
49135		{ "VLCKDF", 7, 1 },
49136		{ "AMAXT", 0, 7 },
49137	{ "MAC_PORT_RX_LINKC_RECEIVER_DQCC_CONTROL_1", 0x37638, 0 },
49138		{ "IQSEP", 10, 5 },
49139		{ "DUTYQ", 5, 5 },
49140		{ "DUTYI", 0, 5 },
49141	{ "MAC_PORT_RX_LINKC_RECEIVER_DQCC_CONTROL_3", 0x37640, 0 },
49142		{ "DTHR", 8, 6 },
49143		{ "SNUL", 0, 5 },
49144	{ "MAC_PORT_RX_LINKC_RECEIVER_DACAP_AND_DACAN", 0x37648, 0 },
49145		{ "DACAN", 8, 8 },
49146		{ "DACAP", 0, 8 },
49147	{ "MAC_PORT_RX_LINKC_RECEIVER_DACA_MIN_AND_DACAZ", 0x3764c, 0 },
49148		{ "DACAZ", 8, 8 },
49149		{ "DACAM", 0, 8 },
49150	{ "MAC_PORT_RX_LINKC_RECEIVER_ADAC_CONTROL", 0x37650, 0 },
49151		{ "ADSN_ReadWrite", 8, 1 },
49152		{ "ADSN_ReadOnly", 7, 1 },
49153		{ "ADMAG", 0, 7 },
49154	{ "MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3765c, 0 },
49155		{ "H1O2", 8, 6 },
49156		{ "H1E2", 0, 6 },
49157	{ "MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x37660, 0 },
49158		{ "H1O3", 8, 6 },
49159		{ "H1E3", 0, 6 },
49160	{ "MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x37664, 0 },
49161		{ "H1O4", 8, 6 },
49162		{ "H1E4", 0, 6 },
49163	{ "MAC_PORT_RX_LINKC_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x37670, 0 },
49164		{ "DPCMD", 14, 1 },
49165		{ "DPCCVG", 13, 1 },
49166		{ "DACCVG", 12, 1 },
49167		{ "DPCTGT", 9, 3 },
49168		{ "BLKH1T", 8, 1 },
49169		{ "BLKOAE", 7, 1 },
49170		{ "H1TGT", 4, 3 },
49171		{ "OAE", 0, 4 },
49172	{ "MAC_PORT_RX_LINKC_DYNAMIC_DATA_CENTERING_DDC", 0x37674, 0 },
49173		{ "OLS", 11, 5 },
49174		{ "OES", 6, 5 },
49175		{ "BLKODEC", 5, 1 },
49176		{ "ODEC", 0, 5 },
49177	{ "MAC_PORT_RX_LINKC_RECEIVER_INTERNAL_STATUS", 0x37678, 0 },
49178		{ "T5BER6VAL", 15, 1 },
49179		{ "T5BER6", 14, 1 },
49180		{ "T5BER3VAL", 13, 1 },
49181		{ "T5TOOFAST", 12, 1 },
49182		{ "T5DPCCMP", 9, 1 },
49183		{ "T5DACCMP", 8, 1 },
49184		{ "T5DDCCMP", 7, 1 },
49185		{ "T5AERRFLG", 6, 1 },
49186		{ "T5WERRFLG", 5, 1 },
49187		{ "T5TRCMP", 4, 1 },
49188		{ "T5VLCKF", 3, 1 },
49189		{ "T5ROCCMP", 2, 1 },
49190		{ "T5DQCCCMP", 1, 1 },
49191		{ "T5OCCMP", 0, 1 },
49192	{ "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_1", 0x3767c, 0 },
49193		{ "FDPC", 15, 1 },
49194		{ "FDAC", 14, 1 },
49195		{ "FDDC", 13, 1 },
49196		{ "FNRND", 12, 1 },
49197		{ "FVGAIN", 11, 1 },
49198		{ "FVOFF", 10, 1 },
49199		{ "FSDET", 9, 1 },
49200		{ "FBER6", 8, 1 },
49201		{ "FROTO", 7, 1 },
49202		{ "FH4H5", 6, 1 },
49203		{ "FH2H3", 5, 1 },
49204		{ "FH1", 4, 1 },
49205		{ "FH1SN", 3, 1 },
49206		{ "FNRDF", 2, 1 },
49207		{ "FLOFF", 1, 1 },
49208		{ "FADAC", 0, 1 },
49209	{ "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_2", 0x37680, 0 },
49210		{ "H25SPC", 15, 1 },
49211		{ "FTOOFAST", 8, 1 },
49212		{ "FINTTRIM", 7, 1 },
49213		{ "FDINV", 6, 1 },
49214		{ "FHGS", 5, 1 },
49215		{ "FH6H12", 4, 1 },
49216		{ "FH1CAL", 3, 1 },
49217		{ "FINTCAL", 2, 1 },
49218		{ "FDCA", 1, 1 },
49219		{ "FDQCC", 0, 1 },
49220	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_EVN1_EVN2", 0x37684, 0 },
49221		{ "LOFE2S_ReadWrite", 16, 1 },
49222		{ "LOFE2S_ReadOnly", 14, 2 },
49223		{ "LOFE2", 8, 6 },
49224		{ "LOFE1S_ReadWrite", 7, 1 },
49225		{ "LOFE1S_ReadOnly", 6, 1 },
49226		{ "LOFE1", 0, 6 },
49227	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_ODD1_ODD2", 0x37688, 0 },
49228		{ "LOFO2S_ReadWrite", 15, 1 },
49229		{ "LOFO2S_ReadOnly", 14, 1 },
49230		{ "LOFO2", 8, 6 },
49231		{ "LOFO1S_ReadWrite", 7, 1 },
49232		{ "LOFO1S_ReadOnly", 6, 1 },
49233		{ "LOFO1", 0, 6 },
49234	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_EVN3_EVN4", 0x3768c, 0 },
49235		{ "LOFE4S_ReadWrite", 15, 1 },
49236		{ "LOFE4S_ReadOnly", 14, 1 },
49237		{ "LOFE", 8, 6 },
49238		{ "LOFE3S_ReadWrite", 7, 1 },
49239		{ "LOFE3S_ReadOnly", 6, 1 },
49240		{ "LOFE3", 0, 6 },
49241	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_ODD3_ODD4", 0x37690, 0 },
49242		{ "LOFO4S_ReadWrite", 15, 1 },
49243		{ "LOFO4S_ReadOnly", 14, 1 },
49244		{ "LOFO4", 8, 6 },
49245		{ "LOFO3S_ReadWrite", 7, 1 },
49246		{ "LOFO3S_ReadOnly", 6, 1 },
49247		{ "LOFO3", 0, 6 },
49248	{ "MAC_PORT_RX_LINKC_DFE_E0_AND_E1_OFFSET", 0x37694, 0 },
49249		{ "T5E1SN_ReadWrite", 15, 1 },
49250		{ "T5E1SN_ReadOnly", 14, 1 },
49251		{ "T5E1AMP", 8, 6 },
49252		{ "T5E0SN_ReadWrite", 7, 1 },
49253		{ "T5E0SN_ReadOnly", 6, 1 },
49254		{ "T5E0AMP", 0, 6 },
49255	{ "MAC_PORT_RX_LINKC_RECEIVER_LOFF_CONTROL", 0x37698, 0 },
49256		{ "T5LFREG", 12, 1 },
49257		{ "T5LFRC", 11, 1 },
49258		{ "T5LFSEL", 8, 3 },
49259	{ "MAC_PORT_RX_LINKC_RECEIVER_SIGDET_CONTROL", 0x3769c, 0 },
49260		{ "OFFSN_ReadWrite", 14, 1 },
49261		{ "OFFSN_ReadOnly", 13, 1 },
49262		{ "OFFAMP", 8, 5 },
49263		{ "SDACDC", 7, 1 },
49264		{ "SDPDN", 6, 1 },
49265		{ "SIGDET", 5, 1 },
49266		{ "SDLVL", 0, 5 },
49267	{ "MAC_PORT_RX_LINKC_RECEIVER_ANALOG_CONTROL_SWITCH", 0x376a0, 0 },
49268		{ "T5_RX_SETHDIS", 7, 1 },
49269		{ "T5_RX_PDTERM", 6, 1 },
49270		{ "T5_RX_BYPASS", 5, 1 },
49271		{ "T5_RX_LPFEN", 4, 1 },
49272		{ "T5_RX_VGABOD", 3, 1 },
49273		{ "T5_RX_VTBYP", 2, 1 },
49274		{ "T5_RX_VTERM", 0, 2 },
49275	{ "MAC_PORT_RX_LINKC_INTEGRATOR_DAC_OFFSET", 0x376a4, 0 },
49276		{ "ISTRIMS", 14, 2 },
49277		{ "ISTRIM", 8, 6 },
49278		{ "HALF1", 7, 1 },
49279		{ "HALF2", 6, 1 },
49280		{ "INTDAC", 0, 6 },
49281	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_CONTROL", 0x376a8, 0 },
49282		{ "BLKAZ", 15, 1 },
49283		{ "WIDTH", 10, 5 },
49284		{ "MINWDTH", 5, 5 },
49285		{ "MINAMP", 0, 5 },
49286	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS", 0x376ac, 0 },
49287		{ "T5SMQM", 13, 3 },
49288		{ "T5SMQ", 5, 8 },
49289		{ "T5EMMD", 3, 2 },
49290		{ "T5EMBRDY", 2, 1 },
49291		{ "T5EMBUMP", 1, 1 },
49292		{ "T5EMEN", 0, 1 },
49293	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x376b0, 0 },
49294		{ "EMF8", 15, 1 },
49295		{ "EMCNT", 4, 8 },
49296		{ "EMOFLO", 2, 1 },
49297		{ "EMCRST", 1, 1 },
49298		{ "EMCEN", 0, 1 },
49299	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x376b4, 0 },
49300		{ "SM2RDY", 15, 1 },
49301		{ "SM2RST", 14, 1 },
49302		{ "APDF", 0, 12 },
49303	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x376b8, 0 },
49304	{ "MAC_PORT_RX_LINKC_DFE_TAP_ENABLE", 0x376c0, 0 },
49305		{ "H_EN", 1, 12 },
49306	{ "MAC_PORT_RX_LINKC_DFE_H1", 0x376c4, 0 },
49307		{ "H1OSN", 14, 2 },
49308		{ "H1OMAG", 8, 6 },
49309		{ "H1ESN", 6, 2 },
49310		{ "H1EMAG", 0, 6 },
49311	{ "MAC_PORT_RX_LINKC_DFE_H2", 0x376c8, 0 },
49312		{ "H2OSN_ReadWrite", 14, 1 },
49313		{ "H2OSN_ReadOnly", 13, 1 },
49314		{ "H2OMAG", 8, 5 },
49315		{ "H2ESN_ReadWrite", 6, 1 },
49316		{ "H2ESN_ReadOnly", 5, 1 },
49317		{ "H2EMAG", 0, 5 },
49318	{ "MAC_PORT_RX_LINKC_DFE_H3", 0x376cc, 0 },
49319		{ "H3OSN_ReadWrite", 13, 1 },
49320		{ "H3OSN_ReadOnly", 12, 1 },
49321		{ "H3OMAG", 8, 4 },
49322		{ "H3ESN_ReadWrite", 5, 1 },
49323		{ "H3ESN_ReadOnly", 4, 1 },
49324		{ "H3EMAG", 0, 4 },
49325	{ "MAC_PORT_RX_LINKC_DFE_H4", 0x376d0, 0 },
49326		{ "H4OGS", 14, 2 },
49327		{ "H4OSN_ReadWrite", 13, 1 },
49328		{ "H4OSN_ReadOnly", 12, 1 },
49329		{ "H4OMAG", 8, 4 },
49330		{ "H4EGS", 6, 2 },
49331		{ "H4ESN_ReadWrite", 5, 1 },
49332		{ "H4ESN_ReadOnly", 4, 1 },
49333		{ "H4EMAG", 0, 4 },
49334	{ "MAC_PORT_RX_LINKC_DFE_H5", 0x376d4, 0 },
49335		{ "H5OGS", 14, 2 },
49336		{ "H5OSN_ReadWrite", 13, 1 },
49337		{ "H5OSN_ReadOnly", 12, 1 },
49338		{ "H5OMAG", 8, 4 },
49339		{ "H5EGS", 6, 2 },
49340		{ "H5ESN_ReadWrite", 5, 1 },
49341		{ "H5ESN_ReadOnly", 4, 1 },
49342		{ "H5EMAG", 0, 4 },
49343	{ "MAC_PORT_RX_LINKC_DFE_H6_AND_H7", 0x376d8, 0 },
49344		{ "H7GS", 14, 2 },
49345		{ "H7SN_ReadWrite", 13, 1 },
49346		{ "H7SN_ReadOnly", 12, 1 },
49347		{ "H7MAG", 8, 4 },
49348		{ "H6GS", 6, 2 },
49349		{ "H6SN_ReadWrite", 5, 1 },
49350		{ "H6SN_ReadOnly", 4, 1 },
49351		{ "H6MAG", 0, 4 },
49352	{ "MAC_PORT_RX_LINKC_DFE_H8_AND_H9", 0x376dc, 0 },
49353		{ "H9GS", 14, 2 },
49354		{ "H9SN_ReadWrite", 13, 1 },
49355		{ "H9SN_ReadOnly", 12, 1 },
49356		{ "H9MAG", 8, 4 },
49357		{ "H8GS", 6, 2 },
49358		{ "H8SN_ReadWrite", 5, 1 },
49359		{ "H8SN_ReadOnly", 4, 1 },
49360		{ "H8MAG", 0, 4 },
49361	{ "MAC_PORT_RX_LINKC_DFE_H10_AND_H11", 0x376e0, 0 },
49362		{ "H11GS", 14, 2 },
49363		{ "H11SN_ReadWrite", 13, 1 },
49364		{ "H11SN_ReadOnly", 12, 1 },
49365		{ "H11MAG", 8, 4 },
49366		{ "H10GS", 6, 2 },
49367		{ "H10SN_ReadWrite", 5, 1 },
49368		{ "H10SN_ReadOnly", 4, 1 },
49369		{ "H10MAG", 0, 4 },
49370	{ "MAC_PORT_RX_LINKC_DFE_H12", 0x376e4, 0 },
49371		{ "H12GS", 6, 2 },
49372		{ "H12SN_ReadWrite", 5, 1 },
49373		{ "H12SN_ReadOnly", 4, 1 },
49374		{ "H12MAG", 0, 4 },
49375	{ "MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_2", 0x376f8, 0 },
49376		{ "DFEDACLSSD", 6, 1 },
49377		{ "SDLSSD", 5, 1 },
49378		{ "DFEOBSBIAS", 4, 1 },
49379		{ "GBOFSTLSSD", 3, 1 },
49380		{ "RXDOBS", 2, 1 },
49381		{ "ACJZPT", 1, 1 },
49382		{ "ACJZNT", 0, 1 },
49383	{ "MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_1", 0x376fc, 0 },
49384		{ "PHSLOCK", 10, 1 },
49385		{ "TESTMODE", 9, 1 },
49386		{ "CALMODE", 8, 1 },
49387		{ "AMPSEL", 7, 1 },
49388		{ "WHICHNRZ", 6, 1 },
49389		{ "BANKA", 5, 1 },
49390		{ "BANKB", 4, 1 },
49391		{ "ACJPDP", 3, 1 },
49392		{ "ACJPDN", 2, 1 },
49393		{ "LSSDT", 1, 1 },
49394		{ "MTHOLD", 0, 1 },
49395	{ "MAC_PORT_RX_LINKD_RECEIVER_CONFIGURATION_MODE", 0x37700, 0 },
49396		{ "T5_RX_LINKEN", 15, 1 },
49397		{ "T5_RX_LINKRST", 14, 1 },
49398		{ "T5_RX_CFGWRT", 13, 1 },
49399		{ "T5_RX_CFGPTR", 11, 2 },
49400		{ "T5_RX_CFGEXT", 10, 1 },
49401		{ "T5_RX_CFGACT", 9, 1 },
49402		{ "T5_RX_AUXCLK", 8, 1 },
49403		{ "T5_RX_PLLSEL", 6, 2 },
49404		{ "T5_RX_DMSEL", 4, 2 },
49405		{ "T5_RX_BWSEL", 2, 2 },
49406		{ "T5_RX_RTSEL", 0, 2 },
49407	{ "MAC_PORT_RX_LINKD_RECEIVER_TEST_CONTROL", 0x37704, 0 },
49408		{ "RCLKEN", 15, 1 },
49409		{ "RRATE", 13, 2 },
49410		{ "FERRST", 10, 1 },
49411		{ "ERRST", 9, 1 },
49412		{ "SYNCST", 8, 1 },
49413		{ "WRPSM", 7, 1 },
49414		{ "WPLPEN", 6, 1 },
49415		{ "WRPMD", 5, 1 },
49416		{ "PRST", 4, 1 },
49417		{ "PCHKEN", 3, 1 },
49418		{ "PATSEL", 0, 3 },
49419	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_CONTROL", 0x37708, 0 },
49420		{ "FTHROT", 12, 4 },
49421		{ "RTHROT", 11, 1 },
49422		{ "FILTCTL", 7, 4 },
49423		{ "RSRVO", 5, 2 },
49424		{ "EXTEL", 4, 1 },
49425		{ "RSTUCK", 3, 1 },
49426		{ "FRZFW", 2, 1 },
49427		{ "RSTFW", 1, 1 },
49428		{ "SSCEN", 0, 1 },
49429	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_OFFSET_CONTROL", 0x3770c, 0 },
49430		{ "RSNP", 11, 1 },
49431		{ "TSOEN", 10, 1 },
49432		{ "OFFEN", 9, 1 },
49433		{ "TMSCAL", 7, 2 },
49434		{ "APADJ", 6, 1 },
49435		{ "RSEL", 5, 1 },
49436		{ "PHOFFS", 0, 5 },
49437	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_1", 0x37710, 0 },
49438		{ "ROT0A", 8, 6 },
49439		{ "ROT00", 0, 6 },
49440	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_2", 0x37714, 0 },
49441		{ "FREQFW", 8, 8 },
49442		{ "FWSNAP", 7, 1 },
49443		{ "ROT90", 0, 6 },
49444	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x37718, 0 },
49445		{ "RCALER", 15, 1 },
49446		{ "RAOOFF", 10, 5 },
49447		{ "RAEOFF", 5, 5 },
49448		{ "RDOFF", 0, 5 },
49449	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3771c, 0 },
49450		{ "RCALER", 15, 1 },
49451		{ "RBOOFF", 10, 5 },
49452		{ "RBEOFF", 5, 5 },
49453		{ "RDOFF", 0, 5 },
49454	{ "MAC_PORT_RX_LINKD_DFE_CONTROL", 0x37720, 0 },
49455		{ "REQCMP", 15, 1 },
49456		{ "DFEREQ", 14, 1 },
49457		{ "SPCEN", 13, 1 },
49458		{ "GATEEN", 12, 1 },
49459		{ "SPIFMT", 9, 3 },
49460		{ "DFEPWR", 6, 3 },
49461		{ "STNDBY", 5, 1 },
49462		{ "FRCH", 4, 1 },
49463		{ "NONRND", 3, 1 },
49464		{ "NONRNF", 2, 1 },
49465		{ "FSTLCK", 1, 1 },
49466		{ "DFERST", 0, 1 },
49467	{ "MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_1", 0x37724, 0 },
49468		{ "T5BYTE1", 8, 8 },
49469		{ "T5BYTE0", 0, 8 },
49470	{ "MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_2", 0x37728, 0 },
49471		{ "T5_RX_SMODE", 8, 3 },
49472		{ "T5_RX_ADCORR", 7, 1 },
49473		{ "T5_RX_TRAINEN", 6, 1 },
49474		{ "T5_RX_ASAMPQ", 3, 3 },
49475		{ "T5_RX_ASAMP", 0, 3 },
49476	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_1", 0x3772c, 0 },
49477		{ "POLE", 12, 2 },
49478		{ "PEAK", 8, 3 },
49479		{ "VOFFSN", 6, 2 },
49480		{ "VOFFA", 0, 6 },
49481	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_2", 0x37730, 0 },
49482		{ "T5SHORTV", 10, 1 },
49483		{ "T5VGAIN", 0, 5 },
49484	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_3", 0x37734, 0 },
49485		{ "HBND1", 10, 1 },
49486		{ "HBND0", 9, 1 },
49487		{ "VLCKD", 8, 1 },
49488		{ "VLCKDF", 7, 1 },
49489		{ "AMAXT", 0, 7 },
49490	{ "MAC_PORT_RX_LINKD_RECEIVER_DQCC_CONTROL_1", 0x37738, 0 },
49491		{ "IQSEP", 10, 5 },
49492		{ "DUTYQ", 5, 5 },
49493		{ "DUTYI", 0, 5 },
49494	{ "MAC_PORT_RX_LINKD_RECEIVER_DQCC_CONTROL_3", 0x37740, 0 },
49495		{ "DTHR", 8, 6 },
49496		{ "SNUL", 0, 5 },
49497	{ "MAC_PORT_RX_LINKD_RECEIVER_DACAP_AND_DACAN", 0x37748, 0 },
49498		{ "DACAN", 8, 8 },
49499		{ "DACAP", 0, 8 },
49500	{ "MAC_PORT_RX_LINKD_RECEIVER_DACA_MIN_AND_DACAZ", 0x3774c, 0 },
49501		{ "DACAZ", 8, 8 },
49502		{ "DACAM", 0, 8 },
49503	{ "MAC_PORT_RX_LINKD_RECEIVER_ADAC_CONTROL", 0x37750, 0 },
49504		{ "ADSN_ReadWrite", 8, 1 },
49505		{ "ADSN_ReadOnly", 7, 1 },
49506		{ "ADMAG", 0, 7 },
49507	{ "MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3775c, 0 },
49508		{ "H1O2", 8, 6 },
49509		{ "H1E2", 0, 6 },
49510	{ "MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x37760, 0 },
49511		{ "H1O3", 8, 6 },
49512		{ "H1E3", 0, 6 },
49513	{ "MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x37764, 0 },
49514		{ "H1O4", 8, 6 },
49515		{ "H1E4", 0, 6 },
49516	{ "MAC_PORT_RX_LINKD_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x37770, 0 },
49517		{ "DPCMD", 14, 1 },
49518		{ "DPCCVG", 13, 1 },
49519		{ "DACCVG", 12, 1 },
49520		{ "DPCTGT", 9, 3 },
49521		{ "BLKH1T", 8, 1 },
49522		{ "BLKOAE", 7, 1 },
49523		{ "H1TGT", 4, 3 },
49524		{ "OAE", 0, 4 },
49525	{ "MAC_PORT_RX_LINKD_DYNAMIC_DATA_CENTERING_DDC", 0x37774, 0 },
49526		{ "OLS", 11, 5 },
49527		{ "OES", 6, 5 },
49528		{ "BLKODEC", 5, 1 },
49529		{ "ODEC", 0, 5 },
49530	{ "MAC_PORT_RX_LINKD_RECEIVER_INTERNAL_STATUS", 0x37778, 0 },
49531		{ "T5BER6VAL", 15, 1 },
49532		{ "T5BER6", 14, 1 },
49533		{ "T5BER3VAL", 13, 1 },
49534		{ "T5TOOFAST", 12, 1 },
49535		{ "T5DPCCMP", 9, 1 },
49536		{ "T5DACCMP", 8, 1 },
49537		{ "T5DDCCMP", 7, 1 },
49538		{ "T5AERRFLG", 6, 1 },
49539		{ "T5WERRFLG", 5, 1 },
49540		{ "T5TRCMP", 4, 1 },
49541		{ "T5VLCKF", 3, 1 },
49542		{ "T5ROCCMP", 2, 1 },
49543		{ "T5DQCCCMP", 1, 1 },
49544		{ "T5OCCMP", 0, 1 },
49545	{ "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_1", 0x3777c, 0 },
49546		{ "FDPC", 15, 1 },
49547		{ "FDAC", 14, 1 },
49548		{ "FDDC", 13, 1 },
49549		{ "FNRND", 12, 1 },
49550		{ "FVGAIN", 11, 1 },
49551		{ "FVOFF", 10, 1 },
49552		{ "FSDET", 9, 1 },
49553		{ "FBER6", 8, 1 },
49554		{ "FROTO", 7, 1 },
49555		{ "FH4H5", 6, 1 },
49556		{ "FH2H3", 5, 1 },
49557		{ "FH1", 4, 1 },
49558		{ "FH1SN", 3, 1 },
49559		{ "FNRDF", 2, 1 },
49560		{ "FLOFF", 1, 1 },
49561		{ "FADAC", 0, 1 },
49562	{ "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_2", 0x37780, 0 },
49563		{ "H25SPC", 15, 1 },
49564		{ "FTOOFAST", 8, 1 },
49565		{ "FINTTRIM", 7, 1 },
49566		{ "FDINV", 6, 1 },
49567		{ "FHGS", 5, 1 },
49568		{ "FH6H12", 4, 1 },
49569		{ "FH1CAL", 3, 1 },
49570		{ "FINTCAL", 2, 1 },
49571		{ "FDCA", 1, 1 },
49572		{ "FDQCC", 0, 1 },
49573	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_EVN1_EVN2", 0x37784, 0 },
49574		{ "LOFE2S_ReadWrite", 16, 1 },
49575		{ "LOFE2S_ReadOnly", 14, 2 },
49576		{ "LOFE2", 8, 6 },
49577		{ "LOFE1S_ReadWrite", 7, 1 },
49578		{ "LOFE1S_ReadOnly", 6, 1 },
49579		{ "LOFE1", 0, 6 },
49580	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_ODD1_ODD2", 0x37788, 0 },
49581		{ "LOFO2S_ReadWrite", 15, 1 },
49582		{ "LOFO2S_ReadOnly", 14, 1 },
49583		{ "LOFO2", 8, 6 },
49584		{ "LOFO1S_ReadWrite", 7, 1 },
49585		{ "LOFO1S_ReadOnly", 6, 1 },
49586		{ "LOFO1", 0, 6 },
49587	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_EVN3_EVN4", 0x3778c, 0 },
49588		{ "LOFE4S_ReadWrite", 15, 1 },
49589		{ "LOFE4S_ReadOnly", 14, 1 },
49590		{ "LOFE", 8, 6 },
49591		{ "LOFE3S_ReadWrite", 7, 1 },
49592		{ "LOFE3S_ReadOnly", 6, 1 },
49593		{ "LOFE3", 0, 6 },
49594	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_ODD3_ODD4", 0x37790, 0 },
49595		{ "LOFO4S_ReadWrite", 15, 1 },
49596		{ "LOFO4S_ReadOnly", 14, 1 },
49597		{ "LOFO4", 8, 6 },
49598		{ "LOFO3S_ReadWrite", 7, 1 },
49599		{ "LOFO3S_ReadOnly", 6, 1 },
49600		{ "LOFO3", 0, 6 },
49601	{ "MAC_PORT_RX_LINKD_DFE_E0_AND_E1_OFFSET", 0x37794, 0 },
49602		{ "T5E1SN_ReadWrite", 15, 1 },
49603		{ "T5E1SN_ReadOnly", 14, 1 },
49604		{ "T5E1AMP", 8, 6 },
49605		{ "T5E0SN_ReadWrite", 7, 1 },
49606		{ "T5E0SN_ReadOnly", 6, 1 },
49607		{ "T5E0AMP", 0, 6 },
49608	{ "MAC_PORT_RX_LINKD_RECEIVER_LOFF_CONTROL", 0x37798, 0 },
49609		{ "T5LFREG", 12, 1 },
49610		{ "T5LFRC", 11, 1 },
49611		{ "T5LFSEL", 8, 3 },
49612	{ "MAC_PORT_RX_LINKD_RECEIVER_SIGDET_CONTROL", 0x3779c, 0 },
49613		{ "OFFSN_ReadWrite", 14, 1 },
49614		{ "OFFSN_ReadOnly", 13, 1 },
49615		{ "OFFAMP", 8, 5 },
49616		{ "SDACDC", 7, 1 },
49617		{ "SDPDN", 6, 1 },
49618		{ "SIGDET", 5, 1 },
49619		{ "SDLVL", 0, 5 },
49620	{ "MAC_PORT_RX_LINKD_RECEIVER_ANALOG_CONTROL_SWITCH", 0x377a0, 0 },
49621		{ "T5_RX_SETHDIS", 7, 1 },
49622		{ "T5_RX_PDTERM", 6, 1 },
49623		{ "T5_RX_BYPASS", 5, 1 },
49624		{ "T5_RX_LPFEN", 4, 1 },
49625		{ "T5_RX_VGABOD", 3, 1 },
49626		{ "T5_RX_VTBYP", 2, 1 },
49627		{ "T5_RX_VTERM", 0, 2 },
49628	{ "MAC_PORT_RX_LINKD_INTEGRATOR_DAC_OFFSET", 0x377a4, 0 },
49629		{ "ISTRIMS", 14, 2 },
49630		{ "ISTRIM", 8, 6 },
49631		{ "HALF1", 7, 1 },
49632		{ "HALF2", 6, 1 },
49633		{ "INTDAC", 0, 6 },
49634	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_CONTROL", 0x377a8, 0 },
49635		{ "BLKAZ", 15, 1 },
49636		{ "WIDTH", 10, 5 },
49637		{ "MINWDTH", 5, 5 },
49638		{ "MINAMP", 0, 5 },
49639	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS", 0x377ac, 0 },
49640		{ "T5SMQM", 13, 3 },
49641		{ "T5SMQ", 5, 8 },
49642		{ "T5EMMD", 3, 2 },
49643		{ "T5EMBRDY", 2, 1 },
49644		{ "T5EMBUMP", 1, 1 },
49645		{ "T5EMEN", 0, 1 },
49646	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x377b0, 0 },
49647		{ "EMF8", 15, 1 },
49648		{ "EMCNT", 4, 8 },
49649		{ "EMOFLO", 2, 1 },
49650		{ "EMCRST", 1, 1 },
49651		{ "EMCEN", 0, 1 },
49652	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x377b4, 0 },
49653		{ "SM2RDY", 15, 1 },
49654		{ "SM2RST", 14, 1 },
49655		{ "APDF", 0, 12 },
49656	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x377b8, 0 },
49657	{ "MAC_PORT_RX_LINKD_DFE_TAP_ENABLE", 0x377c0, 0 },
49658		{ "H_EN", 1, 12 },
49659	{ "MAC_PORT_RX_LINKD_DFE_H1", 0x377c4, 0 },
49660		{ "H1OSN", 14, 2 },
49661		{ "H1OMAG", 8, 6 },
49662		{ "H1ESN", 6, 2 },
49663		{ "H1EMAG", 0, 6 },
49664	{ "MAC_PORT_RX_LINKD_DFE_H2", 0x377c8, 0 },
49665		{ "H2OSN_ReadWrite", 14, 1 },
49666		{ "H2OSN_ReadOnly", 13, 1 },
49667		{ "H2OMAG", 8, 5 },
49668		{ "H2ESN_ReadWrite", 6, 1 },
49669		{ "H2ESN_ReadOnly", 5, 1 },
49670		{ "H2EMAG", 0, 5 },
49671	{ "MAC_PORT_RX_LINKD_DFE_H3", 0x377cc, 0 },
49672		{ "H3OSN_ReadWrite", 13, 1 },
49673		{ "H3OSN_ReadOnly", 12, 1 },
49674		{ "H3OMAG", 8, 4 },
49675		{ "H3ESN_ReadWrite", 5, 1 },
49676		{ "H3ESN_ReadOnly", 4, 1 },
49677		{ "H3EMAG", 0, 4 },
49678	{ "MAC_PORT_RX_LINKD_DFE_H4", 0x377d0, 0 },
49679		{ "H4OGS", 14, 2 },
49680		{ "H4OSN_ReadWrite", 13, 1 },
49681		{ "H4OSN_ReadOnly", 12, 1 },
49682		{ "H4OMAG", 8, 4 },
49683		{ "H4EGS", 6, 2 },
49684		{ "H4ESN_ReadWrite", 5, 1 },
49685		{ "H4ESN_ReadOnly", 4, 1 },
49686		{ "H4EMAG", 0, 4 },
49687	{ "MAC_PORT_RX_LINKD_DFE_H5", 0x377d4, 0 },
49688		{ "H5OGS", 14, 2 },
49689		{ "H5OSN_ReadWrite", 13, 1 },
49690		{ "H5OSN_ReadOnly", 12, 1 },
49691		{ "H5OMAG", 8, 4 },
49692		{ "H5EGS", 6, 2 },
49693		{ "H5ESN_ReadWrite", 5, 1 },
49694		{ "H5ESN_ReadOnly", 4, 1 },
49695		{ "H5EMAG", 0, 4 },
49696	{ "MAC_PORT_RX_LINKD_DFE_H6_AND_H7", 0x377d8, 0 },
49697		{ "H7GS", 14, 2 },
49698		{ "H7SN_ReadWrite", 13, 1 },
49699		{ "H7SN_ReadOnly", 12, 1 },
49700		{ "H7MAG", 8, 4 },
49701		{ "H6GS", 6, 2 },
49702		{ "H6SN_ReadWrite", 5, 1 },
49703		{ "H6SN_ReadOnly", 4, 1 },
49704		{ "H6MAG", 0, 4 },
49705	{ "MAC_PORT_RX_LINKD_DFE_H8_AND_H9", 0x377dc, 0 },
49706		{ "H9GS", 14, 2 },
49707		{ "H9SN_ReadWrite", 13, 1 },
49708		{ "H9SN_ReadOnly", 12, 1 },
49709		{ "H9MAG", 8, 4 },
49710		{ "H8GS", 6, 2 },
49711		{ "H8SN_ReadWrite", 5, 1 },
49712		{ "H8SN_ReadOnly", 4, 1 },
49713		{ "H8MAG", 0, 4 },
49714	{ "MAC_PORT_RX_LINKD_DFE_H10_AND_H11", 0x377e0, 0 },
49715		{ "H11GS", 14, 2 },
49716		{ "H11SN_ReadWrite", 13, 1 },
49717		{ "H11SN_ReadOnly", 12, 1 },
49718		{ "H11MAG", 8, 4 },
49719		{ "H10GS", 6, 2 },
49720		{ "H10SN_ReadWrite", 5, 1 },
49721		{ "H10SN_ReadOnly", 4, 1 },
49722		{ "H10MAG", 0, 4 },
49723	{ "MAC_PORT_RX_LINKD_DFE_H12", 0x377e4, 0 },
49724		{ "H12GS", 6, 2 },
49725		{ "H12SN_ReadWrite", 5, 1 },
49726		{ "H12SN_ReadOnly", 4, 1 },
49727		{ "H12MAG", 0, 4 },
49728	{ "MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_2", 0x377f8, 0 },
49729		{ "DFEDACLSSD", 6, 1 },
49730		{ "SDLSSD", 5, 1 },
49731		{ "DFEOBSBIAS", 4, 1 },
49732		{ "GBOFSTLSSD", 3, 1 },
49733		{ "RXDOBS", 2, 1 },
49734		{ "ACJZPT", 1, 1 },
49735		{ "ACJZNT", 0, 1 },
49736	{ "MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_1", 0x377fc, 0 },
49737		{ "PHSLOCK", 10, 1 },
49738		{ "TESTMODE", 9, 1 },
49739		{ "CALMODE", 8, 1 },
49740		{ "AMPSEL", 7, 1 },
49741		{ "WHICHNRZ", 6, 1 },
49742		{ "BANKA", 5, 1 },
49743		{ "BANKB", 4, 1 },
49744		{ "ACJPDP", 3, 1 },
49745		{ "ACJPDN", 2, 1 },
49746		{ "LSSDT", 1, 1 },
49747		{ "MTHOLD", 0, 1 },
49748	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_CONFIGURATION_MODE", 0x37a00, 0 },
49749		{ "T5_RX_LINKEN", 15, 1 },
49750		{ "T5_RX_LINKRST", 14, 1 },
49751		{ "T5_RX_CFGWRT", 13, 1 },
49752		{ "T5_RX_CFGPTR", 11, 2 },
49753		{ "T5_RX_CFGEXT", 10, 1 },
49754		{ "T5_RX_CFGACT", 9, 1 },
49755		{ "T5_RX_AUXCLK", 8, 1 },
49756		{ "T5_RX_PLLSEL", 6, 2 },
49757		{ "T5_RX_DMSEL", 4, 2 },
49758		{ "T5_RX_BWSEL", 2, 2 },
49759		{ "T5_RX_RTSEL", 0, 2 },
49760	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_TEST_CONTROL", 0x37a04, 0 },
49761		{ "RCLKEN", 15, 1 },
49762		{ "RRATE", 13, 2 },
49763		{ "FERRST", 10, 1 },
49764		{ "ERRST", 9, 1 },
49765		{ "SYNCST", 8, 1 },
49766		{ "WRPSM", 7, 1 },
49767		{ "WPLPEN", 6, 1 },
49768		{ "WRPMD", 5, 1 },
49769		{ "PRST", 4, 1 },
49770		{ "PCHKEN", 3, 1 },
49771		{ "PATSEL", 0, 3 },
49772	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_CONTROL", 0x37a08, 0 },
49773		{ "FTHROT", 12, 4 },
49774		{ "RTHROT", 11, 1 },
49775		{ "FILTCTL", 7, 4 },
49776		{ "RSRVO", 5, 2 },
49777		{ "EXTEL", 4, 1 },
49778		{ "RSTUCK", 3, 1 },
49779		{ "FRZFW", 2, 1 },
49780		{ "RSTFW", 1, 1 },
49781		{ "SSCEN", 0, 1 },
49782	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_OFFSET_CONTROL", 0x37a0c, 0 },
49783		{ "RSNP", 11, 1 },
49784		{ "TSOEN", 10, 1 },
49785		{ "OFFEN", 9, 1 },
49786		{ "TMSCAL", 7, 2 },
49787		{ "APADJ", 6, 1 },
49788		{ "RSEL", 5, 1 },
49789		{ "PHOFFS", 0, 5 },
49790	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_1", 0x37a10, 0 },
49791		{ "ROT0A", 8, 6 },
49792		{ "ROT00", 0, 6 },
49793	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_2", 0x37a14, 0 },
49794		{ "FREQFW", 8, 8 },
49795		{ "FWSNAP", 7, 1 },
49796		{ "ROT90", 0, 6 },
49797	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x37a18, 0 },
49798		{ "RCALER", 15, 1 },
49799		{ "RAOOFF", 10, 5 },
49800		{ "RAEOFF", 5, 5 },
49801		{ "RDOFF", 0, 5 },
49802	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x37a1c, 0 },
49803		{ "RCALER", 15, 1 },
49804		{ "RBOOFF", 10, 5 },
49805		{ "RBEOFF", 5, 5 },
49806		{ "RDOFF", 0, 5 },
49807	{ "MAC_PORT_RX_LINK_BCST_DFE_CONTROL", 0x37a20, 0 },
49808		{ "REQCMP", 15, 1 },
49809		{ "DFEREQ", 14, 1 },
49810		{ "SPCEN", 13, 1 },
49811		{ "GATEEN", 12, 1 },
49812		{ "SPIFMT", 9, 3 },
49813		{ "DFEPWR", 6, 3 },
49814		{ "STNDBY", 5, 1 },
49815		{ "FRCH", 4, 1 },
49816		{ "NONRND", 3, 1 },
49817		{ "NONRNF", 2, 1 },
49818		{ "FSTLCK", 1, 1 },
49819		{ "DFERST", 0, 1 },
49820	{ "MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_1", 0x37a24, 0 },
49821		{ "T5BYTE1", 8, 8 },
49822		{ "T5BYTE0", 0, 8 },
49823	{ "MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_2", 0x37a28, 0 },
49824		{ "T5_RX_SMODE", 8, 3 },
49825		{ "T5_RX_ADCORR", 7, 1 },
49826		{ "T5_RX_TRAINEN", 6, 1 },
49827		{ "T5_RX_ASAMPQ", 3, 3 },
49828		{ "T5_RX_ASAMP", 0, 3 },
49829	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_1", 0x37a2c, 0 },
49830		{ "POLE", 12, 2 },
49831		{ "PEAK", 8, 3 },
49832		{ "VOFFSN", 6, 2 },
49833		{ "VOFFA", 0, 6 },
49834	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_2", 0x37a30, 0 },
49835		{ "T5SHORTV", 10, 1 },
49836		{ "T5VGAIN", 0, 5 },
49837	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_3", 0x37a34, 0 },
49838		{ "HBND1", 10, 1 },
49839		{ "HBND0", 9, 1 },
49840		{ "VLCKD", 8, 1 },
49841		{ "VLCKDF", 7, 1 },
49842		{ "AMAXT", 0, 7 },
49843	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DQCC_CONTROL_1", 0x37a38, 0 },
49844		{ "IQSEP", 10, 5 },
49845		{ "DUTYQ", 5, 5 },
49846		{ "DUTYI", 0, 5 },
49847	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DQCC_CONTROL_3", 0x37a40, 0 },
49848		{ "DTHR", 8, 6 },
49849		{ "SNUL", 0, 5 },
49850	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DACAP_AND_DACAN", 0x37a48, 0 },
49851		{ "DACAN", 8, 8 },
49852		{ "DACAP", 0, 8 },
49853	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DACA_MIN_AND_DACAZ", 0x37a4c, 0 },
49854		{ "DACAZ", 8, 8 },
49855		{ "DACAM", 0, 8 },
49856	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_ADAC_CONTROL", 0x37a50, 0 },
49857		{ "ADSN_ReadWrite", 8, 1 },
49858		{ "ADSN_ReadOnly", 7, 1 },
49859		{ "ADMAG", 0, 7 },
49860	{ "MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x37a5c, 0 },
49861		{ "H1O2", 8, 6 },
49862		{ "H1E2", 0, 6 },
49863	{ "MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x37a60, 0 },
49864		{ "H1O3", 8, 6 },
49865		{ "H1E3", 0, 6 },
49866	{ "MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x37a64, 0 },
49867		{ "H1O4", 8, 6 },
49868		{ "H1E4", 0, 6 },
49869	{ "MAC_PORT_RX_LINK_BCST_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x37a70, 0 },
49870		{ "DPCMD", 14, 1 },
49871		{ "DPCCVG", 13, 1 },
49872		{ "DACCVG", 12, 1 },
49873		{ "DPCTGT", 9, 3 },
49874		{ "BLKH1T", 8, 1 },
49875		{ "BLKOAE", 7, 1 },
49876		{ "H1TGT", 4, 3 },
49877		{ "OAE", 0, 4 },
49878	{ "MAC_PORT_RX_LINK_BCST_DYNAMIC_DATA_CENTERING_DDC", 0x37a74, 0 },
49879		{ "OLS", 11, 5 },
49880		{ "OES", 6, 5 },
49881		{ "BLKODEC", 5, 1 },
49882		{ "ODEC", 0, 5 },
49883	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_INTERNAL_STATUS", 0x37a78, 0 },
49884		{ "T5BER6VAL", 15, 1 },
49885		{ "T5BER6", 14, 1 },
49886		{ "T5BER3VAL", 13, 1 },
49887		{ "T5TOOFAST", 12, 1 },
49888		{ "T5DPCCMP", 9, 1 },
49889		{ "T5DACCMP", 8, 1 },
49890		{ "T5DDCCMP", 7, 1 },
49891		{ "T5AERRFLG", 6, 1 },
49892		{ "T5WERRFLG", 5, 1 },
49893		{ "T5TRCMP", 4, 1 },
49894		{ "T5VLCKF", 3, 1 },
49895		{ "T5ROCCMP", 2, 1 },
49896		{ "T5DQCCCMP", 1, 1 },
49897		{ "T5OCCMP", 0, 1 },
49898	{ "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_1", 0x37a7c, 0 },
49899		{ "FDPC", 15, 1 },
49900		{ "FDAC", 14, 1 },
49901		{ "FDDC", 13, 1 },
49902		{ "FNRND", 12, 1 },
49903		{ "FVGAIN", 11, 1 },
49904		{ "FVOFF", 10, 1 },
49905		{ "FSDET", 9, 1 },
49906		{ "FBER6", 8, 1 },
49907		{ "FROTO", 7, 1 },
49908		{ "FH4H5", 6, 1 },
49909		{ "FH2H3", 5, 1 },
49910		{ "FH1", 4, 1 },
49911		{ "FH1SN", 3, 1 },
49912		{ "FNRDF", 2, 1 },
49913		{ "FLOFF", 1, 1 },
49914		{ "FADAC", 0, 1 },
49915	{ "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_2", 0x37a80, 0 },
49916		{ "H25SPC", 15, 1 },
49917		{ "FTOOFAST", 8, 1 },
49918		{ "FINTTRIM", 7, 1 },
49919		{ "FDINV", 6, 1 },
49920		{ "FHGS", 5, 1 },
49921		{ "FH6H12", 4, 1 },
49922		{ "FH1CAL", 3, 1 },
49923		{ "FINTCAL", 2, 1 },
49924		{ "FDCA", 1, 1 },
49925		{ "FDQCC", 0, 1 },
49926	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_EVN1_EVN2", 0x37a84, 0 },
49927		{ "LOFE2S_ReadWrite", 16, 1 },
49928		{ "LOFE2S_ReadOnly", 14, 2 },
49929		{ "LOFE2", 8, 6 },
49930		{ "LOFE1S_ReadWrite", 7, 1 },
49931		{ "LOFE1S_ReadOnly", 6, 1 },
49932		{ "LOFE1", 0, 6 },
49933	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_ODD1_ODD2", 0x37a88, 0 },
49934		{ "LOFO2S_ReadWrite", 15, 1 },
49935		{ "LOFO2S_ReadOnly", 14, 1 },
49936		{ "LOFO2", 8, 6 },
49937		{ "LOFO1S_ReadWrite", 7, 1 },
49938		{ "LOFO1S_ReadOnly", 6, 1 },
49939		{ "LOFO1", 0, 6 },
49940	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_EVN3_EVN4", 0x37a8c, 0 },
49941		{ "LOFE4S_ReadWrite", 15, 1 },
49942		{ "LOFE4S_ReadOnly", 14, 1 },
49943		{ "LOFE", 8, 6 },
49944		{ "LOFE3S_ReadWrite", 7, 1 },
49945		{ "LOFE3S_ReadOnly", 6, 1 },
49946		{ "LOFE3", 0, 6 },
49947	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_ODD3_ODD4", 0x37a90, 0 },
49948		{ "LOFO4S_ReadWrite", 15, 1 },
49949		{ "LOFO4S_ReadOnly", 14, 1 },
49950		{ "LOFO4", 8, 6 },
49951		{ "LOFO3S_ReadWrite", 7, 1 },
49952		{ "LOFO3S_ReadOnly", 6, 1 },
49953		{ "LOFO3", 0, 6 },
49954	{ "MAC_PORT_RX_LINK_BCST_DFE_E0_AND_E1_OFFSET", 0x37a94, 0 },
49955		{ "T5E1SN_ReadWrite", 15, 1 },
49956		{ "T5E1SN_ReadOnly", 14, 1 },
49957		{ "T5E1AMP", 8, 6 },
49958		{ "T5E0SN_ReadWrite", 7, 1 },
49959		{ "T5E0SN_ReadOnly", 6, 1 },
49960		{ "T5E0AMP", 0, 6 },
49961	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_LOFF_CONTROL", 0x37a98, 0 },
49962		{ "T5LFREG", 12, 1 },
49963		{ "T5LFRC", 11, 1 },
49964		{ "T5LFSEL", 8, 3 },
49965	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_SIGDET_CONTROL", 0x37a9c, 0 },
49966		{ "OFFSN_ReadWrite", 14, 1 },
49967		{ "OFFSN_ReadOnly", 13, 1 },
49968		{ "OFFAMP", 8, 5 },
49969		{ "SDACDC", 7, 1 },
49970		{ "SDPDN", 6, 1 },
49971		{ "SIGDET", 5, 1 },
49972		{ "SDLVL", 0, 5 },
49973	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_ANALOG_CONTROL_SWITCH", 0x37aa0, 0 },
49974		{ "T5_RX_SETHDIS", 7, 1 },
49975		{ "T5_RX_PDTERM", 6, 1 },
49976		{ "T5_RX_BYPASS", 5, 1 },
49977		{ "T5_RX_LPFEN", 4, 1 },
49978		{ "T5_RX_VGABOD", 3, 1 },
49979		{ "T5_RX_VTBYP", 2, 1 },
49980		{ "T5_RX_VTERM", 0, 2 },
49981	{ "MAC_PORT_RX_LINK_BCST_INTEGRATOR_DAC_OFFSET", 0x37aa4, 0 },
49982		{ "ISTRIMS", 14, 2 },
49983		{ "ISTRIM", 8, 6 },
49984		{ "HALF1", 7, 1 },
49985		{ "HALF2", 6, 1 },
49986		{ "INTDAC", 0, 6 },
49987	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_CONTROL", 0x37aa8, 0 },
49988		{ "BLKAZ", 15, 1 },
49989		{ "WIDTH", 10, 5 },
49990		{ "MINWDTH", 5, 5 },
49991		{ "MINAMP", 0, 5 },
49992	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS", 0x37aac, 0 },
49993		{ "T5SMQM", 13, 3 },
49994		{ "T5SMQ", 5, 8 },
49995		{ "T5EMMD", 3, 2 },
49996		{ "T5EMBRDY", 2, 1 },
49997		{ "T5EMBUMP", 1, 1 },
49998		{ "T5EMEN", 0, 1 },
49999	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x37ab0, 0 },
50000		{ "EMF8", 15, 1 },
50001		{ "EMCNT", 4, 8 },
50002		{ "EMOFLO", 2, 1 },
50003		{ "EMCRST", 1, 1 },
50004		{ "EMCEN", 0, 1 },
50005	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x37ab4, 0 },
50006		{ "SM2RDY", 15, 1 },
50007		{ "SM2RST", 14, 1 },
50008		{ "APDF", 0, 12 },
50009	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x37ab8, 0 },
50010	{ "MAC_PORT_RX_LINK_BCST_DFE_TAP_ENABLE", 0x37ac0, 0 },
50011		{ "H_EN", 1, 12 },
50012	{ "MAC_PORT_RX_LINK_BCST_DFE_H1", 0x37ac4, 0 },
50013		{ "H1OSN", 14, 2 },
50014		{ "H1OMAG", 8, 6 },
50015		{ "H1ESN", 6, 2 },
50016		{ "H1EMAG", 0, 6 },
50017	{ "MAC_PORT_RX_LINK_BCST_DFE_H2", 0x37ac8, 0 },
50018		{ "H2OSN_ReadWrite", 14, 1 },
50019		{ "H2OSN_ReadOnly", 13, 1 },
50020		{ "H2OMAG", 8, 5 },
50021		{ "H2ESN_ReadWrite", 6, 1 },
50022		{ "H2ESN_ReadOnly", 5, 1 },
50023		{ "H2EMAG", 0, 5 },
50024	{ "MAC_PORT_RX_LINK_BCST_DFE_H3", 0x37acc, 0 },
50025		{ "H3OSN_ReadWrite", 13, 1 },
50026		{ "H3OSN_ReadOnly", 12, 1 },
50027		{ "H3OMAG", 8, 4 },
50028		{ "H3ESN_ReadWrite", 5, 1 },
50029		{ "H3ESN_ReadOnly", 4, 1 },
50030		{ "H3EMAG", 0, 4 },
50031	{ "MAC_PORT_RX_LINK_BCST_DFE_H4", 0x37ad0, 0 },
50032		{ "H4OGS", 14, 2 },
50033		{ "H4OSN_ReadWrite", 13, 1 },
50034		{ "H4OSN_ReadOnly", 12, 1 },
50035		{ "H4OMAG", 8, 4 },
50036		{ "H4EGS", 6, 2 },
50037		{ "H4ESN_ReadWrite", 5, 1 },
50038		{ "H4ESN_ReadOnly", 4, 1 },
50039		{ "H4EMAG", 0, 4 },
50040	{ "MAC_PORT_RX_LINK_BCST_DFE_H5", 0x37ad4, 0 },
50041		{ "H5OGS", 14, 2 },
50042		{ "H5OSN_ReadWrite", 13, 1 },
50043		{ "H5OSN_ReadOnly", 12, 1 },
50044		{ "H5OMAG", 8, 4 },
50045		{ "H5EGS", 6, 2 },
50046		{ "H5ESN_ReadWrite", 5, 1 },
50047		{ "H5ESN_ReadOnly", 4, 1 },
50048		{ "H5EMAG", 0, 4 },
50049	{ "MAC_PORT_RX_LINK_BCST_DFE_H6_AND_H7", 0x37ad8, 0 },
50050		{ "H7GS", 14, 2 },
50051		{ "H7SN_ReadWrite", 13, 1 },
50052		{ "H7SN_ReadOnly", 12, 1 },
50053		{ "H7MAG", 8, 4 },
50054		{ "H6GS", 6, 2 },
50055		{ "H6SN_ReadWrite", 5, 1 },
50056		{ "H6SN_ReadOnly", 4, 1 },
50057		{ "H6MAG", 0, 4 },
50058	{ "MAC_PORT_RX_LINK_BCST_DFE_H8_AND_H9", 0x37adc, 0 },
50059		{ "H9GS", 14, 2 },
50060		{ "H9SN_ReadWrite", 13, 1 },
50061		{ "H9SN_ReadOnly", 12, 1 },
50062		{ "H9MAG", 8, 4 },
50063		{ "H8GS", 6, 2 },
50064		{ "H8SN_ReadWrite", 5, 1 },
50065		{ "H8SN_ReadOnly", 4, 1 },
50066		{ "H8MAG", 0, 4 },
50067	{ "MAC_PORT_RX_LINK_BCST_DFE_H10_AND_H11", 0x37ae0, 0 },
50068		{ "H11GS", 14, 2 },
50069		{ "H11SN_ReadWrite", 13, 1 },
50070		{ "H11SN_ReadOnly", 12, 1 },
50071		{ "H11MAG", 8, 4 },
50072		{ "H10GS", 6, 2 },
50073		{ "H10SN_ReadWrite", 5, 1 },
50074		{ "H10SN_ReadOnly", 4, 1 },
50075		{ "H10MAG", 0, 4 },
50076	{ "MAC_PORT_RX_LINK_BCST_DFE_H12", 0x37ae4, 0 },
50077		{ "H12GS", 6, 2 },
50078		{ "H12SN_ReadWrite", 5, 1 },
50079		{ "H12SN_ReadOnly", 4, 1 },
50080		{ "H12MAG", 0, 4 },
50081	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_2", 0x37af8, 0 },
50082		{ "DFEDACLSSD", 6, 1 },
50083		{ "SDLSSD", 5, 1 },
50084		{ "DFEOBSBIAS", 4, 1 },
50085		{ "GBOFSTLSSD", 3, 1 },
50086		{ "RXDOBS", 2, 1 },
50087		{ "ACJZPT", 1, 1 },
50088		{ "ACJZNT", 0, 1 },
50089	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_1", 0x37afc, 0 },
50090		{ "PHSLOCK", 10, 1 },
50091		{ "TESTMODE", 9, 1 },
50092		{ "CALMODE", 8, 1 },
50093		{ "AMPSEL", 7, 1 },
50094		{ "WHICHNRZ", 6, 1 },
50095		{ "BANKA", 5, 1 },
50096		{ "BANKB", 4, 1 },
50097		{ "ACJPDP", 3, 1 },
50098		{ "ACJPDN", 2, 1 },
50099		{ "LSSDT", 1, 1 },
50100		{ "MTHOLD", 0, 1 },
50101	{ "MAC_PORT_CFG", 0x38800, 0 },
50102		{ "MAC_Clk_Sel", 29, 3 },
50103		{ "SinkTx", 27, 1 },
50104		{ "SinkTxOnLinkDown", 26, 1 },
50105		{ "LoopNoFwd", 24, 1 },
50106		{ "Smux_Rx_Loop", 19, 1 },
50107		{ "Rx_Lane_Swap", 18, 1 },
50108		{ "Tx_Lane_Swap", 17, 1 },
50109		{ "Signal_Det", 14, 1 },
50110		{ "SmuxTxSel", 9, 1 },
50111		{ "SmuxRxSel", 8, 1 },
50112		{ "PortSpeed", 4, 2 },
50113		{ "Rx_Byte_Swap", 3, 1 },
50114		{ "Tx_Byte_Swap", 2, 1 },
50115		{ "Port_Sel", 0, 1 },
50116	{ "MAC_PORT_RESET_CTRL", 0x38804, 0 },
50117		{ "TWGDSK_HSSC16B", 31, 1 },
50118		{ "EEE_RESET", 30, 1 },
50119		{ "PTP_TIMER", 29, 1 },
50120		{ "MtipRefReset", 28, 1 },
50121		{ "MtipTxffReset", 27, 1 },
50122		{ "MtipRxffReset", 26, 1 },
50123		{ "MtipRegReset", 25, 1 },
50124		{ "AEC3Reset", 23, 1 },
50125		{ "AEC2Reset", 22, 1 },
50126		{ "AEC1Reset", 21, 1 },
50127		{ "AEC0Reset", 20, 1 },
50128		{ "AET3Reset", 19, 1 },
50129		{ "AET2Reset", 18, 1 },
50130		{ "AET1Reset", 17, 1 },
50131		{ "AET0Reset", 16, 1 },
50132		{ "TXIF_Reset", 12, 1 },
50133		{ "RXIF_Reset", 11, 1 },
50134		{ "AuxExt_Reset", 10, 1 },
50135		{ "MtipSd3TxRst", 9, 1 },
50136		{ "MtipSd2TxRst", 8, 1 },
50137		{ "MtipSd1TxRst", 7, 1 },
50138		{ "MtipSd0TxRst", 6, 1 },
50139		{ "MtipSd3RxRst", 5, 1 },
50140		{ "MtipSd2RxRst", 4, 1 },
50141		{ "MtipSd1RxRst", 3, 1 },
50142		{ "WOL_Reset", 2, 1 },
50143		{ "MtipSd0RxRst", 1, 1 },
50144		{ "HSS_Reset", 0, 1 },
50145	{ "MAC_PORT_LED_CFG", 0x38808, 0 },
50146		{ "Led1_Cfg", 5, 3 },
50147		{ "Led1_Polarity_Inv", 4, 1 },
50148		{ "Led0_Cfg", 1, 3 },
50149		{ "Led0_Polarity_Inv", 0, 1 },
50150	{ "MAC_PORT_LED_COUNTHI", 0x3880c, 0 },
50151	{ "MAC_PORT_LED_COUNTLO", 0x38810, 0 },
50152	{ "MAC_PORT_CFG3", 0x38814, 0 },
50153		{ "FPGA_PTP_PORT", 26, 2 },
50154		{ "FCSDisCtrl", 25, 1 },
50155		{ "SigDetCtrl", 24, 1 },
50156		{ "tx_lane", 23, 1 },
50157		{ "rx_lane", 22, 1 },
50158		{ "se_clr", 21, 1 },
50159		{ "an_ena", 17, 4 },
50160		{ "sd_rx_clk_ena", 13, 4 },
50161		{ "sd_tx_clk_ena", 9, 4 },
50162		{ "SGMIISEL", 8, 1 },
50163		{ "HSSPLLSEL", 4, 4 },
50164		{ "HSSC16C20SEL", 0, 4 },
50165	{ "MAC_PORT_CFG2", 0x38818, 0 },
50166		{ "Rx_Polarity_Inv", 28, 4 },
50167		{ "Tx_Polarity_Inv", 24, 4 },
50168		{ "InstanceNum", 22, 2 },
50169		{ "StopOnPerr", 21, 1 },
50170		{ "PatEn", 18, 1 },
50171		{ "MagicEn", 17, 1 },
50172		{ "T5_AEC_PMA_TX_READY", 4, 4 },
50173		{ "T5_AEC_PMA_RX_READY", 0, 4 },
50174	{ "MAC_PORT_PKT_COUNT", 0x3881c, 0 },
50175		{ "tx_sop_count", 24, 8 },
50176		{ "tx_eop_count", 16, 8 },
50177		{ "rx_sop_count", 8, 8 },
50178		{ "rx_eop_count", 0, 8 },
50179	{ "MAC_PORT_CFG4", 0x38820, 0 },
50180		{ "AEC3_RX_WIDTH", 14, 2 },
50181		{ "AEC2_RX_WIDTH", 12, 2 },
50182		{ "AEC1_RX_WIDTH", 10, 2 },
50183		{ "AEC0_RX_WIDTH", 8, 2 },
50184		{ "AEC3_TX_WIDTH", 6, 2 },
50185		{ "AEC2_TX_WIDTH", 4, 2 },
50186		{ "AEC1_TX_WIDTH", 2, 2 },
50187		{ "AEC0_TX_WIDTH", 0, 2 },
50188	{ "MAC_PORT_MAGIC_MACID_LO", 0x38824, 0 },
50189	{ "MAC_PORT_MAGIC_MACID_HI", 0x38828, 0 },
50190	{ "MAC_PORT_LINK_STATUS", 0x38834, 0 },
50191		{ "an_done", 6, 1 },
50192		{ "align_done", 5, 1 },
50193		{ "block_lock", 4, 1 },
50194		{ "remflt", 3, 1 },
50195		{ "locflt", 2, 1 },
50196		{ "linkup", 1, 1 },
50197		{ "linkdn", 0, 1 },
50198	{ "MAC_PORT_EPIO_DATA0", 0x388c0, 0 },
50199	{ "MAC_PORT_EPIO_DATA1", 0x388c4, 0 },
50200	{ "MAC_PORT_EPIO_DATA2", 0x388c8, 0 },
50201	{ "MAC_PORT_EPIO_DATA3", 0x388cc, 0 },
50202	{ "MAC_PORT_EPIO_OP", 0x388d0, 0 },
50203		{ "Busy", 31, 1 },
50204		{ "Write", 8, 1 },
50205		{ "Address", 0, 8 },
50206	{ "MAC_PORT_WOL_STATUS", 0x388d4, 0 },
50207		{ "MagicDetected", 31, 1 },
50208		{ "PatDetected", 30, 1 },
50209		{ "ClearMagic", 4, 1 },
50210		{ "ClearMatch", 3, 1 },
50211		{ "MatchedFilter", 0, 3 },
50212	{ "MAC_PORT_INT_EN", 0x388d8, 0 },
50213		{ "tx_ts_avail", 29, 1 },
50214		{ "PatDetWake", 26, 1 },
50215		{ "MagicWake", 25, 1 },
50216		{ "SigDetChg", 24, 1 },
50217		{ "AE_Train_Local", 22, 1 },
50218		{ "HSSPLL_LOCK", 21, 1 },
50219		{ "HSSPRT_READY", 20, 1 },
50220		{ "AutoNeg_Done", 19, 1 },
50221		{ "PCS_Link_Good", 12, 1 },
50222		{ "PCS_Link_Fail", 11, 1 },
50223		{ "RxFifoOverFlow", 10, 1 },
50224		{ "HSSPRBSErr", 9, 1 },
50225		{ "HSSEyeQual", 8, 1 },
50226		{ "RemoteFault", 7, 1 },
50227		{ "LocalFault", 6, 1 },
50228		{ "MAC_Link_Down", 5, 1 },
50229		{ "MAC_Link_Up", 4, 1 },
50230		{ "an_page_rcvd", 2, 1 },
50231		{ "TxFifo_prty_err", 1, 1 },
50232		{ "RxFifo_prty_err", 0, 1 },
50233	{ "MAC_PORT_INT_CAUSE", 0x388dc, 0 },
50234		{ "tx_ts_avail", 29, 1 },
50235		{ "PatDetWake", 26, 1 },
50236		{ "MagicWake", 25, 1 },
50237		{ "SigDetChg", 24, 1 },
50238		{ "AE_Train_Local", 22, 1 },
50239		{ "HSSPLL_LOCK", 21, 1 },
50240		{ "HSSPRT_READY", 20, 1 },
50241		{ "AutoNeg_Done", 19, 1 },
50242		{ "PCS_Link_Good", 12, 1 },
50243		{ "PCS_Link_Fail", 11, 1 },
50244		{ "RxFifoOverFlow", 10, 1 },
50245		{ "HSSPRBSErr", 9, 1 },
50246		{ "HSSEyeQual", 8, 1 },
50247		{ "RemoteFault", 7, 1 },
50248		{ "LocalFault", 6, 1 },
50249		{ "MAC_Link_Down", 5, 1 },
50250		{ "MAC_Link_Up", 4, 1 },
50251		{ "an_page_rcvd", 2, 1 },
50252		{ "TxFifo_prty_err", 1, 1 },
50253		{ "RxFifo_prty_err", 0, 1 },
50254	{ "MAC_PORT_PERR_INT_EN", 0x388e0, 0 },
50255		{ "Perr_pkt_ram", 24, 1 },
50256		{ "Perr_mask_ram", 23, 1 },
50257		{ "Perr_crc_ram", 22, 1 },
50258		{ "rx_dff_seg0", 21, 1 },
50259		{ "rx_sff_seg0", 20, 1 },
50260		{ "rx_dff_mac10", 19, 1 },
50261		{ "rx_sff_mac10", 18, 1 },
50262		{ "tx_dff_seg0", 17, 1 },
50263		{ "tx_sff_seg0", 16, 1 },
50264		{ "tx_dff_mac10", 15, 1 },
50265		{ "tx_sff_mac10", 14, 1 },
50266		{ "rx_stats", 13, 1 },
50267		{ "tx_stats", 12, 1 },
50268		{ "Perr3_rx_mix", 11, 1 },
50269		{ "Perr3_rx_sd", 10, 1 },
50270		{ "Perr3_tx", 9, 1 },
50271		{ "Perr2_rx_mix", 8, 1 },
50272		{ "Perr2_rx_sd", 7, 1 },
50273		{ "Perr2_tx", 6, 1 },
50274		{ "Perr1_rx_mix", 5, 1 },
50275		{ "Perr1_rx_sd", 4, 1 },
50276		{ "Perr1_tx", 3, 1 },
50277		{ "Perr0_rx_mix", 2, 1 },
50278		{ "Perr0_rx_sd", 1, 1 },
50279		{ "Perr0_tx", 0, 1 },
50280	{ "MAC_PORT_PERR_INT_CAUSE", 0x388e4, 0 },
50281		{ "Perr_pkt_ram", 24, 1 },
50282		{ "Perr_mask_ram", 23, 1 },
50283		{ "Perr_crc_ram", 22, 1 },
50284		{ "rx_dff_seg0", 21, 1 },
50285		{ "rx_sff_seg0", 20, 1 },
50286		{ "rx_dff_mac10", 19, 1 },
50287		{ "rx_sff_mac10", 18, 1 },
50288		{ "tx_dff_seg0", 17, 1 },
50289		{ "tx_sff_seg0", 16, 1 },
50290		{ "tx_dff_mac10", 15, 1 },
50291		{ "tx_sff_mac10", 14, 1 },
50292		{ "rx_stats", 13, 1 },
50293		{ "tx_stats", 12, 1 },
50294		{ "Perr3_rx_mix", 11, 1 },
50295		{ "Perr3_rx_sd", 10, 1 },
50296		{ "Perr3_tx", 9, 1 },
50297		{ "Perr2_rx_mix", 8, 1 },
50298		{ "Perr2_rx_sd", 7, 1 },
50299		{ "Perr2_tx", 6, 1 },
50300		{ "Perr1_rx_mix", 5, 1 },
50301		{ "Perr1_rx_sd", 4, 1 },
50302		{ "Perr1_tx", 3, 1 },
50303		{ "Perr0_rx_mix", 2, 1 },
50304		{ "Perr0_rx_sd", 1, 1 },
50305		{ "Perr0_tx", 0, 1 },
50306	{ "MAC_PORT_PERR_ENABLE", 0x388e8, 0 },
50307		{ "Perr_pkt_ram", 24, 1 },
50308		{ "Perr_mask_ram", 23, 1 },
50309		{ "Perr_crc_ram", 22, 1 },
50310		{ "rx_dff_seg0", 21, 1 },
50311		{ "rx_sff_seg0", 20, 1 },
50312		{ "rx_dff_mac10", 19, 1 },
50313		{ "rx_sff_mac10", 18, 1 },
50314		{ "tx_dff_seg0", 17, 1 },
50315		{ "tx_sff_seg0", 16, 1 },
50316		{ "tx_dff_mac10", 15, 1 },
50317		{ "tx_sff_mac10", 14, 1 },
50318		{ "rx_stats", 13, 1 },
50319		{ "tx_stats", 12, 1 },
50320		{ "Perr3_rx_mix", 11, 1 },
50321		{ "Perr3_rx_sd", 10, 1 },
50322		{ "Perr3_tx", 9, 1 },
50323		{ "Perr2_rx_mix", 8, 1 },
50324		{ "Perr2_rx_sd", 7, 1 },
50325		{ "Perr2_tx", 6, 1 },
50326		{ "Perr1_rx_mix", 5, 1 },
50327		{ "Perr1_rx_sd", 4, 1 },
50328		{ "Perr1_tx", 3, 1 },
50329		{ "Perr0_rx_mix", 2, 1 },
50330		{ "Perr0_rx_sd", 1, 1 },
50331		{ "Perr0_tx", 0, 1 },
50332	{ "MAC_PORT_PERR_INJECT", 0x388ec, 0 },
50333		{ "MemSel", 1, 5 },
50334		{ "InjectDataErr", 0, 1 },
50335	{ "MAC_PORT_HSS_CFG0", 0x388f0, 0 },
50336		{ "TXDTS", 31, 1 },
50337		{ "TXCTS", 30, 1 },
50338		{ "TXBTS", 29, 1 },
50339		{ "TXATS", 28, 1 },
50340		{ "TXDOBS", 27, 1 },
50341		{ "TXCOBS", 26, 1 },
50342		{ "TXBOBS", 25, 1 },
50343		{ "TXAOBS", 24, 1 },
50344		{ "HSSREFCLKVALIDA", 20, 1 },
50345		{ "HSSREFCLKVALIDB", 19, 1 },
50346		{ "HSSRESYNCA", 18, 1 },
50347		{ "HSSAVDHI", 17, 1 },
50348		{ "HSSRESYNCB", 16, 1 },
50349		{ "HSSRECCALA", 15, 1 },
50350		{ "HSSRXACMODE", 14, 1 },
50351		{ "HSSRECCALB", 13, 1 },
50352		{ "HSSPLLBYPA", 12, 1 },
50353		{ "HSSPLLBYPB", 11, 1 },
50354		{ "HSSPDWNPLLA", 10, 1 },
50355		{ "HSSPDWNPLLB", 9, 1 },
50356		{ "HSSVCOSELA", 8, 1 },
50357		{ "HSSVCOSELB", 7, 1 },
50358		{ "HSSCALCOMP", 6, 1 },
50359		{ "HSSCALENAB", 5, 1 },
50360		{ "HSSEXTC16SEL", 4, 1 },
50361	{ "MAC_PORT_HSS_CFG1", 0x388f4, 0 },
50362		{ "RXACONFIGSEL", 30, 2 },
50363		{ "RXAQUIET", 29, 1 },
50364		{ "RXAREFRESH", 28, 1 },
50365		{ "RXBCONFIGSEL", 26, 2 },
50366		{ "RXBQUIET", 25, 1 },
50367		{ "RXBREFRESH", 24, 1 },
50368		{ "RXCCONFIGSEL", 22, 2 },
50369		{ "RXCQUIET", 21, 1 },
50370		{ "RXCREFRESH", 20, 1 },
50371		{ "RXDCONFIGSEL", 18, 2 },
50372		{ "RXDQUIET", 17, 1 },
50373		{ "RXDREFRESH", 16, 1 },
50374		{ "TXACONFIGSEL", 14, 2 },
50375		{ "TXAQUIET", 13, 1 },
50376		{ "TXAREFRESH", 12, 1 },
50377		{ "TXBCONFIGSEL", 10, 2 },
50378		{ "TXBQUIET", 9, 1 },
50379		{ "TXBREFRESH", 8, 1 },
50380		{ "TXCCONFIGSEL", 6, 2 },
50381		{ "TXCQUIET", 5, 1 },
50382		{ "TXCREFRESH", 4, 1 },
50383		{ "TXDCONFIGSEL", 2, 2 },
50384		{ "TXDQUIET", 1, 1 },
50385		{ "TXDREFRESH", 0, 1 },
50386	{ "MAC_PORT_HSS_CFG2", 0x388f8, 0 },
50387		{ "RXAASSTCLK", 31, 1 },
50388		{ "T5RXAPRBSRST", 30, 1 },
50389		{ "RXBASSTCLK", 29, 1 },
50390		{ "T5RXBPRBSRST", 28, 1 },
50391		{ "RXCASSTCLK", 27, 1 },
50392		{ "T5RXCPRBSRST", 26, 1 },
50393		{ "RXDASSTCLK", 25, 1 },
50394		{ "T5RXDPRBSRST", 24, 1 },
50395		{ "RXDDATASYNC", 23, 1 },
50396		{ "RXCDATASYNC", 22, 1 },
50397		{ "RXBDATASYNC", 21, 1 },
50398		{ "RXADATASYNC", 20, 1 },
50399		{ "RXDEARLYIN", 19, 1 },
50400		{ "RXDLATEIN", 18, 1 },
50401		{ "RXDPHSLOCK", 17, 1 },
50402		{ "RXDPHSDNIN", 16, 1 },
50403		{ "RXDPHSUPIN", 15, 1 },
50404		{ "RXCEARLYIN", 14, 1 },
50405		{ "RXCLATEIN", 13, 1 },
50406		{ "RXCPHSLOCK", 12, 1 },
50407		{ "RXCPHSDNIN", 11, 1 },
50408		{ "RXCPHSUPIN", 10, 1 },
50409		{ "RXBEARLYIN", 9, 1 },
50410		{ "RXBLATEIN", 8, 1 },
50411		{ "RXBPHSLOCK", 7, 1 },
50412		{ "RXBPHSDNIN", 6, 1 },
50413		{ "RXBPHSUPIN", 5, 1 },
50414		{ "RXAEARLYIN", 4, 1 },
50415		{ "RXALATEIN", 3, 1 },
50416		{ "RXAPHSLOCK", 2, 1 },
50417		{ "RXAPHSDNIN", 1, 1 },
50418		{ "RXAPHSUPIN", 0, 1 },
50419	{ "MAC_PORT_HSS_CFG3", 0x388fc, 0 },
50420		{ "HSSCALSSTN", 25, 3 },
50421		{ "HSSCALSSTP", 22, 3 },
50422		{ "HSSVBOOSTDIVB", 19, 3 },
50423		{ "HSSVBOOSTDIVA", 16, 3 },
50424		{ "HSSPLLCONFIGB", 8, 8 },
50425		{ "HSSPLLCONFIGA", 0, 8 },
50426	{ "MAC_PORT_HSS_CFG4", 0x38900, 0 },
50427		{ "HSSDIVSELA", 9, 9 },
50428		{ "HSSDIVSELB", 0, 9 },
50429	{ "MAC_PORT_HSS_STATUS", 0x38904, 0 },
50430		{ "RXDPRBSSYNC", 15, 1 },
50431		{ "RXCPRBSSYNC", 14, 1 },
50432		{ "RXBPRBSSYNC", 13, 1 },
50433		{ "RXAPRBSSYNC", 12, 1 },
50434		{ "RXDPRBSERR", 11, 1 },
50435		{ "RXCPRBSERR", 10, 1 },
50436		{ "RXBPRBSERR", 9, 1 },
50437		{ "RXAPRBSERR", 8, 1 },
50438		{ "RXDSIGDET", 7, 1 },
50439		{ "RXCSIGDET", 6, 1 },
50440		{ "RXBSIGDET", 5, 1 },
50441		{ "RXASIGDET", 4, 1 },
50442		{ "HSSPLLLOCKB", 3, 1 },
50443		{ "HSSPLLLOCKA", 2, 1 },
50444		{ "HSSPRTREADYB", 1, 1 },
50445		{ "HSSPRTREADYA", 0, 1 },
50446	{ "MAC_PORT_HSS_EEE_STATUS", 0x38908, 0 },
50447		{ "RXAQUIET_STATUS", 15, 1 },
50448		{ "RXAREFRESH_STATUS", 14, 1 },
50449		{ "RXBQUIET_STATUS", 13, 1 },
50450		{ "RXBREFRESH_STATUS", 12, 1 },
50451		{ "RXCQUIET_STATUS", 11, 1 },
50452		{ "RXCREFRESH_STATUS", 10, 1 },
50453		{ "RXDQUIET_STATUS", 9, 1 },
50454		{ "RXDREFRESH_STATUS", 8, 1 },
50455		{ "TXAQUIET_STATUS", 7, 1 },
50456		{ "TXAREFRESH_STATUS", 6, 1 },
50457		{ "TXBQUIET_STATUS", 5, 1 },
50458		{ "TXBREFRESH_STATUS", 4, 1 },
50459		{ "TXCQUIET_STATUS", 3, 1 },
50460		{ "TXCREFRESH_STATUS", 2, 1 },
50461		{ "TXDQUIET_STATUS", 1, 1 },
50462		{ "TXDREFRESH_STATUS", 0, 1 },
50463	{ "MAC_PORT_HSS_SIGDET_STATUS", 0x3890c, 0 },
50464	{ "MAC_PORT_HSS_PL_CTL", 0x38910, 0 },
50465		{ "TOV", 16, 8 },
50466		{ "TSU", 8, 8 },
50467		{ "IPW", 0, 8 },
50468	{ "MAC_PORT_RUNT_FRAME", 0x38914, 0 },
50469		{ "runtclear", 16, 1 },
50470		{ "runt", 0, 16 },
50471	{ "MAC_PORT_EEE_STATUS", 0x38918, 0 },
50472		{ "eee_tx_10g_state", 10, 2 },
50473		{ "eee_rx_10g_state", 8, 2 },
50474		{ "eee_tx_1g_state", 6, 2 },
50475		{ "eee_rx_1g_state", 4, 2 },
50476		{ "pma_rx_refresh", 3, 1 },
50477		{ "pma_rx_quiet", 2, 1 },
50478		{ "pma_tx_refresh", 1, 1 },
50479		{ "pma_tx_quiet", 0, 1 },
50480	{ "MAC_PORT_CGEN", 0x3891c, 0 },
50481		{ "CGEN", 8, 1 },
50482		{ "sd7_CGEN", 7, 1 },
50483		{ "sd6_CGEN", 6, 1 },
50484		{ "sd5_CGEN", 5, 1 },
50485		{ "sd4_CGEN", 4, 1 },
50486		{ "sd3_CGEN", 3, 1 },
50487		{ "sd2_CGEN", 2, 1 },
50488		{ "sd1_CGEN", 1, 1 },
50489		{ "sd0_CGEN", 0, 1 },
50490	{ "MAC_PORT_CGEN_MTIP", 0x38920, 0 },
50491		{ "MACSEG5_CGEN", 11, 1 },
50492		{ "PCSSEG5_CGEN", 10, 1 },
50493		{ "MACSEG4_CGEN", 9, 1 },
50494		{ "PCSSEG4_CGEN", 8, 1 },
50495		{ "MACSEG3_CGEN", 7, 1 },
50496		{ "PCSSEG3_CGEN", 6, 1 },
50497		{ "MACSEG2_CGEN", 5, 1 },
50498		{ "PCSSEG2_CGEN", 4, 1 },
50499		{ "MACSEG1_CGEN", 3, 1 },
50500		{ "PCSSEG1_CGEN", 2, 1 },
50501		{ "MACSEG0_CGEN", 1, 1 },
50502		{ "PCSSEG0_CGEN", 0, 1 },
50503	{ "MAC_PORT_TX_TS_ID", 0x38924, 0 },
50504	{ "MAC_PORT_TX_TS_VAL_LO", 0x38928, 0 },
50505	{ "MAC_PORT_TX_TS_VAL_HI", 0x3892c, 0 },
50506	{ "MAC_PORT_EEE_CTL", 0x38930, 0 },
50507		{ "EEE_CTRL", 2, 30 },
50508		{ "TICK_START", 1, 1 },
50509		{ "En", 0, 1 },
50510	{ "MAC_PORT_EEE_TX_CTL", 0x38934, 0 },
50511		{ "WAKE_TIMER", 16, 16 },
50512		{ "HSS_TIMER", 5, 4 },
50513		{ "HSS_CTL", 4, 1 },
50514		{ "LPI_ACTIVE", 3, 1 },
50515		{ "LPI_TXHOLD", 2, 1 },
50516		{ "LPI_REQ", 1, 1 },
50517		{ "EEE_TX_RESET", 0, 1 },
50518	{ "MAC_PORT_EEE_RX_CTL", 0x38938, 0 },
50519		{ "WAKE_TIMER", 16, 16 },
50520		{ "HSS_TIMER", 5, 4 },
50521		{ "HSS_CTL", 4, 1 },
50522		{ "LPI_IND", 1, 1 },
50523		{ "EEE_RX_RESET", 0, 1 },
50524	{ "MAC_PORT_EEE_TX_10G_SLEEP_TIMER", 0x3893c, 0 },
50525	{ "MAC_PORT_EEE_TX_10G_QUIET_TIMER", 0x38940, 0 },
50526	{ "MAC_PORT_EEE_TX_10G_WAKE_TIMER", 0x38944, 0 },
50527	{ "MAC_PORT_EEE_TX_1G_SLEEP_TIMER", 0x38948, 0 },
50528	{ "MAC_PORT_EEE_TX_1G_QUIET_TIMER", 0x3894c, 0 },
50529	{ "MAC_PORT_EEE_TX_1G_REFRESH_TIMER", 0x38950, 0 },
50530	{ "MAC_PORT_EEE_RX_10G_QUIET_TIMER", 0x38954, 0 },
50531	{ "MAC_PORT_EEE_RX_10G_WAKE_TIMER", 0x38958, 0 },
50532	{ "MAC_PORT_EEE_RX_10G_WF_TIMER", 0x3895c, 0 },
50533	{ "MAC_PORT_EEE_RX_1G_QUIET_TIMER", 0x38960, 0 },
50534	{ "MAC_PORT_EEE_RX_1G_WAKE_TIMER", 0x38964, 0 },
50535	{ "MAC_PORT_EEE_WF_COUNT", 0x38968, 0 },
50536		{ "wake_cnt_clr", 16, 1 },
50537		{ "wake_cnt", 0, 16 },
50538	{ "MAC_PORT_PTP_TIMER_RD0_LO", 0x3896c, 0 },
50539	{ "MAC_PORT_PTP_TIMER_RD0_HI", 0x38970, 0 },
50540	{ "MAC_PORT_PTP_TIMER_RD1_LO", 0x38974, 0 },
50541	{ "MAC_PORT_PTP_TIMER_RD1_HI", 0x38978, 0 },
50542	{ "MAC_PORT_PTP_TIMER_WR_LO", 0x3897c, 0 },
50543	{ "MAC_PORT_PTP_TIMER_WR_HI", 0x38980, 0 },
50544	{ "MAC_PORT_PTP_TIMER_OFFSET_0", 0x38984, 0 },
50545	{ "MAC_PORT_PTP_TIMER_OFFSET_1", 0x38988, 0 },
50546	{ "MAC_PORT_PTP_TIMER_OFFSET_2", 0x3898c, 0 },
50547	{ "MAC_PORT_PTP_SUM_LO", 0x38990, 0 },
50548	{ "MAC_PORT_PTP_SUM_HI", 0x38994, 0 },
50549	{ "MAC_PORT_PTP_TIMER_INCR0", 0x38998, 0 },
50550		{ "Y", 16, 16 },
50551		{ "X", 0, 16 },
50552	{ "MAC_PORT_PTP_TIMER_INCR1", 0x3899c, 0 },
50553		{ "Y_TICK", 16, 16 },
50554		{ "X_TICK", 0, 16 },
50555	{ "MAC_PORT_PTP_DRIFT_ADJUST_COUNT", 0x389a0, 0 },
50556	{ "MAC_PORT_PTP_OFFSET_ADJUST_FINE", 0x389a4, 0 },
50557		{ "B", 16, 16 },
50558		{ "A", 0, 16 },
50559	{ "MAC_PORT_PTP_OFFSET_ADJUST_TOTAL", 0x389a8, 0 },
50560	{ "MAC_PORT_PTP_CFG", 0x389ac, 0 },
50561		{ "FRZ", 18, 1 },
50562		{ "OFFSER_ADJUST_SIGN", 17, 1 },
50563		{ "ADD_OFFSET", 16, 1 },
50564		{ "CYCLE1", 8, 8 },
50565		{ "Q", 0, 8 },
50566	{ "MAC_PORT_MTIP_REVISION", 0x38a00, 0 },
50567		{ "CUSTREV", 16, 16 },
50568		{ "VER", 8, 8 },
50569		{ "REV", 0, 8 },
50570	{ "MAC_PORT_MTIP_SCRATCH", 0x38a04, 0 },
50571	{ "MAC_PORT_MTIP_COMMAND_CONFIG", 0x38a08, 0 },
50572		{ "TX_FLUSH", 22, 1 },
50573		{ "RX_SFD_ANY", 21, 1 },
50574		{ "PAUSE_PFC_COMP", 20, 1 },
50575		{ "PFC_MODE", 19, 1 },
50576		{ "RS_COL_CNT_EXT", 18, 1 },
50577		{ "NO_LGTH_CHECK", 17, 1 },
50578		{ "SEND_IDLE", 16, 1 },
50579		{ "PHY_TXENA", 15, 1 },
50580		{ "RX_ERR_DISC", 14, 1 },
50581		{ "CMD_FRAME_ENA", 13, 1 },
50582		{ "SW_RESET", 12, 1 },
50583		{ "TX_PAD_EN", 11, 1 },
50584		{ "LOOPBACK_EN", 10, 1 },
50585		{ "TX_ADDR_INS", 9, 1 },
50586		{ "PAUSE_IGNORE", 8, 1 },
50587		{ "PAUSE_FWD", 7, 1 },
50588		{ "CRC_FWD", 6, 1 },
50589		{ "PAD_EN", 5, 1 },
50590		{ "PROMIS_EN", 4, 1 },
50591		{ "WAN_MODE", 3, 1 },
50592		{ "RX_ENA", 1, 1 },
50593		{ "TX_ENA", 0, 1 },
50594	{ "MAC_PORT_MTIP_MAC_ADDR_0", 0x38a0c, 0 },
50595	{ "MAC_PORT_MTIP_MAC_ADDR_1", 0x38a10, 0 },
50596	{ "MAC_PORT_MTIP_FRM_LENGTH", 0x38a14, 0 },
50597	{ "MAC_PORT_MTIP_RX_FIFO_SECTIONS", 0x38a1c, 0 },
50598		{ "AVAIL", 16, 16 },
50599		{ "EMPTY", 0, 16 },
50600	{ "MAC_PORT_MTIP_TX_FIFO_SECTIONS", 0x38a20, 0 },
50601		{ "AVAIL", 16, 16 },
50602		{ "EMPTY", 0, 16 },
50603	{ "MAC_PORT_MTIP_RX_FIFO_ALMOST_F_E", 0x38a24, 0 },
50604		{ "AlmstFull", 16, 16 },
50605		{ "AlmstEmpty", 0, 16 },
50606	{ "MAC_PORT_MTIP_TX_FIFO_ALMOST_F_E", 0x38a28, 0 },
50607		{ "AlmstFull", 16, 16 },
50608		{ "AlmstEmpty", 0, 16 },
50609	{ "MAC_PORT_MTIP_HASHTABLE_LOAD", 0x38a2c, 0 },
50610		{ "ENABLE", 8, 1 },
50611		{ "ADDR", 0, 6 },
50612	{ "MAC_PORT_MTIP_MAC_STATUS", 0x38a40, 0 },
50613		{ "TS_AVAIL", 3, 1 },
50614		{ "PHY_LOS", 2, 1 },
50615		{ "RX_REM_FAULT", 1, 1 },
50616		{ "RX_LOC_FAULT", 0, 1 },
50617	{ "MAC_PORT_MTIP_TX_IPG_LENGTH", 0x38a44, 0 },
50618	{ "MAC_PORT_MTIP_MAC_CREDIT_TRIGGER", 0x38a48, 0 },
50619	{ "MAC_PORT_MTIP_INIT_CREDIT", 0x38a4c, 0 },
50620	{ "MAC_PORT_MTIP_CURRENT_CREDIT", 0x38a50, 0 },
50621	{ "MAC_PORT_RX_PAUSE_STATUS", 0x38a74, 0 },
50622	{ "MAC_PORT_MTIP_TS_TIMESTAMP", 0x38a7c, 0 },
50623	{ "MAC_PORT_AFRAMESTRANSMITTEDOK", 0x38a80, 0 },
50624	{ "MAC_PORT_AFRAMESTRANSMITTEDOKHI", 0x38a84, 0 },
50625	{ "MAC_PORT_AFRAMESRECEIVEDOK", 0x38a88, 0 },
50626	{ "MAC_PORT_AFRAMESRECEIVEDOKHI", 0x38a8c, 0 },
50627	{ "MAC_PORT_AFRAMECHECKSEQUENCEERRORS", 0x38a90, 0 },
50628	{ "MAC_PORT_AFRAMECHECKSEQUENCEERRORSHI", 0x38a94, 0 },
50629	{ "MAC_PORT_AALIGNMENTERRORS", 0x38a98, 0 },
50630	{ "MAC_PORT_AALIGNMENTERRORSHI", 0x38a9c, 0 },
50631	{ "MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTED", 0x38aa0, 0 },
50632	{ "MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTEDHI", 0x38aa4, 0 },
50633	{ "MAC_PORT_APAUSEMACCTRLFRAMESRECEIVED", 0x38aa8, 0 },
50634	{ "MAC_PORT_APAUSEMACCTRLFRAMESRECEIVEDHI", 0x38aac, 0 },
50635	{ "MAC_PORT_AFRAMETOOLONGERRORS", 0x38ab0, 0 },
50636	{ "MAC_PORT_AFRAMETOOLONGERRORSHI", 0x38ab4, 0 },
50637	{ "MAC_PORT_AINRANGELENGTHERRORS", 0x38ab8, 0 },
50638	{ "MAC_PORT_AINRANGELENGTHERRORSHI", 0x38abc, 0 },
50639	{ "MAC_PORT_VLANTRANSMITTEDOK", 0x38ac0, 0 },
50640	{ "MAC_PORT_VLANTRANSMITTEDOKHI", 0x38ac4, 0 },
50641	{ "MAC_PORT_VLANRECEIVEDOK", 0x38ac8, 0 },
50642	{ "MAC_PORT_VLANRECEIVEDOKHI", 0x38acc, 0 },
50643	{ "MAC_PORT_AOCTETSTRANSMITTEDOK", 0x38ad0, 0 },
50644	{ "MAC_PORT_AOCTETSTRANSMITTEDOKHI", 0x38ad4, 0 },
50645	{ "MAC_PORT_AOCTETSRECEIVEDOK", 0x38ad8, 0 },
50646	{ "MAC_PORT_AOCTETSRECEIVEDOKHI", 0x38adc, 0 },
50647	{ "MAC_PORT_IFINUCASTPKTS", 0x38ae0, 0 },
50648	{ "MAC_PORT_IFINUCASTPKTSHI", 0x38ae4, 0 },
50649	{ "MAC_PORT_IFINMULTICASTPKTS", 0x38ae8, 0 },
50650	{ "MAC_PORT_IFINMULTICASTPKTSHI", 0x38aec, 0 },
50651	{ "MAC_PORT_IFINBROADCASTPKTS", 0x38af0, 0 },
50652	{ "MAC_PORT_IFINBROADCASTPKTSHI", 0x38af4, 0 },
50653	{ "MAC_PORT_IFOUTERRORS", 0x38af8, 0 },
50654	{ "MAC_PORT_IFOUTERRORSHI", 0x38afc, 0 },
50655	{ "MAC_PORT_IFOUTUCASTPKTS", 0x38b08, 0 },
50656	{ "MAC_PORT_IFOUTUCASTPKTSHI", 0x38b0c, 0 },
50657	{ "MAC_PORT_IFOUTMULTICASTPKTS", 0x38b10, 0 },
50658	{ "MAC_PORT_IFOUTMULTICASTPKTSHI", 0x38b14, 0 },
50659	{ "MAC_PORT_IFOUTBROADCASTPKTS", 0x38b18, 0 },
50660	{ "MAC_PORT_IFOUTBROADCASTPKTSHI", 0x38b1c, 0 },
50661	{ "MAC_PORT_ETHERSTATSDROPEVENTS", 0x38b20, 0 },
50662	{ "MAC_PORT_ETHERSTATSDROPEVENTSHI", 0x38b24, 0 },
50663	{ "MAC_PORT_ETHERSTATSOCTETS", 0x38b28, 0 },
50664	{ "MAC_PORT_ETHERSTATSOCTETSHI", 0x38b2c, 0 },
50665	{ "MAC_PORT_ETHERSTATSPKTS", 0x38b30, 0 },
50666	{ "MAC_PORT_ETHERSTATSPKTSHI", 0x38b34, 0 },
50667	{ "MAC_PORT_ETHERSTATSUNDERSIZEPKTS", 0x38b38, 0 },
50668	{ "MAC_PORT_ETHERSTATSUNDERSIZEPKTSHI", 0x38b3c, 0 },
50669	{ "MAC_PORT_ETHERSTATSPKTS64OCTETS", 0x38b40, 0 },
50670	{ "MAC_PORT_ETHERSTATSPKTS64OCTETSHI", 0x38b44, 0 },
50671	{ "MAC_PORT_ETHERSTATSPKTS65TO127OCTETS", 0x38b48, 0 },
50672	{ "MAC_PORT_ETHERSTATSPKTS65TO127OCTETSHI", 0x38b4c, 0 },
50673	{ "MAC_PORT_ETHERSTATSPKTS128TO255OCTETS", 0x38b50, 0 },
50674	{ "MAC_PORT_ETHERSTATSPKTS128TO255OCTETSHI", 0x38b54, 0 },
50675	{ "MAC_PORT_ETHERSTATSPKTS256TO511OCTETS", 0x38b58, 0 },
50676	{ "MAC_PORT_ETHERSTATSPKTS256TO511OCTETSHI", 0x38b5c, 0 },
50677	{ "MAC_PORT_ETHERSTATSPKTS512TO1023OCTETS", 0x38b60, 0 },
50678	{ "MAC_PORT_ETHERSTATSPKTS512TO1023OCTETSHI", 0x38b64, 0 },
50679	{ "MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETS", 0x38b68, 0 },
50680	{ "MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETSHI", 0x38b6c, 0 },
50681	{ "MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETS", 0x38b70, 0 },
50682	{ "MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETSHI", 0x38b74, 0 },
50683	{ "MAC_PORT_ETHERSTATSOVERSIZEPKTS", 0x38b78, 0 },
50684	{ "MAC_PORT_ETHERSTATSOVERSIZEPKTSHI", 0x38b7c, 0 },
50685	{ "MAC_PORT_ETHERSTATSJABBERS", 0x38b80, 0 },
50686	{ "MAC_PORT_ETHERSTATSJABBERSHI", 0x38b84, 0 },
50687	{ "MAC_PORT_ETHERSTATSFRAGMENTS", 0x38b88, 0 },
50688	{ "MAC_PORT_ETHERSTATSFRAGMENTSHI", 0x38b8c, 0 },
50689	{ "MAC_PORT_IFINERRORS", 0x38b90, 0 },
50690	{ "MAC_PORT_IFINERRORSHI", 0x38b94, 0 },
50691	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0", 0x38b98, 0 },
50692	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0HI", 0x38b9c, 0 },
50693	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1", 0x38ba0, 0 },
50694	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1HI", 0x38ba4, 0 },
50695	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2", 0x38ba8, 0 },
50696	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2HI", 0x38bac, 0 },
50697	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3", 0x38bb0, 0 },
50698	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3HI", 0x38bb4, 0 },
50699	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4", 0x38bb8, 0 },
50700	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4HI", 0x38bbc, 0 },
50701	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5", 0x38bc0, 0 },
50702	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5HI", 0x38bc4, 0 },
50703	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6", 0x38bc8, 0 },
50704	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6HI", 0x38bcc, 0 },
50705	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7", 0x38bd0, 0 },
50706	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7HI", 0x38bd4, 0 },
50707	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0", 0x38bd8, 0 },
50708	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0HI", 0x38bdc, 0 },
50709	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1", 0x38be0, 0 },
50710	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1HI", 0x38be4, 0 },
50711	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2", 0x38be8, 0 },
50712	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2HI", 0x38bec, 0 },
50713	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3", 0x38bf0, 0 },
50714	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3HI", 0x38bf4, 0 },
50715	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4", 0x38bf8, 0 },
50716	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4HI", 0x38bfc, 0 },
50717	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5", 0x38c00, 0 },
50718	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5HI", 0x38c04, 0 },
50719	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6", 0x38c08, 0 },
50720	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6HI", 0x38c0c, 0 },
50721	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7", 0x38c10, 0 },
50722	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7HI", 0x38c14, 0 },
50723	{ "MAC_PORT_AMACCONTROLFRAMESTRANSMITTED", 0x38c18, 0 },
50724	{ "MAC_PORT_AMACCONTROLFRAMESTRANSMITTEDHI", 0x38c1c, 0 },
50725	{ "MAC_PORT_AMACCONTROLFRAMESRECEIVED", 0x38c20, 0 },
50726	{ "MAC_PORT_AMACCONTROLFRAMESRECEIVEDHI", 0x38c24, 0 },
50727	{ "MAC_PORT_MTIP_SGMII_CONTROL", 0x38d00, 0 },
50728		{ "Reset", 15, 1 },
50729		{ "Loopback", 14, 1 },
50730		{ "sppedsel1", 13, 1 },
50731		{ "AN_EN", 12, 1 },
50732		{ "PWRDWN", 11, 1 },
50733		{ "Isolate", 10, 1 },
50734		{ "AN_RESTART", 9, 1 },
50735		{ "DPLX", 8, 1 },
50736		{ "CollisionTest", 7, 1 },
50737		{ "SpeedSel0", 6, 1 },
50738	{ "MAC_PORT_MTIP_SGMII_STATUS", 0x38d04, 0 },
50739		{ "100BaseT4", 15, 1 },
50740		{ "100BaseXFullDplx", 14, 1 },
50741		{ "100BaseXHalfDplx", 13, 1 },
50742		{ "10MbpsFullDplx", 12, 1 },
50743		{ "10MbpsHalfDplx", 11, 1 },
50744		{ "100BaseT2FullDplx", 10, 1 },
50745		{ "100BaseT2HalfDplx", 9, 1 },
50746		{ "ExtdStatus", 8, 1 },
50747		{ "AN_Complete", 5, 1 },
50748		{ "SGMII_REM_FAULT", 4, 1 },
50749		{ "AN_Ability", 3, 1 },
50750		{ "LINK_STATUS", 2, 1 },
50751		{ "JabberDetect", 1, 1 },
50752		{ "ExtdCapability", 0, 1 },
50753	{ "MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_0", 0x38d08, 0 },
50754	{ "MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_1", 0x38d0c, 0 },
50755	{ "MAC_PORT_MTIP_SGMII_DEV_ABILITY", 0x38d10, 0 },
50756		{ "NP", 15, 1 },
50757		{ "ACK", 14, 1 },
50758		{ "RF2", 13, 1 },
50759		{ "RF1", 12, 1 },
50760		{ "PS2", 8, 1 },
50761		{ "PS1", 7, 1 },
50762		{ "HD", 6, 1 },
50763		{ "FD", 5, 1 },
50764	{ "MAC_PORT_MTIP_SGMII_PARTNER_ABILITY", 0x38d14, 0 },
50765		{ "CuLinkStatus", 15, 1 },
50766		{ "ACK", 14, 1 },
50767		{ "CuDplxStatus", 12, 1 },
50768		{ "CuSpeed", 10, 2 },
50769	{ "MAC_PORT_MTIP_SGMII_AN_EXPANSION", 0x38d18, 0 },
50770		{ "PgRcvd", 1, 1 },
50771		{ "RealTimePgRcvd", 0, 1 },
50772	{ "MAC_PORT_MTIP_SGMII_DEVICE_NP", 0x38d1c, 0 },
50773	{ "MAC_PORT_MTIP_SGMII_PARTNER_NP", 0x38d20, 0 },
50774	{ "MAC_PORT_MTIP_SGMII_EXTENDED_STATUS", 0x38d3c, 0 },
50775	{ "MAC_PORT_MTIP_SGMII_LINK_TIMER_LO", 0x38d48, 0 },
50776	{ "MAC_PORT_MTIP_SGMII_LINK_TIMER_HI", 0x38d4c, 0 },
50777	{ "MAC_PORT_MTIP_SGMII_IF_MODE", 0x38d50, 0 },
50778		{ "SGMII_PCS_ENABLE", 5, 1 },
50779		{ "SGMII_HDUPLEX", 4, 1 },
50780		{ "SGMII_SPEED", 2, 2 },
50781		{ "USE_SGMII_AN", 1, 1 },
50782		{ "SGMII_ENA", 0, 1 },
50783	{ "MAC_PORT_MTIP_ACT_CTL_SEG", 0x39200, 0 },
50784	{ "MAC_PORT_MTIP_MODE_CTL_SEG", 0x39204, 0 },
50785	{ "MAC_PORT_MTIP_TXCLK_CTL_SEG", 0x39208, 0 },
50786	{ "MAC_PORT_MTIP_TX_PRMBL_CTL_SEG", 0x3920c, 0 },
50787	{ "MAC_PORT_MTIP_WAN_RS_COL_CNT", 0x39220, 0 },
50788	{ "MAC_PORT_MTIP_VL_INTVL", 0x39240, 0 },
50789		{ "VL_INTVL", 1, 1 },
50790	{ "MAC_PORT_MTIP_MDIO_CFG_STATUS", 0x39600, 0 },
50791		{ "CLK_DIV", 7, 9 },
50792		{ "CL45_EN", 6, 1 },
50793		{ "disable_preamble", 5, 1 },
50794		{ "mdio_hold_time", 2, 3 },
50795		{ "mdio_read_err", 1, 1 },
50796		{ "mdio_busy", 0, 1 },
50797	{ "MAC_PORT_MTIP_MDIO_COMMAND", 0x39604, 0 },
50798		{ "read", 15, 1 },
50799		{ "read_incr", 14, 1 },
50800		{ "port_addr", 5, 5 },
50801		{ "dev_addr", 0, 5 },
50802	{ "MAC_PORT_MTIP_MDIO_DATA", 0x39608, 0 },
50803		{ "readbusy", 31, 1 },
50804		{ "data_word", 0, 16 },
50805	{ "MAC_PORT_MTIP_MDIO_REGADDR", 0x3960c, 0 },
50806	{ "MAC_PORT_MTIP_VLAN_TPID_0", 0x39a00, 0 },
50807	{ "MAC_PORT_MTIP_VLAN_TPID_1", 0x39a04, 0 },
50808	{ "MAC_PORT_MTIP_VLAN_TPID_2", 0x39a08, 0 },
50809	{ "MAC_PORT_MTIP_VLAN_TPID_3", 0x39a0c, 0 },
50810	{ "MAC_PORT_MTIP_VLAN_TPID_4", 0x39a10, 0 },
50811	{ "MAC_PORT_MTIP_VLAN_TPID_5", 0x39a14, 0 },
50812	{ "MAC_PORT_MTIP_VLAN_TPID_6", 0x39a18, 0 },
50813	{ "MAC_PORT_MTIP_VLAN_TPID_7", 0x39a1c, 0 },
50814	{ "MAC_PORT_MTIP_PCS_CTL", 0x39e00, 0 },
50815		{ "RESET", 15, 1 },
50816		{ "LPBK", 14, 1 },
50817		{ "SPEED_SEL1", 13, 1 },
50818		{ "LP_MODE", 11, 1 },
50819		{ "SPEED_SEL0", 6, 1 },
50820		{ "SPEED", 2, 4 },
50821	{ "MAC_PORT_MTIP_PCS_STATUS1", 0x39e04, 0 },
50822		{ "FaultDet", 7, 1 },
50823		{ "rx_link_status", 2, 1 },
50824		{ "LoPwrAbl", 1, 1 },
50825	{ "MAC_PORT_MTIP_PCS_DEVICE_ID0", 0x39e08, 0 },
50826	{ "MAC_PORT_MTIP_PCS_DEVICE_ID1", 0x39e0c, 0 },
50827	{ "MAC_PORT_MTIP_PCS_SPEED_ABILITY", 0x39e10, 0 },
50828		{ "100G", 8, 1 },
50829		{ "40G", 7, 1 },
50830		{ "10BASE_TL", 1, 1 },
50831		{ "10G", 0, 1 },
50832	{ "MAC_PORT_MTIP_PCS_DEVICE_PKG1", 0x39e14, 0 },
50833		{ "TC", 6, 1 },
50834		{ "DTEXS", 5, 1 },
50835		{ "PHYXS", 4, 1 },
50836		{ "PCS", 3, 1 },
50837		{ "WIS", 2, 1 },
50838		{ "PMD_PMA", 1, 1 },
50839		{ "CL22", 0, 1 },
50840	{ "MAC_PORT_MTIP_PCS_DEVICE_PKG2", 0x39e18, 0 },
50841		{ "VendDev2", 15, 1 },
50842		{ "VendDev1", 14, 1 },
50843		{ "CL22EXT", 13, 1 },
50844	{ "MAC_PORT_MTIP_PCS_CTL2", 0x39e1c, 0 },
50845	{ "MAC_PORT_MTIP_PCS_STATUS2", 0x39e20, 0 },
50846		{ "Device", 15, 1 },
50847		{ "TxFault", 7, 1 },
50848		{ "RxFault", 6, 1 },
50849		{ "100BASE_R", 5, 1 },
50850		{ "40GBASE_R", 4, 1 },
50851		{ "10GBASE_T", 3, 1 },
50852		{ "10GBASE_W", 2, 1 },
50853		{ "10GBASE_X", 1, 1 },
50854		{ "10GBASE_R", 0, 1 },
50855	{ "MAC_PORT_MTIP_PCS_PKG_ID0", 0x39e38, 0 },
50856	{ "MAC_PORT_MTIP_PCS_PKG_ID1", 0x39e3c, 0 },
50857	{ "MAC_PORT_MTIP_PCS_BASER_STATUS1", 0x39e80, 0 },
50858		{ "RxLinkStatus", 12, 1 },
50859		{ "RESEREVED", 4, 8 },
50860		{ "10GPRBS9", 3, 1 },
50861		{ "10GPRBS31", 2, 1 },
50862		{ "HiBER", 1, 1 },
50863		{ "blocklock", 0, 1 },
50864	{ "MAC_PORT_MTIP_PCS_BASER_STATUS2", 0x39e84, 0 },
50865		{ "blocklockLL", 15, 1 },
50866		{ "HiBERLH", 14, 1 },
50867		{ "HiBERCount", 8, 6 },
50868		{ "ErrBlkCnt", 0, 8 },
50869	{ "MAC_PORT_MTIP_10GBASER_SEED_A", 0x39e88, 0 },
50870	{ "MAC_PORT_MTIP_10GBASER_SEED_A1", 0x39e8c, 0 },
50871	{ "MAC_PORT_MTIP_10GBASER_SEED_A2", 0x39e90, 0 },
50872	{ "MAC_PORT_MTIP_10GBASER_SEED_A3", 0x39e94, 0 },
50873	{ "MAC_PORT_MTIP_10GBASER_SEED_B", 0x39e98, 0 },
50874	{ "MAC_PORT_MTIP_10GBASER_SEED_B1", 0x39e9c, 0 },
50875	{ "MAC_PORT_MTIP_10GBASER_SEED_B2", 0x39ea0, 0 },
50876	{ "MAC_PORT_MTIP_10GBASER_SEED_B3", 0x39ea4, 0 },
50877	{ "MAC_PORT_MTIP_BASER_TEST_CTRL", 0x39ea8, 0 },
50878		{ "TXPRBS9", 6, 1 },
50879		{ "RXPRBS31", 5, 1 },
50880		{ "TXPRBS31", 4, 1 },
50881		{ "TxTestPatEn", 3, 1 },
50882		{ "RxTestPatEn", 2, 1 },
50883		{ "TestPatSel", 1, 1 },
50884		{ "DataPatSel", 0, 1 },
50885	{ "MAC_PORT_MTIP_BASER_TEST_ERR_CNT", 0x39eac, 0 },
50886	{ "MAC_PORT_MTIP_BER_HIGH_ORDER_CNT", 0x39eb0, 0 },
50887	{ "MAC_PORT_MTIP_BLK_HIGH_ORDER_CNT", 0x39eb4, 0 },
50888		{ "HiCountPrsnt", 15, 1 },
50889		{ "BLOCK_CNT_HI", 0, 14 },
50890	{ "MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS1", 0x39ec8, 0 },
50891		{ "alignstatus", 12, 1 },
50892		{ "Lane7", 7, 1 },
50893		{ "Lane6", 6, 1 },
50894		{ "Lane5", 5, 1 },
50895		{ "Lane4", 4, 1 },
50896		{ "Lane3", 3, 1 },
50897		{ "Lane2", 2, 1 },
50898		{ "Lane1", 1, 1 },
50899		{ "Lane0", 0, 1 },
50900	{ "MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS2", 0x39ecc, 0 },
50901		{ "Lane19", 11, 1 },
50902		{ "Lane18", 10, 1 },
50903		{ "Lane17", 9, 1 },
50904		{ "Lane16", 8, 1 },
50905		{ "Lane15", 7, 1 },
50906		{ "Lane14", 6, 1 },
50907		{ "Lane13", 5, 1 },
50908		{ "Lane12", 4, 1 },
50909		{ "Lane11", 3, 1 },
50910		{ "Lane10", 2, 1 },
50911		{ "Lane9", 1, 1 },
50912		{ "Lane8", 0, 1 },
50913	{ "MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS3", 0x39ed0, 0 },
50914		{ "AMLOCK7", 7, 1 },
50915		{ "AMLOCK6", 6, 1 },
50916		{ "AMLOCK5", 5, 1 },
50917		{ "AMLOCK4", 4, 1 },
50918		{ "AMLOCK3", 3, 1 },
50919		{ "AMLOCK2", 2, 1 },
50920		{ "AMLOCK1", 1, 1 },
50921		{ "AMLOCK0", 0, 1 },
50922	{ "MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS4", 0x39ed4, 0 },
50923		{ "AMLOCK19", 11, 1 },
50924		{ "AMLOCK18", 10, 1 },
50925		{ "AMLOCK17", 9, 1 },
50926		{ "AMLOCK16", 8, 1 },
50927		{ "AMLOCK15", 7, 1 },
50928		{ "AMLOCK14", 6, 1 },
50929		{ "AMLOCK13", 5, 1 },
50930		{ "AMLOCK12", 4, 1 },
50931		{ "AMLOCK11", 3, 1 },
50932		{ "AMLOCK10", 2, 1 },
50933		{ "AMLOCK9", 1, 1 },
50934		{ "AMLOCK8", 0, 1 },
50935	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_0", 0x39f68, 0 },
50936	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_1", 0x39f6c, 0 },
50937	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_2", 0x39f70, 0 },
50938	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_3", 0x39f74, 0 },
50939	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_4", 0x39f78, 0 },
50940	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_5", 0x39f7c, 0 },
50941	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_6", 0x39f80, 0 },
50942	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_7", 0x39f84, 0 },
50943	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_8", 0x39f88, 0 },
50944	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_9", 0x39f8c, 0 },
50945	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_10", 0x39f90, 0 },
50946	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_11", 0x39f94, 0 },
50947	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_12", 0x39f98, 0 },
50948	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_13", 0x39f9c, 0 },
50949	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_14", 0x39fa0, 0 },
50950	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_15", 0x39fa4, 0 },
50951	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_16", 0x39fa8, 0 },
50952	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_17", 0x39fac, 0 },
50953	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_18", 0x39fb0, 0 },
50954	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_19", 0x39fb4, 0 },
50955	{ "MAC_PORT_MTIP_PCS_LANE_MAP_0", 0x39fb8, 0 },
50956	{ "MAC_PORT_MTIP_PCS_LANE_MAP_1", 0x39fbc, 0 },
50957	{ "MAC_PORT_MTIP_PCS_LANE_MAP_2", 0x39fc0, 0 },
50958	{ "MAC_PORT_MTIP_PCS_LANE_MAP_3", 0x39fc4, 0 },
50959	{ "MAC_PORT_MTIP_PCS_LANE_MAP_4", 0x39fc8, 0 },
50960	{ "MAC_PORT_MTIP_PCS_LANE_MAP_5", 0x39fcc, 0 },
50961	{ "MAC_PORT_MTIP_PCS_LANE_MAP_6", 0x39fd0, 0 },
50962	{ "MAC_PORT_MTIP_PCS_LANE_MAP_7", 0x39fd4, 0 },
50963	{ "MAC_PORT_MTIP_PCS_LANE_MAP_8", 0x39fd8, 0 },
50964	{ "MAC_PORT_MTIP_PCS_LANE_MAP_9", 0x39fdc, 0 },
50965	{ "MAC_PORT_MTIP_PCS_LANE_MAP_10", 0x39fe0, 0 },
50966	{ "MAC_PORT_MTIP_PCS_LANE_MAP_11", 0x39fe4, 0 },
50967	{ "MAC_PORT_MTIP_PCS_LANE_MAP_12", 0x39fe8, 0 },
50968	{ "MAC_PORT_MTIP_PCS_LANE_MAP_13", 0x39fec, 0 },
50969	{ "MAC_PORT_MTIP_PCS_LANE_MAP_14", 0x39ff0, 0 },
50970	{ "MAC_PORT_MTIP_PCS_LANE_MAP_15", 0x39ff4, 0 },
50971	{ "MAC_PORT_MTIP_PCS_LANE_MAP_16", 0x39ff8, 0 },
50972	{ "MAC_PORT_MTIP_PCS_LANE_MAP_17", 0x39ffc, 0 },
50973	{ "MAC_PORT_MTIP_PCS_LANE_MAP_18", 0x3a000, 0 },
50974	{ "MAC_PORT_MTIP_PCS_LANE_MAP_19", 0x3a004, 0 },
50975	{ "MAC_PORT_BEAN_CTL", 0x3a200, 0 },
50976		{ "AN_RESET", 15, 1 },
50977		{ "EXT_NXP_CTRL", 13, 1 },
50978		{ "BEAN_EN", 12, 1 },
50979		{ "RESTART_BEAN", 9, 1 },
50980	{ "MAC_PORT_BEAN_STATUS", 0x3a204, 0 },
50981		{ "PDF", 9, 1 },
50982		{ "EXT_NXP_STATUS", 7, 1 },
50983		{ "PAGE_RCVD", 6, 1 },
50984		{ "BEAN_COMPLETE", 5, 1 },
50985		{ "REM_FAULT_STATUS", 4, 1 },
50986		{ "BEAN_ABILITY", 3, 1 },
50987		{ "LINK_STATUS", 2, 1 },
50988		{ "LP_BEAN_ABILITY", 0, 1 },
50989	{ "MAC_PORT_BEAN_ABILITY_0", 0x3a208, 0 },
50990		{ "NXP", 15, 1 },
50991		{ "ACK", 14, 1 },
50992		{ "REM_FAULT", 13, 1 },
50993		{ "PAUSE_ABILITY", 10, 3 },
50994		{ "ECHO_NONCE", 5, 5 },
50995		{ "SELECTOR", 0, 5 },
50996	{ "MAC_PORT_BEAN_ABILITY_1", 0x3a20c, 0 },
50997		{ "TECH_ABILITY_1", 5, 11 },
50998		{ "TX_NONCE", 0, 5 },
50999	{ "MAC_PORT_BEAN_ABILITY_2", 0x3a210, 0 },
51000		{ "T5_FEC_ABILITY", 14, 2 },
51001		{ "TECH_ABILITY_2", 0, 14 },
51002	{ "MAC_PORT_BEAN_REM_ABILITY_0", 0x3a214, 0 },
51003		{ "NXP", 15, 1 },
51004		{ "ACK", 14, 1 },
51005		{ "REM_FAULT", 13, 1 },
51006		{ "PAUSE_ABILITY", 10, 3 },
51007		{ "ECHO_NONCE", 5, 5 },
51008		{ "SELECTOR", 0, 5 },
51009	{ "MAC_PORT_BEAN_REM_ABILITY_1", 0x3a218, 0 },
51010		{ "TECH_ABILITY_1", 5, 11 },
51011		{ "TX_NONCE", 0, 5 },
51012	{ "MAC_PORT_BEAN_REM_ABILITY_2", 0x3a21c, 0 },
51013		{ "T5_FEC_ABILITY", 14, 2 },
51014		{ "TECH_ABILITY_2", 0, 14 },
51015	{ "MAC_PORT_BEAN_MS_COUNT", 0x3a220, 0 },
51016	{ "MAC_PORT_BEAN_XNP_0", 0x3a224, 0 },
51017		{ "XNP", 15, 1 },
51018		{ "ACKNOWLEDGE", 14, 1 },
51019		{ "MP", 13, 1 },
51020		{ "ACK2", 12, 1 },
51021		{ "TOGGLE", 11, 1 },
51022		{ "MU", 0, 11 },
51023	{ "MAC_PORT_BEAN_XNP_1", 0x3a228, 0 },
51024	{ "MAC_PORT_BEAN_XNP_2", 0x3a22c, 0 },
51025	{ "MAC_PORT_LP_BEAN_XNP_0", 0x3a230, 0 },
51026		{ "XNP", 15, 1 },
51027		{ "ACKNOWLEDGE", 14, 1 },
51028		{ "MP", 13, 1 },
51029		{ "ACK2", 12, 1 },
51030		{ "TOGGLE", 11, 1 },
51031		{ "MU", 0, 11 },
51032	{ "MAC_PORT_LP_BEAN_XNP_1", 0x3a234, 0 },
51033	{ "MAC_PORT_LP_BEAN_XNP_2", 0x3a238, 0 },
51034	{ "MAC_PORT_BEAN_ETH_STATUS", 0x3a23c, 0 },
51035		{ "100GCR10", 8, 1 },
51036		{ "40GCR4", 6, 1 },
51037		{ "40GKR4", 5, 1 },
51038		{ "FEC", 4, 1 },
51039		{ "10GKR", 3, 1 },
51040		{ "10GKX4", 2, 1 },
51041		{ "1GKX", 1, 1 },
51042	{ "MAC_PORT_BEAN_CTL_LANE1", 0x3a240, 0 },
51043		{ "AN_RESET", 15, 1 },
51044		{ "EXT_NXP_CTRL", 13, 1 },
51045		{ "BEAN_EN", 12, 1 },
51046		{ "RESTART_BEAN", 9, 1 },
51047	{ "MAC_PORT_BEAN_STATUS_LANE1", 0x3a244, 0 },
51048		{ "PDF", 9, 1 },
51049		{ "EXT_NXP_STATUS", 7, 1 },
51050		{ "PAGE_RCVD", 6, 1 },
51051		{ "BEAN_COMPLETE", 5, 1 },
51052		{ "REM_FAULT_STATUS", 4, 1 },
51053		{ "BEAN_ABILITY", 3, 1 },
51054		{ "LINK_STATUS", 2, 1 },
51055		{ "LP_BEAN_ABILITY", 0, 1 },
51056	{ "MAC_PORT_BEAN_ABILITY_0_LANE1", 0x3a248, 0 },
51057		{ "NXP", 15, 1 },
51058		{ "ACK", 14, 1 },
51059		{ "REM_FAULT", 13, 1 },
51060		{ "PAUSE_ABILITY", 10, 3 },
51061		{ "ECHO_NONCE", 5, 5 },
51062		{ "SELECTOR", 0, 5 },
51063	{ "MAC_PORT_BEAN_ABILITY_1_LANE1", 0x3a24c, 0 },
51064		{ "TECH_ABILITY_1", 5, 11 },
51065		{ "TX_NONCE", 0, 5 },
51066	{ "MAC_PORT_BEAN_ABILITY_2_LANE1", 0x3a250, 0 },
51067		{ "T5_FEC_ABILITY", 14, 2 },
51068		{ "TECH_ABILITY_2", 0, 14 },
51069	{ "MAC_PORT_BEAN_REM_ABILITY_0_LANE1", 0x3a254, 0 },
51070		{ "NXP", 15, 1 },
51071		{ "ACK", 14, 1 },
51072		{ "REM_FAULT", 13, 1 },
51073		{ "PAUSE_ABILITY", 10, 3 },
51074		{ "ECHO_NONCE", 5, 5 },
51075		{ "SELECTOR", 0, 5 },
51076	{ "MAC_PORT_BEAN_REM_ABILITY_1_LANE1", 0x3a258, 0 },
51077		{ "TECH_ABILITY_1", 5, 11 },
51078		{ "TX_NONCE", 0, 5 },
51079	{ "MAC_PORT_BEAN_REM_ABILITY_2_LANE1", 0x3a25c, 0 },
51080		{ "T5_FEC_ABILITY", 14, 2 },
51081		{ "TECH_ABILITY_2", 0, 14 },
51082	{ "MAC_PORT_BEAN_MS_COUNT_LANE1", 0x3a260, 0 },
51083	{ "MAC_PORT_BEAN_XNP_0_LANE1", 0x3a264, 0 },
51084		{ "XNP", 15, 1 },
51085		{ "ACKNOWLEDGE", 14, 1 },
51086		{ "MP", 13, 1 },
51087		{ "ACK2", 12, 1 },
51088		{ "TOGGLE", 11, 1 },
51089		{ "MU", 0, 11 },
51090	{ "MAC_PORT_BEAN_XNP_1_LANE1", 0x3a268, 0 },
51091	{ "MAC_PORT_BEAN_XNP_2_LANE1", 0x3a26c, 0 },
51092	{ "MAC_PORT_LP_BEAN_XNP_0_LANE1", 0x3a270, 0 },
51093		{ "XNP", 15, 1 },
51094		{ "ACKNOWLEDGE", 14, 1 },
51095		{ "MP", 13, 1 },
51096		{ "ACK2", 12, 1 },
51097		{ "TOGGLE", 11, 1 },
51098		{ "MU", 0, 11 },
51099	{ "MAC_PORT_LP_BEAN_XNP_1_LANE1", 0x3a274, 0 },
51100	{ "MAC_PORT_LP_BEAN_XNP_2_LANE1", 0x3a278, 0 },
51101	{ "MAC_PORT_BEAN_ETH_STATUS_LANE1", 0x3a27c, 0 },
51102		{ "100GCR10", 8, 1 },
51103		{ "40GCR4", 6, 1 },
51104		{ "40GKR4", 5, 1 },
51105		{ "FEC", 4, 1 },
51106		{ "10GKR", 3, 1 },
51107		{ "10GKX4", 2, 1 },
51108		{ "1GKX", 1, 1 },
51109	{ "MAC_PORT_BEAN_CTL_LANE2", 0x3a280, 0 },
51110		{ "AN_RESET", 15, 1 },
51111		{ "EXT_NXP_CTRL", 13, 1 },
51112		{ "BEAN_EN", 12, 1 },
51113		{ "RESTART_BEAN", 9, 1 },
51114	{ "MAC_PORT_BEAN_STATUS_LANE2", 0x3a284, 0 },
51115		{ "PDF", 9, 1 },
51116		{ "EXT_NXP_STATUS", 7, 1 },
51117		{ "PAGE_RCVD", 6, 1 },
51118		{ "BEAN_COMPLETE", 5, 1 },
51119		{ "REM_FAULT_STATUS", 4, 1 },
51120		{ "BEAN_ABILITY", 3, 1 },
51121		{ "LINK_STATUS", 2, 1 },
51122		{ "LP_BEAN_ABILITY", 0, 1 },
51123	{ "MAC_PORT_BEAN_ABILITY_0_LANE2", 0x3a288, 0 },
51124		{ "NXP", 15, 1 },
51125		{ "ACK", 14, 1 },
51126		{ "REM_FAULT", 13, 1 },
51127		{ "PAUSE_ABILITY", 10, 3 },
51128		{ "ECHO_NONCE", 5, 5 },
51129		{ "SELECTOR", 0, 5 },
51130	{ "MAC_PORT_BEAN_ABILITY_1_LANE2", 0x3a28c, 0 },
51131		{ "TECH_ABILITY_1", 5, 11 },
51132		{ "TX_NONCE", 0, 5 },
51133	{ "MAC_PORT_BEAN_ABILITY_2_LANE2", 0x3a290, 0 },
51134		{ "T5_FEC_ABILITY", 14, 2 },
51135		{ "TECH_ABILITY_2", 0, 14 },
51136	{ "MAC_PORT_BEAN_REM_ABILITY_0_LANE2", 0x3a294, 0 },
51137		{ "NXP", 15, 1 },
51138		{ "ACK", 14, 1 },
51139		{ "REM_FAULT", 13, 1 },
51140		{ "PAUSE_ABILITY", 10, 3 },
51141		{ "ECHO_NONCE", 5, 5 },
51142		{ "SELECTOR", 0, 5 },
51143	{ "MAC_PORT_BEAN_REM_ABILITY_1_LANE2", 0x3a298, 0 },
51144		{ "TECH_ABILITY_1", 5, 11 },
51145		{ "TX_NONCE", 0, 5 },
51146	{ "MAC_PORT_BEAN_REM_ABILITY_2_LANE2", 0x3a29c, 0 },
51147		{ "T5_FEC_ABILITY", 14, 2 },
51148		{ "TECH_ABILITY_2", 0, 14 },
51149	{ "MAC_PORT_BEAN_MS_COUNT_LANE2", 0x3a2a0, 0 },
51150	{ "MAC_PORT_BEAN_XNP_0_LANE2", 0x3a2a4, 0 },
51151		{ "XNP", 15, 1 },
51152		{ "ACKNOWLEDGE", 14, 1 },
51153		{ "MP", 13, 1 },
51154		{ "ACK2", 12, 1 },
51155		{ "TOGGLE", 11, 1 },
51156		{ "MU", 0, 11 },
51157	{ "MAC_PORT_BEAN_XNP_1_LANE2", 0x3a2a8, 0 },
51158	{ "MAC_PORT_BEAN_XNP_2_LANE2", 0x3a2ac, 0 },
51159	{ "MAC_PORT_LP_BEAN_XNP_0_LANE2", 0x3a2b0, 0 },
51160		{ "XNP", 15, 1 },
51161		{ "ACKNOWLEDGE", 14, 1 },
51162		{ "MP", 13, 1 },
51163		{ "ACK2", 12, 1 },
51164		{ "TOGGLE", 11, 1 },
51165		{ "MU", 0, 11 },
51166	{ "MAC_PORT_LP_BEAN_XNP_1_LANE2", 0x3a2b4, 0 },
51167	{ "MAC_PORT_LP_BEAN_XNP_2_LANE2", 0x3a2b8, 0 },
51168	{ "MAC_PORT_BEAN_ETH_STATUS_LANE2", 0x3a2bc, 0 },
51169		{ "100GCR10", 8, 1 },
51170		{ "40GCR4", 6, 1 },
51171		{ "40GKR4", 5, 1 },
51172		{ "FEC", 4, 1 },
51173		{ "10GKR", 3, 1 },
51174		{ "10GKX4", 2, 1 },
51175		{ "1GKX", 1, 1 },
51176	{ "MAC_PORT_BEAN_CTL_LANE3", 0x3a2c0, 0 },
51177		{ "AN_RESET", 15, 1 },
51178		{ "EXT_NXP_CTRL", 13, 1 },
51179		{ "BEAN_EN", 12, 1 },
51180		{ "RESTART_BEAN", 9, 1 },
51181	{ "MAC_PORT_BEAN_STATUS_LANE3", 0x3a2c4, 0 },
51182		{ "PDF", 9, 1 },
51183		{ "EXT_NXP_STATUS", 7, 1 },
51184		{ "PAGE_RCVD", 6, 1 },
51185		{ "BEAN_COMPLETE", 5, 1 },
51186		{ "REM_FAULT_STATUS", 4, 1 },
51187		{ "BEAN_ABILITY", 3, 1 },
51188		{ "LINK_STATUS", 2, 1 },
51189		{ "LP_BEAN_ABILITY", 0, 1 },
51190	{ "MAC_PORT_BEAN_ABILITY_0_LANE3", 0x3a2c8, 0 },
51191		{ "NXP", 15, 1 },
51192		{ "ACK", 14, 1 },
51193		{ "REM_FAULT", 13, 1 },
51194		{ "PAUSE_ABILITY", 10, 3 },
51195		{ "ECHO_NONCE", 5, 5 },
51196		{ "SELECTOR", 0, 5 },
51197	{ "MAC_PORT_BEAN_ABILITY_1_LANE3", 0x3a2cc, 0 },
51198		{ "TECH_ABILITY_1", 5, 11 },
51199		{ "TX_NONCE", 0, 5 },
51200	{ "MAC_PORT_BEAN_ABILITY_2_LANE3", 0x3a2d0, 0 },
51201		{ "T5_FEC_ABILITY", 14, 2 },
51202		{ "TECH_ABILITY_2", 0, 14 },
51203	{ "MAC_PORT_BEAN_REM_ABILITY_0_LANE3", 0x3a2d4, 0 },
51204		{ "NXP", 15, 1 },
51205		{ "ACK", 14, 1 },
51206		{ "REM_FAULT", 13, 1 },
51207		{ "PAUSE_ABILITY", 10, 3 },
51208		{ "ECHO_NONCE", 5, 5 },
51209		{ "SELECTOR", 0, 5 },
51210	{ "MAC_PORT_BEAN_REM_ABILITY_1_LANE3", 0x3a2d8, 0 },
51211		{ "TECH_ABILITY_1", 5, 11 },
51212		{ "TX_NONCE", 0, 5 },
51213	{ "MAC_PORT_BEAN_REM_ABILITY_2_LANE3", 0x3a2dc, 0 },
51214		{ "T5_FEC_ABILITY", 14, 2 },
51215		{ "TECH_ABILITY_2", 0, 14 },
51216	{ "MAC_PORT_BEAN_MS_COUNT_LANE3", 0x3a2e0, 0 },
51217	{ "MAC_PORT_BEAN_XNP_0_LANE3", 0x3a2e4, 0 },
51218		{ "XNP", 15, 1 },
51219		{ "ACKNOWLEDGE", 14, 1 },
51220		{ "MP", 13, 1 },
51221		{ "ACK2", 12, 1 },
51222		{ "TOGGLE", 11, 1 },
51223		{ "MU", 0, 11 },
51224	{ "MAC_PORT_BEAN_XNP_1_LANE3", 0x3a2e8, 0 },
51225	{ "MAC_PORT_BEAN_XNP_2_LANE3", 0x3a2ec, 0 },
51226	{ "MAC_PORT_LP_BEAN_XNP_0_LANE3", 0x3a2f0, 0 },
51227		{ "XNP", 15, 1 },
51228		{ "ACKNOWLEDGE", 14, 1 },
51229		{ "MP", 13, 1 },
51230		{ "ACK2", 12, 1 },
51231		{ "TOGGLE", 11, 1 },
51232		{ "MU", 0, 11 },
51233	{ "MAC_PORT_LP_BEAN_XNP_1_LANE3", 0x3a2f4, 0 },
51234	{ "MAC_PORT_LP_BEAN_XNP_2_LANE3", 0x3a2f8, 0 },
51235	{ "MAC_PORT_BEAN_ETH_STATUS_LANE3", 0x3a2fc, 0 },
51236		{ "100GCR10", 8, 1 },
51237		{ "40GCR4", 6, 1 },
51238		{ "40GKR4", 5, 1 },
51239		{ "FEC", 4, 1 },
51240		{ "10GKR", 3, 1 },
51241		{ "10GKX4", 2, 1 },
51242		{ "1GKX", 1, 1 },
51243	{ "MAC_PORT_FEC_KR_CONTROL", 0x3a600, 0 },
51244		{ "enable_tr", 1, 1 },
51245		{ "restart_tr", 0, 1 },
51246	{ "MAC_PORT_FEC_KR_STATUS", 0x3a604, 0 },
51247		{ "fecKRsigdet", 15, 1 },
51248		{ "train_fail", 3, 1 },
51249		{ "startup_status", 2, 1 },
51250		{ "frame_lock", 1, 1 },
51251		{ "rx_status", 0, 1 },
51252	{ "MAC_PORT_FEC_KR_LP_COEFF", 0x3a608, 0 },
51253		{ "Preset", 13, 1 },
51254		{ "Initialize", 12, 1 },
51255		{ "CP1_UPD", 4, 2 },
51256		{ "C0_UPD", 2, 2 },
51257		{ "CN1_UPD", 0, 2 },
51258	{ "MAC_PORT_FEC_KR_LP_STAT", 0x3a60c, 0 },
51259		{ "rx_ready", 15, 1 },
51260		{ "CP1_STAT", 4, 2 },
51261		{ "C0_STAT", 2, 2 },
51262		{ "CN1_STAT", 0, 2 },
51263	{ "MAC_PORT_FEC_KR_LD_COEFF", 0x3a610, 0 },
51264		{ "Preset", 13, 1 },
51265		{ "Initialize", 12, 1 },
51266		{ "CP1_UPD", 4, 2 },
51267		{ "C0_UPD", 2, 2 },
51268		{ "CN1_UPD", 0, 2 },
51269	{ "MAC_PORT_FEC_KR_LD_STAT", 0x3a614, 0 },
51270		{ "rx_ready", 15, 1 },
51271		{ "CP1_STAT", 4, 2 },
51272		{ "C0_STAT", 2, 2 },
51273		{ "CN1_STAT", 0, 2 },
51274	{ "MAC_PORT_FEC_ABILITY", 0x3a618, 0 },
51275		{ "fec_ind_ability", 1, 1 },
51276		{ "ability", 0, 1 },
51277	{ "MAC_PORT_FEC_CONTROL", 0x3a61c, 0 },
51278		{ "fec_en_err_ind", 1, 1 },
51279		{ "fec_en", 0, 1 },
51280	{ "MAC_PORT_FEC_STATUS", 0x3a620, 0 },
51281		{ "FEC_LOCKED_100", 1, 1 },
51282		{ "FEC_LOCKED", 0, 1 },
51283	{ "MAC_PORT_FEC_CERR_CNT_0", 0x3a624, 0 },
51284	{ "MAC_PORT_FEC_CERR_CNT_1", 0x3a628, 0 },
51285	{ "MAC_PORT_FEC_NCERR_CNT_0", 0x3a62c, 0 },
51286	{ "MAC_PORT_FEC_NCERR_CNT_1", 0x3a630, 0 },
51287	{ "MAC_PORT_AE_RX_COEF_REQ", 0x3aa00, 0 },
51288		{ "RXREQ_CPRE", 13, 1 },
51289		{ "RXREQ_CINIT", 12, 1 },
51290		{ "T5_RXREQ_C2", 4, 2 },
51291		{ "T5_RXREQ_C1", 2, 2 },
51292		{ "T5_RXREQ_C0", 0, 2 },
51293	{ "MAC_PORT_AE_RX_COEF_STAT", 0x3aa04, 0 },
51294		{ "T5_AE0_RXSTAT_RDY", 15, 1 },
51295		{ "T5_AE0_RXSTAT_C2", 4, 2 },
51296		{ "T5_AE0_RXSTAT_C1", 2, 2 },
51297		{ "T5_AE0_RXSTAT_C0", 0, 2 },
51298	{ "MAC_PORT_AE_TX_COEF_REQ", 0x3aa08, 0 },
51299		{ "TXREQ_CPRE", 13, 1 },
51300		{ "TXREQ_CINIT", 12, 1 },
51301		{ "T5_TXREQ_C2", 4, 2 },
51302		{ "T5_TXREQ_C1", 2, 2 },
51303		{ "T5_TXREQ_C0", 0, 2 },
51304	{ "MAC_PORT_AE_TX_COEF_STAT", 0x3aa0c, 0 },
51305		{ "TXSTAT_RDY", 15, 1 },
51306		{ "T5_TXSTAT_C2", 4, 2 },
51307		{ "T5_TXSTAT_C1", 2, 2 },
51308		{ "T5_TXSTAT_C0", 0, 2 },
51309	{ "MAC_PORT_AE_REG_MODE", 0x3aa10, 0 },
51310		{ "AET_RSVD", 7, 1 },
51311		{ "AET_ENABLE", 6, 1 },
51312		{ "MAN_DEC", 4, 2 },
51313		{ "MANUAL_RDY", 3, 1 },
51314		{ "MWT_DISABLE", 2, 1 },
51315		{ "MDIO_OVR", 1, 1 },
51316		{ "STICKY_MODE", 0, 1 },
51317	{ "MAC_PORT_AE_PRBS_CTL", 0x3aa14, 0 },
51318		{ "PRBS_CHK_ERRCNT", 8, 8 },
51319		{ "PRBS_SYNCCNT", 5, 3 },
51320		{ "PRBS_CHK_SYNC", 4, 1 },
51321		{ "PRBS_CHK_RST", 3, 1 },
51322		{ "PRBS_CHK_OFF", 2, 1 },
51323		{ "PRBS_GEN_FRCERR", 1, 1 },
51324		{ "PRBS_GEN_OFF", 0, 1 },
51325	{ "MAC_PORT_AE_FSM_CTL", 0x3aa18, 0 },
51326		{ "CIN_ENABLE", 15, 1 },
51327		{ "FSM_TR_LCL", 14, 1 },
51328		{ "FSM_GDMRK", 11, 3 },
51329		{ "FSM_BADMRK", 8, 3 },
51330		{ "FSM_TR_FAIL", 7, 1 },
51331		{ "FSM_TR_ACT", 6, 1 },
51332		{ "FSM_FRM_LCK", 5, 1 },
51333		{ "FSM_TR_COMP", 4, 1 },
51334		{ "MC_RX_RDY", 3, 1 },
51335		{ "FSM_CU_DIS", 2, 1 },
51336		{ "FSM_TR_RST", 1, 1 },
51337		{ "FSM_TR_EN", 0, 1 },
51338	{ "MAC_PORT_AE_FSM_STATE", 0x3aa1c, 0 },
51339		{ "CC2FSM_STATE", 13, 3 },
51340		{ "CC1FSM_STATE", 10, 3 },
51341		{ "CC0FSM_STATE", 7, 3 },
51342		{ "FLFSM_STATE", 4, 3 },
51343		{ "TFSM_STATE", 0, 3 },
51344	{ "MAC_PORT_AE_RX_COEF_REQ_1", 0x3aa20, 0 },
51345		{ "RXREQ_CPRE", 13, 1 },
51346		{ "RXREQ_CINIT", 12, 1 },
51347		{ "T5_RXREQ_C2", 4, 2 },
51348		{ "T5_RXREQ_C1", 2, 2 },
51349		{ "T5_RXREQ_C0", 0, 2 },
51350	{ "MAC_PORT_AE_RX_COEF_STAT_1", 0x3aa24, 0 },
51351		{ "T5_AE1_RXSTAT_RDY", 15, 1 },
51352		{ "T5_AE1_RXSTAT_C2", 4, 2 },
51353		{ "T5_AE1_RXSTAT_C1", 2, 2 },
51354		{ "T5_AE1_RXSTAT_C0", 0, 2 },
51355	{ "MAC_PORT_AE_TX_COEF_REQ_1", 0x3aa28, 0 },
51356		{ "TXREQ_CPRE", 13, 1 },
51357		{ "TXREQ_CINIT", 12, 1 },
51358		{ "T5_TXREQ_C2", 4, 2 },
51359		{ "T5_TXREQ_C1", 2, 2 },
51360		{ "T5_TXREQ_C0", 0, 2 },
51361	{ "MAC_PORT_AE_TX_COEF_STAT_1", 0x3aa2c, 0 },
51362		{ "TXSTAT_RDY", 15, 1 },
51363		{ "T5_TXSTAT_C2", 4, 2 },
51364		{ "T5_TXSTAT_C1", 2, 2 },
51365		{ "T5_TXSTAT_C0", 0, 2 },
51366	{ "MAC_PORT_AE_REG_MODE_1", 0x3aa30, 0 },
51367		{ "AET_RSVD", 7, 1 },
51368		{ "AET_ENABLE", 6, 1 },
51369		{ "MAN_DEC", 4, 2 },
51370		{ "MANUAL_RDY", 3, 1 },
51371		{ "MWT_DISABLE", 2, 1 },
51372		{ "MDIO_OVR", 1, 1 },
51373		{ "STICKY_MODE", 0, 1 },
51374	{ "MAC_PORT_AE_PRBS_CTL_1", 0x3aa34, 0 },
51375		{ "PRBS_CHK_ERRCNT", 8, 8 },
51376		{ "PRBS_SYNCCNT", 5, 3 },
51377		{ "PRBS_CHK_SYNC", 4, 1 },
51378		{ "PRBS_CHK_RST", 3, 1 },
51379		{ "PRBS_CHK_OFF", 2, 1 },
51380		{ "PRBS_GEN_FRCERR", 1, 1 },
51381		{ "PRBS_GEN_OFF", 0, 1 },
51382	{ "MAC_PORT_AE_FSM_CTL_1", 0x3aa38, 0 },
51383		{ "CIN_ENABLE", 15, 1 },
51384		{ "FSM_TR_LCL", 14, 1 },
51385		{ "FSM_GDMRK", 11, 3 },
51386		{ "FSM_BADMRK", 8, 3 },
51387		{ "FSM_TR_FAIL", 7, 1 },
51388		{ "FSM_TR_ACT", 6, 1 },
51389		{ "FSM_FRM_LCK", 5, 1 },
51390		{ "FSM_TR_COMP", 4, 1 },
51391		{ "MC_RX_RDY", 3, 1 },
51392		{ "FSM_CU_DIS", 2, 1 },
51393		{ "FSM_TR_RST", 1, 1 },
51394		{ "FSM_TR_EN", 0, 1 },
51395	{ "MAC_PORT_AE_FSM_STATE_1", 0x3aa3c, 0 },
51396		{ "CC2FSM_STATE", 13, 3 },
51397		{ "CC1FSM_STATE", 10, 3 },
51398		{ "CC0FSM_STATE", 7, 3 },
51399		{ "FLFSM_STATE", 4, 3 },
51400		{ "TFSM_STATE", 0, 3 },
51401	{ "MAC_PORT_AE_RX_COEF_REQ_2", 0x3aa40, 0 },
51402		{ "RXREQ_CPRE", 13, 1 },
51403		{ "RXREQ_CINIT", 12, 1 },
51404		{ "T5_RXREQ_C2", 4, 2 },
51405		{ "T5_RXREQ_C1", 2, 2 },
51406		{ "T5_RXREQ_C0", 0, 2 },
51407	{ "MAC_PORT_AE_RX_COEF_STAT_2", 0x3aa44, 0 },
51408		{ "T5_AE2_RXSTAT_RDY", 15, 1 },
51409		{ "T5_AE2_RXSTAT_C2", 4, 2 },
51410		{ "T5_AE2_RXSTAT_C1", 2, 2 },
51411		{ "T5_AE2_RXSTAT_C0", 0, 2 },
51412	{ "MAC_PORT_AE_TX_COEF_REQ_2", 0x3aa48, 0 },
51413		{ "TXREQ_CPRE", 13, 1 },
51414		{ "TXREQ_CINIT", 12, 1 },
51415		{ "T5_TXREQ_C2", 4, 2 },
51416		{ "T5_TXREQ_C1", 2, 2 },
51417		{ "T5_TXREQ_C0", 0, 2 },
51418	{ "MAC_PORT_AE_TX_COEF_STAT_2", 0x3aa4c, 0 },
51419		{ "TXSTAT_RDY", 15, 1 },
51420		{ "T5_TXSTAT_C2", 4, 2 },
51421		{ "T5_TXSTAT_C1", 2, 2 },
51422		{ "T5_TXSTAT_C0", 0, 2 },
51423	{ "MAC_PORT_AE_REG_MODE_2", 0x3aa50, 0 },
51424		{ "AET_RSVD", 7, 1 },
51425		{ "AET_ENABLE", 6, 1 },
51426		{ "MAN_DEC", 4, 2 },
51427		{ "MANUAL_RDY", 3, 1 },
51428		{ "MWT_DISABLE", 2, 1 },
51429		{ "MDIO_OVR", 1, 1 },
51430		{ "STICKY_MODE", 0, 1 },
51431	{ "MAC_PORT_AE_PRBS_CTL_2", 0x3aa54, 0 },
51432		{ "PRBS_CHK_ERRCNT", 8, 8 },
51433		{ "PRBS_SYNCCNT", 5, 3 },
51434		{ "PRBS_CHK_SYNC", 4, 1 },
51435		{ "PRBS_CHK_RST", 3, 1 },
51436		{ "PRBS_CHK_OFF", 2, 1 },
51437		{ "PRBS_GEN_FRCERR", 1, 1 },
51438		{ "PRBS_GEN_OFF", 0, 1 },
51439	{ "MAC_PORT_AE_FSM_CTL_2", 0x3aa58, 0 },
51440		{ "CIN_ENABLE", 15, 1 },
51441		{ "FSM_TR_LCL", 14, 1 },
51442		{ "FSM_GDMRK", 11, 3 },
51443		{ "FSM_BADMRK", 8, 3 },
51444		{ "FSM_TR_FAIL", 7, 1 },
51445		{ "FSM_TR_ACT", 6, 1 },
51446		{ "FSM_FRM_LCK", 5, 1 },
51447		{ "FSM_TR_COMP", 4, 1 },
51448		{ "MC_RX_RDY", 3, 1 },
51449		{ "FSM_CU_DIS", 2, 1 },
51450		{ "FSM_TR_RST", 1, 1 },
51451		{ "FSM_TR_EN", 0, 1 },
51452	{ "MAC_PORT_AE_FSM_STATE_2", 0x3aa5c, 0 },
51453		{ "CC2FSM_STATE", 13, 3 },
51454		{ "CC1FSM_STATE", 10, 3 },
51455		{ "CC0FSM_STATE", 7, 3 },
51456		{ "FLFSM_STATE", 4, 3 },
51457		{ "TFSM_STATE", 0, 3 },
51458	{ "MAC_PORT_AE_RX_COEF_REQ_3", 0x3aa60, 0 },
51459		{ "RXREQ_CPRE", 13, 1 },
51460		{ "RXREQ_CINIT", 12, 1 },
51461		{ "T5_RXREQ_C2", 4, 2 },
51462		{ "T5_RXREQ_C1", 2, 2 },
51463		{ "T5_RXREQ_C0", 0, 2 },
51464	{ "MAC_PORT_AE_RX_COEF_STAT_3", 0x3aa64, 0 },
51465		{ "T5_AE3_RXSTAT_RDY", 15, 1 },
51466		{ "T5_AE3_RXSTAT_C2", 4, 2 },
51467		{ "T5_AE3_RXSTAT_C1", 2, 2 },
51468		{ "T5_AE3_RXSTAT_C0", 0, 2 },
51469	{ "MAC_PORT_AE_TX_COEF_REQ_3", 0x3aa68, 0 },
51470		{ "TXREQ_CPRE", 13, 1 },
51471		{ "TXREQ_CINIT", 12, 1 },
51472		{ "T5_TXREQ_C2", 4, 2 },
51473		{ "T5_TXREQ_C1", 2, 2 },
51474		{ "T5_TXREQ_C0", 0, 2 },
51475	{ "MAC_PORT_AE_TX_COEF_STAT_3", 0x3aa6c, 0 },
51476		{ "TXSTAT_RDY", 15, 1 },
51477		{ "T5_TXSTAT_C2", 4, 2 },
51478		{ "T5_TXSTAT_C1", 2, 2 },
51479		{ "T5_TXSTAT_C0", 0, 2 },
51480	{ "MAC_PORT_AE_REG_MODE_3", 0x3aa70, 0 },
51481		{ "AET_RSVD", 7, 1 },
51482		{ "AET_ENABLE", 6, 1 },
51483		{ "MAN_DEC", 4, 2 },
51484		{ "MANUAL_RDY", 3, 1 },
51485		{ "MWT_DISABLE", 2, 1 },
51486		{ "MDIO_OVR", 1, 1 },
51487		{ "STICKY_MODE", 0, 1 },
51488	{ "MAC_PORT_AE_PRBS_CTL_3", 0x3aa74, 0 },
51489		{ "PRBS_CHK_ERRCNT", 8, 8 },
51490		{ "PRBS_SYNCCNT", 5, 3 },
51491		{ "PRBS_CHK_SYNC", 4, 1 },
51492		{ "PRBS_CHK_RST", 3, 1 },
51493		{ "PRBS_CHK_OFF", 2, 1 },
51494		{ "PRBS_GEN_FRCERR", 1, 1 },
51495		{ "PRBS_GEN_OFF", 0, 1 },
51496	{ "MAC_PORT_AE_FSM_CTL_3", 0x3aa78, 0 },
51497		{ "CIN_ENABLE", 15, 1 },
51498		{ "FSM_TR_LCL", 14, 1 },
51499		{ "FSM_GDMRK", 11, 3 },
51500		{ "FSM_BADMRK", 8, 3 },
51501		{ "FSM_TR_FAIL", 7, 1 },
51502		{ "FSM_TR_ACT", 6, 1 },
51503		{ "FSM_FRM_LCK", 5, 1 },
51504		{ "FSM_TR_COMP", 4, 1 },
51505		{ "MC_RX_RDY", 3, 1 },
51506		{ "FSM_CU_DIS", 2, 1 },
51507		{ "FSM_TR_RST", 1, 1 },
51508		{ "FSM_TR_EN", 0, 1 },
51509	{ "MAC_PORT_AE_FSM_STATE_3", 0x3aa7c, 0 },
51510		{ "CC2FSM_STATE", 13, 3 },
51511		{ "CC1FSM_STATE", 10, 3 },
51512		{ "CC0FSM_STATE", 7, 3 },
51513		{ "FLFSM_STATE", 4, 3 },
51514		{ "TFSM_STATE", 0, 3 },
51515	{ "MAC_PORT_AE_TX_DIS", 0x3aa80, 0 },
51516	{ "MAC_PORT_AE_KR_CTRL", 0x3aa84, 0 },
51517		{ "Training_Enable", 1, 1 },
51518		{ "Restart_Training", 0, 1 },
51519	{ "MAC_PORT_AE_RX_SIGDET", 0x3aa88, 0 },
51520	{ "MAC_PORT_AE_KR_STATUS", 0x3aa8c, 0 },
51521		{ "Training_Failure", 3, 1 },
51522		{ "Training", 2, 1 },
51523		{ "Frame_Lock", 1, 1 },
51524		{ "RX_Trained", 0, 1 },
51525	{ "MAC_PORT_AE_TX_DIS_1", 0x3aa90, 0 },
51526	{ "MAC_PORT_AE_KR_CTRL_1", 0x3aa94, 0 },
51527		{ "Training_Enable", 1, 1 },
51528		{ "Restart_Training", 0, 1 },
51529	{ "MAC_PORT_AE_RX_SIGDET_1", 0x3aa98, 0 },
51530	{ "MAC_PORT_AE_KR_STATUS_1", 0x3aa9c, 0 },
51531		{ "Training_Failure", 3, 1 },
51532		{ "Training", 2, 1 },
51533		{ "Frame_Lock", 1, 1 },
51534		{ "RX_Trained", 0, 1 },
51535	{ "MAC_PORT_AE_TX_DIS_2", 0x3aaa0, 0 },
51536	{ "MAC_PORT_AE_KR_CTRL_2", 0x3aaa4, 0 },
51537		{ "Training_Enable", 1, 1 },
51538		{ "Restart_Training", 0, 1 },
51539	{ "MAC_PORT_AE_RX_SIGDET_2", 0x3aaa8, 0 },
51540	{ "MAC_PORT_AE_KR_STATUS_2", 0x3aaac, 0 },
51541		{ "Training_Failure", 3, 1 },
51542		{ "Training", 2, 1 },
51543		{ "Frame_Lock", 1, 1 },
51544		{ "RX_Trained", 0, 1 },
51545	{ "MAC_PORT_AE_TX_DIS_3", 0x3aab0, 0 },
51546	{ "MAC_PORT_AE_KR_CTRL_3", 0x3aab4, 0 },
51547		{ "Training_Enable", 1, 1 },
51548		{ "Restart_Training", 0, 1 },
51549	{ "MAC_PORT_AE_RX_SIGDET_3", 0x3aab8, 0 },
51550	{ "MAC_PORT_AE_KR_STATUS_3", 0x3aabc, 0 },
51551		{ "Training_Failure", 3, 1 },
51552		{ "Training", 2, 1 },
51553		{ "Frame_Lock", 1, 1 },
51554		{ "RX_Trained", 0, 1 },
51555	{ "MAC_PORT_AET_STAGE_CONFIGURATION_0", 0x3ab00, 0 },
51556		{ "EN_HOLD_FAIL", 14, 1 },
51557		{ "INIT_METH", 12, 2 },
51558		{ "CE_DECS", 8, 4 },
51559		{ "EN_ZFE", 7, 1 },
51560		{ "EN_GAIN_TOG", 6, 1 },
51561		{ "EN_AI_C1", 5, 1 },
51562		{ "EN_MAX_ST", 4, 1 },
51563		{ "EN_H1T_EQ", 3, 1 },
51564		{ "H1TEQ_GOAL", 0, 3 },
51565	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_0", 0x3ab04, 0 },
51566		{ "GAIN_TH", 6, 5 },
51567		{ "EN_SD_TH", 5, 1 },
51568		{ "EN_AMIN_TH", 4, 1 },
51569		{ "AMIN_TH", 0, 4 },
51570	{ "MAC_PORT_AET_ZFE_LIMITS_0", 0x3ab08, 0 },
51571		{ "ACC_LIM", 8, 4 },
51572		{ "CNV_LIM", 4, 4 },
51573		{ "TOG_LIM", 0, 4 },
51574	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_0", 0x3ab0c, 0 },
51575		{ "BOOT_LUT7", 12, 4 },
51576		{ "BOOT_LUT6", 8, 4 },
51577		{ "BOOT_LUT45", 4, 4 },
51578		{ "BOOT_LUT0123", 2, 2 },
51579		{ "BOOT_DEC_C0", 1, 1 },
51580	{ "MAC_PORT_AET_STATUS_0", 0x3ab10, 0 },
51581		{ "AET_STAT", 9, 4 },
51582		{ "NEU_STATE", 5, 4 },
51583		{ "CTRL_STATE", 0, 5 },
51584	{ "MAC_PORT_AET_STAGE_CONFIGURATION_1", 0x3ab20, 0 },
51585		{ "EN_HOLD_FAIL", 14, 1 },
51586		{ "INIT_METH", 12, 2 },
51587		{ "CE_DECS", 8, 4 },
51588		{ "EN_ZFE", 7, 1 },
51589		{ "EN_GAIN_TOG", 6, 1 },
51590		{ "EN_AI_C1", 5, 1 },
51591		{ "EN_MAX_ST", 4, 1 },
51592		{ "EN_H1T_EQ", 3, 1 },
51593		{ "H1TEQ_GOAL", 0, 3 },
51594	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_1", 0x3ab24, 0 },
51595		{ "GAIN_TH", 6, 5 },
51596		{ "EN_SD_TH", 5, 1 },
51597		{ "EN_AMIN_TH", 4, 1 },
51598		{ "AMIN_TH", 0, 4 },
51599	{ "MAC_PORT_AET_ZFE_LIMITS_1", 0x3ab28, 0 },
51600		{ "ACC_LIM", 8, 4 },
51601		{ "CNV_LIM", 4, 4 },
51602		{ "TOG_LIM", 0, 4 },
51603	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_1", 0x3ab2c, 0 },
51604		{ "BOOT_LUT7", 12, 4 },
51605		{ "BOOT_LUT6", 8, 4 },
51606		{ "BOOT_LUT45", 4, 4 },
51607		{ "BOOT_LUT0123", 2, 2 },
51608		{ "BOOT_DEC_C0", 1, 1 },
51609	{ "MAC_PORT_AET_STATUS_1", 0x3ab30, 0 },
51610		{ "AET_STAT", 9, 4 },
51611		{ "NEU_STATE", 5, 4 },
51612		{ "CTRL_STATE", 0, 5 },
51613	{ "MAC_PORT_AET_STAGE_CONFIGURATION_2", 0x3ab40, 0 },
51614		{ "EN_HOLD_FAIL", 14, 1 },
51615		{ "INIT_METH", 12, 2 },
51616		{ "CE_DECS", 8, 4 },
51617		{ "EN_ZFE", 7, 1 },
51618		{ "EN_GAIN_TOG", 6, 1 },
51619		{ "EN_AI_C1", 5, 1 },
51620		{ "EN_MAX_ST", 4, 1 },
51621		{ "EN_H1T_EQ", 3, 1 },
51622		{ "H1TEQ_GOAL", 0, 3 },
51623	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_2", 0x3ab44, 0 },
51624		{ "GAIN_TH", 6, 5 },
51625		{ "EN_SD_TH", 5, 1 },
51626		{ "EN_AMIN_TH", 4, 1 },
51627		{ "AMIN_TH", 0, 4 },
51628	{ "MAC_PORT_AET_ZFE_LIMITS_2", 0x3ab48, 0 },
51629		{ "ACC_LIM", 8, 4 },
51630		{ "CNV_LIM", 4, 4 },
51631		{ "TOG_LIM", 0, 4 },
51632	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_2", 0x3ab4c, 0 },
51633		{ "BOOT_LUT7", 12, 4 },
51634		{ "BOOT_LUT6", 8, 4 },
51635		{ "BOOT_LUT45", 4, 4 },
51636		{ "BOOT_LUT0123", 2, 2 },
51637		{ "BOOT_DEC_C0", 1, 1 },
51638	{ "MAC_PORT_AET_STATUS_2", 0x3ab50, 0 },
51639		{ "AET_STAT", 9, 4 },
51640		{ "NEU_STATE", 5, 4 },
51641		{ "CTRL_STATE", 0, 5 },
51642	{ "MAC_PORT_AET_STAGE_CONFIGURATION_3", 0x3ab60, 0 },
51643		{ "EN_HOLD_FAIL", 14, 1 },
51644		{ "INIT_METH", 12, 2 },
51645		{ "CE_DECS", 8, 4 },
51646		{ "EN_ZFE", 7, 1 },
51647		{ "EN_GAIN_TOG", 6, 1 },
51648		{ "EN_AI_C1", 5, 1 },
51649		{ "EN_MAX_ST", 4, 1 },
51650		{ "EN_H1T_EQ", 3, 1 },
51651		{ "H1TEQ_GOAL", 0, 3 },
51652	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_3", 0x3ab64, 0 },
51653		{ "GAIN_TH", 6, 5 },
51654		{ "EN_SD_TH", 5, 1 },
51655		{ "EN_AMIN_TH", 4, 1 },
51656		{ "AMIN_TH", 0, 4 },
51657	{ "MAC_PORT_AET_ZFE_LIMITS_3", 0x3ab68, 0 },
51658		{ "ACC_LIM", 8, 4 },
51659		{ "CNV_LIM", 4, 4 },
51660		{ "TOG_LIM", 0, 4 },
51661	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_3", 0x3ab6c, 0 },
51662		{ "BOOT_LUT7", 12, 4 },
51663		{ "BOOT_LUT6", 8, 4 },
51664		{ "BOOT_LUT45", 4, 4 },
51665		{ "BOOT_LUT0123", 2, 2 },
51666		{ "BOOT_DEC_C0", 1, 1 },
51667	{ "MAC_PORT_AET_STATUS_3", 0x3ab70, 0 },
51668		{ "AET_STAT", 9, 4 },
51669		{ "NEU_STATE", 5, 4 },
51670		{ "CTRL_STATE", 0, 5 },
51671	{ "MAC_PORT_ANALOG_TEST_MUX", 0x3b814, 0 },
51672	{ "MAC_PORT_BANDGAP_CONTROL", 0x3b82c, 0 },
51673	{ "MAC_PORT_RESISTOR_CALIBRATION_CONTROL", 0x3b880, 0 },
51674		{ "RCCTL1", 5, 1 },
51675		{ "RCCTL0", 4, 1 },
51676		{ "RCAMP1", 3, 1 },
51677		{ "RCAMP0", 2, 1 },
51678		{ "RCAMPEN", 1, 1 },
51679		{ "RCRST", 0, 1 },
51680	{ "MAC_PORT_RESISTOR_CALIBRATION_STATUS_1", 0x3b884, 0 },
51681		{ "RCERR", 1, 1 },
51682		{ "RCCOMP", 0, 1 },
51683	{ "MAC_PORT_RESISTOR_CALIBRATION_STATUS_2", 0x3b888, 0 },
51684	{ "MAC_PORT_RESISTOR_CALIBRATION_STATUS_3", 0x3b88c, 0 },
51685	{ "MAC_PORT_MACRO_TEST_CONTROL_6", 0x3b8e8, 0 },
51686		{ "LBIST", 7, 1 },
51687		{ "LOGICTEST", 6, 1 },
51688		{ "MAVDHI", 5, 1 },
51689		{ "AUXEN", 4, 1 },
51690		{ "JTAGMD", 3, 1 },
51691		{ "RXACMODE", 2, 1 },
51692		{ "HSSACJPC", 1, 1 },
51693		{ "HSSACJAC", 0, 1 },
51694	{ "MAC_PORT_MACRO_TEST_CONTROL_5", 0x3b8ec, 0 },
51695		{ "REFVALIDD", 6, 1 },
51696		{ "REFVALIDC", 5, 1 },
51697		{ "REFVALIDB", 4, 1 },
51698		{ "REFVALIDA", 3, 1 },
51699		{ "REFSELRESET", 2, 1 },
51700		{ "SOFTRESET", 1, 1 },
51701		{ "MACROTEST", 0, 1 },
51702	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_0", 0x3bb00, 0 },
51703	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_1", 0x3bb04, 0 },
51704		{ "LDET", 4, 1 },
51705		{ "CCERR", 3, 1 },
51706		{ "CCCMP", 2, 1 },
51707	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_2", 0x3bb08, 0 },
51708	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_3", 0x3bb0c, 0 },
51709		{ "FMIN", 3, 1 },
51710		{ "FMAX", 2, 1 },
51711		{ "CVHOLD", 1, 1 },
51712	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_4", 0x3bb10, 0 },
51713		{ "CMETH", 2, 1 },
51714		{ "RECAL", 1, 1 },
51715		{ "CCLD", 0, 1 },
51716	{ "MAC_PORT_PLLA_CHARGE_PUMP_CONTROL", 0x3bb28, 0 },
51717	{ "MAC_PORT_PLLA_PCLK_CONTROL", 0x3bb3c, 0 },
51718		{ "SPEDIV", 3, 5 },
51719		{ "PCKSEL", 0, 3 },
51720	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_CONTROL", 0x3bb40, 0 },
51721		{ "EMIL", 2, 1 },
51722		{ "EMID", 1, 1 },
51723		{ "EMIS", 0, 1 },
51724	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_1", 0x3bb44, 0 },
51725	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_2", 0x3bb48, 0 },
51726	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_3", 0x3bb4c, 0 },
51727	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_4", 0x3bb50, 0 },
51728	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_4", 0x3bbf0, 0 },
51729		{ "VBST", 1, 3 },
51730	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_3", 0x3bbf4, 0 },
51731		{ "RESYNC", 6, 1 },
51732		{ "RXCLKSEL", 5, 1 },
51733		{ "FRCBAND", 4, 1 },
51734		{ "PLLBYP", 3, 1 },
51735		{ "PDWNP", 2, 1 },
51736		{ "VCOSEL", 1, 1 },
51737		{ "DIVSEL8", 0, 1 },
51738	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_2", 0x3bbf8, 0 },
51739	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_1", 0x3bbfc, 0 },
51740	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_0", 0x3bc00, 0 },
51741	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_1", 0x3bc04, 0 },
51742		{ "LDET", 4, 1 },
51743		{ "CCERR", 3, 1 },
51744		{ "CCCMP", 2, 1 },
51745	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_2", 0x3bc08, 0 },
51746	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_3", 0x3bc0c, 0 },
51747		{ "FMIN", 3, 1 },
51748		{ "FMAX", 2, 1 },
51749		{ "CVHOLD", 1, 1 },
51750	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_4", 0x3bc10, 0 },
51751		{ "CMETH", 2, 1 },
51752		{ "RECAL", 1, 1 },
51753		{ "CCLD", 0, 1 },
51754	{ "MAC_PORT_PLLB_CHARGE_PUMP_CONTROL", 0x3bc28, 0 },
51755	{ "MAC_PORT_PLLB_PCLK_CONTROL", 0x3bc3c, 0 },
51756		{ "SPEDIV", 3, 5 },
51757		{ "PCKSEL", 0, 3 },
51758	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_CONTROL", 0x3bc40, 0 },
51759		{ "EMIL", 2, 1 },
51760		{ "EMID", 1, 1 },
51761		{ "EMIS", 0, 1 },
51762	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_1", 0x3bc44, 0 },
51763	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_2", 0x3bc48, 0 },
51764	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_3", 0x3bc4c, 0 },
51765	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_4", 0x3bc50, 0 },
51766	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_4", 0x3bcf0, 0 },
51767		{ "VBST", 1, 3 },
51768	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_3", 0x3bcf4, 0 },
51769		{ "RESYNC", 6, 1 },
51770		{ "RXCLKSEL", 5, 1 },
51771		{ "FRCBAND", 4, 1 },
51772		{ "PLLBYP", 3, 1 },
51773		{ "PDWNP", 2, 1 },
51774		{ "VCOSEL", 1, 1 },
51775		{ "DIVSEL8", 0, 1 },
51776	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_2", 0x3bcf8, 0 },
51777	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_1", 0x3bcfc, 0 },
51778	{ "MAC_PORT_TX_LINKA_TRANSMIT_CONFIGURATION_MODE", 0x3b000, 0 },
51779		{ "T5_TX_LINKEN", 15, 1 },
51780		{ "T5_TX_LINKRST", 14, 1 },
51781		{ "T5_TX_CFGWRT", 13, 1 },
51782		{ "T5_TX_CFGPTR", 11, 2 },
51783		{ "T5_TX_CFGEXT", 10, 1 },
51784		{ "T5_TX_CFGACT", 9, 1 },
51785		{ "T5_TX_RSYNCC", 8, 1 },
51786		{ "T5_TX_PLLSEL", 6, 2 },
51787		{ "T5_TX_EXTC16", 5, 1 },
51788		{ "T5_TX_DCKSEL", 4, 1 },
51789		{ "T5_TX_RXLOOP", 3, 1 },
51790		{ "T5_TX_BWSEL", 2, 1 },
51791		{ "T5_TX_RTSEL", 0, 2 },
51792	{ "MAC_PORT_TX_LINKA_TRANSMIT_TEST_CONTROL", 0x3b004, 0 },
51793		{ "SPSEL", 11, 3 },
51794		{ "AFDWEN", 7, 1 },
51795		{ "PRST", 4, 1 },
51796		{ "TPGMD", 3, 1 },
51797		{ "TPSEL", 0, 3 },
51798	{ "MAC_PORT_TX_LINKA_TRANSMIT_COEFFICIENT_CONTROL", 0x3b008, 0 },
51799		{ "ZCALOVRD", 8, 1 },
51800		{ "AMMODE", 7, 1 },
51801		{ "AEPOL", 6, 1 },
51802		{ "AESRC", 5, 1 },
51803		{ "EQMODE", 4, 1 },
51804		{ "OCOEF", 3, 1 },
51805		{ "COEFRST", 2, 1 },
51806		{ "ALOAD", 0, 1 },
51807	{ "MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_MODE_CONTROL", 0x3b00c, 0 },
51808		{ "T5DRVHIZ", 5, 1 },
51809		{ "T5SASIMP", 4, 1 },
51810		{ "T5SLEW", 2, 2 },
51811	{ "MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x3b010, 0 },
51812		{ "T5C2BUFDCEN", 5, 1 },
51813		{ "T5DCCEN", 4, 1 },
51814		{ "T5REGBYP", 3, 1 },
51815		{ "T5REGAEN", 2, 1 },
51816		{ "T5REGAMP", 0, 2 },
51817	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x3b014, 0 },
51818		{ "RSTEP", 15, 1 },
51819		{ "RLOCK", 14, 1 },
51820		{ "RPOS", 8, 6 },
51821		{ "DCLKSAM", 7, 1 },
51822	{ "MAC_PORT_TX_LINKA_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x3b018, 0 },
51823		{ "CALSSTN", 3, 3 },
51824		{ "CALSSTP", 0, 3 },
51825	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3b01c, 0 },
51826	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT", 0x3b020, 0 },
51827	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT", 0x3b024, 0 },
51828	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT", 0x3b028, 0 },
51829	{ "MAC_PORT_TX_LINKA_TRANSMIT_AMPLITUDE", 0x3b030, 0 },
51830	{ "MAC_PORT_TX_LINKA_TRANSMIT_POLARITY", 0x3b034, 0 },
51831		{ "TXPOL", 4, 3 },
51832		{ "NXTPOL", 0, 3 },
51833	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x3b038, 0 },
51834		{ "CPREST", 13, 1 },
51835		{ "CINIT", 12, 1 },
51836		{ "C2UPDT", 4, 2 },
51837		{ "C1UPDT", 2, 2 },
51838		{ "C0UPDT", 0, 2 },
51839	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3b03c, 0 },
51840		{ "C2STAT", 4, 2 },
51841		{ "C1STAT", 2, 2 },
51842		{ "C0STAT", 0, 2 },
51843	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x3b040, 0 },
51844	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x3b044, 0 },
51845	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x3b048, 0 },
51846	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x3b060, 0 },
51847	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x3b064, 0 },
51848	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x3b068, 0 },
51849	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x3b070, 0 },
51850		{ "MAINSC", 6, 6 },
51851		{ "POSTSC", 0, 6 },
51852	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x3b074, 0 },
51853	{ "MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x3b078, 0 },
51854	{ "MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3b07c, 0 },
51855		{ "T5XADDR", 1, 5 },
51856		{ "T5XWR", 0, 1 },
51857	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x3b080, 0 },
51858	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x3b084, 0 },
51859	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x3b088, 0 },
51860	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_CONTROL", 0x3b08c, 0 },
51861		{ "DCCTIMEDOUT", 15, 1 },
51862		{ "DCCTIMEEN", 14, 1 },
51863		{ "DCCLOCK", 13, 1 },
51864		{ "DCCOFFSET", 8, 5 },
51865		{ "DCCSTEP", 6, 2 },
51866		{ "DCCASTEP", 1, 5 },
51867		{ "DCCAEN", 0, 1 },
51868	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_OVERRIDE", 0x3b090, 0 },
51869		{ "DCCOUT", 12, 1 },
51870		{ "DCCCLK", 11, 1 },
51871		{ "DCCHOLD", 10, 1 },
51872		{ "DCCSIGN", 8, 2 },
51873		{ "DCCAMP", 1, 7 },
51874		{ "DCCOEN", 0, 1 },
51875	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_APPLIED", 0x3b094, 0 },
51876		{ "DCCASIGN", 7, 2 },
51877		{ "DCCAAMP", 0, 7 },
51878	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_TIME_OUT", 0x3b098, 0 },
51879	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AZ_CONTROL", 0x3b09c, 0 },
51880		{ "LPIDCLK", 4, 1 },
51881		{ "LPITERM", 2, 2 },
51882		{ "LPIPRCD", 0, 2 },
51883	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_4", 0x3b0f0, 0 },
51884		{ "SDOVRDEN", 8, 1 },
51885		{ "SDOVRD", 0, 8 },
51886	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_3", 0x3b0f4, 0 },
51887		{ "SLEWCODE", 1, 2 },
51888		{ "ASEGEN", 0, 1 },
51889	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_2", 0x3b0f8, 0 },
51890		{ "AECMDVAL", 14, 1 },
51891		{ "AECMD1312", 12, 2 },
51892		{ "AECMD70", 0, 8 },
51893	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_1", 0x3b0fc, 0 },
51894		{ "C48DIVCTL", 12, 3 },
51895		{ "RATEDIVCTL", 9, 3 },
51896		{ "ANLGFLSH", 8, 1 },
51897		{ "DCCTSTOUT", 7, 1 },
51898		{ "BSOUT", 6, 1 },
51899		{ "BSIN", 5, 1 },
51900		{ "JTAGAMPL", 3, 2 },
51901		{ "JTAGTS", 2, 1 },
51902		{ "TS", 1, 1 },
51903		{ "OBS", 0, 1 },
51904	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x38000, 0 },
51905	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x38008, 0 },
51906	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x38010, 0 },
51907		{ "C0MAX", 8, 5 },
51908		{ "C0MIN", 0, 5 },
51909	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x38018, 0 },
51910	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x38020, 0 },
51911		{ "C1MAX", 8, 7 },
51912		{ "C1MIN", 0, 7 },
51913	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x38028, 0 },
51914	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x38030, 0 },
51915		{ "C2MAX", 8, 6 },
51916		{ "C2MIN", 0, 6 },
51917	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x38038, 0 },
51918	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x38040, 0 },
51919	{ "MAC_PORT_TX_LINKB_TRANSMIT_CONFIGURATION_MODE", 0x3b100, 0 },
51920		{ "T5_TX_LINKEN", 15, 1 },
51921		{ "T5_TX_LINKRST", 14, 1 },
51922		{ "T5_TX_CFGWRT", 13, 1 },
51923		{ "T5_TX_CFGPTR", 11, 2 },
51924		{ "T5_TX_CFGEXT", 10, 1 },
51925		{ "T5_TX_CFGACT", 9, 1 },
51926		{ "T5_TX_RSYNCC", 8, 1 },
51927		{ "T5_TX_PLLSEL", 6, 2 },
51928		{ "T5_TX_EXTC16", 5, 1 },
51929		{ "T5_TX_DCKSEL", 4, 1 },
51930		{ "T5_TX_RXLOOP", 3, 1 },
51931		{ "T5_TX_BWSEL", 2, 1 },
51932		{ "T5_TX_RTSEL", 0, 2 },
51933	{ "MAC_PORT_TX_LINKB_TRANSMIT_TEST_CONTROL", 0x3b104, 0 },
51934		{ "SPSEL", 11, 3 },
51935		{ "AFDWEN", 7, 1 },
51936		{ "PRST", 4, 1 },
51937		{ "TPGMD", 3, 1 },
51938		{ "TPSEL", 0, 3 },
51939	{ "MAC_PORT_TX_LINKB_TRANSMIT_COEFFICIENT_CONTROL", 0x3b108, 0 },
51940		{ "ZCALOVRD", 8, 1 },
51941		{ "AMMODE", 7, 1 },
51942		{ "AEPOL", 6, 1 },
51943		{ "AESRC", 5, 1 },
51944		{ "EQMODE", 4, 1 },
51945		{ "OCOEF", 3, 1 },
51946		{ "COEFRST", 2, 1 },
51947		{ "ALOAD", 0, 1 },
51948	{ "MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_MODE_CONTROL", 0x3b10c, 0 },
51949		{ "T5DRVHIZ", 5, 1 },
51950		{ "T5SASIMP", 4, 1 },
51951		{ "T5SLEW", 2, 2 },
51952	{ "MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x3b110, 0 },
51953		{ "T5C2BUFDCEN", 5, 1 },
51954		{ "T5DCCEN", 4, 1 },
51955		{ "T5REGBYP", 3, 1 },
51956		{ "T5REGAEN", 2, 1 },
51957		{ "T5REGAMP", 0, 2 },
51958	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x3b114, 0 },
51959		{ "RSTEP", 15, 1 },
51960		{ "RLOCK", 14, 1 },
51961		{ "RPOS", 8, 6 },
51962		{ "DCLKSAM", 7, 1 },
51963	{ "MAC_PORT_TX_LINKB_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x3b118, 0 },
51964		{ "CALSSTN", 3, 3 },
51965		{ "CALSSTP", 0, 3 },
51966	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3b11c, 0 },
51967	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT", 0x3b120, 0 },
51968	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT", 0x3b124, 0 },
51969	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT", 0x3b128, 0 },
51970	{ "MAC_PORT_TX_LINKB_TRANSMIT_AMPLITUDE", 0x3b130, 0 },
51971	{ "MAC_PORT_TX_LINKB_TRANSMIT_POLARITY", 0x3b134, 0 },
51972		{ "TXPOL", 4, 3 },
51973		{ "NXTPOL", 0, 3 },
51974	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x3b138, 0 },
51975		{ "CPREST", 13, 1 },
51976		{ "CINIT", 12, 1 },
51977		{ "C2UPDT", 4, 2 },
51978		{ "C1UPDT", 2, 2 },
51979		{ "C0UPDT", 0, 2 },
51980	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3b13c, 0 },
51981		{ "C2STAT", 4, 2 },
51982		{ "C1STAT", 2, 2 },
51983		{ "C0STAT", 0, 2 },
51984	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x3b140, 0 },
51985	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x3b144, 0 },
51986	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x3b148, 0 },
51987	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x3b160, 0 },
51988	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x3b164, 0 },
51989	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x3b168, 0 },
51990	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x3b170, 0 },
51991		{ "MAINSC", 6, 6 },
51992		{ "POSTSC", 0, 6 },
51993	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x3b174, 0 },
51994	{ "MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x3b178, 0 },
51995	{ "MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3b17c, 0 },
51996		{ "T5XADDR", 1, 5 },
51997		{ "T5XWR", 0, 1 },
51998	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x3b180, 0 },
51999	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x3b184, 0 },
52000	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x3b188, 0 },
52001	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_CONTROL", 0x3b18c, 0 },
52002		{ "DCCTIMEDOUT", 15, 1 },
52003		{ "DCCTIMEEN", 14, 1 },
52004		{ "DCCLOCK", 13, 1 },
52005		{ "DCCOFFSET", 8, 5 },
52006		{ "DCCSTEP", 6, 2 },
52007		{ "DCCASTEP", 1, 5 },
52008		{ "DCCAEN", 0, 1 },
52009	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_OVERRIDE", 0x3b190, 0 },
52010		{ "DCCOUT", 12, 1 },
52011		{ "DCCCLK", 11, 1 },
52012		{ "DCCHOLD", 10, 1 },
52013		{ "DCCSIGN", 8, 2 },
52014		{ "DCCAMP", 1, 7 },
52015		{ "DCCOEN", 0, 1 },
52016	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_APPLIED", 0x3b194, 0 },
52017		{ "DCCASIGN", 7, 2 },
52018		{ "DCCAAMP", 0, 7 },
52019	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_TIME_OUT", 0x3b198, 0 },
52020	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AZ_CONTROL", 0x3b19c, 0 },
52021		{ "LPIDCLK", 4, 1 },
52022		{ "LPITERM", 2, 2 },
52023		{ "LPIPRCD", 0, 2 },
52024	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_4", 0x3b1f0, 0 },
52025		{ "SDOVRDEN", 8, 1 },
52026		{ "SDOVRD", 0, 8 },
52027	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_3", 0x3b1f4, 0 },
52028		{ "SLEWCODE", 1, 2 },
52029		{ "ASEGEN", 0, 1 },
52030	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_2", 0x3b1f8, 0 },
52031		{ "AECMDVAL", 14, 1 },
52032		{ "AECMD1312", 12, 2 },
52033		{ "AECMD70", 0, 8 },
52034	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_1", 0x3b1fc, 0 },
52035		{ "C48DIVCTL", 12, 3 },
52036		{ "RATEDIVCTL", 9, 3 },
52037		{ "ANLGFLSH", 8, 1 },
52038		{ "DCCTSTOUT", 7, 1 },
52039		{ "BSOUT", 6, 1 },
52040		{ "BSIN", 5, 1 },
52041		{ "JTAGAMPL", 3, 2 },
52042		{ "JTAGTS", 2, 1 },
52043		{ "TS", 1, 1 },
52044		{ "OBS", 0, 1 },
52045	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x38000, 0 },
52046	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x38008, 0 },
52047	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x38010, 0 },
52048		{ "C0MAX", 8, 5 },
52049		{ "C0MIN", 0, 5 },
52050	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x38018, 0 },
52051	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x38020, 0 },
52052		{ "C1MAX", 8, 7 },
52053		{ "C1MIN", 0, 7 },
52054	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x38028, 0 },
52055	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x38030, 0 },
52056		{ "C2MAX", 8, 6 },
52057		{ "C2MIN", 0, 6 },
52058	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x38038, 0 },
52059	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x38040, 0 },
52060	{ "MAC_PORT_TX_LINKC_TRANSMIT_CONFIGURATION_MODE", 0x3b400, 0 },
52061		{ "T5_TX_LINKEN", 15, 1 },
52062		{ "T5_TX_LINKRST", 14, 1 },
52063		{ "T5_TX_CFGWRT", 13, 1 },
52064		{ "T5_TX_CFGPTR", 11, 2 },
52065		{ "T5_TX_CFGEXT", 10, 1 },
52066		{ "T5_TX_CFGACT", 9, 1 },
52067		{ "T5_TX_RSYNCC", 8, 1 },
52068		{ "T5_TX_PLLSEL", 6, 2 },
52069		{ "T5_TX_EXTC16", 5, 1 },
52070		{ "T5_TX_DCKSEL", 4, 1 },
52071		{ "T5_TX_RXLOOP", 3, 1 },
52072		{ "T5_TX_BWSEL", 2, 1 },
52073		{ "T5_TX_RTSEL", 0, 2 },
52074	{ "MAC_PORT_TX_LINKC_TRANSMIT_TEST_CONTROL", 0x3b404, 0 },
52075		{ "SPSEL", 11, 3 },
52076		{ "AFDWEN", 7, 1 },
52077		{ "PRST", 4, 1 },
52078		{ "TPGMD", 3, 1 },
52079		{ "TPSEL", 0, 3 },
52080	{ "MAC_PORT_TX_LINKC_TRANSMIT_COEFFICIENT_CONTROL", 0x3b408, 0 },
52081		{ "ZCALOVRD", 8, 1 },
52082		{ "AMMODE", 7, 1 },
52083		{ "AEPOL", 6, 1 },
52084		{ "AESRC", 5, 1 },
52085		{ "EQMODE", 4, 1 },
52086		{ "OCOEF", 3, 1 },
52087		{ "COEFRST", 2, 1 },
52088		{ "ALOAD", 0, 1 },
52089	{ "MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_MODE_CONTROL", 0x3b40c, 0 },
52090		{ "T5DRVHIZ", 5, 1 },
52091		{ "T5SASIMP", 4, 1 },
52092		{ "T5SLEW", 2, 2 },
52093	{ "MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x3b410, 0 },
52094		{ "T5C2BUFDCEN", 5, 1 },
52095		{ "T5DCCEN", 4, 1 },
52096		{ "T5REGBYP", 3, 1 },
52097		{ "T5REGAEN", 2, 1 },
52098		{ "T5REGAMP", 0, 2 },
52099	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x3b414, 0 },
52100		{ "RSTEP", 15, 1 },
52101		{ "RLOCK", 14, 1 },
52102		{ "RPOS", 8, 6 },
52103		{ "DCLKSAM", 7, 1 },
52104	{ "MAC_PORT_TX_LINKC_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x3b418, 0 },
52105		{ "CALSSTN", 3, 3 },
52106		{ "CALSSTP", 0, 3 },
52107	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3b41c, 0 },
52108	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT", 0x3b420, 0 },
52109	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT", 0x3b424, 0 },
52110	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT", 0x3b428, 0 },
52111	{ "MAC_PORT_TX_LINKC_TRANSMIT_AMPLITUDE", 0x3b430, 0 },
52112	{ "MAC_PORT_TX_LINKC_TRANSMIT_POLARITY", 0x3b434, 0 },
52113		{ "TXPOL", 4, 3 },
52114		{ "NXTPOL", 0, 3 },
52115	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x3b438, 0 },
52116		{ "CPREST", 13, 1 },
52117		{ "CINIT", 12, 1 },
52118		{ "C2UPDT", 4, 2 },
52119		{ "C1UPDT", 2, 2 },
52120		{ "C0UPDT", 0, 2 },
52121	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3b43c, 0 },
52122		{ "C2STAT", 4, 2 },
52123		{ "C1STAT", 2, 2 },
52124		{ "C0STAT", 0, 2 },
52125	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x3b440, 0 },
52126	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x3b444, 0 },
52127	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x3b448, 0 },
52128	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x3b460, 0 },
52129	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x3b464, 0 },
52130	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x3b468, 0 },
52131	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x3b470, 0 },
52132		{ "MAINSC", 6, 6 },
52133		{ "POSTSC", 0, 6 },
52134	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x3b474, 0 },
52135	{ "MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x3b478, 0 },
52136	{ "MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3b47c, 0 },
52137		{ "T5XADDR", 1, 5 },
52138		{ "T5XWR", 0, 1 },
52139	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x3b480, 0 },
52140	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x3b484, 0 },
52141	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x3b488, 0 },
52142	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_CONTROL", 0x3b48c, 0 },
52143		{ "DCCTIMEDOUT", 15, 1 },
52144		{ "DCCTIMEEN", 14, 1 },
52145		{ "DCCLOCK", 13, 1 },
52146		{ "DCCOFFSET", 8, 5 },
52147		{ "DCCSTEP", 6, 2 },
52148		{ "DCCASTEP", 1, 5 },
52149		{ "DCCAEN", 0, 1 },
52150	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_OVERRIDE", 0x3b490, 0 },
52151		{ "DCCOUT", 12, 1 },
52152		{ "DCCCLK", 11, 1 },
52153		{ "DCCHOLD", 10, 1 },
52154		{ "DCCSIGN", 8, 2 },
52155		{ "DCCAMP", 1, 7 },
52156		{ "DCCOEN", 0, 1 },
52157	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_APPLIED", 0x3b494, 0 },
52158		{ "DCCASIGN", 7, 2 },
52159		{ "DCCAAMP", 0, 7 },
52160	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_TIME_OUT", 0x3b498, 0 },
52161	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AZ_CONTROL", 0x3b49c, 0 },
52162		{ "LPIDCLK", 4, 1 },
52163		{ "LPITERM", 2, 2 },
52164		{ "LPIPRCD", 0, 2 },
52165	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_4", 0x3b4f0, 0 },
52166		{ "SDOVRDEN", 8, 1 },
52167		{ "SDOVRD", 0, 8 },
52168	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_3", 0x3b4f4, 0 },
52169		{ "SLEWCODE", 1, 2 },
52170		{ "ASEGEN", 0, 1 },
52171	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_2", 0x3b4f8, 0 },
52172		{ "AECMDVAL", 14, 1 },
52173		{ "AECMD1312", 12, 2 },
52174		{ "AECMD70", 0, 8 },
52175	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_1", 0x3b4fc, 0 },
52176		{ "C48DIVCTL", 12, 3 },
52177		{ "RATEDIVCTL", 9, 3 },
52178		{ "ANLGFLSH", 8, 1 },
52179		{ "DCCTSTOUT", 7, 1 },
52180		{ "BSOUT", 6, 1 },
52181		{ "BSIN", 5, 1 },
52182		{ "JTAGAMPL", 3, 2 },
52183		{ "JTAGTS", 2, 1 },
52184		{ "TS", 1, 1 },
52185		{ "OBS", 0, 1 },
52186	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x38000, 0 },
52187	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x38008, 0 },
52188	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x38010, 0 },
52189		{ "C0MAX", 8, 5 },
52190		{ "C0MIN", 0, 5 },
52191	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x38018, 0 },
52192	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x38020, 0 },
52193		{ "C1MAX", 8, 7 },
52194		{ "C1MIN", 0, 7 },
52195	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x38028, 0 },
52196	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x38030, 0 },
52197		{ "C2MAX", 8, 6 },
52198		{ "C2MIN", 0, 6 },
52199	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x38038, 0 },
52200	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x38040, 0 },
52201	{ "MAC_PORT_TX_LINKD_TRANSMIT_CONFIGURATION_MODE", 0x3b500, 0 },
52202		{ "T5_TX_LINKEN", 15, 1 },
52203		{ "T5_TX_LINKRST", 14, 1 },
52204		{ "T5_TX_CFGWRT", 13, 1 },
52205		{ "T5_TX_CFGPTR", 11, 2 },
52206		{ "T5_TX_CFGEXT", 10, 1 },
52207		{ "T5_TX_CFGACT", 9, 1 },
52208		{ "T5_TX_RSYNCC", 8, 1 },
52209		{ "T5_TX_PLLSEL", 6, 2 },
52210		{ "T5_TX_EXTC16", 5, 1 },
52211		{ "T5_TX_DCKSEL", 4, 1 },
52212		{ "T5_TX_RXLOOP", 3, 1 },
52213		{ "T5_TX_BWSEL", 2, 1 },
52214		{ "T5_TX_RTSEL", 0, 2 },
52215	{ "MAC_PORT_TX_LINKD_TRANSMIT_TEST_CONTROL", 0x3b504, 0 },
52216		{ "SPSEL", 11, 3 },
52217		{ "AFDWEN", 7, 1 },
52218		{ "PRST", 4, 1 },
52219		{ "TPGMD", 3, 1 },
52220		{ "TPSEL", 0, 3 },
52221	{ "MAC_PORT_TX_LINKD_TRANSMIT_COEFFICIENT_CONTROL", 0x3b508, 0 },
52222		{ "ZCALOVRD", 8, 1 },
52223		{ "AMMODE", 7, 1 },
52224		{ "AEPOL", 6, 1 },
52225		{ "AESRC", 5, 1 },
52226		{ "EQMODE", 4, 1 },
52227		{ "OCOEF", 3, 1 },
52228		{ "COEFRST", 2, 1 },
52229		{ "ALOAD", 0, 1 },
52230	{ "MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_MODE_CONTROL", 0x3b50c, 0 },
52231		{ "T5DRVHIZ", 5, 1 },
52232		{ "T5SASIMP", 4, 1 },
52233		{ "T5SLEW", 2, 2 },
52234	{ "MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x3b510, 0 },
52235		{ "T5C2BUFDCEN", 5, 1 },
52236		{ "T5DCCEN", 4, 1 },
52237		{ "T5REGBYP", 3, 1 },
52238		{ "T5REGAEN", 2, 1 },
52239		{ "T5REGAMP", 0, 2 },
52240	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x3b514, 0 },
52241		{ "RSTEP", 15, 1 },
52242		{ "RLOCK", 14, 1 },
52243		{ "RPOS", 8, 6 },
52244		{ "DCLKSAM", 7, 1 },
52245	{ "MAC_PORT_TX_LINKD_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x3b518, 0 },
52246		{ "CALSSTN", 3, 3 },
52247		{ "CALSSTP", 0, 3 },
52248	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3b51c, 0 },
52249	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT", 0x3b520, 0 },
52250	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT", 0x3b524, 0 },
52251	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT", 0x3b528, 0 },
52252	{ "MAC_PORT_TX_LINKD_TRANSMIT_AMPLITUDE", 0x3b530, 0 },
52253	{ "MAC_PORT_TX_LINKD_TRANSMIT_POLARITY", 0x3b534, 0 },
52254		{ "TXPOL", 4, 3 },
52255		{ "NXTPOL", 0, 3 },
52256	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x3b538, 0 },
52257		{ "CPREST", 13, 1 },
52258		{ "CINIT", 12, 1 },
52259		{ "C2UPDT", 4, 2 },
52260		{ "C1UPDT", 2, 2 },
52261		{ "C0UPDT", 0, 2 },
52262	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3b53c, 0 },
52263		{ "C2STAT", 4, 2 },
52264		{ "C1STAT", 2, 2 },
52265		{ "C0STAT", 0, 2 },
52266	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x3b540, 0 },
52267	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x3b544, 0 },
52268	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x3b548, 0 },
52269	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x3b560, 0 },
52270	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x3b564, 0 },
52271	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x3b568, 0 },
52272	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x3b570, 0 },
52273		{ "MAINSC", 6, 6 },
52274		{ "POSTSC", 0, 6 },
52275	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x3b574, 0 },
52276	{ "MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x3b578, 0 },
52277	{ "MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3b57c, 0 },
52278		{ "T5XADDR", 1, 5 },
52279		{ "T5XWR", 0, 1 },
52280	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x3b580, 0 },
52281	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x3b584, 0 },
52282	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x3b588, 0 },
52283	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_CONTROL", 0x3b58c, 0 },
52284		{ "DCCTIMEDOUT", 15, 1 },
52285		{ "DCCTIMEEN", 14, 1 },
52286		{ "DCCLOCK", 13, 1 },
52287		{ "DCCOFFSET", 8, 5 },
52288		{ "DCCSTEP", 6, 2 },
52289		{ "DCCASTEP", 1, 5 },
52290		{ "DCCAEN", 0, 1 },
52291	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_OVERRIDE", 0x3b590, 0 },
52292		{ "DCCOUT", 12, 1 },
52293		{ "DCCCLK", 11, 1 },
52294		{ "DCCHOLD", 10, 1 },
52295		{ "DCCSIGN", 8, 2 },
52296		{ "DCCAMP", 1, 7 },
52297		{ "DCCOEN", 0, 1 },
52298	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_APPLIED", 0x3b594, 0 },
52299		{ "DCCASIGN", 7, 2 },
52300		{ "DCCAAMP", 0, 7 },
52301	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_TIME_OUT", 0x3b598, 0 },
52302	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AZ_CONTROL", 0x3b59c, 0 },
52303		{ "LPIDCLK", 4, 1 },
52304		{ "LPITERM", 2, 2 },
52305		{ "LPIPRCD", 0, 2 },
52306	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_4", 0x3b5f0, 0 },
52307		{ "SDOVRDEN", 8, 1 },
52308		{ "SDOVRD", 0, 8 },
52309	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_3", 0x3b5f4, 0 },
52310		{ "SLEWCODE", 1, 2 },
52311		{ "ASEGEN", 0, 1 },
52312	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_2", 0x3b5f8, 0 },
52313		{ "AECMDVAL", 14, 1 },
52314		{ "AECMD1312", 12, 2 },
52315		{ "AECMD70", 0, 8 },
52316	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_1", 0x3b5fc, 0 },
52317		{ "C48DIVCTL", 12, 3 },
52318		{ "RATEDIVCTL", 9, 3 },
52319		{ "ANLGFLSH", 8, 1 },
52320		{ "DCCTSTOUT", 7, 1 },
52321		{ "BSOUT", 6, 1 },
52322		{ "BSIN", 5, 1 },
52323		{ "JTAGAMPL", 3, 2 },
52324		{ "JTAGTS", 2, 1 },
52325		{ "TS", 1, 1 },
52326		{ "OBS", 0, 1 },
52327	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x38000, 0 },
52328	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x38008, 0 },
52329	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x38010, 0 },
52330		{ "C0MAX", 8, 5 },
52331		{ "C0MIN", 0, 5 },
52332	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x38018, 0 },
52333	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x38020, 0 },
52334		{ "C1MAX", 8, 7 },
52335		{ "C1MIN", 0, 7 },
52336	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x38028, 0 },
52337	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x38030, 0 },
52338		{ "C2MAX", 8, 6 },
52339		{ "C2MIN", 0, 6 },
52340	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x38038, 0 },
52341	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x38040, 0 },
52342	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_CONFIGURATION_MODE", 0x3b900, 0 },
52343		{ "T5_TX_LINKEN", 15, 1 },
52344		{ "T5_TX_LINKRST", 14, 1 },
52345		{ "T5_TX_CFGWRT", 13, 1 },
52346		{ "T5_TX_CFGPTR", 11, 2 },
52347		{ "T5_TX_CFGEXT", 10, 1 },
52348		{ "T5_TX_CFGACT", 9, 1 },
52349		{ "T5_TX_RSYNCC", 8, 1 },
52350		{ "T5_TX_PLLSEL", 6, 2 },
52351		{ "T5_TX_EXTC16", 5, 1 },
52352		{ "T5_TX_DCKSEL", 4, 1 },
52353		{ "T5_TX_RXLOOP", 3, 1 },
52354		{ "T5_TX_BWSEL", 2, 1 },
52355		{ "T5_TX_RTSEL", 0, 2 },
52356	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TEST_CONTROL", 0x3b904, 0 },
52357		{ "SPSEL", 11, 3 },
52358		{ "AFDWEN", 7, 1 },
52359		{ "PRST", 4, 1 },
52360		{ "TPGMD", 3, 1 },
52361		{ "TPSEL", 0, 3 },
52362	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_COEFFICIENT_CONTROL", 0x3b908, 0 },
52363		{ "ZCALOVRD", 8, 1 },
52364		{ "AMMODE", 7, 1 },
52365		{ "AEPOL", 6, 1 },
52366		{ "AESRC", 5, 1 },
52367		{ "EQMODE", 4, 1 },
52368		{ "OCOEF", 3, 1 },
52369		{ "COEFRST", 2, 1 },
52370		{ "ALOAD", 0, 1 },
52371	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_MODE_CONTROL", 0x3b90c, 0 },
52372		{ "T5DRVHIZ", 5, 1 },
52373		{ "T5SASIMP", 4, 1 },
52374		{ "T5SLEW", 2, 2 },
52375	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x3b910, 0 },
52376		{ "T5C2BUFDCEN", 5, 1 },
52377		{ "T5DCCEN", 4, 1 },
52378		{ "T5REGBYP", 3, 1 },
52379		{ "T5REGAEN", 2, 1 },
52380		{ "T5REGAMP", 0, 2 },
52381	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x3b914, 0 },
52382		{ "RSTEP", 15, 1 },
52383		{ "RLOCK", 14, 1 },
52384		{ "RPOS", 8, 6 },
52385		{ "DCLKSAM", 7, 1 },
52386	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x3b918, 0 },
52387		{ "CALSSTN", 3, 3 },
52388		{ "CALSSTP", 0, 3 },
52389	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3b91c, 0 },
52390	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT", 0x3b920, 0 },
52391	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT", 0x3b924, 0 },
52392	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT", 0x3b928, 0 },
52393	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AMPLITUDE", 0x3b930, 0 },
52394	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_POLARITY", 0x3b934, 0 },
52395		{ "TXPOL", 4, 3 },
52396		{ "NXTPOL", 0, 3 },
52397	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x3b938, 0 },
52398		{ "CPREST", 13, 1 },
52399		{ "CINIT", 12, 1 },
52400		{ "C2UPDT", 4, 2 },
52401		{ "C1UPDT", 2, 2 },
52402		{ "C0UPDT", 0, 2 },
52403	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3b93c, 0 },
52404		{ "C2STAT", 4, 2 },
52405		{ "C1STAT", 2, 2 },
52406		{ "C0STAT", 0, 2 },
52407	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x3b940, 0 },
52408	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x3b944, 0 },
52409	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x3b948, 0 },
52410	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x3b960, 0 },
52411	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x3b964, 0 },
52412	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x3b968, 0 },
52413	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x3b970, 0 },
52414		{ "MAINSC", 6, 6 },
52415		{ "POSTSC", 0, 6 },
52416	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x3b974, 0 },
52417	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x3b978, 0 },
52418	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3b97c, 0 },
52419		{ "T5XADDR", 1, 5 },
52420		{ "T5XWR", 0, 1 },
52421	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x3b980, 0 },
52422	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x3b984, 0 },
52423	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x3b988, 0 },
52424	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_CONTROL", 0x3b98c, 0 },
52425		{ "DCCTIMEDOUT", 15, 1 },
52426		{ "DCCTIMEEN", 14, 1 },
52427		{ "DCCLOCK", 13, 1 },
52428		{ "DCCOFFSET", 8, 5 },
52429		{ "DCCSTEP", 6, 2 },
52430		{ "DCCASTEP", 1, 5 },
52431		{ "DCCAEN", 0, 1 },
52432	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_OVERRIDE", 0x3b990, 0 },
52433		{ "DCCOUT", 12, 1 },
52434		{ "DCCCLK", 11, 1 },
52435		{ "DCCHOLD", 10, 1 },
52436		{ "DCCSIGN", 8, 2 },
52437		{ "DCCAMP", 1, 7 },
52438		{ "DCCOEN", 0, 1 },
52439	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_APPLIED", 0x3b994, 0 },
52440		{ "DCCASIGN", 7, 2 },
52441		{ "DCCAAMP", 0, 7 },
52442	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_TIME_OUT", 0x3b998, 0 },
52443	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AZ_CONTROL", 0x3b99c, 0 },
52444		{ "LPIDCLK", 4, 1 },
52445		{ "LPITERM", 2, 2 },
52446		{ "LPIPRCD", 0, 2 },
52447	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_4", 0x3b9f0, 0 },
52448		{ "SDOVRDEN", 8, 1 },
52449		{ "SDOVRD", 0, 8 },
52450	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_3", 0x3b9f4, 0 },
52451		{ "SLEWCODE", 1, 2 },
52452		{ "ASEGEN", 0, 1 },
52453	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_2", 0x3b9f8, 0 },
52454		{ "AECMDVAL", 14, 1 },
52455		{ "AECMD1312", 12, 2 },
52456		{ "AECMD70", 0, 8 },
52457	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_1", 0x3b9fc, 0 },
52458		{ "C48DIVCTL", 12, 3 },
52459		{ "RATEDIVCTL", 9, 3 },
52460		{ "ANLGFLSH", 8, 1 },
52461		{ "DCCTSTOUT", 7, 1 },
52462		{ "BSOUT", 6, 1 },
52463		{ "BSIN", 5, 1 },
52464		{ "JTAGAMPL", 3, 2 },
52465		{ "JTAGTS", 2, 1 },
52466		{ "TS", 1, 1 },
52467		{ "OBS", 0, 1 },
52468	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x38000, 0 },
52469	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x38008, 0 },
52470	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x38010, 0 },
52471		{ "C0MAX", 8, 5 },
52472		{ "C0MIN", 0, 5 },
52473	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x38018, 0 },
52474	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x38020, 0 },
52475		{ "C1MAX", 8, 7 },
52476		{ "C1MIN", 0, 7 },
52477	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x38028, 0 },
52478	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x38030, 0 },
52479		{ "C2MAX", 8, 6 },
52480		{ "C2MIN", 0, 6 },
52481	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x38038, 0 },
52482	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x38040, 0 },
52483	{ "MAC_PORT_RX_LINKA_RECEIVER_CONFIGURATION_MODE", 0x3b200, 0 },
52484		{ "T5_RX_LINKEN", 15, 1 },
52485		{ "T5_RX_LINKRST", 14, 1 },
52486		{ "T5_RX_CFGWRT", 13, 1 },
52487		{ "T5_RX_CFGPTR", 11, 2 },
52488		{ "T5_RX_CFGEXT", 10, 1 },
52489		{ "T5_RX_CFGACT", 9, 1 },
52490		{ "T5_RX_AUXCLK", 8, 1 },
52491		{ "T5_RX_PLLSEL", 6, 2 },
52492		{ "T5_RX_DMSEL", 4, 2 },
52493		{ "T5_RX_BWSEL", 2, 2 },
52494		{ "T5_RX_RTSEL", 0, 2 },
52495	{ "MAC_PORT_RX_LINKA_RECEIVER_TEST_CONTROL", 0x3b204, 0 },
52496		{ "RCLKEN", 15, 1 },
52497		{ "RRATE", 13, 2 },
52498		{ "FERRST", 10, 1 },
52499		{ "ERRST", 9, 1 },
52500		{ "SYNCST", 8, 1 },
52501		{ "WRPSM", 7, 1 },
52502		{ "WPLPEN", 6, 1 },
52503		{ "WRPMD", 5, 1 },
52504		{ "PRST", 4, 1 },
52505		{ "PCHKEN", 3, 1 },
52506		{ "PATSEL", 0, 3 },
52507	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_CONTROL", 0x3b208, 0 },
52508		{ "FTHROT", 12, 4 },
52509		{ "RTHROT", 11, 1 },
52510		{ "FILTCTL", 7, 4 },
52511		{ "RSRVO", 5, 2 },
52512		{ "EXTEL", 4, 1 },
52513		{ "RSTUCK", 3, 1 },
52514		{ "FRZFW", 2, 1 },
52515		{ "RSTFW", 1, 1 },
52516		{ "SSCEN", 0, 1 },
52517	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_OFFSET_CONTROL", 0x3b20c, 0 },
52518		{ "RSNP", 11, 1 },
52519		{ "TSOEN", 10, 1 },
52520		{ "OFFEN", 9, 1 },
52521		{ "TMSCAL", 7, 2 },
52522		{ "APADJ", 6, 1 },
52523		{ "RSEL", 5, 1 },
52524		{ "PHOFFS", 0, 5 },
52525	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_1", 0x3b210, 0 },
52526		{ "ROT0A", 8, 6 },
52527		{ "ROT00", 0, 6 },
52528	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_2", 0x3b214, 0 },
52529		{ "FREQFW", 8, 8 },
52530		{ "FWSNAP", 7, 1 },
52531		{ "ROT90", 0, 6 },
52532	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x3b218, 0 },
52533		{ "RCALER", 15, 1 },
52534		{ "RAOOFF", 10, 5 },
52535		{ "RAEOFF", 5, 5 },
52536		{ "RDOFF", 0, 5 },
52537	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3b21c, 0 },
52538		{ "RCALER", 15, 1 },
52539		{ "RBOOFF", 10, 5 },
52540		{ "RBEOFF", 5, 5 },
52541		{ "RDOFF", 0, 5 },
52542	{ "MAC_PORT_RX_LINKA_DFE_CONTROL", 0x3b220, 0 },
52543		{ "REQCMP", 15, 1 },
52544		{ "DFEREQ", 14, 1 },
52545		{ "SPCEN", 13, 1 },
52546		{ "GATEEN", 12, 1 },
52547		{ "SPIFMT", 9, 3 },
52548		{ "DFEPWR", 6, 3 },
52549		{ "STNDBY", 5, 1 },
52550		{ "FRCH", 4, 1 },
52551		{ "NONRND", 3, 1 },
52552		{ "NONRNF", 2, 1 },
52553		{ "FSTLCK", 1, 1 },
52554		{ "DFERST", 0, 1 },
52555	{ "MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_1", 0x3b224, 0 },
52556		{ "T5BYTE1", 8, 8 },
52557		{ "T5BYTE0", 0, 8 },
52558	{ "MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_2", 0x3b228, 0 },
52559		{ "T5_RX_SMODE", 8, 3 },
52560		{ "T5_RX_ADCORR", 7, 1 },
52561		{ "T5_RX_TRAINEN", 6, 1 },
52562		{ "T5_RX_ASAMPQ", 3, 3 },
52563		{ "T5_RX_ASAMP", 0, 3 },
52564	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_1", 0x3b22c, 0 },
52565		{ "POLE", 12, 2 },
52566		{ "PEAK", 8, 3 },
52567		{ "VOFFSN", 6, 2 },
52568		{ "VOFFA", 0, 6 },
52569	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_2", 0x3b230, 0 },
52570		{ "T5SHORTV", 10, 1 },
52571		{ "T5VGAIN", 0, 5 },
52572	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_3", 0x3b234, 0 },
52573		{ "HBND1", 10, 1 },
52574		{ "HBND0", 9, 1 },
52575		{ "VLCKD", 8, 1 },
52576		{ "VLCKDF", 7, 1 },
52577		{ "AMAXT", 0, 7 },
52578	{ "MAC_PORT_RX_LINKA_RECEIVER_DQCC_CONTROL_1", 0x3b238, 0 },
52579		{ "IQSEP", 10, 5 },
52580		{ "DUTYQ", 5, 5 },
52581		{ "DUTYI", 0, 5 },
52582	{ "MAC_PORT_RX_LINKA_RECEIVER_DQCC_CONTROL_3", 0x3b240, 0 },
52583		{ "DTHR", 8, 6 },
52584		{ "SNUL", 0, 5 },
52585	{ "MAC_PORT_RX_LINKA_RECEIVER_DACAP_AND_DACAN", 0x3b248, 0 },
52586		{ "DACAN", 8, 8 },
52587		{ "DACAP", 0, 8 },
52588	{ "MAC_PORT_RX_LINKA_RECEIVER_DACA_MIN_AND_DACAZ", 0x3b24c, 0 },
52589		{ "DACAZ", 8, 8 },
52590		{ "DACAM", 0, 8 },
52591	{ "MAC_PORT_RX_LINKA_RECEIVER_ADAC_CONTROL", 0x3b250, 0 },
52592		{ "ADSN_ReadWrite", 8, 1 },
52593		{ "ADSN_ReadOnly", 7, 1 },
52594		{ "ADMAG", 0, 7 },
52595	{ "MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3b25c, 0 },
52596		{ "H1O2", 8, 6 },
52597		{ "H1E2", 0, 6 },
52598	{ "MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x3b260, 0 },
52599		{ "H1O3", 8, 6 },
52600		{ "H1E3", 0, 6 },
52601	{ "MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x3b264, 0 },
52602		{ "H1O4", 8, 6 },
52603		{ "H1E4", 0, 6 },
52604	{ "MAC_PORT_RX_LINKA_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x3b270, 0 },
52605		{ "DPCMD", 14, 1 },
52606		{ "DPCCVG", 13, 1 },
52607		{ "DACCVG", 12, 1 },
52608		{ "DPCTGT", 9, 3 },
52609		{ "BLKH1T", 8, 1 },
52610		{ "BLKOAE", 7, 1 },
52611		{ "H1TGT", 4, 3 },
52612		{ "OAE", 0, 4 },
52613	{ "MAC_PORT_RX_LINKA_DYNAMIC_DATA_CENTERING_DDC", 0x3b274, 0 },
52614		{ "OLS", 11, 5 },
52615		{ "OES", 6, 5 },
52616		{ "BLKODEC", 5, 1 },
52617		{ "ODEC", 0, 5 },
52618	{ "MAC_PORT_RX_LINKA_RECEIVER_INTERNAL_STATUS", 0x3b278, 0 },
52619		{ "T5BER6VAL", 15, 1 },
52620		{ "T5BER6", 14, 1 },
52621		{ "T5BER3VAL", 13, 1 },
52622		{ "T5TOOFAST", 12, 1 },
52623		{ "T5DPCCMP", 9, 1 },
52624		{ "T5DACCMP", 8, 1 },
52625		{ "T5DDCCMP", 7, 1 },
52626		{ "T5AERRFLG", 6, 1 },
52627		{ "T5WERRFLG", 5, 1 },
52628		{ "T5TRCMP", 4, 1 },
52629		{ "T5VLCKF", 3, 1 },
52630		{ "T5ROCCMP", 2, 1 },
52631		{ "T5DQCCCMP", 1, 1 },
52632		{ "T5OCCMP", 0, 1 },
52633	{ "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_1", 0x3b27c, 0 },
52634		{ "FDPC", 15, 1 },
52635		{ "FDAC", 14, 1 },
52636		{ "FDDC", 13, 1 },
52637		{ "FNRND", 12, 1 },
52638		{ "FVGAIN", 11, 1 },
52639		{ "FVOFF", 10, 1 },
52640		{ "FSDET", 9, 1 },
52641		{ "FBER6", 8, 1 },
52642		{ "FROTO", 7, 1 },
52643		{ "FH4H5", 6, 1 },
52644		{ "FH2H3", 5, 1 },
52645		{ "FH1", 4, 1 },
52646		{ "FH1SN", 3, 1 },
52647		{ "FNRDF", 2, 1 },
52648		{ "FLOFF", 1, 1 },
52649		{ "FADAC", 0, 1 },
52650	{ "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_2", 0x3b280, 0 },
52651		{ "H25SPC", 15, 1 },
52652		{ "FTOOFAST", 8, 1 },
52653		{ "FINTTRIM", 7, 1 },
52654		{ "FDINV", 6, 1 },
52655		{ "FHGS", 5, 1 },
52656		{ "FH6H12", 4, 1 },
52657		{ "FH1CAL", 3, 1 },
52658		{ "FINTCAL", 2, 1 },
52659		{ "FDCA", 1, 1 },
52660		{ "FDQCC", 0, 1 },
52661	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_EVN1_EVN2", 0x3b284, 0 },
52662		{ "LOFE2S_ReadWrite", 16, 1 },
52663		{ "LOFE2S_ReadOnly", 14, 2 },
52664		{ "LOFE2", 8, 6 },
52665		{ "LOFE1S_ReadWrite", 7, 1 },
52666		{ "LOFE1S_ReadOnly", 6, 1 },
52667		{ "LOFE1", 0, 6 },
52668	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_ODD1_ODD2", 0x3b288, 0 },
52669		{ "LOFO2S_ReadWrite", 15, 1 },
52670		{ "LOFO2S_ReadOnly", 14, 1 },
52671		{ "LOFO2", 8, 6 },
52672		{ "LOFO1S_ReadWrite", 7, 1 },
52673		{ "LOFO1S_ReadOnly", 6, 1 },
52674		{ "LOFO1", 0, 6 },
52675	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_EVN3_EVN4", 0x3b28c, 0 },
52676		{ "LOFE4S_ReadWrite", 15, 1 },
52677		{ "LOFE4S_ReadOnly", 14, 1 },
52678		{ "LOFE", 8, 6 },
52679		{ "LOFE3S_ReadWrite", 7, 1 },
52680		{ "LOFE3S_ReadOnly", 6, 1 },
52681		{ "LOFE3", 0, 6 },
52682	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_ODD3_ODD4", 0x3b290, 0 },
52683		{ "LOFO4S_ReadWrite", 15, 1 },
52684		{ "LOFO4S_ReadOnly", 14, 1 },
52685		{ "LOFO4", 8, 6 },
52686		{ "LOFO3S_ReadWrite", 7, 1 },
52687		{ "LOFO3S_ReadOnly", 6, 1 },
52688		{ "LOFO3", 0, 6 },
52689	{ "MAC_PORT_RX_LINKA_DFE_E0_AND_E1_OFFSET", 0x3b294, 0 },
52690		{ "T5E1SN_ReadWrite", 15, 1 },
52691		{ "T5E1SN_ReadOnly", 14, 1 },
52692		{ "T5E1AMP", 8, 6 },
52693		{ "T5E0SN_ReadWrite", 7, 1 },
52694		{ "T5E0SN_ReadOnly", 6, 1 },
52695		{ "T5E0AMP", 0, 6 },
52696	{ "MAC_PORT_RX_LINKA_RECEIVER_LOFF_CONTROL", 0x3b298, 0 },
52697		{ "T5LFREG", 12, 1 },
52698		{ "T5LFRC", 11, 1 },
52699		{ "T5LFSEL", 8, 3 },
52700	{ "MAC_PORT_RX_LINKA_RECEIVER_SIGDET_CONTROL", 0x3b29c, 0 },
52701		{ "OFFSN_ReadWrite", 14, 1 },
52702		{ "OFFSN_ReadOnly", 13, 1 },
52703		{ "OFFAMP", 8, 5 },
52704		{ "SDACDC", 7, 1 },
52705		{ "SDPDN", 6, 1 },
52706		{ "SIGDET", 5, 1 },
52707		{ "SDLVL", 0, 5 },
52708	{ "MAC_PORT_RX_LINKA_RECEIVER_ANALOG_CONTROL_SWITCH", 0x3b2a0, 0 },
52709		{ "T5_RX_SETHDIS", 7, 1 },
52710		{ "T5_RX_PDTERM", 6, 1 },
52711		{ "T5_RX_BYPASS", 5, 1 },
52712		{ "T5_RX_LPFEN", 4, 1 },
52713		{ "T5_RX_VGABOD", 3, 1 },
52714		{ "T5_RX_VTBYP", 2, 1 },
52715		{ "T5_RX_VTERM", 0, 2 },
52716	{ "MAC_PORT_RX_LINKA_INTEGRATOR_DAC_OFFSET", 0x3b2a4, 0 },
52717		{ "ISTRIMS", 14, 2 },
52718		{ "ISTRIM", 8, 6 },
52719		{ "HALF1", 7, 1 },
52720		{ "HALF2", 6, 1 },
52721		{ "INTDAC", 0, 6 },
52722	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_CONTROL", 0x3b2a8, 0 },
52723		{ "BLKAZ", 15, 1 },
52724		{ "WIDTH", 10, 5 },
52725		{ "MINWDTH", 5, 5 },
52726		{ "MINAMP", 0, 5 },
52727	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS", 0x3b2ac, 0 },
52728		{ "T5SMQM", 13, 3 },
52729		{ "T5SMQ", 5, 8 },
52730		{ "T5EMMD", 3, 2 },
52731		{ "T5EMBRDY", 2, 1 },
52732		{ "T5EMBUMP", 1, 1 },
52733		{ "T5EMEN", 0, 1 },
52734	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x3b2b0, 0 },
52735		{ "EMF8", 15, 1 },
52736		{ "EMCNT", 4, 8 },
52737		{ "EMOFLO", 2, 1 },
52738		{ "EMCRST", 1, 1 },
52739		{ "EMCEN", 0, 1 },
52740	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x3b2b4, 0 },
52741		{ "SM2RDY", 15, 1 },
52742		{ "SM2RST", 14, 1 },
52743		{ "APDF", 0, 12 },
52744	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x3b2b8, 0 },
52745	{ "MAC_PORT_RX_LINKA_DFE_TAP_ENABLE", 0x3b2c0, 0 },
52746		{ "H_EN", 1, 12 },
52747	{ "MAC_PORT_RX_LINKA_DFE_H1", 0x3b2c4, 0 },
52748		{ "H1OSN", 14, 2 },
52749		{ "H1OMAG", 8, 6 },
52750		{ "H1ESN", 6, 2 },
52751		{ "H1EMAG", 0, 6 },
52752	{ "MAC_PORT_RX_LINKA_DFE_H2", 0x3b2c8, 0 },
52753		{ "H2OSN_ReadWrite", 14, 1 },
52754		{ "H2OSN_ReadOnly", 13, 1 },
52755		{ "H2OMAG", 8, 5 },
52756		{ "H2ESN_ReadWrite", 6, 1 },
52757		{ "H2ESN_ReadOnly", 5, 1 },
52758		{ "H2EMAG", 0, 5 },
52759	{ "MAC_PORT_RX_LINKA_DFE_H3", 0x3b2cc, 0 },
52760		{ "H3OSN_ReadWrite", 13, 1 },
52761		{ "H3OSN_ReadOnly", 12, 1 },
52762		{ "H3OMAG", 8, 4 },
52763		{ "H3ESN_ReadWrite", 5, 1 },
52764		{ "H3ESN_ReadOnly", 4, 1 },
52765		{ "H3EMAG", 0, 4 },
52766	{ "MAC_PORT_RX_LINKA_DFE_H4", 0x3b2d0, 0 },
52767		{ "H4OGS", 14, 2 },
52768		{ "H4OSN_ReadWrite", 13, 1 },
52769		{ "H4OSN_ReadOnly", 12, 1 },
52770		{ "H4OMAG", 8, 4 },
52771		{ "H4EGS", 6, 2 },
52772		{ "H4ESN_ReadWrite", 5, 1 },
52773		{ "H4ESN_ReadOnly", 4, 1 },
52774		{ "H4EMAG", 0, 4 },
52775	{ "MAC_PORT_RX_LINKA_DFE_H5", 0x3b2d4, 0 },
52776		{ "H5OGS", 14, 2 },
52777		{ "H5OSN_ReadWrite", 13, 1 },
52778		{ "H5OSN_ReadOnly", 12, 1 },
52779		{ "H5OMAG", 8, 4 },
52780		{ "H5EGS", 6, 2 },
52781		{ "H5ESN_ReadWrite", 5, 1 },
52782		{ "H5ESN_ReadOnly", 4, 1 },
52783		{ "H5EMAG", 0, 4 },
52784	{ "MAC_PORT_RX_LINKA_DFE_H6_AND_H7", 0x3b2d8, 0 },
52785		{ "H7GS", 14, 2 },
52786		{ "H7SN_ReadWrite", 13, 1 },
52787		{ "H7SN_ReadOnly", 12, 1 },
52788		{ "H7MAG", 8, 4 },
52789		{ "H6GS", 6, 2 },
52790		{ "H6SN_ReadWrite", 5, 1 },
52791		{ "H6SN_ReadOnly", 4, 1 },
52792		{ "H6MAG", 0, 4 },
52793	{ "MAC_PORT_RX_LINKA_DFE_H8_AND_H9", 0x3b2dc, 0 },
52794		{ "H9GS", 14, 2 },
52795		{ "H9SN_ReadWrite", 13, 1 },
52796		{ "H9SN_ReadOnly", 12, 1 },
52797		{ "H9MAG", 8, 4 },
52798		{ "H8GS", 6, 2 },
52799		{ "H8SN_ReadWrite", 5, 1 },
52800		{ "H8SN_ReadOnly", 4, 1 },
52801		{ "H8MAG", 0, 4 },
52802	{ "MAC_PORT_RX_LINKA_DFE_H10_AND_H11", 0x3b2e0, 0 },
52803		{ "H11GS", 14, 2 },
52804		{ "H11SN_ReadWrite", 13, 1 },
52805		{ "H11SN_ReadOnly", 12, 1 },
52806		{ "H11MAG", 8, 4 },
52807		{ "H10GS", 6, 2 },
52808		{ "H10SN_ReadWrite", 5, 1 },
52809		{ "H10SN_ReadOnly", 4, 1 },
52810		{ "H10MAG", 0, 4 },
52811	{ "MAC_PORT_RX_LINKA_DFE_H12", 0x3b2e4, 0 },
52812		{ "H12GS", 6, 2 },
52813		{ "H12SN_ReadWrite", 5, 1 },
52814		{ "H12SN_ReadOnly", 4, 1 },
52815		{ "H12MAG", 0, 4 },
52816	{ "MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_2", 0x3b2f8, 0 },
52817		{ "DFEDACLSSD", 6, 1 },
52818		{ "SDLSSD", 5, 1 },
52819		{ "DFEOBSBIAS", 4, 1 },
52820		{ "GBOFSTLSSD", 3, 1 },
52821		{ "RXDOBS", 2, 1 },
52822		{ "ACJZPT", 1, 1 },
52823		{ "ACJZNT", 0, 1 },
52824	{ "MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_1", 0x3b2fc, 0 },
52825		{ "PHSLOCK", 10, 1 },
52826		{ "TESTMODE", 9, 1 },
52827		{ "CALMODE", 8, 1 },
52828		{ "AMPSEL", 7, 1 },
52829		{ "WHICHNRZ", 6, 1 },
52830		{ "BANKA", 5, 1 },
52831		{ "BANKB", 4, 1 },
52832		{ "ACJPDP", 3, 1 },
52833		{ "ACJPDN", 2, 1 },
52834		{ "LSSDT", 1, 1 },
52835		{ "MTHOLD", 0, 1 },
52836	{ "MAC_PORT_RX_LINKB_RECEIVER_CONFIGURATION_MODE", 0x3b300, 0 },
52837		{ "T5_RX_LINKEN", 15, 1 },
52838		{ "T5_RX_LINKRST", 14, 1 },
52839		{ "T5_RX_CFGWRT", 13, 1 },
52840		{ "T5_RX_CFGPTR", 11, 2 },
52841		{ "T5_RX_CFGEXT", 10, 1 },
52842		{ "T5_RX_CFGACT", 9, 1 },
52843		{ "T5_RX_AUXCLK", 8, 1 },
52844		{ "T5_RX_PLLSEL", 6, 2 },
52845		{ "T5_RX_DMSEL", 4, 2 },
52846		{ "T5_RX_BWSEL", 2, 2 },
52847		{ "T5_RX_RTSEL", 0, 2 },
52848	{ "MAC_PORT_RX_LINKB_RECEIVER_TEST_CONTROL", 0x3b304, 0 },
52849		{ "RCLKEN", 15, 1 },
52850		{ "RRATE", 13, 2 },
52851		{ "FERRST", 10, 1 },
52852		{ "ERRST", 9, 1 },
52853		{ "SYNCST", 8, 1 },
52854		{ "WRPSM", 7, 1 },
52855		{ "WPLPEN", 6, 1 },
52856		{ "WRPMD", 5, 1 },
52857		{ "PRST", 4, 1 },
52858		{ "PCHKEN", 3, 1 },
52859		{ "PATSEL", 0, 3 },
52860	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_CONTROL", 0x3b308, 0 },
52861		{ "FTHROT", 12, 4 },
52862		{ "RTHROT", 11, 1 },
52863		{ "FILTCTL", 7, 4 },
52864		{ "RSRVO", 5, 2 },
52865		{ "EXTEL", 4, 1 },
52866		{ "RSTUCK", 3, 1 },
52867		{ "FRZFW", 2, 1 },
52868		{ "RSTFW", 1, 1 },
52869		{ "SSCEN", 0, 1 },
52870	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_OFFSET_CONTROL", 0x3b30c, 0 },
52871		{ "RSNP", 11, 1 },
52872		{ "TSOEN", 10, 1 },
52873		{ "OFFEN", 9, 1 },
52874		{ "TMSCAL", 7, 2 },
52875		{ "APADJ", 6, 1 },
52876		{ "RSEL", 5, 1 },
52877		{ "PHOFFS", 0, 5 },
52878	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_1", 0x3b310, 0 },
52879		{ "ROT0A", 8, 6 },
52880		{ "ROT00", 0, 6 },
52881	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_2", 0x3b314, 0 },
52882		{ "FREQFW", 8, 8 },
52883		{ "FWSNAP", 7, 1 },
52884		{ "ROT90", 0, 6 },
52885	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x3b318, 0 },
52886		{ "RCALER", 15, 1 },
52887		{ "RAOOFF", 10, 5 },
52888		{ "RAEOFF", 5, 5 },
52889		{ "RDOFF", 0, 5 },
52890	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3b31c, 0 },
52891		{ "RCALER", 15, 1 },
52892		{ "RBOOFF", 10, 5 },
52893		{ "RBEOFF", 5, 5 },
52894		{ "RDOFF", 0, 5 },
52895	{ "MAC_PORT_RX_LINKB_DFE_CONTROL", 0x3b320, 0 },
52896		{ "REQCMP", 15, 1 },
52897		{ "DFEREQ", 14, 1 },
52898		{ "SPCEN", 13, 1 },
52899		{ "GATEEN", 12, 1 },
52900		{ "SPIFMT", 9, 3 },
52901		{ "DFEPWR", 6, 3 },
52902		{ "STNDBY", 5, 1 },
52903		{ "FRCH", 4, 1 },
52904		{ "NONRND", 3, 1 },
52905		{ "NONRNF", 2, 1 },
52906		{ "FSTLCK", 1, 1 },
52907		{ "DFERST", 0, 1 },
52908	{ "MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_1", 0x3b324, 0 },
52909		{ "T5BYTE1", 8, 8 },
52910		{ "T5BYTE0", 0, 8 },
52911	{ "MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_2", 0x3b328, 0 },
52912		{ "T5_RX_SMODE", 8, 3 },
52913		{ "T5_RX_ADCORR", 7, 1 },
52914		{ "T5_RX_TRAINEN", 6, 1 },
52915		{ "T5_RX_ASAMPQ", 3, 3 },
52916		{ "T5_RX_ASAMP", 0, 3 },
52917	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_1", 0x3b32c, 0 },
52918		{ "POLE", 12, 2 },
52919		{ "PEAK", 8, 3 },
52920		{ "VOFFSN", 6, 2 },
52921		{ "VOFFA", 0, 6 },
52922	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_2", 0x3b330, 0 },
52923		{ "T5SHORTV", 10, 1 },
52924		{ "T5VGAIN", 0, 5 },
52925	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_3", 0x3b334, 0 },
52926		{ "HBND1", 10, 1 },
52927		{ "HBND0", 9, 1 },
52928		{ "VLCKD", 8, 1 },
52929		{ "VLCKDF", 7, 1 },
52930		{ "AMAXT", 0, 7 },
52931	{ "MAC_PORT_RX_LINKB_RECEIVER_DQCC_CONTROL_1", 0x3b338, 0 },
52932		{ "IQSEP", 10, 5 },
52933		{ "DUTYQ", 5, 5 },
52934		{ "DUTYI", 0, 5 },
52935	{ "MAC_PORT_RX_LINKB_RECEIVER_DQCC_CONTROL_3", 0x3b340, 0 },
52936		{ "DTHR", 8, 6 },
52937		{ "SNUL", 0, 5 },
52938	{ "MAC_PORT_RX_LINKB_RECEIVER_DACAP_AND_DACAN", 0x3b348, 0 },
52939		{ "DACAN", 8, 8 },
52940		{ "DACAP", 0, 8 },
52941	{ "MAC_PORT_RX_LINKB_RECEIVER_DACA_MIN_AND_DACAZ", 0x3b34c, 0 },
52942		{ "DACAZ", 8, 8 },
52943		{ "DACAM", 0, 8 },
52944	{ "MAC_PORT_RX_LINKB_RECEIVER_ADAC_CONTROL", 0x3b350, 0 },
52945		{ "ADSN_ReadWrite", 8, 1 },
52946		{ "ADSN_ReadOnly", 7, 1 },
52947		{ "ADMAG", 0, 7 },
52948	{ "MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3b35c, 0 },
52949		{ "H1O2", 8, 6 },
52950		{ "H1E2", 0, 6 },
52951	{ "MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x3b360, 0 },
52952		{ "H1O3", 8, 6 },
52953		{ "H1E3", 0, 6 },
52954	{ "MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x3b364, 0 },
52955		{ "H1O4", 8, 6 },
52956		{ "H1E4", 0, 6 },
52957	{ "MAC_PORT_RX_LINKB_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x3b370, 0 },
52958		{ "DPCMD", 14, 1 },
52959		{ "DPCCVG", 13, 1 },
52960		{ "DACCVG", 12, 1 },
52961		{ "DPCTGT", 9, 3 },
52962		{ "BLKH1T", 8, 1 },
52963		{ "BLKOAE", 7, 1 },
52964		{ "H1TGT", 4, 3 },
52965		{ "OAE", 0, 4 },
52966	{ "MAC_PORT_RX_LINKB_DYNAMIC_DATA_CENTERING_DDC", 0x3b374, 0 },
52967		{ "OLS", 11, 5 },
52968		{ "OES", 6, 5 },
52969		{ "BLKODEC", 5, 1 },
52970		{ "ODEC", 0, 5 },
52971	{ "MAC_PORT_RX_LINKB_RECEIVER_INTERNAL_STATUS", 0x3b378, 0 },
52972		{ "T5BER6VAL", 15, 1 },
52973		{ "T5BER6", 14, 1 },
52974		{ "T5BER3VAL", 13, 1 },
52975		{ "T5TOOFAST", 12, 1 },
52976		{ "T5DPCCMP", 9, 1 },
52977		{ "T5DACCMP", 8, 1 },
52978		{ "T5DDCCMP", 7, 1 },
52979		{ "T5AERRFLG", 6, 1 },
52980		{ "T5WERRFLG", 5, 1 },
52981		{ "T5TRCMP", 4, 1 },
52982		{ "T5VLCKF", 3, 1 },
52983		{ "T5ROCCMP", 2, 1 },
52984		{ "T5DQCCCMP", 1, 1 },
52985		{ "T5OCCMP", 0, 1 },
52986	{ "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_1", 0x3b37c, 0 },
52987		{ "FDPC", 15, 1 },
52988		{ "FDAC", 14, 1 },
52989		{ "FDDC", 13, 1 },
52990		{ "FNRND", 12, 1 },
52991		{ "FVGAIN", 11, 1 },
52992		{ "FVOFF", 10, 1 },
52993		{ "FSDET", 9, 1 },
52994		{ "FBER6", 8, 1 },
52995		{ "FROTO", 7, 1 },
52996		{ "FH4H5", 6, 1 },
52997		{ "FH2H3", 5, 1 },
52998		{ "FH1", 4, 1 },
52999		{ "FH1SN", 3, 1 },
53000		{ "FNRDF", 2, 1 },
53001		{ "FLOFF", 1, 1 },
53002		{ "FADAC", 0, 1 },
53003	{ "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_2", 0x3b380, 0 },
53004		{ "H25SPC", 15, 1 },
53005		{ "FTOOFAST", 8, 1 },
53006		{ "FINTTRIM", 7, 1 },
53007		{ "FDINV", 6, 1 },
53008		{ "FHGS", 5, 1 },
53009		{ "FH6H12", 4, 1 },
53010		{ "FH1CAL", 3, 1 },
53011		{ "FINTCAL", 2, 1 },
53012		{ "FDCA", 1, 1 },
53013		{ "FDQCC", 0, 1 },
53014	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_EVN1_EVN2", 0x3b384, 0 },
53015		{ "LOFE2S_ReadWrite", 16, 1 },
53016		{ "LOFE2S_ReadOnly", 14, 2 },
53017		{ "LOFE2", 8, 6 },
53018		{ "LOFE1S_ReadWrite", 7, 1 },
53019		{ "LOFE1S_ReadOnly", 6, 1 },
53020		{ "LOFE1", 0, 6 },
53021	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_ODD1_ODD2", 0x3b388, 0 },
53022		{ "LOFO2S_ReadWrite", 15, 1 },
53023		{ "LOFO2S_ReadOnly", 14, 1 },
53024		{ "LOFO2", 8, 6 },
53025		{ "LOFO1S_ReadWrite", 7, 1 },
53026		{ "LOFO1S_ReadOnly", 6, 1 },
53027		{ "LOFO1", 0, 6 },
53028	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_EVN3_EVN4", 0x3b38c, 0 },
53029		{ "LOFE4S_ReadWrite", 15, 1 },
53030		{ "LOFE4S_ReadOnly", 14, 1 },
53031		{ "LOFE", 8, 6 },
53032		{ "LOFE3S_ReadWrite", 7, 1 },
53033		{ "LOFE3S_ReadOnly", 6, 1 },
53034		{ "LOFE3", 0, 6 },
53035	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_ODD3_ODD4", 0x3b390, 0 },
53036		{ "LOFO4S_ReadWrite", 15, 1 },
53037		{ "LOFO4S_ReadOnly", 14, 1 },
53038		{ "LOFO4", 8, 6 },
53039		{ "LOFO3S_ReadWrite", 7, 1 },
53040		{ "LOFO3S_ReadOnly", 6, 1 },
53041		{ "LOFO3", 0, 6 },
53042	{ "MAC_PORT_RX_LINKB_DFE_E0_AND_E1_OFFSET", 0x3b394, 0 },
53043		{ "T5E1SN_ReadWrite", 15, 1 },
53044		{ "T5E1SN_ReadOnly", 14, 1 },
53045		{ "T5E1AMP", 8, 6 },
53046		{ "T5E0SN_ReadWrite", 7, 1 },
53047		{ "T5E0SN_ReadOnly", 6, 1 },
53048		{ "T5E0AMP", 0, 6 },
53049	{ "MAC_PORT_RX_LINKB_RECEIVER_LOFF_CONTROL", 0x3b398, 0 },
53050		{ "T5LFREG", 12, 1 },
53051		{ "T5LFRC", 11, 1 },
53052		{ "T5LFSEL", 8, 3 },
53053	{ "MAC_PORT_RX_LINKB_RECEIVER_SIGDET_CONTROL", 0x3b39c, 0 },
53054		{ "OFFSN_ReadWrite", 14, 1 },
53055		{ "OFFSN_ReadOnly", 13, 1 },
53056		{ "OFFAMP", 8, 5 },
53057		{ "SDACDC", 7, 1 },
53058		{ "SDPDN", 6, 1 },
53059		{ "SIGDET", 5, 1 },
53060		{ "SDLVL", 0, 5 },
53061	{ "MAC_PORT_RX_LINKB_RECEIVER_ANALOG_CONTROL_SWITCH", 0x3b3a0, 0 },
53062		{ "T5_RX_SETHDIS", 7, 1 },
53063		{ "T5_RX_PDTERM", 6, 1 },
53064		{ "T5_RX_BYPASS", 5, 1 },
53065		{ "T5_RX_LPFEN", 4, 1 },
53066		{ "T5_RX_VGABOD", 3, 1 },
53067		{ "T5_RX_VTBYP", 2, 1 },
53068		{ "T5_RX_VTERM", 0, 2 },
53069	{ "MAC_PORT_RX_LINKB_INTEGRATOR_DAC_OFFSET", 0x3b3a4, 0 },
53070		{ "ISTRIMS", 14, 2 },
53071		{ "ISTRIM", 8, 6 },
53072		{ "HALF1", 7, 1 },
53073		{ "HALF2", 6, 1 },
53074		{ "INTDAC", 0, 6 },
53075	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_CONTROL", 0x3b3a8, 0 },
53076		{ "BLKAZ", 15, 1 },
53077		{ "WIDTH", 10, 5 },
53078		{ "MINWDTH", 5, 5 },
53079		{ "MINAMP", 0, 5 },
53080	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS", 0x3b3ac, 0 },
53081		{ "T5SMQM", 13, 3 },
53082		{ "T5SMQ", 5, 8 },
53083		{ "T5EMMD", 3, 2 },
53084		{ "T5EMBRDY", 2, 1 },
53085		{ "T5EMBUMP", 1, 1 },
53086		{ "T5EMEN", 0, 1 },
53087	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x3b3b0, 0 },
53088		{ "EMF8", 15, 1 },
53089		{ "EMCNT", 4, 8 },
53090		{ "EMOFLO", 2, 1 },
53091		{ "EMCRST", 1, 1 },
53092		{ "EMCEN", 0, 1 },
53093	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x3b3b4, 0 },
53094		{ "SM2RDY", 15, 1 },
53095		{ "SM2RST", 14, 1 },
53096		{ "APDF", 0, 12 },
53097	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x3b3b8, 0 },
53098	{ "MAC_PORT_RX_LINKB_DFE_TAP_ENABLE", 0x3b3c0, 0 },
53099		{ "H_EN", 1, 12 },
53100	{ "MAC_PORT_RX_LINKB_DFE_H1", 0x3b3c4, 0 },
53101		{ "H1OSN", 14, 2 },
53102		{ "H1OMAG", 8, 6 },
53103		{ "H1ESN", 6, 2 },
53104		{ "H1EMAG", 0, 6 },
53105	{ "MAC_PORT_RX_LINKB_DFE_H2", 0x3b3c8, 0 },
53106		{ "H2OSN_ReadWrite", 14, 1 },
53107		{ "H2OSN_ReadOnly", 13, 1 },
53108		{ "H2OMAG", 8, 5 },
53109		{ "H2ESN_ReadWrite", 6, 1 },
53110		{ "H2ESN_ReadOnly", 5, 1 },
53111		{ "H2EMAG", 0, 5 },
53112	{ "MAC_PORT_RX_LINKB_DFE_H3", 0x3b3cc, 0 },
53113		{ "H3OSN_ReadWrite", 13, 1 },
53114		{ "H3OSN_ReadOnly", 12, 1 },
53115		{ "H3OMAG", 8, 4 },
53116		{ "H3ESN_ReadWrite", 5, 1 },
53117		{ "H3ESN_ReadOnly", 4, 1 },
53118		{ "H3EMAG", 0, 4 },
53119	{ "MAC_PORT_RX_LINKB_DFE_H4", 0x3b3d0, 0 },
53120		{ "H4OGS", 14, 2 },
53121		{ "H4OSN_ReadWrite", 13, 1 },
53122		{ "H4OSN_ReadOnly", 12, 1 },
53123		{ "H4OMAG", 8, 4 },
53124		{ "H4EGS", 6, 2 },
53125		{ "H4ESN_ReadWrite", 5, 1 },
53126		{ "H4ESN_ReadOnly", 4, 1 },
53127		{ "H4EMAG", 0, 4 },
53128	{ "MAC_PORT_RX_LINKB_DFE_H5", 0x3b3d4, 0 },
53129		{ "H5OGS", 14, 2 },
53130		{ "H5OSN_ReadWrite", 13, 1 },
53131		{ "H5OSN_ReadOnly", 12, 1 },
53132		{ "H5OMAG", 8, 4 },
53133		{ "H5EGS", 6, 2 },
53134		{ "H5ESN_ReadWrite", 5, 1 },
53135		{ "H5ESN_ReadOnly", 4, 1 },
53136		{ "H5EMAG", 0, 4 },
53137	{ "MAC_PORT_RX_LINKB_DFE_H6_AND_H7", 0x3b3d8, 0 },
53138		{ "H7GS", 14, 2 },
53139		{ "H7SN_ReadWrite", 13, 1 },
53140		{ "H7SN_ReadOnly", 12, 1 },
53141		{ "H7MAG", 8, 4 },
53142		{ "H6GS", 6, 2 },
53143		{ "H6SN_ReadWrite", 5, 1 },
53144		{ "H6SN_ReadOnly", 4, 1 },
53145		{ "H6MAG", 0, 4 },
53146	{ "MAC_PORT_RX_LINKB_DFE_H8_AND_H9", 0x3b3dc, 0 },
53147		{ "H9GS", 14, 2 },
53148		{ "H9SN_ReadWrite", 13, 1 },
53149		{ "H9SN_ReadOnly", 12, 1 },
53150		{ "H9MAG", 8, 4 },
53151		{ "H8GS", 6, 2 },
53152		{ "H8SN_ReadWrite", 5, 1 },
53153		{ "H8SN_ReadOnly", 4, 1 },
53154		{ "H8MAG", 0, 4 },
53155	{ "MAC_PORT_RX_LINKB_DFE_H10_AND_H11", 0x3b3e0, 0 },
53156		{ "H11GS", 14, 2 },
53157		{ "H11SN_ReadWrite", 13, 1 },
53158		{ "H11SN_ReadOnly", 12, 1 },
53159		{ "H11MAG", 8, 4 },
53160		{ "H10GS", 6, 2 },
53161		{ "H10SN_ReadWrite", 5, 1 },
53162		{ "H10SN_ReadOnly", 4, 1 },
53163		{ "H10MAG", 0, 4 },
53164	{ "MAC_PORT_RX_LINKB_DFE_H12", 0x3b3e4, 0 },
53165		{ "H12GS", 6, 2 },
53166		{ "H12SN_ReadWrite", 5, 1 },
53167		{ "H12SN_ReadOnly", 4, 1 },
53168		{ "H12MAG", 0, 4 },
53169	{ "MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_2", 0x3b3f8, 0 },
53170		{ "DFEDACLSSD", 6, 1 },
53171		{ "SDLSSD", 5, 1 },
53172		{ "DFEOBSBIAS", 4, 1 },
53173		{ "GBOFSTLSSD", 3, 1 },
53174		{ "RXDOBS", 2, 1 },
53175		{ "ACJZPT", 1, 1 },
53176		{ "ACJZNT", 0, 1 },
53177	{ "MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_1", 0x3b3fc, 0 },
53178		{ "PHSLOCK", 10, 1 },
53179		{ "TESTMODE", 9, 1 },
53180		{ "CALMODE", 8, 1 },
53181		{ "AMPSEL", 7, 1 },
53182		{ "WHICHNRZ", 6, 1 },
53183		{ "BANKA", 5, 1 },
53184		{ "BANKB", 4, 1 },
53185		{ "ACJPDP", 3, 1 },
53186		{ "ACJPDN", 2, 1 },
53187		{ "LSSDT", 1, 1 },
53188		{ "MTHOLD", 0, 1 },
53189	{ "MAC_PORT_RX_LINKC_RECEIVER_CONFIGURATION_MODE", 0x3b600, 0 },
53190		{ "T5_RX_LINKEN", 15, 1 },
53191		{ "T5_RX_LINKRST", 14, 1 },
53192		{ "T5_RX_CFGWRT", 13, 1 },
53193		{ "T5_RX_CFGPTR", 11, 2 },
53194		{ "T5_RX_CFGEXT", 10, 1 },
53195		{ "T5_RX_CFGACT", 9, 1 },
53196		{ "T5_RX_AUXCLK", 8, 1 },
53197		{ "T5_RX_PLLSEL", 6, 2 },
53198		{ "T5_RX_DMSEL", 4, 2 },
53199		{ "T5_RX_BWSEL", 2, 2 },
53200		{ "T5_RX_RTSEL", 0, 2 },
53201	{ "MAC_PORT_RX_LINKC_RECEIVER_TEST_CONTROL", 0x3b604, 0 },
53202		{ "RCLKEN", 15, 1 },
53203		{ "RRATE", 13, 2 },
53204		{ "FERRST", 10, 1 },
53205		{ "ERRST", 9, 1 },
53206		{ "SYNCST", 8, 1 },
53207		{ "WRPSM", 7, 1 },
53208		{ "WPLPEN", 6, 1 },
53209		{ "WRPMD", 5, 1 },
53210		{ "PRST", 4, 1 },
53211		{ "PCHKEN", 3, 1 },
53212		{ "PATSEL", 0, 3 },
53213	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_CONTROL", 0x3b608, 0 },
53214		{ "FTHROT", 12, 4 },
53215		{ "RTHROT", 11, 1 },
53216		{ "FILTCTL", 7, 4 },
53217		{ "RSRVO", 5, 2 },
53218		{ "EXTEL", 4, 1 },
53219		{ "RSTUCK", 3, 1 },
53220		{ "FRZFW", 2, 1 },
53221		{ "RSTFW", 1, 1 },
53222		{ "SSCEN", 0, 1 },
53223	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_OFFSET_CONTROL", 0x3b60c, 0 },
53224		{ "RSNP", 11, 1 },
53225		{ "TSOEN", 10, 1 },
53226		{ "OFFEN", 9, 1 },
53227		{ "TMSCAL", 7, 2 },
53228		{ "APADJ", 6, 1 },
53229		{ "RSEL", 5, 1 },
53230		{ "PHOFFS", 0, 5 },
53231	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_1", 0x3b610, 0 },
53232		{ "ROT0A", 8, 6 },
53233		{ "ROT00", 0, 6 },
53234	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_2", 0x3b614, 0 },
53235		{ "FREQFW", 8, 8 },
53236		{ "FWSNAP", 7, 1 },
53237		{ "ROT90", 0, 6 },
53238	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x3b618, 0 },
53239		{ "RCALER", 15, 1 },
53240		{ "RAOOFF", 10, 5 },
53241		{ "RAEOFF", 5, 5 },
53242		{ "RDOFF", 0, 5 },
53243	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3b61c, 0 },
53244		{ "RCALER", 15, 1 },
53245		{ "RBOOFF", 10, 5 },
53246		{ "RBEOFF", 5, 5 },
53247		{ "RDOFF", 0, 5 },
53248	{ "MAC_PORT_RX_LINKC_DFE_CONTROL", 0x3b620, 0 },
53249		{ "REQCMP", 15, 1 },
53250		{ "DFEREQ", 14, 1 },
53251		{ "SPCEN", 13, 1 },
53252		{ "GATEEN", 12, 1 },
53253		{ "SPIFMT", 9, 3 },
53254		{ "DFEPWR", 6, 3 },
53255		{ "STNDBY", 5, 1 },
53256		{ "FRCH", 4, 1 },
53257		{ "NONRND", 3, 1 },
53258		{ "NONRNF", 2, 1 },
53259		{ "FSTLCK", 1, 1 },
53260		{ "DFERST", 0, 1 },
53261	{ "MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_1", 0x3b624, 0 },
53262		{ "T5BYTE1", 8, 8 },
53263		{ "T5BYTE0", 0, 8 },
53264	{ "MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_2", 0x3b628, 0 },
53265		{ "T5_RX_SMODE", 8, 3 },
53266		{ "T5_RX_ADCORR", 7, 1 },
53267		{ "T5_RX_TRAINEN", 6, 1 },
53268		{ "T5_RX_ASAMPQ", 3, 3 },
53269		{ "T5_RX_ASAMP", 0, 3 },
53270	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_1", 0x3b62c, 0 },
53271		{ "POLE", 12, 2 },
53272		{ "PEAK", 8, 3 },
53273		{ "VOFFSN", 6, 2 },
53274		{ "VOFFA", 0, 6 },
53275	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_2", 0x3b630, 0 },
53276		{ "T5SHORTV", 10, 1 },
53277		{ "T5VGAIN", 0, 5 },
53278	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_3", 0x3b634, 0 },
53279		{ "HBND1", 10, 1 },
53280		{ "HBND0", 9, 1 },
53281		{ "VLCKD", 8, 1 },
53282		{ "VLCKDF", 7, 1 },
53283		{ "AMAXT", 0, 7 },
53284	{ "MAC_PORT_RX_LINKC_RECEIVER_DQCC_CONTROL_1", 0x3b638, 0 },
53285		{ "IQSEP", 10, 5 },
53286		{ "DUTYQ", 5, 5 },
53287		{ "DUTYI", 0, 5 },
53288	{ "MAC_PORT_RX_LINKC_RECEIVER_DQCC_CONTROL_3", 0x3b640, 0 },
53289		{ "DTHR", 8, 6 },
53290		{ "SNUL", 0, 5 },
53291	{ "MAC_PORT_RX_LINKC_RECEIVER_DACAP_AND_DACAN", 0x3b648, 0 },
53292		{ "DACAN", 8, 8 },
53293		{ "DACAP", 0, 8 },
53294	{ "MAC_PORT_RX_LINKC_RECEIVER_DACA_MIN_AND_DACAZ", 0x3b64c, 0 },
53295		{ "DACAZ", 8, 8 },
53296		{ "DACAM", 0, 8 },
53297	{ "MAC_PORT_RX_LINKC_RECEIVER_ADAC_CONTROL", 0x3b650, 0 },
53298		{ "ADSN_ReadWrite", 8, 1 },
53299		{ "ADSN_ReadOnly", 7, 1 },
53300		{ "ADMAG", 0, 7 },
53301	{ "MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3b65c, 0 },
53302		{ "H1O2", 8, 6 },
53303		{ "H1E2", 0, 6 },
53304	{ "MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x3b660, 0 },
53305		{ "H1O3", 8, 6 },
53306		{ "H1E3", 0, 6 },
53307	{ "MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x3b664, 0 },
53308		{ "H1O4", 8, 6 },
53309		{ "H1E4", 0, 6 },
53310	{ "MAC_PORT_RX_LINKC_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x3b670, 0 },
53311		{ "DPCMD", 14, 1 },
53312		{ "DPCCVG", 13, 1 },
53313		{ "DACCVG", 12, 1 },
53314		{ "DPCTGT", 9, 3 },
53315		{ "BLKH1T", 8, 1 },
53316		{ "BLKOAE", 7, 1 },
53317		{ "H1TGT", 4, 3 },
53318		{ "OAE", 0, 4 },
53319	{ "MAC_PORT_RX_LINKC_DYNAMIC_DATA_CENTERING_DDC", 0x3b674, 0 },
53320		{ "OLS", 11, 5 },
53321		{ "OES", 6, 5 },
53322		{ "BLKODEC", 5, 1 },
53323		{ "ODEC", 0, 5 },
53324	{ "MAC_PORT_RX_LINKC_RECEIVER_INTERNAL_STATUS", 0x3b678, 0 },
53325		{ "T5BER6VAL", 15, 1 },
53326		{ "T5BER6", 14, 1 },
53327		{ "T5BER3VAL", 13, 1 },
53328		{ "T5TOOFAST", 12, 1 },
53329		{ "T5DPCCMP", 9, 1 },
53330		{ "T5DACCMP", 8, 1 },
53331		{ "T5DDCCMP", 7, 1 },
53332		{ "T5AERRFLG", 6, 1 },
53333		{ "T5WERRFLG", 5, 1 },
53334		{ "T5TRCMP", 4, 1 },
53335		{ "T5VLCKF", 3, 1 },
53336		{ "T5ROCCMP", 2, 1 },
53337		{ "T5DQCCCMP", 1, 1 },
53338		{ "T5OCCMP", 0, 1 },
53339	{ "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_1", 0x3b67c, 0 },
53340		{ "FDPC", 15, 1 },
53341		{ "FDAC", 14, 1 },
53342		{ "FDDC", 13, 1 },
53343		{ "FNRND", 12, 1 },
53344		{ "FVGAIN", 11, 1 },
53345		{ "FVOFF", 10, 1 },
53346		{ "FSDET", 9, 1 },
53347		{ "FBER6", 8, 1 },
53348		{ "FROTO", 7, 1 },
53349		{ "FH4H5", 6, 1 },
53350		{ "FH2H3", 5, 1 },
53351		{ "FH1", 4, 1 },
53352		{ "FH1SN", 3, 1 },
53353		{ "FNRDF", 2, 1 },
53354		{ "FLOFF", 1, 1 },
53355		{ "FADAC", 0, 1 },
53356	{ "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_2", 0x3b680, 0 },
53357		{ "H25SPC", 15, 1 },
53358		{ "FTOOFAST", 8, 1 },
53359		{ "FINTTRIM", 7, 1 },
53360		{ "FDINV", 6, 1 },
53361		{ "FHGS", 5, 1 },
53362		{ "FH6H12", 4, 1 },
53363		{ "FH1CAL", 3, 1 },
53364		{ "FINTCAL", 2, 1 },
53365		{ "FDCA", 1, 1 },
53366		{ "FDQCC", 0, 1 },
53367	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_EVN1_EVN2", 0x3b684, 0 },
53368		{ "LOFE2S_ReadWrite", 16, 1 },
53369		{ "LOFE2S_ReadOnly", 14, 2 },
53370		{ "LOFE2", 8, 6 },
53371		{ "LOFE1S_ReadWrite", 7, 1 },
53372		{ "LOFE1S_ReadOnly", 6, 1 },
53373		{ "LOFE1", 0, 6 },
53374	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_ODD1_ODD2", 0x3b688, 0 },
53375		{ "LOFO2S_ReadWrite", 15, 1 },
53376		{ "LOFO2S_ReadOnly", 14, 1 },
53377		{ "LOFO2", 8, 6 },
53378		{ "LOFO1S_ReadWrite", 7, 1 },
53379		{ "LOFO1S_ReadOnly", 6, 1 },
53380		{ "LOFO1", 0, 6 },
53381	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_EVN3_EVN4", 0x3b68c, 0 },
53382		{ "LOFE4S_ReadWrite", 15, 1 },
53383		{ "LOFE4S_ReadOnly", 14, 1 },
53384		{ "LOFE", 8, 6 },
53385		{ "LOFE3S_ReadWrite", 7, 1 },
53386		{ "LOFE3S_ReadOnly", 6, 1 },
53387		{ "LOFE3", 0, 6 },
53388	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_ODD3_ODD4", 0x3b690, 0 },
53389		{ "LOFO4S_ReadWrite", 15, 1 },
53390		{ "LOFO4S_ReadOnly", 14, 1 },
53391		{ "LOFO4", 8, 6 },
53392		{ "LOFO3S_ReadWrite", 7, 1 },
53393		{ "LOFO3S_ReadOnly", 6, 1 },
53394		{ "LOFO3", 0, 6 },
53395	{ "MAC_PORT_RX_LINKC_DFE_E0_AND_E1_OFFSET", 0x3b694, 0 },
53396		{ "T5E1SN_ReadWrite", 15, 1 },
53397		{ "T5E1SN_ReadOnly", 14, 1 },
53398		{ "T5E1AMP", 8, 6 },
53399		{ "T5E0SN_ReadWrite", 7, 1 },
53400		{ "T5E0SN_ReadOnly", 6, 1 },
53401		{ "T5E0AMP", 0, 6 },
53402	{ "MAC_PORT_RX_LINKC_RECEIVER_LOFF_CONTROL", 0x3b698, 0 },
53403		{ "T5LFREG", 12, 1 },
53404		{ "T5LFRC", 11, 1 },
53405		{ "T5LFSEL", 8, 3 },
53406	{ "MAC_PORT_RX_LINKC_RECEIVER_SIGDET_CONTROL", 0x3b69c, 0 },
53407		{ "OFFSN_ReadWrite", 14, 1 },
53408		{ "OFFSN_ReadOnly", 13, 1 },
53409		{ "OFFAMP", 8, 5 },
53410		{ "SDACDC", 7, 1 },
53411		{ "SDPDN", 6, 1 },
53412		{ "SIGDET", 5, 1 },
53413		{ "SDLVL", 0, 5 },
53414	{ "MAC_PORT_RX_LINKC_RECEIVER_ANALOG_CONTROL_SWITCH", 0x3b6a0, 0 },
53415		{ "T5_RX_SETHDIS", 7, 1 },
53416		{ "T5_RX_PDTERM", 6, 1 },
53417		{ "T5_RX_BYPASS", 5, 1 },
53418		{ "T5_RX_LPFEN", 4, 1 },
53419		{ "T5_RX_VGABOD", 3, 1 },
53420		{ "T5_RX_VTBYP", 2, 1 },
53421		{ "T5_RX_VTERM", 0, 2 },
53422	{ "MAC_PORT_RX_LINKC_INTEGRATOR_DAC_OFFSET", 0x3b6a4, 0 },
53423		{ "ISTRIMS", 14, 2 },
53424		{ "ISTRIM", 8, 6 },
53425		{ "HALF1", 7, 1 },
53426		{ "HALF2", 6, 1 },
53427		{ "INTDAC", 0, 6 },
53428	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_CONTROL", 0x3b6a8, 0 },
53429		{ "BLKAZ", 15, 1 },
53430		{ "WIDTH", 10, 5 },
53431		{ "MINWDTH", 5, 5 },
53432		{ "MINAMP", 0, 5 },
53433	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS", 0x3b6ac, 0 },
53434		{ "T5SMQM", 13, 3 },
53435		{ "T5SMQ", 5, 8 },
53436		{ "T5EMMD", 3, 2 },
53437		{ "T5EMBRDY", 2, 1 },
53438		{ "T5EMBUMP", 1, 1 },
53439		{ "T5EMEN", 0, 1 },
53440	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x3b6b0, 0 },
53441		{ "EMF8", 15, 1 },
53442		{ "EMCNT", 4, 8 },
53443		{ "EMOFLO", 2, 1 },
53444		{ "EMCRST", 1, 1 },
53445		{ "EMCEN", 0, 1 },
53446	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x3b6b4, 0 },
53447		{ "SM2RDY", 15, 1 },
53448		{ "SM2RST", 14, 1 },
53449		{ "APDF", 0, 12 },
53450	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x3b6b8, 0 },
53451	{ "MAC_PORT_RX_LINKC_DFE_TAP_ENABLE", 0x3b6c0, 0 },
53452		{ "H_EN", 1, 12 },
53453	{ "MAC_PORT_RX_LINKC_DFE_H1", 0x3b6c4, 0 },
53454		{ "H1OSN", 14, 2 },
53455		{ "H1OMAG", 8, 6 },
53456		{ "H1ESN", 6, 2 },
53457		{ "H1EMAG", 0, 6 },
53458	{ "MAC_PORT_RX_LINKC_DFE_H2", 0x3b6c8, 0 },
53459		{ "H2OSN_ReadWrite", 14, 1 },
53460		{ "H2OSN_ReadOnly", 13, 1 },
53461		{ "H2OMAG", 8, 5 },
53462		{ "H2ESN_ReadWrite", 6, 1 },
53463		{ "H2ESN_ReadOnly", 5, 1 },
53464		{ "H2EMAG", 0, 5 },
53465	{ "MAC_PORT_RX_LINKC_DFE_H3", 0x3b6cc, 0 },
53466		{ "H3OSN_ReadWrite", 13, 1 },
53467		{ "H3OSN_ReadOnly", 12, 1 },
53468		{ "H3OMAG", 8, 4 },
53469		{ "H3ESN_ReadWrite", 5, 1 },
53470		{ "H3ESN_ReadOnly", 4, 1 },
53471		{ "H3EMAG", 0, 4 },
53472	{ "MAC_PORT_RX_LINKC_DFE_H4", 0x3b6d0, 0 },
53473		{ "H4OGS", 14, 2 },
53474		{ "H4OSN_ReadWrite", 13, 1 },
53475		{ "H4OSN_ReadOnly", 12, 1 },
53476		{ "H4OMAG", 8, 4 },
53477		{ "H4EGS", 6, 2 },
53478		{ "H4ESN_ReadWrite", 5, 1 },
53479		{ "H4ESN_ReadOnly", 4, 1 },
53480		{ "H4EMAG", 0, 4 },
53481	{ "MAC_PORT_RX_LINKC_DFE_H5", 0x3b6d4, 0 },
53482		{ "H5OGS", 14, 2 },
53483		{ "H5OSN_ReadWrite", 13, 1 },
53484		{ "H5OSN_ReadOnly", 12, 1 },
53485		{ "H5OMAG", 8, 4 },
53486		{ "H5EGS", 6, 2 },
53487		{ "H5ESN_ReadWrite", 5, 1 },
53488		{ "H5ESN_ReadOnly", 4, 1 },
53489		{ "H5EMAG", 0, 4 },
53490	{ "MAC_PORT_RX_LINKC_DFE_H6_AND_H7", 0x3b6d8, 0 },
53491		{ "H7GS", 14, 2 },
53492		{ "H7SN_ReadWrite", 13, 1 },
53493		{ "H7SN_ReadOnly", 12, 1 },
53494		{ "H7MAG", 8, 4 },
53495		{ "H6GS", 6, 2 },
53496		{ "H6SN_ReadWrite", 5, 1 },
53497		{ "H6SN_ReadOnly", 4, 1 },
53498		{ "H6MAG", 0, 4 },
53499	{ "MAC_PORT_RX_LINKC_DFE_H8_AND_H9", 0x3b6dc, 0 },
53500		{ "H9GS", 14, 2 },
53501		{ "H9SN_ReadWrite", 13, 1 },
53502		{ "H9SN_ReadOnly", 12, 1 },
53503		{ "H9MAG", 8, 4 },
53504		{ "H8GS", 6, 2 },
53505		{ "H8SN_ReadWrite", 5, 1 },
53506		{ "H8SN_ReadOnly", 4, 1 },
53507		{ "H8MAG", 0, 4 },
53508	{ "MAC_PORT_RX_LINKC_DFE_H10_AND_H11", 0x3b6e0, 0 },
53509		{ "H11GS", 14, 2 },
53510		{ "H11SN_ReadWrite", 13, 1 },
53511		{ "H11SN_ReadOnly", 12, 1 },
53512		{ "H11MAG", 8, 4 },
53513		{ "H10GS", 6, 2 },
53514		{ "H10SN_ReadWrite", 5, 1 },
53515		{ "H10SN_ReadOnly", 4, 1 },
53516		{ "H10MAG", 0, 4 },
53517	{ "MAC_PORT_RX_LINKC_DFE_H12", 0x3b6e4, 0 },
53518		{ "H12GS", 6, 2 },
53519		{ "H12SN_ReadWrite", 5, 1 },
53520		{ "H12SN_ReadOnly", 4, 1 },
53521		{ "H12MAG", 0, 4 },
53522	{ "MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_2", 0x3b6f8, 0 },
53523		{ "DFEDACLSSD", 6, 1 },
53524		{ "SDLSSD", 5, 1 },
53525		{ "DFEOBSBIAS", 4, 1 },
53526		{ "GBOFSTLSSD", 3, 1 },
53527		{ "RXDOBS", 2, 1 },
53528		{ "ACJZPT", 1, 1 },
53529		{ "ACJZNT", 0, 1 },
53530	{ "MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_1", 0x3b6fc, 0 },
53531		{ "PHSLOCK", 10, 1 },
53532		{ "TESTMODE", 9, 1 },
53533		{ "CALMODE", 8, 1 },
53534		{ "AMPSEL", 7, 1 },
53535		{ "WHICHNRZ", 6, 1 },
53536		{ "BANKA", 5, 1 },
53537		{ "BANKB", 4, 1 },
53538		{ "ACJPDP", 3, 1 },
53539		{ "ACJPDN", 2, 1 },
53540		{ "LSSDT", 1, 1 },
53541		{ "MTHOLD", 0, 1 },
53542	{ "MAC_PORT_RX_LINKD_RECEIVER_CONFIGURATION_MODE", 0x3b700, 0 },
53543		{ "T5_RX_LINKEN", 15, 1 },
53544		{ "T5_RX_LINKRST", 14, 1 },
53545		{ "T5_RX_CFGWRT", 13, 1 },
53546		{ "T5_RX_CFGPTR", 11, 2 },
53547		{ "T5_RX_CFGEXT", 10, 1 },
53548		{ "T5_RX_CFGACT", 9, 1 },
53549		{ "T5_RX_AUXCLK", 8, 1 },
53550		{ "T5_RX_PLLSEL", 6, 2 },
53551		{ "T5_RX_DMSEL", 4, 2 },
53552		{ "T5_RX_BWSEL", 2, 2 },
53553		{ "T5_RX_RTSEL", 0, 2 },
53554	{ "MAC_PORT_RX_LINKD_RECEIVER_TEST_CONTROL", 0x3b704, 0 },
53555		{ "RCLKEN", 15, 1 },
53556		{ "RRATE", 13, 2 },
53557		{ "FERRST", 10, 1 },
53558		{ "ERRST", 9, 1 },
53559		{ "SYNCST", 8, 1 },
53560		{ "WRPSM", 7, 1 },
53561		{ "WPLPEN", 6, 1 },
53562		{ "WRPMD", 5, 1 },
53563		{ "PRST", 4, 1 },
53564		{ "PCHKEN", 3, 1 },
53565		{ "PATSEL", 0, 3 },
53566	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_CONTROL", 0x3b708, 0 },
53567		{ "FTHROT", 12, 4 },
53568		{ "RTHROT", 11, 1 },
53569		{ "FILTCTL", 7, 4 },
53570		{ "RSRVO", 5, 2 },
53571		{ "EXTEL", 4, 1 },
53572		{ "RSTUCK", 3, 1 },
53573		{ "FRZFW", 2, 1 },
53574		{ "RSTFW", 1, 1 },
53575		{ "SSCEN", 0, 1 },
53576	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_OFFSET_CONTROL", 0x3b70c, 0 },
53577		{ "RSNP", 11, 1 },
53578		{ "TSOEN", 10, 1 },
53579		{ "OFFEN", 9, 1 },
53580		{ "TMSCAL", 7, 2 },
53581		{ "APADJ", 6, 1 },
53582		{ "RSEL", 5, 1 },
53583		{ "PHOFFS", 0, 5 },
53584	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_1", 0x3b710, 0 },
53585		{ "ROT0A", 8, 6 },
53586		{ "ROT00", 0, 6 },
53587	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_2", 0x3b714, 0 },
53588		{ "FREQFW", 8, 8 },
53589		{ "FWSNAP", 7, 1 },
53590		{ "ROT90", 0, 6 },
53591	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x3b718, 0 },
53592		{ "RCALER", 15, 1 },
53593		{ "RAOOFF", 10, 5 },
53594		{ "RAEOFF", 5, 5 },
53595		{ "RDOFF", 0, 5 },
53596	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3b71c, 0 },
53597		{ "RCALER", 15, 1 },
53598		{ "RBOOFF", 10, 5 },
53599		{ "RBEOFF", 5, 5 },
53600		{ "RDOFF", 0, 5 },
53601	{ "MAC_PORT_RX_LINKD_DFE_CONTROL", 0x3b720, 0 },
53602		{ "REQCMP", 15, 1 },
53603		{ "DFEREQ", 14, 1 },
53604		{ "SPCEN", 13, 1 },
53605		{ "GATEEN", 12, 1 },
53606		{ "SPIFMT", 9, 3 },
53607		{ "DFEPWR", 6, 3 },
53608		{ "STNDBY", 5, 1 },
53609		{ "FRCH", 4, 1 },
53610		{ "NONRND", 3, 1 },
53611		{ "NONRNF", 2, 1 },
53612		{ "FSTLCK", 1, 1 },
53613		{ "DFERST", 0, 1 },
53614	{ "MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_1", 0x3b724, 0 },
53615		{ "T5BYTE1", 8, 8 },
53616		{ "T5BYTE0", 0, 8 },
53617	{ "MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_2", 0x3b728, 0 },
53618		{ "T5_RX_SMODE", 8, 3 },
53619		{ "T5_RX_ADCORR", 7, 1 },
53620		{ "T5_RX_TRAINEN", 6, 1 },
53621		{ "T5_RX_ASAMPQ", 3, 3 },
53622		{ "T5_RX_ASAMP", 0, 3 },
53623	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_1", 0x3b72c, 0 },
53624		{ "POLE", 12, 2 },
53625		{ "PEAK", 8, 3 },
53626		{ "VOFFSN", 6, 2 },
53627		{ "VOFFA", 0, 6 },
53628	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_2", 0x3b730, 0 },
53629		{ "T5SHORTV", 10, 1 },
53630		{ "T5VGAIN", 0, 5 },
53631	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_3", 0x3b734, 0 },
53632		{ "HBND1", 10, 1 },
53633		{ "HBND0", 9, 1 },
53634		{ "VLCKD", 8, 1 },
53635		{ "VLCKDF", 7, 1 },
53636		{ "AMAXT", 0, 7 },
53637	{ "MAC_PORT_RX_LINKD_RECEIVER_DQCC_CONTROL_1", 0x3b738, 0 },
53638		{ "IQSEP", 10, 5 },
53639		{ "DUTYQ", 5, 5 },
53640		{ "DUTYI", 0, 5 },
53641	{ "MAC_PORT_RX_LINKD_RECEIVER_DQCC_CONTROL_3", 0x3b740, 0 },
53642		{ "DTHR", 8, 6 },
53643		{ "SNUL", 0, 5 },
53644	{ "MAC_PORT_RX_LINKD_RECEIVER_DACAP_AND_DACAN", 0x3b748, 0 },
53645		{ "DACAN", 8, 8 },
53646		{ "DACAP", 0, 8 },
53647	{ "MAC_PORT_RX_LINKD_RECEIVER_DACA_MIN_AND_DACAZ", 0x3b74c, 0 },
53648		{ "DACAZ", 8, 8 },
53649		{ "DACAM", 0, 8 },
53650	{ "MAC_PORT_RX_LINKD_RECEIVER_ADAC_CONTROL", 0x3b750, 0 },
53651		{ "ADSN_ReadWrite", 8, 1 },
53652		{ "ADSN_ReadOnly", 7, 1 },
53653		{ "ADMAG", 0, 7 },
53654	{ "MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3b75c, 0 },
53655		{ "H1O2", 8, 6 },
53656		{ "H1E2", 0, 6 },
53657	{ "MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x3b760, 0 },
53658		{ "H1O3", 8, 6 },
53659		{ "H1E3", 0, 6 },
53660	{ "MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x3b764, 0 },
53661		{ "H1O4", 8, 6 },
53662		{ "H1E4", 0, 6 },
53663	{ "MAC_PORT_RX_LINKD_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x3b770, 0 },
53664		{ "DPCMD", 14, 1 },
53665		{ "DPCCVG", 13, 1 },
53666		{ "DACCVG", 12, 1 },
53667		{ "DPCTGT", 9, 3 },
53668		{ "BLKH1T", 8, 1 },
53669		{ "BLKOAE", 7, 1 },
53670		{ "H1TGT", 4, 3 },
53671		{ "OAE", 0, 4 },
53672	{ "MAC_PORT_RX_LINKD_DYNAMIC_DATA_CENTERING_DDC", 0x3b774, 0 },
53673		{ "OLS", 11, 5 },
53674		{ "OES", 6, 5 },
53675		{ "BLKODEC", 5, 1 },
53676		{ "ODEC", 0, 5 },
53677	{ "MAC_PORT_RX_LINKD_RECEIVER_INTERNAL_STATUS", 0x3b778, 0 },
53678		{ "T5BER6VAL", 15, 1 },
53679		{ "T5BER6", 14, 1 },
53680		{ "T5BER3VAL", 13, 1 },
53681		{ "T5TOOFAST", 12, 1 },
53682		{ "T5DPCCMP", 9, 1 },
53683		{ "T5DACCMP", 8, 1 },
53684		{ "T5DDCCMP", 7, 1 },
53685		{ "T5AERRFLG", 6, 1 },
53686		{ "T5WERRFLG", 5, 1 },
53687		{ "T5TRCMP", 4, 1 },
53688		{ "T5VLCKF", 3, 1 },
53689		{ "T5ROCCMP", 2, 1 },
53690		{ "T5DQCCCMP", 1, 1 },
53691		{ "T5OCCMP", 0, 1 },
53692	{ "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_1", 0x3b77c, 0 },
53693		{ "FDPC", 15, 1 },
53694		{ "FDAC", 14, 1 },
53695		{ "FDDC", 13, 1 },
53696		{ "FNRND", 12, 1 },
53697		{ "FVGAIN", 11, 1 },
53698		{ "FVOFF", 10, 1 },
53699		{ "FSDET", 9, 1 },
53700		{ "FBER6", 8, 1 },
53701		{ "FROTO", 7, 1 },
53702		{ "FH4H5", 6, 1 },
53703		{ "FH2H3", 5, 1 },
53704		{ "FH1", 4, 1 },
53705		{ "FH1SN", 3, 1 },
53706		{ "FNRDF", 2, 1 },
53707		{ "FLOFF", 1, 1 },
53708		{ "FADAC", 0, 1 },
53709	{ "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_2", 0x3b780, 0 },
53710		{ "H25SPC", 15, 1 },
53711		{ "FTOOFAST", 8, 1 },
53712		{ "FINTTRIM", 7, 1 },
53713		{ "FDINV", 6, 1 },
53714		{ "FHGS", 5, 1 },
53715		{ "FH6H12", 4, 1 },
53716		{ "FH1CAL", 3, 1 },
53717		{ "FINTCAL", 2, 1 },
53718		{ "FDCA", 1, 1 },
53719		{ "FDQCC", 0, 1 },
53720	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_EVN1_EVN2", 0x3b784, 0 },
53721		{ "LOFE2S_ReadWrite", 16, 1 },
53722		{ "LOFE2S_ReadOnly", 14, 2 },
53723		{ "LOFE2", 8, 6 },
53724		{ "LOFE1S_ReadWrite", 7, 1 },
53725		{ "LOFE1S_ReadOnly", 6, 1 },
53726		{ "LOFE1", 0, 6 },
53727	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_ODD1_ODD2", 0x3b788, 0 },
53728		{ "LOFO2S_ReadWrite", 15, 1 },
53729		{ "LOFO2S_ReadOnly", 14, 1 },
53730		{ "LOFO2", 8, 6 },
53731		{ "LOFO1S_ReadWrite", 7, 1 },
53732		{ "LOFO1S_ReadOnly", 6, 1 },
53733		{ "LOFO1", 0, 6 },
53734	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_EVN3_EVN4", 0x3b78c, 0 },
53735		{ "LOFE4S_ReadWrite", 15, 1 },
53736		{ "LOFE4S_ReadOnly", 14, 1 },
53737		{ "LOFE", 8, 6 },
53738		{ "LOFE3S_ReadWrite", 7, 1 },
53739		{ "LOFE3S_ReadOnly", 6, 1 },
53740		{ "LOFE3", 0, 6 },
53741	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_ODD3_ODD4", 0x3b790, 0 },
53742		{ "LOFO4S_ReadWrite", 15, 1 },
53743		{ "LOFO4S_ReadOnly", 14, 1 },
53744		{ "LOFO4", 8, 6 },
53745		{ "LOFO3S_ReadWrite", 7, 1 },
53746		{ "LOFO3S_ReadOnly", 6, 1 },
53747		{ "LOFO3", 0, 6 },
53748	{ "MAC_PORT_RX_LINKD_DFE_E0_AND_E1_OFFSET", 0x3b794, 0 },
53749		{ "T5E1SN_ReadWrite", 15, 1 },
53750		{ "T5E1SN_ReadOnly", 14, 1 },
53751		{ "T5E1AMP", 8, 6 },
53752		{ "T5E0SN_ReadWrite", 7, 1 },
53753		{ "T5E0SN_ReadOnly", 6, 1 },
53754		{ "T5E0AMP", 0, 6 },
53755	{ "MAC_PORT_RX_LINKD_RECEIVER_LOFF_CONTROL", 0x3b798, 0 },
53756		{ "T5LFREG", 12, 1 },
53757		{ "T5LFRC", 11, 1 },
53758		{ "T5LFSEL", 8, 3 },
53759	{ "MAC_PORT_RX_LINKD_RECEIVER_SIGDET_CONTROL", 0x3b79c, 0 },
53760		{ "OFFSN_ReadWrite", 14, 1 },
53761		{ "OFFSN_ReadOnly", 13, 1 },
53762		{ "OFFAMP", 8, 5 },
53763		{ "SDACDC", 7, 1 },
53764		{ "SDPDN", 6, 1 },
53765		{ "SIGDET", 5, 1 },
53766		{ "SDLVL", 0, 5 },
53767	{ "MAC_PORT_RX_LINKD_RECEIVER_ANALOG_CONTROL_SWITCH", 0x3b7a0, 0 },
53768		{ "T5_RX_SETHDIS", 7, 1 },
53769		{ "T5_RX_PDTERM", 6, 1 },
53770		{ "T5_RX_BYPASS", 5, 1 },
53771		{ "T5_RX_LPFEN", 4, 1 },
53772		{ "T5_RX_VGABOD", 3, 1 },
53773		{ "T5_RX_VTBYP", 2, 1 },
53774		{ "T5_RX_VTERM", 0, 2 },
53775	{ "MAC_PORT_RX_LINKD_INTEGRATOR_DAC_OFFSET", 0x3b7a4, 0 },
53776		{ "ISTRIMS", 14, 2 },
53777		{ "ISTRIM", 8, 6 },
53778		{ "HALF1", 7, 1 },
53779		{ "HALF2", 6, 1 },
53780		{ "INTDAC", 0, 6 },
53781	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_CONTROL", 0x3b7a8, 0 },
53782		{ "BLKAZ", 15, 1 },
53783		{ "WIDTH", 10, 5 },
53784		{ "MINWDTH", 5, 5 },
53785		{ "MINAMP", 0, 5 },
53786	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS", 0x3b7ac, 0 },
53787		{ "T5SMQM", 13, 3 },
53788		{ "T5SMQ", 5, 8 },
53789		{ "T5EMMD", 3, 2 },
53790		{ "T5EMBRDY", 2, 1 },
53791		{ "T5EMBUMP", 1, 1 },
53792		{ "T5EMEN", 0, 1 },
53793	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x3b7b0, 0 },
53794		{ "EMF8", 15, 1 },
53795		{ "EMCNT", 4, 8 },
53796		{ "EMOFLO", 2, 1 },
53797		{ "EMCRST", 1, 1 },
53798		{ "EMCEN", 0, 1 },
53799	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x3b7b4, 0 },
53800		{ "SM2RDY", 15, 1 },
53801		{ "SM2RST", 14, 1 },
53802		{ "APDF", 0, 12 },
53803	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x3b7b8, 0 },
53804	{ "MAC_PORT_RX_LINKD_DFE_TAP_ENABLE", 0x3b7c0, 0 },
53805		{ "H_EN", 1, 12 },
53806	{ "MAC_PORT_RX_LINKD_DFE_H1", 0x3b7c4, 0 },
53807		{ "H1OSN", 14, 2 },
53808		{ "H1OMAG", 8, 6 },
53809		{ "H1ESN", 6, 2 },
53810		{ "H1EMAG", 0, 6 },
53811	{ "MAC_PORT_RX_LINKD_DFE_H2", 0x3b7c8, 0 },
53812		{ "H2OSN_ReadWrite", 14, 1 },
53813		{ "H2OSN_ReadOnly", 13, 1 },
53814		{ "H2OMAG", 8, 5 },
53815		{ "H2ESN_ReadWrite", 6, 1 },
53816		{ "H2ESN_ReadOnly", 5, 1 },
53817		{ "H2EMAG", 0, 5 },
53818	{ "MAC_PORT_RX_LINKD_DFE_H3", 0x3b7cc, 0 },
53819		{ "H3OSN_ReadWrite", 13, 1 },
53820		{ "H3OSN_ReadOnly", 12, 1 },
53821		{ "H3OMAG", 8, 4 },
53822		{ "H3ESN_ReadWrite", 5, 1 },
53823		{ "H3ESN_ReadOnly", 4, 1 },
53824		{ "H3EMAG", 0, 4 },
53825	{ "MAC_PORT_RX_LINKD_DFE_H4", 0x3b7d0, 0 },
53826		{ "H4OGS", 14, 2 },
53827		{ "H4OSN_ReadWrite", 13, 1 },
53828		{ "H4OSN_ReadOnly", 12, 1 },
53829		{ "H4OMAG", 8, 4 },
53830		{ "H4EGS", 6, 2 },
53831		{ "H4ESN_ReadWrite", 5, 1 },
53832		{ "H4ESN_ReadOnly", 4, 1 },
53833		{ "H4EMAG", 0, 4 },
53834	{ "MAC_PORT_RX_LINKD_DFE_H5", 0x3b7d4, 0 },
53835		{ "H5OGS", 14, 2 },
53836		{ "H5OSN_ReadWrite", 13, 1 },
53837		{ "H5OSN_ReadOnly", 12, 1 },
53838		{ "H5OMAG", 8, 4 },
53839		{ "H5EGS", 6, 2 },
53840		{ "H5ESN_ReadWrite", 5, 1 },
53841		{ "H5ESN_ReadOnly", 4, 1 },
53842		{ "H5EMAG", 0, 4 },
53843	{ "MAC_PORT_RX_LINKD_DFE_H6_AND_H7", 0x3b7d8, 0 },
53844		{ "H7GS", 14, 2 },
53845		{ "H7SN_ReadWrite", 13, 1 },
53846		{ "H7SN_ReadOnly", 12, 1 },
53847		{ "H7MAG", 8, 4 },
53848		{ "H6GS", 6, 2 },
53849		{ "H6SN_ReadWrite", 5, 1 },
53850		{ "H6SN_ReadOnly", 4, 1 },
53851		{ "H6MAG", 0, 4 },
53852	{ "MAC_PORT_RX_LINKD_DFE_H8_AND_H9", 0x3b7dc, 0 },
53853		{ "H9GS", 14, 2 },
53854		{ "H9SN_ReadWrite", 13, 1 },
53855		{ "H9SN_ReadOnly", 12, 1 },
53856		{ "H9MAG", 8, 4 },
53857		{ "H8GS", 6, 2 },
53858		{ "H8SN_ReadWrite", 5, 1 },
53859		{ "H8SN_ReadOnly", 4, 1 },
53860		{ "H8MAG", 0, 4 },
53861	{ "MAC_PORT_RX_LINKD_DFE_H10_AND_H11", 0x3b7e0, 0 },
53862		{ "H11GS", 14, 2 },
53863		{ "H11SN_ReadWrite", 13, 1 },
53864		{ "H11SN_ReadOnly", 12, 1 },
53865		{ "H11MAG", 8, 4 },
53866		{ "H10GS", 6, 2 },
53867		{ "H10SN_ReadWrite", 5, 1 },
53868		{ "H10SN_ReadOnly", 4, 1 },
53869		{ "H10MAG", 0, 4 },
53870	{ "MAC_PORT_RX_LINKD_DFE_H12", 0x3b7e4, 0 },
53871		{ "H12GS", 6, 2 },
53872		{ "H12SN_ReadWrite", 5, 1 },
53873		{ "H12SN_ReadOnly", 4, 1 },
53874		{ "H12MAG", 0, 4 },
53875	{ "MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_2", 0x3b7f8, 0 },
53876		{ "DFEDACLSSD", 6, 1 },
53877		{ "SDLSSD", 5, 1 },
53878		{ "DFEOBSBIAS", 4, 1 },
53879		{ "GBOFSTLSSD", 3, 1 },
53880		{ "RXDOBS", 2, 1 },
53881		{ "ACJZPT", 1, 1 },
53882		{ "ACJZNT", 0, 1 },
53883	{ "MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_1", 0x3b7fc, 0 },
53884		{ "PHSLOCK", 10, 1 },
53885		{ "TESTMODE", 9, 1 },
53886		{ "CALMODE", 8, 1 },
53887		{ "AMPSEL", 7, 1 },
53888		{ "WHICHNRZ", 6, 1 },
53889		{ "BANKA", 5, 1 },
53890		{ "BANKB", 4, 1 },
53891		{ "ACJPDP", 3, 1 },
53892		{ "ACJPDN", 2, 1 },
53893		{ "LSSDT", 1, 1 },
53894		{ "MTHOLD", 0, 1 },
53895	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_CONFIGURATION_MODE", 0x3ba00, 0 },
53896		{ "T5_RX_LINKEN", 15, 1 },
53897		{ "T5_RX_LINKRST", 14, 1 },
53898		{ "T5_RX_CFGWRT", 13, 1 },
53899		{ "T5_RX_CFGPTR", 11, 2 },
53900		{ "T5_RX_CFGEXT", 10, 1 },
53901		{ "T5_RX_CFGACT", 9, 1 },
53902		{ "T5_RX_AUXCLK", 8, 1 },
53903		{ "T5_RX_PLLSEL", 6, 2 },
53904		{ "T5_RX_DMSEL", 4, 2 },
53905		{ "T5_RX_BWSEL", 2, 2 },
53906		{ "T5_RX_RTSEL", 0, 2 },
53907	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_TEST_CONTROL", 0x3ba04, 0 },
53908		{ "RCLKEN", 15, 1 },
53909		{ "RRATE", 13, 2 },
53910		{ "FERRST", 10, 1 },
53911		{ "ERRST", 9, 1 },
53912		{ "SYNCST", 8, 1 },
53913		{ "WRPSM", 7, 1 },
53914		{ "WPLPEN", 6, 1 },
53915		{ "WRPMD", 5, 1 },
53916		{ "PRST", 4, 1 },
53917		{ "PCHKEN", 3, 1 },
53918		{ "PATSEL", 0, 3 },
53919	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_CONTROL", 0x3ba08, 0 },
53920		{ "FTHROT", 12, 4 },
53921		{ "RTHROT", 11, 1 },
53922		{ "FILTCTL", 7, 4 },
53923		{ "RSRVO", 5, 2 },
53924		{ "EXTEL", 4, 1 },
53925		{ "RSTUCK", 3, 1 },
53926		{ "FRZFW", 2, 1 },
53927		{ "RSTFW", 1, 1 },
53928		{ "SSCEN", 0, 1 },
53929	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_OFFSET_CONTROL", 0x3ba0c, 0 },
53930		{ "RSNP", 11, 1 },
53931		{ "TSOEN", 10, 1 },
53932		{ "OFFEN", 9, 1 },
53933		{ "TMSCAL", 7, 2 },
53934		{ "APADJ", 6, 1 },
53935		{ "RSEL", 5, 1 },
53936		{ "PHOFFS", 0, 5 },
53937	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_1", 0x3ba10, 0 },
53938		{ "ROT0A", 8, 6 },
53939		{ "ROT00", 0, 6 },
53940	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_2", 0x3ba14, 0 },
53941		{ "FREQFW", 8, 8 },
53942		{ "FWSNAP", 7, 1 },
53943		{ "ROT90", 0, 6 },
53944	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x3ba18, 0 },
53945		{ "RCALER", 15, 1 },
53946		{ "RAOOFF", 10, 5 },
53947		{ "RAEOFF", 5, 5 },
53948		{ "RDOFF", 0, 5 },
53949	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3ba1c, 0 },
53950		{ "RCALER", 15, 1 },
53951		{ "RBOOFF", 10, 5 },
53952		{ "RBEOFF", 5, 5 },
53953		{ "RDOFF", 0, 5 },
53954	{ "MAC_PORT_RX_LINK_BCST_DFE_CONTROL", 0x3ba20, 0 },
53955		{ "REQCMP", 15, 1 },
53956		{ "DFEREQ", 14, 1 },
53957		{ "SPCEN", 13, 1 },
53958		{ "GATEEN", 12, 1 },
53959		{ "SPIFMT", 9, 3 },
53960		{ "DFEPWR", 6, 3 },
53961		{ "STNDBY", 5, 1 },
53962		{ "FRCH", 4, 1 },
53963		{ "NONRND", 3, 1 },
53964		{ "NONRNF", 2, 1 },
53965		{ "FSTLCK", 1, 1 },
53966		{ "DFERST", 0, 1 },
53967	{ "MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_1", 0x3ba24, 0 },
53968		{ "T5BYTE1", 8, 8 },
53969		{ "T5BYTE0", 0, 8 },
53970	{ "MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_2", 0x3ba28, 0 },
53971		{ "T5_RX_SMODE", 8, 3 },
53972		{ "T5_RX_ADCORR", 7, 1 },
53973		{ "T5_RX_TRAINEN", 6, 1 },
53974		{ "T5_RX_ASAMPQ", 3, 3 },
53975		{ "T5_RX_ASAMP", 0, 3 },
53976	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_1", 0x3ba2c, 0 },
53977		{ "POLE", 12, 2 },
53978		{ "PEAK", 8, 3 },
53979		{ "VOFFSN", 6, 2 },
53980		{ "VOFFA", 0, 6 },
53981	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_2", 0x3ba30, 0 },
53982		{ "T5SHORTV", 10, 1 },
53983		{ "T5VGAIN", 0, 5 },
53984	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_3", 0x3ba34, 0 },
53985		{ "HBND1", 10, 1 },
53986		{ "HBND0", 9, 1 },
53987		{ "VLCKD", 8, 1 },
53988		{ "VLCKDF", 7, 1 },
53989		{ "AMAXT", 0, 7 },
53990	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DQCC_CONTROL_1", 0x3ba38, 0 },
53991		{ "IQSEP", 10, 5 },
53992		{ "DUTYQ", 5, 5 },
53993		{ "DUTYI", 0, 5 },
53994	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DQCC_CONTROL_3", 0x3ba40, 0 },
53995		{ "DTHR", 8, 6 },
53996		{ "SNUL", 0, 5 },
53997	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DACAP_AND_DACAN", 0x3ba48, 0 },
53998		{ "DACAN", 8, 8 },
53999		{ "DACAP", 0, 8 },
54000	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DACA_MIN_AND_DACAZ", 0x3ba4c, 0 },
54001		{ "DACAZ", 8, 8 },
54002		{ "DACAM", 0, 8 },
54003	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_ADAC_CONTROL", 0x3ba50, 0 },
54004		{ "ADSN_ReadWrite", 8, 1 },
54005		{ "ADSN_ReadOnly", 7, 1 },
54006		{ "ADMAG", 0, 7 },
54007	{ "MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3ba5c, 0 },
54008		{ "H1O2", 8, 6 },
54009		{ "H1E2", 0, 6 },
54010	{ "MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x3ba60, 0 },
54011		{ "H1O3", 8, 6 },
54012		{ "H1E3", 0, 6 },
54013	{ "MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x3ba64, 0 },
54014		{ "H1O4", 8, 6 },
54015		{ "H1E4", 0, 6 },
54016	{ "MAC_PORT_RX_LINK_BCST_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x3ba70, 0 },
54017		{ "DPCMD", 14, 1 },
54018		{ "DPCCVG", 13, 1 },
54019		{ "DACCVG", 12, 1 },
54020		{ "DPCTGT", 9, 3 },
54021		{ "BLKH1T", 8, 1 },
54022		{ "BLKOAE", 7, 1 },
54023		{ "H1TGT", 4, 3 },
54024		{ "OAE", 0, 4 },
54025	{ "MAC_PORT_RX_LINK_BCST_DYNAMIC_DATA_CENTERING_DDC", 0x3ba74, 0 },
54026		{ "OLS", 11, 5 },
54027		{ "OES", 6, 5 },
54028		{ "BLKODEC", 5, 1 },
54029		{ "ODEC", 0, 5 },
54030	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_INTERNAL_STATUS", 0x3ba78, 0 },
54031		{ "T5BER6VAL", 15, 1 },
54032		{ "T5BER6", 14, 1 },
54033		{ "T5BER3VAL", 13, 1 },
54034		{ "T5TOOFAST", 12, 1 },
54035		{ "T5DPCCMP", 9, 1 },
54036		{ "T5DACCMP", 8, 1 },
54037		{ "T5DDCCMP", 7, 1 },
54038		{ "T5AERRFLG", 6, 1 },
54039		{ "T5WERRFLG", 5, 1 },
54040		{ "T5TRCMP", 4, 1 },
54041		{ "T5VLCKF", 3, 1 },
54042		{ "T5ROCCMP", 2, 1 },
54043		{ "T5DQCCCMP", 1, 1 },
54044		{ "T5OCCMP", 0, 1 },
54045	{ "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_1", 0x3ba7c, 0 },
54046		{ "FDPC", 15, 1 },
54047		{ "FDAC", 14, 1 },
54048		{ "FDDC", 13, 1 },
54049		{ "FNRND", 12, 1 },
54050		{ "FVGAIN", 11, 1 },
54051		{ "FVOFF", 10, 1 },
54052		{ "FSDET", 9, 1 },
54053		{ "FBER6", 8, 1 },
54054		{ "FROTO", 7, 1 },
54055		{ "FH4H5", 6, 1 },
54056		{ "FH2H3", 5, 1 },
54057		{ "FH1", 4, 1 },
54058		{ "FH1SN", 3, 1 },
54059		{ "FNRDF", 2, 1 },
54060		{ "FLOFF", 1, 1 },
54061		{ "FADAC", 0, 1 },
54062	{ "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_2", 0x3ba80, 0 },
54063		{ "H25SPC", 15, 1 },
54064		{ "FTOOFAST", 8, 1 },
54065		{ "FINTTRIM", 7, 1 },
54066		{ "FDINV", 6, 1 },
54067		{ "FHGS", 5, 1 },
54068		{ "FH6H12", 4, 1 },
54069		{ "FH1CAL", 3, 1 },
54070		{ "FINTCAL", 2, 1 },
54071		{ "FDCA", 1, 1 },
54072		{ "FDQCC", 0, 1 },
54073	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_EVN1_EVN2", 0x3ba84, 0 },
54074		{ "LOFE2S_ReadWrite", 16, 1 },
54075		{ "LOFE2S_ReadOnly", 14, 2 },
54076		{ "LOFE2", 8, 6 },
54077		{ "LOFE1S_ReadWrite", 7, 1 },
54078		{ "LOFE1S_ReadOnly", 6, 1 },
54079		{ "LOFE1", 0, 6 },
54080	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_ODD1_ODD2", 0x3ba88, 0 },
54081		{ "LOFO2S_ReadWrite", 15, 1 },
54082		{ "LOFO2S_ReadOnly", 14, 1 },
54083		{ "LOFO2", 8, 6 },
54084		{ "LOFO1S_ReadWrite", 7, 1 },
54085		{ "LOFO1S_ReadOnly", 6, 1 },
54086		{ "LOFO1", 0, 6 },
54087	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_EVN3_EVN4", 0x3ba8c, 0 },
54088		{ "LOFE4S_ReadWrite", 15, 1 },
54089		{ "LOFE4S_ReadOnly", 14, 1 },
54090		{ "LOFE", 8, 6 },
54091		{ "LOFE3S_ReadWrite", 7, 1 },
54092		{ "LOFE3S_ReadOnly", 6, 1 },
54093		{ "LOFE3", 0, 6 },
54094	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_ODD3_ODD4", 0x3ba90, 0 },
54095		{ "LOFO4S_ReadWrite", 15, 1 },
54096		{ "LOFO4S_ReadOnly", 14, 1 },
54097		{ "LOFO4", 8, 6 },
54098		{ "LOFO3S_ReadWrite", 7, 1 },
54099		{ "LOFO3S_ReadOnly", 6, 1 },
54100		{ "LOFO3", 0, 6 },
54101	{ "MAC_PORT_RX_LINK_BCST_DFE_E0_AND_E1_OFFSET", 0x3ba94, 0 },
54102		{ "T5E1SN_ReadWrite", 15, 1 },
54103		{ "T5E1SN_ReadOnly", 14, 1 },
54104		{ "T5E1AMP", 8, 6 },
54105		{ "T5E0SN_ReadWrite", 7, 1 },
54106		{ "T5E0SN_ReadOnly", 6, 1 },
54107		{ "T5E0AMP", 0, 6 },
54108	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_LOFF_CONTROL", 0x3ba98, 0 },
54109		{ "T5LFREG", 12, 1 },
54110		{ "T5LFRC", 11, 1 },
54111		{ "T5LFSEL", 8, 3 },
54112	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_SIGDET_CONTROL", 0x3ba9c, 0 },
54113		{ "OFFSN_ReadWrite", 14, 1 },
54114		{ "OFFSN_ReadOnly", 13, 1 },
54115		{ "OFFAMP", 8, 5 },
54116		{ "SDACDC", 7, 1 },
54117		{ "SDPDN", 6, 1 },
54118		{ "SIGDET", 5, 1 },
54119		{ "SDLVL", 0, 5 },
54120	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_ANALOG_CONTROL_SWITCH", 0x3baa0, 0 },
54121		{ "T5_RX_SETHDIS", 7, 1 },
54122		{ "T5_RX_PDTERM", 6, 1 },
54123		{ "T5_RX_BYPASS", 5, 1 },
54124		{ "T5_RX_LPFEN", 4, 1 },
54125		{ "T5_RX_VGABOD", 3, 1 },
54126		{ "T5_RX_VTBYP", 2, 1 },
54127		{ "T5_RX_VTERM", 0, 2 },
54128	{ "MAC_PORT_RX_LINK_BCST_INTEGRATOR_DAC_OFFSET", 0x3baa4, 0 },
54129		{ "ISTRIMS", 14, 2 },
54130		{ "ISTRIM", 8, 6 },
54131		{ "HALF1", 7, 1 },
54132		{ "HALF2", 6, 1 },
54133		{ "INTDAC", 0, 6 },
54134	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_CONTROL", 0x3baa8, 0 },
54135		{ "BLKAZ", 15, 1 },
54136		{ "WIDTH", 10, 5 },
54137		{ "MINWDTH", 5, 5 },
54138		{ "MINAMP", 0, 5 },
54139	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS", 0x3baac, 0 },
54140		{ "T5SMQM", 13, 3 },
54141		{ "T5SMQ", 5, 8 },
54142		{ "T5EMMD", 3, 2 },
54143		{ "T5EMBRDY", 2, 1 },
54144		{ "T5EMBUMP", 1, 1 },
54145		{ "T5EMEN", 0, 1 },
54146	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x3bab0, 0 },
54147		{ "EMF8", 15, 1 },
54148		{ "EMCNT", 4, 8 },
54149		{ "EMOFLO", 2, 1 },
54150		{ "EMCRST", 1, 1 },
54151		{ "EMCEN", 0, 1 },
54152	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x3bab4, 0 },
54153		{ "SM2RDY", 15, 1 },
54154		{ "SM2RST", 14, 1 },
54155		{ "APDF", 0, 12 },
54156	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x3bab8, 0 },
54157	{ "MAC_PORT_RX_LINK_BCST_DFE_TAP_ENABLE", 0x3bac0, 0 },
54158		{ "H_EN", 1, 12 },
54159	{ "MAC_PORT_RX_LINK_BCST_DFE_H1", 0x3bac4, 0 },
54160		{ "H1OSN", 14, 2 },
54161		{ "H1OMAG", 8, 6 },
54162		{ "H1ESN", 6, 2 },
54163		{ "H1EMAG", 0, 6 },
54164	{ "MAC_PORT_RX_LINK_BCST_DFE_H2", 0x3bac8, 0 },
54165		{ "H2OSN_ReadWrite", 14, 1 },
54166		{ "H2OSN_ReadOnly", 13, 1 },
54167		{ "H2OMAG", 8, 5 },
54168		{ "H2ESN_ReadWrite", 6, 1 },
54169		{ "H2ESN_ReadOnly", 5, 1 },
54170		{ "H2EMAG", 0, 5 },
54171	{ "MAC_PORT_RX_LINK_BCST_DFE_H3", 0x3bacc, 0 },
54172		{ "H3OSN_ReadWrite", 13, 1 },
54173		{ "H3OSN_ReadOnly", 12, 1 },
54174		{ "H3OMAG", 8, 4 },
54175		{ "H3ESN_ReadWrite", 5, 1 },
54176		{ "H3ESN_ReadOnly", 4, 1 },
54177		{ "H3EMAG", 0, 4 },
54178	{ "MAC_PORT_RX_LINK_BCST_DFE_H4", 0x3bad0, 0 },
54179		{ "H4OGS", 14, 2 },
54180		{ "H4OSN_ReadWrite", 13, 1 },
54181		{ "H4OSN_ReadOnly", 12, 1 },
54182		{ "H4OMAG", 8, 4 },
54183		{ "H4EGS", 6, 2 },
54184		{ "H4ESN_ReadWrite", 5, 1 },
54185		{ "H4ESN_ReadOnly", 4, 1 },
54186		{ "H4EMAG", 0, 4 },
54187	{ "MAC_PORT_RX_LINK_BCST_DFE_H5", 0x3bad4, 0 },
54188		{ "H5OGS", 14, 2 },
54189		{ "H5OSN_ReadWrite", 13, 1 },
54190		{ "H5OSN_ReadOnly", 12, 1 },
54191		{ "H5OMAG", 8, 4 },
54192		{ "H5EGS", 6, 2 },
54193		{ "H5ESN_ReadWrite", 5, 1 },
54194		{ "H5ESN_ReadOnly", 4, 1 },
54195		{ "H5EMAG", 0, 4 },
54196	{ "MAC_PORT_RX_LINK_BCST_DFE_H6_AND_H7", 0x3bad8, 0 },
54197		{ "H7GS", 14, 2 },
54198		{ "H7SN_ReadWrite", 13, 1 },
54199		{ "H7SN_ReadOnly", 12, 1 },
54200		{ "H7MAG", 8, 4 },
54201		{ "H6GS", 6, 2 },
54202		{ "H6SN_ReadWrite", 5, 1 },
54203		{ "H6SN_ReadOnly", 4, 1 },
54204		{ "H6MAG", 0, 4 },
54205	{ "MAC_PORT_RX_LINK_BCST_DFE_H8_AND_H9", 0x3badc, 0 },
54206		{ "H9GS", 14, 2 },
54207		{ "H9SN_ReadWrite", 13, 1 },
54208		{ "H9SN_ReadOnly", 12, 1 },
54209		{ "H9MAG", 8, 4 },
54210		{ "H8GS", 6, 2 },
54211		{ "H8SN_ReadWrite", 5, 1 },
54212		{ "H8SN_ReadOnly", 4, 1 },
54213		{ "H8MAG", 0, 4 },
54214	{ "MAC_PORT_RX_LINK_BCST_DFE_H10_AND_H11", 0x3bae0, 0 },
54215		{ "H11GS", 14, 2 },
54216		{ "H11SN_ReadWrite", 13, 1 },
54217		{ "H11SN_ReadOnly", 12, 1 },
54218		{ "H11MAG", 8, 4 },
54219		{ "H10GS", 6, 2 },
54220		{ "H10SN_ReadWrite", 5, 1 },
54221		{ "H10SN_ReadOnly", 4, 1 },
54222		{ "H10MAG", 0, 4 },
54223	{ "MAC_PORT_RX_LINK_BCST_DFE_H12", 0x3bae4, 0 },
54224		{ "H12GS", 6, 2 },
54225		{ "H12SN_ReadWrite", 5, 1 },
54226		{ "H12SN_ReadOnly", 4, 1 },
54227		{ "H12MAG", 0, 4 },
54228	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_2", 0x3baf8, 0 },
54229		{ "DFEDACLSSD", 6, 1 },
54230		{ "SDLSSD", 5, 1 },
54231		{ "DFEOBSBIAS", 4, 1 },
54232		{ "GBOFSTLSSD", 3, 1 },
54233		{ "RXDOBS", 2, 1 },
54234		{ "ACJZPT", 1, 1 },
54235		{ "ACJZNT", 0, 1 },
54236	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_1", 0x3bafc, 0 },
54237		{ "PHSLOCK", 10, 1 },
54238		{ "TESTMODE", 9, 1 },
54239		{ "CALMODE", 8, 1 },
54240		{ "AMPSEL", 7, 1 },
54241		{ "WHICHNRZ", 6, 1 },
54242		{ "BANKA", 5, 1 },
54243		{ "BANKB", 4, 1 },
54244		{ "ACJPDP", 3, 1 },
54245		{ "ACJPDN", 2, 1 },
54246		{ "LSSDT", 1, 1 },
54247		{ "MTHOLD", 0, 1 },
54248	{ "MAC_PORT_CFG", 0x3c800, 0 },
54249		{ "MAC_Clk_Sel", 29, 3 },
54250		{ "SinkTx", 27, 1 },
54251		{ "SinkTxOnLinkDown", 26, 1 },
54252		{ "LoopNoFwd", 24, 1 },
54253		{ "Smux_Rx_Loop", 19, 1 },
54254		{ "Rx_Lane_Swap", 18, 1 },
54255		{ "Tx_Lane_Swap", 17, 1 },
54256		{ "Signal_Det", 14, 1 },
54257		{ "SmuxTxSel", 9, 1 },
54258		{ "SmuxRxSel", 8, 1 },
54259		{ "PortSpeed", 4, 2 },
54260		{ "Rx_Byte_Swap", 3, 1 },
54261		{ "Tx_Byte_Swap", 2, 1 },
54262		{ "Port_Sel", 0, 1 },
54263	{ "MAC_PORT_RESET_CTRL", 0x3c804, 0 },
54264		{ "TWGDSK_HSSC16B", 31, 1 },
54265		{ "EEE_RESET", 30, 1 },
54266		{ "PTP_TIMER", 29, 1 },
54267		{ "MtipRefReset", 28, 1 },
54268		{ "MtipTxffReset", 27, 1 },
54269		{ "MtipRxffReset", 26, 1 },
54270		{ "MtipRegReset", 25, 1 },
54271		{ "AEC3Reset", 23, 1 },
54272		{ "AEC2Reset", 22, 1 },
54273		{ "AEC1Reset", 21, 1 },
54274		{ "AEC0Reset", 20, 1 },
54275		{ "AET3Reset", 19, 1 },
54276		{ "AET2Reset", 18, 1 },
54277		{ "AET1Reset", 17, 1 },
54278		{ "AET0Reset", 16, 1 },
54279		{ "TXIF_Reset", 12, 1 },
54280		{ "RXIF_Reset", 11, 1 },
54281		{ "AuxExt_Reset", 10, 1 },
54282		{ "MtipSd3TxRst", 9, 1 },
54283		{ "MtipSd2TxRst", 8, 1 },
54284		{ "MtipSd1TxRst", 7, 1 },
54285		{ "MtipSd0TxRst", 6, 1 },
54286		{ "MtipSd3RxRst", 5, 1 },
54287		{ "MtipSd2RxRst", 4, 1 },
54288		{ "MtipSd1RxRst", 3, 1 },
54289		{ "WOL_Reset", 2, 1 },
54290		{ "MtipSd0RxRst", 1, 1 },
54291		{ "HSS_Reset", 0, 1 },
54292	{ "MAC_PORT_LED_CFG", 0x3c808, 0 },
54293		{ "Led1_Cfg", 5, 3 },
54294		{ "Led1_Polarity_Inv", 4, 1 },
54295		{ "Led0_Cfg", 1, 3 },
54296		{ "Led0_Polarity_Inv", 0, 1 },
54297	{ "MAC_PORT_LED_COUNTHI", 0x3c80c, 0 },
54298	{ "MAC_PORT_LED_COUNTLO", 0x3c810, 0 },
54299	{ "MAC_PORT_CFG3", 0x3c814, 0 },
54300		{ "FPGA_PTP_PORT", 26, 2 },
54301		{ "FCSDisCtrl", 25, 1 },
54302		{ "SigDetCtrl", 24, 1 },
54303		{ "tx_lane", 23, 1 },
54304		{ "rx_lane", 22, 1 },
54305		{ "se_clr", 21, 1 },
54306		{ "an_ena", 17, 4 },
54307		{ "sd_rx_clk_ena", 13, 4 },
54308		{ "sd_tx_clk_ena", 9, 4 },
54309		{ "SGMIISEL", 8, 1 },
54310		{ "HSSPLLSEL", 4, 4 },
54311		{ "HSSC16C20SEL", 0, 4 },
54312	{ "MAC_PORT_CFG2", 0x3c818, 0 },
54313		{ "Rx_Polarity_Inv", 28, 4 },
54314		{ "Tx_Polarity_Inv", 24, 4 },
54315		{ "InstanceNum", 22, 2 },
54316		{ "StopOnPerr", 21, 1 },
54317		{ "PatEn", 18, 1 },
54318		{ "MagicEn", 17, 1 },
54319		{ "T5_AEC_PMA_TX_READY", 4, 4 },
54320		{ "T5_AEC_PMA_RX_READY", 0, 4 },
54321	{ "MAC_PORT_PKT_COUNT", 0x3c81c, 0 },
54322		{ "tx_sop_count", 24, 8 },
54323		{ "tx_eop_count", 16, 8 },
54324		{ "rx_sop_count", 8, 8 },
54325		{ "rx_eop_count", 0, 8 },
54326	{ "MAC_PORT_CFG4", 0x3c820, 0 },
54327		{ "AEC3_RX_WIDTH", 14, 2 },
54328		{ "AEC2_RX_WIDTH", 12, 2 },
54329		{ "AEC1_RX_WIDTH", 10, 2 },
54330		{ "AEC0_RX_WIDTH", 8, 2 },
54331		{ "AEC3_TX_WIDTH", 6, 2 },
54332		{ "AEC2_TX_WIDTH", 4, 2 },
54333		{ "AEC1_TX_WIDTH", 2, 2 },
54334		{ "AEC0_TX_WIDTH", 0, 2 },
54335	{ "MAC_PORT_MAGIC_MACID_LO", 0x3c824, 0 },
54336	{ "MAC_PORT_MAGIC_MACID_HI", 0x3c828, 0 },
54337	{ "MAC_PORT_LINK_STATUS", 0x3c834, 0 },
54338		{ "an_done", 6, 1 },
54339		{ "align_done", 5, 1 },
54340		{ "block_lock", 4, 1 },
54341		{ "remflt", 3, 1 },
54342		{ "locflt", 2, 1 },
54343		{ "linkup", 1, 1 },
54344		{ "linkdn", 0, 1 },
54345	{ "MAC_PORT_EPIO_DATA0", 0x3c8c0, 0 },
54346	{ "MAC_PORT_EPIO_DATA1", 0x3c8c4, 0 },
54347	{ "MAC_PORT_EPIO_DATA2", 0x3c8c8, 0 },
54348	{ "MAC_PORT_EPIO_DATA3", 0x3c8cc, 0 },
54349	{ "MAC_PORT_EPIO_OP", 0x3c8d0, 0 },
54350		{ "Busy", 31, 1 },
54351		{ "Write", 8, 1 },
54352		{ "Address", 0, 8 },
54353	{ "MAC_PORT_WOL_STATUS", 0x3c8d4, 0 },
54354		{ "MagicDetected", 31, 1 },
54355		{ "PatDetected", 30, 1 },
54356		{ "ClearMagic", 4, 1 },
54357		{ "ClearMatch", 3, 1 },
54358		{ "MatchedFilter", 0, 3 },
54359	{ "MAC_PORT_INT_EN", 0x3c8d8, 0 },
54360		{ "tx_ts_avail", 29, 1 },
54361		{ "PatDetWake", 26, 1 },
54362		{ "MagicWake", 25, 1 },
54363		{ "SigDetChg", 24, 1 },
54364		{ "AE_Train_Local", 22, 1 },
54365		{ "HSSPLL_LOCK", 21, 1 },
54366		{ "HSSPRT_READY", 20, 1 },
54367		{ "AutoNeg_Done", 19, 1 },
54368		{ "PCS_Link_Good", 12, 1 },
54369		{ "PCS_Link_Fail", 11, 1 },
54370		{ "RxFifoOverFlow", 10, 1 },
54371		{ "HSSPRBSErr", 9, 1 },
54372		{ "HSSEyeQual", 8, 1 },
54373		{ "RemoteFault", 7, 1 },
54374		{ "LocalFault", 6, 1 },
54375		{ "MAC_Link_Down", 5, 1 },
54376		{ "MAC_Link_Up", 4, 1 },
54377		{ "an_page_rcvd", 2, 1 },
54378		{ "TxFifo_prty_err", 1, 1 },
54379		{ "RxFifo_prty_err", 0, 1 },
54380	{ "MAC_PORT_INT_CAUSE", 0x3c8dc, 0 },
54381		{ "tx_ts_avail", 29, 1 },
54382		{ "PatDetWake", 26, 1 },
54383		{ "MagicWake", 25, 1 },
54384		{ "SigDetChg", 24, 1 },
54385		{ "AE_Train_Local", 22, 1 },
54386		{ "HSSPLL_LOCK", 21, 1 },
54387		{ "HSSPRT_READY", 20, 1 },
54388		{ "AutoNeg_Done", 19, 1 },
54389		{ "PCS_Link_Good", 12, 1 },
54390		{ "PCS_Link_Fail", 11, 1 },
54391		{ "RxFifoOverFlow", 10, 1 },
54392		{ "HSSPRBSErr", 9, 1 },
54393		{ "HSSEyeQual", 8, 1 },
54394		{ "RemoteFault", 7, 1 },
54395		{ "LocalFault", 6, 1 },
54396		{ "MAC_Link_Down", 5, 1 },
54397		{ "MAC_Link_Up", 4, 1 },
54398		{ "an_page_rcvd", 2, 1 },
54399		{ "TxFifo_prty_err", 1, 1 },
54400		{ "RxFifo_prty_err", 0, 1 },
54401	{ "MAC_PORT_PERR_INT_EN", 0x3c8e0, 0 },
54402		{ "Perr_pkt_ram", 24, 1 },
54403		{ "Perr_mask_ram", 23, 1 },
54404		{ "Perr_crc_ram", 22, 1 },
54405		{ "rx_dff_seg0", 21, 1 },
54406		{ "rx_sff_seg0", 20, 1 },
54407		{ "rx_dff_mac10", 19, 1 },
54408		{ "rx_sff_mac10", 18, 1 },
54409		{ "tx_dff_seg0", 17, 1 },
54410		{ "tx_sff_seg0", 16, 1 },
54411		{ "tx_dff_mac10", 15, 1 },
54412		{ "tx_sff_mac10", 14, 1 },
54413		{ "rx_stats", 13, 1 },
54414		{ "tx_stats", 12, 1 },
54415		{ "Perr3_rx_mix", 11, 1 },
54416		{ "Perr3_rx_sd", 10, 1 },
54417		{ "Perr3_tx", 9, 1 },
54418		{ "Perr2_rx_mix", 8, 1 },
54419		{ "Perr2_rx_sd", 7, 1 },
54420		{ "Perr2_tx", 6, 1 },
54421		{ "Perr1_rx_mix", 5, 1 },
54422		{ "Perr1_rx_sd", 4, 1 },
54423		{ "Perr1_tx", 3, 1 },
54424		{ "Perr0_rx_mix", 2, 1 },
54425		{ "Perr0_rx_sd", 1, 1 },
54426		{ "Perr0_tx", 0, 1 },
54427	{ "MAC_PORT_PERR_INT_CAUSE", 0x3c8e4, 0 },
54428		{ "Perr_pkt_ram", 24, 1 },
54429		{ "Perr_mask_ram", 23, 1 },
54430		{ "Perr_crc_ram", 22, 1 },
54431		{ "rx_dff_seg0", 21, 1 },
54432		{ "rx_sff_seg0", 20, 1 },
54433		{ "rx_dff_mac10", 19, 1 },
54434		{ "rx_sff_mac10", 18, 1 },
54435		{ "tx_dff_seg0", 17, 1 },
54436		{ "tx_sff_seg0", 16, 1 },
54437		{ "tx_dff_mac10", 15, 1 },
54438		{ "tx_sff_mac10", 14, 1 },
54439		{ "rx_stats", 13, 1 },
54440		{ "tx_stats", 12, 1 },
54441		{ "Perr3_rx_mix", 11, 1 },
54442		{ "Perr3_rx_sd", 10, 1 },
54443		{ "Perr3_tx", 9, 1 },
54444		{ "Perr2_rx_mix", 8, 1 },
54445		{ "Perr2_rx_sd", 7, 1 },
54446		{ "Perr2_tx", 6, 1 },
54447		{ "Perr1_rx_mix", 5, 1 },
54448		{ "Perr1_rx_sd", 4, 1 },
54449		{ "Perr1_tx", 3, 1 },
54450		{ "Perr0_rx_mix", 2, 1 },
54451		{ "Perr0_rx_sd", 1, 1 },
54452		{ "Perr0_tx", 0, 1 },
54453	{ "MAC_PORT_PERR_ENABLE", 0x3c8e8, 0 },
54454		{ "Perr_pkt_ram", 24, 1 },
54455		{ "Perr_mask_ram", 23, 1 },
54456		{ "Perr_crc_ram", 22, 1 },
54457		{ "rx_dff_seg0", 21, 1 },
54458		{ "rx_sff_seg0", 20, 1 },
54459		{ "rx_dff_mac10", 19, 1 },
54460		{ "rx_sff_mac10", 18, 1 },
54461		{ "tx_dff_seg0", 17, 1 },
54462		{ "tx_sff_seg0", 16, 1 },
54463		{ "tx_dff_mac10", 15, 1 },
54464		{ "tx_sff_mac10", 14, 1 },
54465		{ "rx_stats", 13, 1 },
54466		{ "tx_stats", 12, 1 },
54467		{ "Perr3_rx_mix", 11, 1 },
54468		{ "Perr3_rx_sd", 10, 1 },
54469		{ "Perr3_tx", 9, 1 },
54470		{ "Perr2_rx_mix", 8, 1 },
54471		{ "Perr2_rx_sd", 7, 1 },
54472		{ "Perr2_tx", 6, 1 },
54473		{ "Perr1_rx_mix", 5, 1 },
54474		{ "Perr1_rx_sd", 4, 1 },
54475		{ "Perr1_tx", 3, 1 },
54476		{ "Perr0_rx_mix", 2, 1 },
54477		{ "Perr0_rx_sd", 1, 1 },
54478		{ "Perr0_tx", 0, 1 },
54479	{ "MAC_PORT_PERR_INJECT", 0x3c8ec, 0 },
54480		{ "MemSel", 1, 5 },
54481		{ "InjectDataErr", 0, 1 },
54482	{ "MAC_PORT_HSS_CFG0", 0x3c8f0, 0 },
54483		{ "TXDTS", 31, 1 },
54484		{ "TXCTS", 30, 1 },
54485		{ "TXBTS", 29, 1 },
54486		{ "TXATS", 28, 1 },
54487		{ "TXDOBS", 27, 1 },
54488		{ "TXCOBS", 26, 1 },
54489		{ "TXBOBS", 25, 1 },
54490		{ "TXAOBS", 24, 1 },
54491		{ "HSSREFCLKVALIDA", 20, 1 },
54492		{ "HSSREFCLKVALIDB", 19, 1 },
54493		{ "HSSRESYNCA", 18, 1 },
54494		{ "HSSAVDHI", 17, 1 },
54495		{ "HSSRESYNCB", 16, 1 },
54496		{ "HSSRECCALA", 15, 1 },
54497		{ "HSSRXACMODE", 14, 1 },
54498		{ "HSSRECCALB", 13, 1 },
54499		{ "HSSPLLBYPA", 12, 1 },
54500		{ "HSSPLLBYPB", 11, 1 },
54501		{ "HSSPDWNPLLA", 10, 1 },
54502		{ "HSSPDWNPLLB", 9, 1 },
54503		{ "HSSVCOSELA", 8, 1 },
54504		{ "HSSVCOSELB", 7, 1 },
54505		{ "HSSCALCOMP", 6, 1 },
54506		{ "HSSCALENAB", 5, 1 },
54507		{ "HSSEXTC16SEL", 4, 1 },
54508	{ "MAC_PORT_HSS_CFG1", 0x3c8f4, 0 },
54509		{ "RXACONFIGSEL", 30, 2 },
54510		{ "RXAQUIET", 29, 1 },
54511		{ "RXAREFRESH", 28, 1 },
54512		{ "RXBCONFIGSEL", 26, 2 },
54513		{ "RXBQUIET", 25, 1 },
54514		{ "RXBREFRESH", 24, 1 },
54515		{ "RXCCONFIGSEL", 22, 2 },
54516		{ "RXCQUIET", 21, 1 },
54517		{ "RXCREFRESH", 20, 1 },
54518		{ "RXDCONFIGSEL", 18, 2 },
54519		{ "RXDQUIET", 17, 1 },
54520		{ "RXDREFRESH", 16, 1 },
54521		{ "TXACONFIGSEL", 14, 2 },
54522		{ "TXAQUIET", 13, 1 },
54523		{ "TXAREFRESH", 12, 1 },
54524		{ "TXBCONFIGSEL", 10, 2 },
54525		{ "TXBQUIET", 9, 1 },
54526		{ "TXBREFRESH", 8, 1 },
54527		{ "TXCCONFIGSEL", 6, 2 },
54528		{ "TXCQUIET", 5, 1 },
54529		{ "TXCREFRESH", 4, 1 },
54530		{ "TXDCONFIGSEL", 2, 2 },
54531		{ "TXDQUIET", 1, 1 },
54532		{ "TXDREFRESH", 0, 1 },
54533	{ "MAC_PORT_HSS_CFG2", 0x3c8f8, 0 },
54534		{ "RXAASSTCLK", 31, 1 },
54535		{ "T5RXAPRBSRST", 30, 1 },
54536		{ "RXBASSTCLK", 29, 1 },
54537		{ "T5RXBPRBSRST", 28, 1 },
54538		{ "RXCASSTCLK", 27, 1 },
54539		{ "T5RXCPRBSRST", 26, 1 },
54540		{ "RXDASSTCLK", 25, 1 },
54541		{ "T5RXDPRBSRST", 24, 1 },
54542		{ "RXDDATASYNC", 23, 1 },
54543		{ "RXCDATASYNC", 22, 1 },
54544		{ "RXBDATASYNC", 21, 1 },
54545		{ "RXADATASYNC", 20, 1 },
54546		{ "RXDEARLYIN", 19, 1 },
54547		{ "RXDLATEIN", 18, 1 },
54548		{ "RXDPHSLOCK", 17, 1 },
54549		{ "RXDPHSDNIN", 16, 1 },
54550		{ "RXDPHSUPIN", 15, 1 },
54551		{ "RXCEARLYIN", 14, 1 },
54552		{ "RXCLATEIN", 13, 1 },
54553		{ "RXCPHSLOCK", 12, 1 },
54554		{ "RXCPHSDNIN", 11, 1 },
54555		{ "RXCPHSUPIN", 10, 1 },
54556		{ "RXBEARLYIN", 9, 1 },
54557		{ "RXBLATEIN", 8, 1 },
54558		{ "RXBPHSLOCK", 7, 1 },
54559		{ "RXBPHSDNIN", 6, 1 },
54560		{ "RXBPHSUPIN", 5, 1 },
54561		{ "RXAEARLYIN", 4, 1 },
54562		{ "RXALATEIN", 3, 1 },
54563		{ "RXAPHSLOCK", 2, 1 },
54564		{ "RXAPHSDNIN", 1, 1 },
54565		{ "RXAPHSUPIN", 0, 1 },
54566	{ "MAC_PORT_HSS_CFG3", 0x3c8fc, 0 },
54567		{ "HSSCALSSTN", 25, 3 },
54568		{ "HSSCALSSTP", 22, 3 },
54569		{ "HSSVBOOSTDIVB", 19, 3 },
54570		{ "HSSVBOOSTDIVA", 16, 3 },
54571		{ "HSSPLLCONFIGB", 8, 8 },
54572		{ "HSSPLLCONFIGA", 0, 8 },
54573	{ "MAC_PORT_HSS_CFG4", 0x3c900, 0 },
54574		{ "HSSDIVSELA", 9, 9 },
54575		{ "HSSDIVSELB", 0, 9 },
54576	{ "MAC_PORT_HSS_STATUS", 0x3c904, 0 },
54577		{ "RXDPRBSSYNC", 15, 1 },
54578		{ "RXCPRBSSYNC", 14, 1 },
54579		{ "RXBPRBSSYNC", 13, 1 },
54580		{ "RXAPRBSSYNC", 12, 1 },
54581		{ "RXDPRBSERR", 11, 1 },
54582		{ "RXCPRBSERR", 10, 1 },
54583		{ "RXBPRBSERR", 9, 1 },
54584		{ "RXAPRBSERR", 8, 1 },
54585		{ "RXDSIGDET", 7, 1 },
54586		{ "RXCSIGDET", 6, 1 },
54587		{ "RXBSIGDET", 5, 1 },
54588		{ "RXASIGDET", 4, 1 },
54589		{ "HSSPLLLOCKB", 3, 1 },
54590		{ "HSSPLLLOCKA", 2, 1 },
54591		{ "HSSPRTREADYB", 1, 1 },
54592		{ "HSSPRTREADYA", 0, 1 },
54593	{ "MAC_PORT_HSS_EEE_STATUS", 0x3c908, 0 },
54594		{ "RXAQUIET_STATUS", 15, 1 },
54595		{ "RXAREFRESH_STATUS", 14, 1 },
54596		{ "RXBQUIET_STATUS", 13, 1 },
54597		{ "RXBREFRESH_STATUS", 12, 1 },
54598		{ "RXCQUIET_STATUS", 11, 1 },
54599		{ "RXCREFRESH_STATUS", 10, 1 },
54600		{ "RXDQUIET_STATUS", 9, 1 },
54601		{ "RXDREFRESH_STATUS", 8, 1 },
54602		{ "TXAQUIET_STATUS", 7, 1 },
54603		{ "TXAREFRESH_STATUS", 6, 1 },
54604		{ "TXBQUIET_STATUS", 5, 1 },
54605		{ "TXBREFRESH_STATUS", 4, 1 },
54606		{ "TXCQUIET_STATUS", 3, 1 },
54607		{ "TXCREFRESH_STATUS", 2, 1 },
54608		{ "TXDQUIET_STATUS", 1, 1 },
54609		{ "TXDREFRESH_STATUS", 0, 1 },
54610	{ "MAC_PORT_HSS_SIGDET_STATUS", 0x3c90c, 0 },
54611	{ "MAC_PORT_HSS_PL_CTL", 0x3c910, 0 },
54612		{ "TOV", 16, 8 },
54613		{ "TSU", 8, 8 },
54614		{ "IPW", 0, 8 },
54615	{ "MAC_PORT_RUNT_FRAME", 0x3c914, 0 },
54616		{ "runtclear", 16, 1 },
54617		{ "runt", 0, 16 },
54618	{ "MAC_PORT_EEE_STATUS", 0x3c918, 0 },
54619		{ "eee_tx_10g_state", 10, 2 },
54620		{ "eee_rx_10g_state", 8, 2 },
54621		{ "eee_tx_1g_state", 6, 2 },
54622		{ "eee_rx_1g_state", 4, 2 },
54623		{ "pma_rx_refresh", 3, 1 },
54624		{ "pma_rx_quiet", 2, 1 },
54625		{ "pma_tx_refresh", 1, 1 },
54626		{ "pma_tx_quiet", 0, 1 },
54627	{ "MAC_PORT_CGEN", 0x3c91c, 0 },
54628		{ "CGEN", 8, 1 },
54629		{ "sd7_CGEN", 7, 1 },
54630		{ "sd6_CGEN", 6, 1 },
54631		{ "sd5_CGEN", 5, 1 },
54632		{ "sd4_CGEN", 4, 1 },
54633		{ "sd3_CGEN", 3, 1 },
54634		{ "sd2_CGEN", 2, 1 },
54635		{ "sd1_CGEN", 1, 1 },
54636		{ "sd0_CGEN", 0, 1 },
54637	{ "MAC_PORT_CGEN_MTIP", 0x3c920, 0 },
54638		{ "MACSEG5_CGEN", 11, 1 },
54639		{ "PCSSEG5_CGEN", 10, 1 },
54640		{ "MACSEG4_CGEN", 9, 1 },
54641		{ "PCSSEG4_CGEN", 8, 1 },
54642		{ "MACSEG3_CGEN", 7, 1 },
54643		{ "PCSSEG3_CGEN", 6, 1 },
54644		{ "MACSEG2_CGEN", 5, 1 },
54645		{ "PCSSEG2_CGEN", 4, 1 },
54646		{ "MACSEG1_CGEN", 3, 1 },
54647		{ "PCSSEG1_CGEN", 2, 1 },
54648		{ "MACSEG0_CGEN", 1, 1 },
54649		{ "PCSSEG0_CGEN", 0, 1 },
54650	{ "MAC_PORT_TX_TS_ID", 0x3c924, 0 },
54651	{ "MAC_PORT_TX_TS_VAL_LO", 0x3c928, 0 },
54652	{ "MAC_PORT_TX_TS_VAL_HI", 0x3c92c, 0 },
54653	{ "MAC_PORT_EEE_CTL", 0x3c930, 0 },
54654		{ "EEE_CTRL", 2, 30 },
54655		{ "TICK_START", 1, 1 },
54656		{ "En", 0, 1 },
54657	{ "MAC_PORT_EEE_TX_CTL", 0x3c934, 0 },
54658		{ "WAKE_TIMER", 16, 16 },
54659		{ "HSS_TIMER", 5, 4 },
54660		{ "HSS_CTL", 4, 1 },
54661		{ "LPI_ACTIVE", 3, 1 },
54662		{ "LPI_TXHOLD", 2, 1 },
54663		{ "LPI_REQ", 1, 1 },
54664		{ "EEE_TX_RESET", 0, 1 },
54665	{ "MAC_PORT_EEE_RX_CTL", 0x3c938, 0 },
54666		{ "WAKE_TIMER", 16, 16 },
54667		{ "HSS_TIMER", 5, 4 },
54668		{ "HSS_CTL", 4, 1 },
54669		{ "LPI_IND", 1, 1 },
54670		{ "EEE_RX_RESET", 0, 1 },
54671	{ "MAC_PORT_EEE_TX_10G_SLEEP_TIMER", 0x3c93c, 0 },
54672	{ "MAC_PORT_EEE_TX_10G_QUIET_TIMER", 0x3c940, 0 },
54673	{ "MAC_PORT_EEE_TX_10G_WAKE_TIMER", 0x3c944, 0 },
54674	{ "MAC_PORT_EEE_TX_1G_SLEEP_TIMER", 0x3c948, 0 },
54675	{ "MAC_PORT_EEE_TX_1G_QUIET_TIMER", 0x3c94c, 0 },
54676	{ "MAC_PORT_EEE_TX_1G_REFRESH_TIMER", 0x3c950, 0 },
54677	{ "MAC_PORT_EEE_RX_10G_QUIET_TIMER", 0x3c954, 0 },
54678	{ "MAC_PORT_EEE_RX_10G_WAKE_TIMER", 0x3c958, 0 },
54679	{ "MAC_PORT_EEE_RX_10G_WF_TIMER", 0x3c95c, 0 },
54680	{ "MAC_PORT_EEE_RX_1G_QUIET_TIMER", 0x3c960, 0 },
54681	{ "MAC_PORT_EEE_RX_1G_WAKE_TIMER", 0x3c964, 0 },
54682	{ "MAC_PORT_EEE_WF_COUNT", 0x3c968, 0 },
54683		{ "wake_cnt_clr", 16, 1 },
54684		{ "wake_cnt", 0, 16 },
54685	{ "MAC_PORT_PTP_TIMER_RD0_LO", 0x3c96c, 0 },
54686	{ "MAC_PORT_PTP_TIMER_RD0_HI", 0x3c970, 0 },
54687	{ "MAC_PORT_PTP_TIMER_RD1_LO", 0x3c974, 0 },
54688	{ "MAC_PORT_PTP_TIMER_RD1_HI", 0x3c978, 0 },
54689	{ "MAC_PORT_PTP_TIMER_WR_LO", 0x3c97c, 0 },
54690	{ "MAC_PORT_PTP_TIMER_WR_HI", 0x3c980, 0 },
54691	{ "MAC_PORT_PTP_TIMER_OFFSET_0", 0x3c984, 0 },
54692	{ "MAC_PORT_PTP_TIMER_OFFSET_1", 0x3c988, 0 },
54693	{ "MAC_PORT_PTP_TIMER_OFFSET_2", 0x3c98c, 0 },
54694	{ "MAC_PORT_PTP_SUM_LO", 0x3c990, 0 },
54695	{ "MAC_PORT_PTP_SUM_HI", 0x3c994, 0 },
54696	{ "MAC_PORT_PTP_TIMER_INCR0", 0x3c998, 0 },
54697		{ "Y", 16, 16 },
54698		{ "X", 0, 16 },
54699	{ "MAC_PORT_PTP_TIMER_INCR1", 0x3c99c, 0 },
54700		{ "Y_TICK", 16, 16 },
54701		{ "X_TICK", 0, 16 },
54702	{ "MAC_PORT_PTP_DRIFT_ADJUST_COUNT", 0x3c9a0, 0 },
54703	{ "MAC_PORT_PTP_OFFSET_ADJUST_FINE", 0x3c9a4, 0 },
54704		{ "B", 16, 16 },
54705		{ "A", 0, 16 },
54706	{ "MAC_PORT_PTP_OFFSET_ADJUST_TOTAL", 0x3c9a8, 0 },
54707	{ "MAC_PORT_PTP_CFG", 0x3c9ac, 0 },
54708		{ "FRZ", 18, 1 },
54709		{ "OFFSER_ADJUST_SIGN", 17, 1 },
54710		{ "ADD_OFFSET", 16, 1 },
54711		{ "CYCLE1", 8, 8 },
54712		{ "Q", 0, 8 },
54713	{ "MAC_PORT_MTIP_REVISION", 0x3ca00, 0 },
54714		{ "CUSTREV", 16, 16 },
54715		{ "VER", 8, 8 },
54716		{ "REV", 0, 8 },
54717	{ "MAC_PORT_MTIP_SCRATCH", 0x3ca04, 0 },
54718	{ "MAC_PORT_MTIP_COMMAND_CONFIG", 0x3ca08, 0 },
54719		{ "TX_FLUSH", 22, 1 },
54720		{ "RX_SFD_ANY", 21, 1 },
54721		{ "PAUSE_PFC_COMP", 20, 1 },
54722		{ "PFC_MODE", 19, 1 },
54723		{ "RS_COL_CNT_EXT", 18, 1 },
54724		{ "NO_LGTH_CHECK", 17, 1 },
54725		{ "SEND_IDLE", 16, 1 },
54726		{ "PHY_TXENA", 15, 1 },
54727		{ "RX_ERR_DISC", 14, 1 },
54728		{ "CMD_FRAME_ENA", 13, 1 },
54729		{ "SW_RESET", 12, 1 },
54730		{ "TX_PAD_EN", 11, 1 },
54731		{ "LOOPBACK_EN", 10, 1 },
54732		{ "TX_ADDR_INS", 9, 1 },
54733		{ "PAUSE_IGNORE", 8, 1 },
54734		{ "PAUSE_FWD", 7, 1 },
54735		{ "CRC_FWD", 6, 1 },
54736		{ "PAD_EN", 5, 1 },
54737		{ "PROMIS_EN", 4, 1 },
54738		{ "WAN_MODE", 3, 1 },
54739		{ "RX_ENA", 1, 1 },
54740		{ "TX_ENA", 0, 1 },
54741	{ "MAC_PORT_MTIP_MAC_ADDR_0", 0x3ca0c, 0 },
54742	{ "MAC_PORT_MTIP_MAC_ADDR_1", 0x3ca10, 0 },
54743	{ "MAC_PORT_MTIP_FRM_LENGTH", 0x3ca14, 0 },
54744	{ "MAC_PORT_MTIP_RX_FIFO_SECTIONS", 0x3ca1c, 0 },
54745		{ "AVAIL", 16, 16 },
54746		{ "EMPTY", 0, 16 },
54747	{ "MAC_PORT_MTIP_TX_FIFO_SECTIONS", 0x3ca20, 0 },
54748		{ "AVAIL", 16, 16 },
54749		{ "EMPTY", 0, 16 },
54750	{ "MAC_PORT_MTIP_RX_FIFO_ALMOST_F_E", 0x3ca24, 0 },
54751		{ "AlmstFull", 16, 16 },
54752		{ "AlmstEmpty", 0, 16 },
54753	{ "MAC_PORT_MTIP_TX_FIFO_ALMOST_F_E", 0x3ca28, 0 },
54754		{ "AlmstFull", 16, 16 },
54755		{ "AlmstEmpty", 0, 16 },
54756	{ "MAC_PORT_MTIP_HASHTABLE_LOAD", 0x3ca2c, 0 },
54757		{ "ENABLE", 8, 1 },
54758		{ "ADDR", 0, 6 },
54759	{ "MAC_PORT_MTIP_MAC_STATUS", 0x3ca40, 0 },
54760		{ "TS_AVAIL", 3, 1 },
54761		{ "PHY_LOS", 2, 1 },
54762		{ "RX_REM_FAULT", 1, 1 },
54763		{ "RX_LOC_FAULT", 0, 1 },
54764	{ "MAC_PORT_MTIP_TX_IPG_LENGTH", 0x3ca44, 0 },
54765	{ "MAC_PORT_MTIP_MAC_CREDIT_TRIGGER", 0x3ca48, 0 },
54766	{ "MAC_PORT_MTIP_INIT_CREDIT", 0x3ca4c, 0 },
54767	{ "MAC_PORT_MTIP_CURRENT_CREDIT", 0x3ca50, 0 },
54768	{ "MAC_PORT_RX_PAUSE_STATUS", 0x3ca74, 0 },
54769	{ "MAC_PORT_MTIP_TS_TIMESTAMP", 0x3ca7c, 0 },
54770	{ "MAC_PORT_AFRAMESTRANSMITTEDOK", 0x3ca80, 0 },
54771	{ "MAC_PORT_AFRAMESTRANSMITTEDOKHI", 0x3ca84, 0 },
54772	{ "MAC_PORT_AFRAMESRECEIVEDOK", 0x3ca88, 0 },
54773	{ "MAC_PORT_AFRAMESRECEIVEDOKHI", 0x3ca8c, 0 },
54774	{ "MAC_PORT_AFRAMECHECKSEQUENCEERRORS", 0x3ca90, 0 },
54775	{ "MAC_PORT_AFRAMECHECKSEQUENCEERRORSHI", 0x3ca94, 0 },
54776	{ "MAC_PORT_AALIGNMENTERRORS", 0x3ca98, 0 },
54777	{ "MAC_PORT_AALIGNMENTERRORSHI", 0x3ca9c, 0 },
54778	{ "MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTED", 0x3caa0, 0 },
54779	{ "MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTEDHI", 0x3caa4, 0 },
54780	{ "MAC_PORT_APAUSEMACCTRLFRAMESRECEIVED", 0x3caa8, 0 },
54781	{ "MAC_PORT_APAUSEMACCTRLFRAMESRECEIVEDHI", 0x3caac, 0 },
54782	{ "MAC_PORT_AFRAMETOOLONGERRORS", 0x3cab0, 0 },
54783	{ "MAC_PORT_AFRAMETOOLONGERRORSHI", 0x3cab4, 0 },
54784	{ "MAC_PORT_AINRANGELENGTHERRORS", 0x3cab8, 0 },
54785	{ "MAC_PORT_AINRANGELENGTHERRORSHI", 0x3cabc, 0 },
54786	{ "MAC_PORT_VLANTRANSMITTEDOK", 0x3cac0, 0 },
54787	{ "MAC_PORT_VLANTRANSMITTEDOKHI", 0x3cac4, 0 },
54788	{ "MAC_PORT_VLANRECEIVEDOK", 0x3cac8, 0 },
54789	{ "MAC_PORT_VLANRECEIVEDOKHI", 0x3cacc, 0 },
54790	{ "MAC_PORT_AOCTETSTRANSMITTEDOK", 0x3cad0, 0 },
54791	{ "MAC_PORT_AOCTETSTRANSMITTEDOKHI", 0x3cad4, 0 },
54792	{ "MAC_PORT_AOCTETSRECEIVEDOK", 0x3cad8, 0 },
54793	{ "MAC_PORT_AOCTETSRECEIVEDOKHI", 0x3cadc, 0 },
54794	{ "MAC_PORT_IFINUCASTPKTS", 0x3cae0, 0 },
54795	{ "MAC_PORT_IFINUCASTPKTSHI", 0x3cae4, 0 },
54796	{ "MAC_PORT_IFINMULTICASTPKTS", 0x3cae8, 0 },
54797	{ "MAC_PORT_IFINMULTICASTPKTSHI", 0x3caec, 0 },
54798	{ "MAC_PORT_IFINBROADCASTPKTS", 0x3caf0, 0 },
54799	{ "MAC_PORT_IFINBROADCASTPKTSHI", 0x3caf4, 0 },
54800	{ "MAC_PORT_IFOUTERRORS", 0x3caf8, 0 },
54801	{ "MAC_PORT_IFOUTERRORSHI", 0x3cafc, 0 },
54802	{ "MAC_PORT_IFOUTUCASTPKTS", 0x3cb08, 0 },
54803	{ "MAC_PORT_IFOUTUCASTPKTSHI", 0x3cb0c, 0 },
54804	{ "MAC_PORT_IFOUTMULTICASTPKTS", 0x3cb10, 0 },
54805	{ "MAC_PORT_IFOUTMULTICASTPKTSHI", 0x3cb14, 0 },
54806	{ "MAC_PORT_IFOUTBROADCASTPKTS", 0x3cb18, 0 },
54807	{ "MAC_PORT_IFOUTBROADCASTPKTSHI", 0x3cb1c, 0 },
54808	{ "MAC_PORT_ETHERSTATSDROPEVENTS", 0x3cb20, 0 },
54809	{ "MAC_PORT_ETHERSTATSDROPEVENTSHI", 0x3cb24, 0 },
54810	{ "MAC_PORT_ETHERSTATSOCTETS", 0x3cb28, 0 },
54811	{ "MAC_PORT_ETHERSTATSOCTETSHI", 0x3cb2c, 0 },
54812	{ "MAC_PORT_ETHERSTATSPKTS", 0x3cb30, 0 },
54813	{ "MAC_PORT_ETHERSTATSPKTSHI", 0x3cb34, 0 },
54814	{ "MAC_PORT_ETHERSTATSUNDERSIZEPKTS", 0x3cb38, 0 },
54815	{ "MAC_PORT_ETHERSTATSUNDERSIZEPKTSHI", 0x3cb3c, 0 },
54816	{ "MAC_PORT_ETHERSTATSPKTS64OCTETS", 0x3cb40, 0 },
54817	{ "MAC_PORT_ETHERSTATSPKTS64OCTETSHI", 0x3cb44, 0 },
54818	{ "MAC_PORT_ETHERSTATSPKTS65TO127OCTETS", 0x3cb48, 0 },
54819	{ "MAC_PORT_ETHERSTATSPKTS65TO127OCTETSHI", 0x3cb4c, 0 },
54820	{ "MAC_PORT_ETHERSTATSPKTS128TO255OCTETS", 0x3cb50, 0 },
54821	{ "MAC_PORT_ETHERSTATSPKTS128TO255OCTETSHI", 0x3cb54, 0 },
54822	{ "MAC_PORT_ETHERSTATSPKTS256TO511OCTETS", 0x3cb58, 0 },
54823	{ "MAC_PORT_ETHERSTATSPKTS256TO511OCTETSHI", 0x3cb5c, 0 },
54824	{ "MAC_PORT_ETHERSTATSPKTS512TO1023OCTETS", 0x3cb60, 0 },
54825	{ "MAC_PORT_ETHERSTATSPKTS512TO1023OCTETSHI", 0x3cb64, 0 },
54826	{ "MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETS", 0x3cb68, 0 },
54827	{ "MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETSHI", 0x3cb6c, 0 },
54828	{ "MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETS", 0x3cb70, 0 },
54829	{ "MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETSHI", 0x3cb74, 0 },
54830	{ "MAC_PORT_ETHERSTATSOVERSIZEPKTS", 0x3cb78, 0 },
54831	{ "MAC_PORT_ETHERSTATSOVERSIZEPKTSHI", 0x3cb7c, 0 },
54832	{ "MAC_PORT_ETHERSTATSJABBERS", 0x3cb80, 0 },
54833	{ "MAC_PORT_ETHERSTATSJABBERSHI", 0x3cb84, 0 },
54834	{ "MAC_PORT_ETHERSTATSFRAGMENTS", 0x3cb88, 0 },
54835	{ "MAC_PORT_ETHERSTATSFRAGMENTSHI", 0x3cb8c, 0 },
54836	{ "MAC_PORT_IFINERRORS", 0x3cb90, 0 },
54837	{ "MAC_PORT_IFINERRORSHI", 0x3cb94, 0 },
54838	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0", 0x3cb98, 0 },
54839	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0HI", 0x3cb9c, 0 },
54840	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1", 0x3cba0, 0 },
54841	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1HI", 0x3cba4, 0 },
54842	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2", 0x3cba8, 0 },
54843	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2HI", 0x3cbac, 0 },
54844	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3", 0x3cbb0, 0 },
54845	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3HI", 0x3cbb4, 0 },
54846	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4", 0x3cbb8, 0 },
54847	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4HI", 0x3cbbc, 0 },
54848	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5", 0x3cbc0, 0 },
54849	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5HI", 0x3cbc4, 0 },
54850	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6", 0x3cbc8, 0 },
54851	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6HI", 0x3cbcc, 0 },
54852	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7", 0x3cbd0, 0 },
54853	{ "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7HI", 0x3cbd4, 0 },
54854	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0", 0x3cbd8, 0 },
54855	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0HI", 0x3cbdc, 0 },
54856	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1", 0x3cbe0, 0 },
54857	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1HI", 0x3cbe4, 0 },
54858	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2", 0x3cbe8, 0 },
54859	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2HI", 0x3cbec, 0 },
54860	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3", 0x3cbf0, 0 },
54861	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3HI", 0x3cbf4, 0 },
54862	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4", 0x3cbf8, 0 },
54863	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4HI", 0x3cbfc, 0 },
54864	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5", 0x3cc00, 0 },
54865	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5HI", 0x3cc04, 0 },
54866	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6", 0x3cc08, 0 },
54867	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6HI", 0x3cc0c, 0 },
54868	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7", 0x3cc10, 0 },
54869	{ "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7HI", 0x3cc14, 0 },
54870	{ "MAC_PORT_AMACCONTROLFRAMESTRANSMITTED", 0x3cc18, 0 },
54871	{ "MAC_PORT_AMACCONTROLFRAMESTRANSMITTEDHI", 0x3cc1c, 0 },
54872	{ "MAC_PORT_AMACCONTROLFRAMESRECEIVED", 0x3cc20, 0 },
54873	{ "MAC_PORT_AMACCONTROLFRAMESRECEIVEDHI", 0x3cc24, 0 },
54874	{ "MAC_PORT_MTIP_SGMII_CONTROL", 0x3cd00, 0 },
54875		{ "Reset", 15, 1 },
54876		{ "Loopback", 14, 1 },
54877		{ "sppedsel1", 13, 1 },
54878		{ "AN_EN", 12, 1 },
54879		{ "PWRDWN", 11, 1 },
54880		{ "Isolate", 10, 1 },
54881		{ "AN_RESTART", 9, 1 },
54882		{ "DPLX", 8, 1 },
54883		{ "CollisionTest", 7, 1 },
54884		{ "SpeedSel0", 6, 1 },
54885	{ "MAC_PORT_MTIP_SGMII_STATUS", 0x3cd04, 0 },
54886		{ "100BaseT4", 15, 1 },
54887		{ "100BaseXFullDplx", 14, 1 },
54888		{ "100BaseXHalfDplx", 13, 1 },
54889		{ "10MbpsFullDplx", 12, 1 },
54890		{ "10MbpsHalfDplx", 11, 1 },
54891		{ "100BaseT2FullDplx", 10, 1 },
54892		{ "100BaseT2HalfDplx", 9, 1 },
54893		{ "ExtdStatus", 8, 1 },
54894		{ "AN_Complete", 5, 1 },
54895		{ "SGMII_REM_FAULT", 4, 1 },
54896		{ "AN_Ability", 3, 1 },
54897		{ "LINK_STATUS", 2, 1 },
54898		{ "JabberDetect", 1, 1 },
54899		{ "ExtdCapability", 0, 1 },
54900	{ "MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_0", 0x3cd08, 0 },
54901	{ "MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_1", 0x3cd0c, 0 },
54902	{ "MAC_PORT_MTIP_SGMII_DEV_ABILITY", 0x3cd10, 0 },
54903		{ "NP", 15, 1 },
54904		{ "ACK", 14, 1 },
54905		{ "RF2", 13, 1 },
54906		{ "RF1", 12, 1 },
54907		{ "PS2", 8, 1 },
54908		{ "PS1", 7, 1 },
54909		{ "HD", 6, 1 },
54910		{ "FD", 5, 1 },
54911	{ "MAC_PORT_MTIP_SGMII_PARTNER_ABILITY", 0x3cd14, 0 },
54912		{ "CuLinkStatus", 15, 1 },
54913		{ "ACK", 14, 1 },
54914		{ "CuDplxStatus", 12, 1 },
54915		{ "CuSpeed", 10, 2 },
54916	{ "MAC_PORT_MTIP_SGMII_AN_EXPANSION", 0x3cd18, 0 },
54917		{ "PgRcvd", 1, 1 },
54918		{ "RealTimePgRcvd", 0, 1 },
54919	{ "MAC_PORT_MTIP_SGMII_DEVICE_NP", 0x3cd1c, 0 },
54920	{ "MAC_PORT_MTIP_SGMII_PARTNER_NP", 0x3cd20, 0 },
54921	{ "MAC_PORT_MTIP_SGMII_EXTENDED_STATUS", 0x3cd3c, 0 },
54922	{ "MAC_PORT_MTIP_SGMII_LINK_TIMER_LO", 0x3cd48, 0 },
54923	{ "MAC_PORT_MTIP_SGMII_LINK_TIMER_HI", 0x3cd4c, 0 },
54924	{ "MAC_PORT_MTIP_SGMII_IF_MODE", 0x3cd50, 0 },
54925		{ "SGMII_PCS_ENABLE", 5, 1 },
54926		{ "SGMII_HDUPLEX", 4, 1 },
54927		{ "SGMII_SPEED", 2, 2 },
54928		{ "USE_SGMII_AN", 1, 1 },
54929		{ "SGMII_ENA", 0, 1 },
54930	{ "MAC_PORT_MTIP_ACT_CTL_SEG", 0x3d200, 0 },
54931	{ "MAC_PORT_MTIP_MODE_CTL_SEG", 0x3d204, 0 },
54932	{ "MAC_PORT_MTIP_TXCLK_CTL_SEG", 0x3d208, 0 },
54933	{ "MAC_PORT_MTIP_TX_PRMBL_CTL_SEG", 0x3d20c, 0 },
54934	{ "MAC_PORT_MTIP_WAN_RS_COL_CNT", 0x3d220, 0 },
54935	{ "MAC_PORT_MTIP_VL_INTVL", 0x3d240, 0 },
54936		{ "VL_INTVL", 1, 1 },
54937	{ "MAC_PORT_MTIP_MDIO_CFG_STATUS", 0x3d600, 0 },
54938		{ "CLK_DIV", 7, 9 },
54939		{ "CL45_EN", 6, 1 },
54940		{ "disable_preamble", 5, 1 },
54941		{ "mdio_hold_time", 2, 3 },
54942		{ "mdio_read_err", 1, 1 },
54943		{ "mdio_busy", 0, 1 },
54944	{ "MAC_PORT_MTIP_MDIO_COMMAND", 0x3d604, 0 },
54945		{ "read", 15, 1 },
54946		{ "read_incr", 14, 1 },
54947		{ "port_addr", 5, 5 },
54948		{ "dev_addr", 0, 5 },
54949	{ "MAC_PORT_MTIP_MDIO_DATA", 0x3d608, 0 },
54950		{ "readbusy", 31, 1 },
54951		{ "data_word", 0, 16 },
54952	{ "MAC_PORT_MTIP_MDIO_REGADDR", 0x3d60c, 0 },
54953	{ "MAC_PORT_MTIP_VLAN_TPID_0", 0x3da00, 0 },
54954	{ "MAC_PORT_MTIP_VLAN_TPID_1", 0x3da04, 0 },
54955	{ "MAC_PORT_MTIP_VLAN_TPID_2", 0x3da08, 0 },
54956	{ "MAC_PORT_MTIP_VLAN_TPID_3", 0x3da0c, 0 },
54957	{ "MAC_PORT_MTIP_VLAN_TPID_4", 0x3da10, 0 },
54958	{ "MAC_PORT_MTIP_VLAN_TPID_5", 0x3da14, 0 },
54959	{ "MAC_PORT_MTIP_VLAN_TPID_6", 0x3da18, 0 },
54960	{ "MAC_PORT_MTIP_VLAN_TPID_7", 0x3da1c, 0 },
54961	{ "MAC_PORT_MTIP_PCS_CTL", 0x3de00, 0 },
54962		{ "RESET", 15, 1 },
54963		{ "LPBK", 14, 1 },
54964		{ "SPEED_SEL1", 13, 1 },
54965		{ "LP_MODE", 11, 1 },
54966		{ "SPEED_SEL0", 6, 1 },
54967		{ "SPEED", 2, 4 },
54968	{ "MAC_PORT_MTIP_PCS_STATUS1", 0x3de04, 0 },
54969		{ "FaultDet", 7, 1 },
54970		{ "rx_link_status", 2, 1 },
54971		{ "LoPwrAbl", 1, 1 },
54972	{ "MAC_PORT_MTIP_PCS_DEVICE_ID0", 0x3de08, 0 },
54973	{ "MAC_PORT_MTIP_PCS_DEVICE_ID1", 0x3de0c, 0 },
54974	{ "MAC_PORT_MTIP_PCS_SPEED_ABILITY", 0x3de10, 0 },
54975		{ "100G", 8, 1 },
54976		{ "40G", 7, 1 },
54977		{ "10BASE_TL", 1, 1 },
54978		{ "10G", 0, 1 },
54979	{ "MAC_PORT_MTIP_PCS_DEVICE_PKG1", 0x3de14, 0 },
54980		{ "TC", 6, 1 },
54981		{ "DTEXS", 5, 1 },
54982		{ "PHYXS", 4, 1 },
54983		{ "PCS", 3, 1 },
54984		{ "WIS", 2, 1 },
54985		{ "PMD_PMA", 1, 1 },
54986		{ "CL22", 0, 1 },
54987	{ "MAC_PORT_MTIP_PCS_DEVICE_PKG2", 0x3de18, 0 },
54988		{ "VendDev2", 15, 1 },
54989		{ "VendDev1", 14, 1 },
54990		{ "CL22EXT", 13, 1 },
54991	{ "MAC_PORT_MTIP_PCS_CTL2", 0x3de1c, 0 },
54992	{ "MAC_PORT_MTIP_PCS_STATUS2", 0x3de20, 0 },
54993		{ "Device", 15, 1 },
54994		{ "TxFault", 7, 1 },
54995		{ "RxFault", 6, 1 },
54996		{ "100BASE_R", 5, 1 },
54997		{ "40GBASE_R", 4, 1 },
54998		{ "10GBASE_T", 3, 1 },
54999		{ "10GBASE_W", 2, 1 },
55000		{ "10GBASE_X", 1, 1 },
55001		{ "10GBASE_R", 0, 1 },
55002	{ "MAC_PORT_MTIP_PCS_PKG_ID0", 0x3de38, 0 },
55003	{ "MAC_PORT_MTIP_PCS_PKG_ID1", 0x3de3c, 0 },
55004	{ "MAC_PORT_MTIP_PCS_BASER_STATUS1", 0x3de80, 0 },
55005		{ "RxLinkStatus", 12, 1 },
55006		{ "RESEREVED", 4, 8 },
55007		{ "10GPRBS9", 3, 1 },
55008		{ "10GPRBS31", 2, 1 },
55009		{ "HiBER", 1, 1 },
55010		{ "blocklock", 0, 1 },
55011	{ "MAC_PORT_MTIP_PCS_BASER_STATUS2", 0x3de84, 0 },
55012		{ "blocklockLL", 15, 1 },
55013		{ "HiBERLH", 14, 1 },
55014		{ "HiBERCount", 8, 6 },
55015		{ "ErrBlkCnt", 0, 8 },
55016	{ "MAC_PORT_MTIP_10GBASER_SEED_A", 0x3de88, 0 },
55017	{ "MAC_PORT_MTIP_10GBASER_SEED_A1", 0x3de8c, 0 },
55018	{ "MAC_PORT_MTIP_10GBASER_SEED_A2", 0x3de90, 0 },
55019	{ "MAC_PORT_MTIP_10GBASER_SEED_A3", 0x3de94, 0 },
55020	{ "MAC_PORT_MTIP_10GBASER_SEED_B", 0x3de98, 0 },
55021	{ "MAC_PORT_MTIP_10GBASER_SEED_B1", 0x3de9c, 0 },
55022	{ "MAC_PORT_MTIP_10GBASER_SEED_B2", 0x3dea0, 0 },
55023	{ "MAC_PORT_MTIP_10GBASER_SEED_B3", 0x3dea4, 0 },
55024	{ "MAC_PORT_MTIP_BASER_TEST_CTRL", 0x3dea8, 0 },
55025		{ "TXPRBS9", 6, 1 },
55026		{ "RXPRBS31", 5, 1 },
55027		{ "TXPRBS31", 4, 1 },
55028		{ "TxTestPatEn", 3, 1 },
55029		{ "RxTestPatEn", 2, 1 },
55030		{ "TestPatSel", 1, 1 },
55031		{ "DataPatSel", 0, 1 },
55032	{ "MAC_PORT_MTIP_BASER_TEST_ERR_CNT", 0x3deac, 0 },
55033	{ "MAC_PORT_MTIP_BER_HIGH_ORDER_CNT", 0x3deb0, 0 },
55034	{ "MAC_PORT_MTIP_BLK_HIGH_ORDER_CNT", 0x3deb4, 0 },
55035		{ "HiCountPrsnt", 15, 1 },
55036		{ "BLOCK_CNT_HI", 0, 14 },
55037	{ "MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS1", 0x3dec8, 0 },
55038		{ "alignstatus", 12, 1 },
55039		{ "Lane7", 7, 1 },
55040		{ "Lane6", 6, 1 },
55041		{ "Lane5", 5, 1 },
55042		{ "Lane4", 4, 1 },
55043		{ "Lane3", 3, 1 },
55044		{ "Lane2", 2, 1 },
55045		{ "Lane1", 1, 1 },
55046		{ "Lane0", 0, 1 },
55047	{ "MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS2", 0x3decc, 0 },
55048		{ "Lane19", 11, 1 },
55049		{ "Lane18", 10, 1 },
55050		{ "Lane17", 9, 1 },
55051		{ "Lane16", 8, 1 },
55052		{ "Lane15", 7, 1 },
55053		{ "Lane14", 6, 1 },
55054		{ "Lane13", 5, 1 },
55055		{ "Lane12", 4, 1 },
55056		{ "Lane11", 3, 1 },
55057		{ "Lane10", 2, 1 },
55058		{ "Lane9", 1, 1 },
55059		{ "Lane8", 0, 1 },
55060	{ "MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS3", 0x3ded0, 0 },
55061		{ "AMLOCK7", 7, 1 },
55062		{ "AMLOCK6", 6, 1 },
55063		{ "AMLOCK5", 5, 1 },
55064		{ "AMLOCK4", 4, 1 },
55065		{ "AMLOCK3", 3, 1 },
55066		{ "AMLOCK2", 2, 1 },
55067		{ "AMLOCK1", 1, 1 },
55068		{ "AMLOCK0", 0, 1 },
55069	{ "MAC_PORT_MTIP_PCS_MULTI_LANE_ALIGN_STATUS4", 0x3ded4, 0 },
55070		{ "AMLOCK19", 11, 1 },
55071		{ "AMLOCK18", 10, 1 },
55072		{ "AMLOCK17", 9, 1 },
55073		{ "AMLOCK16", 8, 1 },
55074		{ "AMLOCK15", 7, 1 },
55075		{ "AMLOCK14", 6, 1 },
55076		{ "AMLOCK13", 5, 1 },
55077		{ "AMLOCK12", 4, 1 },
55078		{ "AMLOCK11", 3, 1 },
55079		{ "AMLOCK10", 2, 1 },
55080		{ "AMLOCK9", 1, 1 },
55081		{ "AMLOCK8", 0, 1 },
55082	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_0", 0x3df68, 0 },
55083	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_1", 0x3df6c, 0 },
55084	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_2", 0x3df70, 0 },
55085	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_3", 0x3df74, 0 },
55086	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_4", 0x3df78, 0 },
55087	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_5", 0x3df7c, 0 },
55088	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_6", 0x3df80, 0 },
55089	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_7", 0x3df84, 0 },
55090	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_8", 0x3df88, 0 },
55091	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_9", 0x3df8c, 0 },
55092	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_10", 0x3df90, 0 },
55093	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_11", 0x3df94, 0 },
55094	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_12", 0x3df98, 0 },
55095	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_13", 0x3df9c, 0 },
55096	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_14", 0x3dfa0, 0 },
55097	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_15", 0x3dfa4, 0 },
55098	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_16", 0x3dfa8, 0 },
55099	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_17", 0x3dfac, 0 },
55100	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_18", 0x3dfb0, 0 },
55101	{ "MAC_PORT_MTIP_PCS_BIP_ERR_CNT_19", 0x3dfb4, 0 },
55102	{ "MAC_PORT_MTIP_PCS_LANE_MAP_0", 0x3dfb8, 0 },
55103	{ "MAC_PORT_MTIP_PCS_LANE_MAP_1", 0x3dfbc, 0 },
55104	{ "MAC_PORT_MTIP_PCS_LANE_MAP_2", 0x3dfc0, 0 },
55105	{ "MAC_PORT_MTIP_PCS_LANE_MAP_3", 0x3dfc4, 0 },
55106	{ "MAC_PORT_MTIP_PCS_LANE_MAP_4", 0x3dfc8, 0 },
55107	{ "MAC_PORT_MTIP_PCS_LANE_MAP_5", 0x3dfcc, 0 },
55108	{ "MAC_PORT_MTIP_PCS_LANE_MAP_6", 0x3dfd0, 0 },
55109	{ "MAC_PORT_MTIP_PCS_LANE_MAP_7", 0x3dfd4, 0 },
55110	{ "MAC_PORT_MTIP_PCS_LANE_MAP_8", 0x3dfd8, 0 },
55111	{ "MAC_PORT_MTIP_PCS_LANE_MAP_9", 0x3dfdc, 0 },
55112	{ "MAC_PORT_MTIP_PCS_LANE_MAP_10", 0x3dfe0, 0 },
55113	{ "MAC_PORT_MTIP_PCS_LANE_MAP_11", 0x3dfe4, 0 },
55114	{ "MAC_PORT_MTIP_PCS_LANE_MAP_12", 0x3dfe8, 0 },
55115	{ "MAC_PORT_MTIP_PCS_LANE_MAP_13", 0x3dfec, 0 },
55116	{ "MAC_PORT_MTIP_PCS_LANE_MAP_14", 0x3dff0, 0 },
55117	{ "MAC_PORT_MTIP_PCS_LANE_MAP_15", 0x3dff4, 0 },
55118	{ "MAC_PORT_MTIP_PCS_LANE_MAP_16", 0x3dff8, 0 },
55119	{ "MAC_PORT_MTIP_PCS_LANE_MAP_17", 0x3dffc, 0 },
55120	{ "MAC_PORT_MTIP_PCS_LANE_MAP_18", 0x3e000, 0 },
55121	{ "MAC_PORT_MTIP_PCS_LANE_MAP_19", 0x3e004, 0 },
55122	{ "MAC_PORT_BEAN_CTL", 0x3e200, 0 },
55123		{ "AN_RESET", 15, 1 },
55124		{ "EXT_NXP_CTRL", 13, 1 },
55125		{ "BEAN_EN", 12, 1 },
55126		{ "RESTART_BEAN", 9, 1 },
55127	{ "MAC_PORT_BEAN_STATUS", 0x3e204, 0 },
55128		{ "PDF", 9, 1 },
55129		{ "EXT_NXP_STATUS", 7, 1 },
55130		{ "PAGE_RCVD", 6, 1 },
55131		{ "BEAN_COMPLETE", 5, 1 },
55132		{ "REM_FAULT_STATUS", 4, 1 },
55133		{ "BEAN_ABILITY", 3, 1 },
55134		{ "LINK_STATUS", 2, 1 },
55135		{ "LP_BEAN_ABILITY", 0, 1 },
55136	{ "MAC_PORT_BEAN_ABILITY_0", 0x3e208, 0 },
55137		{ "NXP", 15, 1 },
55138		{ "ACK", 14, 1 },
55139		{ "REM_FAULT", 13, 1 },
55140		{ "PAUSE_ABILITY", 10, 3 },
55141		{ "ECHO_NONCE", 5, 5 },
55142		{ "SELECTOR", 0, 5 },
55143	{ "MAC_PORT_BEAN_ABILITY_1", 0x3e20c, 0 },
55144		{ "TECH_ABILITY_1", 5, 11 },
55145		{ "TX_NONCE", 0, 5 },
55146	{ "MAC_PORT_BEAN_ABILITY_2", 0x3e210, 0 },
55147		{ "T5_FEC_ABILITY", 14, 2 },
55148		{ "TECH_ABILITY_2", 0, 14 },
55149	{ "MAC_PORT_BEAN_REM_ABILITY_0", 0x3e214, 0 },
55150		{ "NXP", 15, 1 },
55151		{ "ACK", 14, 1 },
55152		{ "REM_FAULT", 13, 1 },
55153		{ "PAUSE_ABILITY", 10, 3 },
55154		{ "ECHO_NONCE", 5, 5 },
55155		{ "SELECTOR", 0, 5 },
55156	{ "MAC_PORT_BEAN_REM_ABILITY_1", 0x3e218, 0 },
55157		{ "TECH_ABILITY_1", 5, 11 },
55158		{ "TX_NONCE", 0, 5 },
55159	{ "MAC_PORT_BEAN_REM_ABILITY_2", 0x3e21c, 0 },
55160		{ "T5_FEC_ABILITY", 14, 2 },
55161		{ "TECH_ABILITY_2", 0, 14 },
55162	{ "MAC_PORT_BEAN_MS_COUNT", 0x3e220, 0 },
55163	{ "MAC_PORT_BEAN_XNP_0", 0x3e224, 0 },
55164		{ "XNP", 15, 1 },
55165		{ "ACKNOWLEDGE", 14, 1 },
55166		{ "MP", 13, 1 },
55167		{ "ACK2", 12, 1 },
55168		{ "TOGGLE", 11, 1 },
55169		{ "MU", 0, 11 },
55170	{ "MAC_PORT_BEAN_XNP_1", 0x3e228, 0 },
55171	{ "MAC_PORT_BEAN_XNP_2", 0x3e22c, 0 },
55172	{ "MAC_PORT_LP_BEAN_XNP_0", 0x3e230, 0 },
55173		{ "XNP", 15, 1 },
55174		{ "ACKNOWLEDGE", 14, 1 },
55175		{ "MP", 13, 1 },
55176		{ "ACK2", 12, 1 },
55177		{ "TOGGLE", 11, 1 },
55178		{ "MU", 0, 11 },
55179	{ "MAC_PORT_LP_BEAN_XNP_1", 0x3e234, 0 },
55180	{ "MAC_PORT_LP_BEAN_XNP_2", 0x3e238, 0 },
55181	{ "MAC_PORT_BEAN_ETH_STATUS", 0x3e23c, 0 },
55182		{ "100GCR10", 8, 1 },
55183		{ "40GCR4", 6, 1 },
55184		{ "40GKR4", 5, 1 },
55185		{ "FEC", 4, 1 },
55186		{ "10GKR", 3, 1 },
55187		{ "10GKX4", 2, 1 },
55188		{ "1GKX", 1, 1 },
55189	{ "MAC_PORT_BEAN_CTL_LANE1", 0x3e240, 0 },
55190		{ "AN_RESET", 15, 1 },
55191		{ "EXT_NXP_CTRL", 13, 1 },
55192		{ "BEAN_EN", 12, 1 },
55193		{ "RESTART_BEAN", 9, 1 },
55194	{ "MAC_PORT_BEAN_STATUS_LANE1", 0x3e244, 0 },
55195		{ "PDF", 9, 1 },
55196		{ "EXT_NXP_STATUS", 7, 1 },
55197		{ "PAGE_RCVD", 6, 1 },
55198		{ "BEAN_COMPLETE", 5, 1 },
55199		{ "REM_FAULT_STATUS", 4, 1 },
55200		{ "BEAN_ABILITY", 3, 1 },
55201		{ "LINK_STATUS", 2, 1 },
55202		{ "LP_BEAN_ABILITY", 0, 1 },
55203	{ "MAC_PORT_BEAN_ABILITY_0_LANE1", 0x3e248, 0 },
55204		{ "NXP", 15, 1 },
55205		{ "ACK", 14, 1 },
55206		{ "REM_FAULT", 13, 1 },
55207		{ "PAUSE_ABILITY", 10, 3 },
55208		{ "ECHO_NONCE", 5, 5 },
55209		{ "SELECTOR", 0, 5 },
55210	{ "MAC_PORT_BEAN_ABILITY_1_LANE1", 0x3e24c, 0 },
55211		{ "TECH_ABILITY_1", 5, 11 },
55212		{ "TX_NONCE", 0, 5 },
55213	{ "MAC_PORT_BEAN_ABILITY_2_LANE1", 0x3e250, 0 },
55214		{ "T5_FEC_ABILITY", 14, 2 },
55215		{ "TECH_ABILITY_2", 0, 14 },
55216	{ "MAC_PORT_BEAN_REM_ABILITY_0_LANE1", 0x3e254, 0 },
55217		{ "NXP", 15, 1 },
55218		{ "ACK", 14, 1 },
55219		{ "REM_FAULT", 13, 1 },
55220		{ "PAUSE_ABILITY", 10, 3 },
55221		{ "ECHO_NONCE", 5, 5 },
55222		{ "SELECTOR", 0, 5 },
55223	{ "MAC_PORT_BEAN_REM_ABILITY_1_LANE1", 0x3e258, 0 },
55224		{ "TECH_ABILITY_1", 5, 11 },
55225		{ "TX_NONCE", 0, 5 },
55226	{ "MAC_PORT_BEAN_REM_ABILITY_2_LANE1", 0x3e25c, 0 },
55227		{ "T5_FEC_ABILITY", 14, 2 },
55228		{ "TECH_ABILITY_2", 0, 14 },
55229	{ "MAC_PORT_BEAN_MS_COUNT_LANE1", 0x3e260, 0 },
55230	{ "MAC_PORT_BEAN_XNP_0_LANE1", 0x3e264, 0 },
55231		{ "XNP", 15, 1 },
55232		{ "ACKNOWLEDGE", 14, 1 },
55233		{ "MP", 13, 1 },
55234		{ "ACK2", 12, 1 },
55235		{ "TOGGLE", 11, 1 },
55236		{ "MU", 0, 11 },
55237	{ "MAC_PORT_BEAN_XNP_1_LANE1", 0x3e268, 0 },
55238	{ "MAC_PORT_BEAN_XNP_2_LANE1", 0x3e26c, 0 },
55239	{ "MAC_PORT_LP_BEAN_XNP_0_LANE1", 0x3e270, 0 },
55240		{ "XNP", 15, 1 },
55241		{ "ACKNOWLEDGE", 14, 1 },
55242		{ "MP", 13, 1 },
55243		{ "ACK2", 12, 1 },
55244		{ "TOGGLE", 11, 1 },
55245		{ "MU", 0, 11 },
55246	{ "MAC_PORT_LP_BEAN_XNP_1_LANE1", 0x3e274, 0 },
55247	{ "MAC_PORT_LP_BEAN_XNP_2_LANE1", 0x3e278, 0 },
55248	{ "MAC_PORT_BEAN_ETH_STATUS_LANE1", 0x3e27c, 0 },
55249		{ "100GCR10", 8, 1 },
55250		{ "40GCR4", 6, 1 },
55251		{ "40GKR4", 5, 1 },
55252		{ "FEC", 4, 1 },
55253		{ "10GKR", 3, 1 },
55254		{ "10GKX4", 2, 1 },
55255		{ "1GKX", 1, 1 },
55256	{ "MAC_PORT_BEAN_CTL_LANE2", 0x3e280, 0 },
55257		{ "AN_RESET", 15, 1 },
55258		{ "EXT_NXP_CTRL", 13, 1 },
55259		{ "BEAN_EN", 12, 1 },
55260		{ "RESTART_BEAN", 9, 1 },
55261	{ "MAC_PORT_BEAN_STATUS_LANE2", 0x3e284, 0 },
55262		{ "PDF", 9, 1 },
55263		{ "EXT_NXP_STATUS", 7, 1 },
55264		{ "PAGE_RCVD", 6, 1 },
55265		{ "BEAN_COMPLETE", 5, 1 },
55266		{ "REM_FAULT_STATUS", 4, 1 },
55267		{ "BEAN_ABILITY", 3, 1 },
55268		{ "LINK_STATUS", 2, 1 },
55269		{ "LP_BEAN_ABILITY", 0, 1 },
55270	{ "MAC_PORT_BEAN_ABILITY_0_LANE2", 0x3e288, 0 },
55271		{ "NXP", 15, 1 },
55272		{ "ACK", 14, 1 },
55273		{ "REM_FAULT", 13, 1 },
55274		{ "PAUSE_ABILITY", 10, 3 },
55275		{ "ECHO_NONCE", 5, 5 },
55276		{ "SELECTOR", 0, 5 },
55277	{ "MAC_PORT_BEAN_ABILITY_1_LANE2", 0x3e28c, 0 },
55278		{ "TECH_ABILITY_1", 5, 11 },
55279		{ "TX_NONCE", 0, 5 },
55280	{ "MAC_PORT_BEAN_ABILITY_2_LANE2", 0x3e290, 0 },
55281		{ "T5_FEC_ABILITY", 14, 2 },
55282		{ "TECH_ABILITY_2", 0, 14 },
55283	{ "MAC_PORT_BEAN_REM_ABILITY_0_LANE2", 0x3e294, 0 },
55284		{ "NXP", 15, 1 },
55285		{ "ACK", 14, 1 },
55286		{ "REM_FAULT", 13, 1 },
55287		{ "PAUSE_ABILITY", 10, 3 },
55288		{ "ECHO_NONCE", 5, 5 },
55289		{ "SELECTOR", 0, 5 },
55290	{ "MAC_PORT_BEAN_REM_ABILITY_1_LANE2", 0x3e298, 0 },
55291		{ "TECH_ABILITY_1", 5, 11 },
55292		{ "TX_NONCE", 0, 5 },
55293	{ "MAC_PORT_BEAN_REM_ABILITY_2_LANE2", 0x3e29c, 0 },
55294		{ "T5_FEC_ABILITY", 14, 2 },
55295		{ "TECH_ABILITY_2", 0, 14 },
55296	{ "MAC_PORT_BEAN_MS_COUNT_LANE2", 0x3e2a0, 0 },
55297	{ "MAC_PORT_BEAN_XNP_0_LANE2", 0x3e2a4, 0 },
55298		{ "XNP", 15, 1 },
55299		{ "ACKNOWLEDGE", 14, 1 },
55300		{ "MP", 13, 1 },
55301		{ "ACK2", 12, 1 },
55302		{ "TOGGLE", 11, 1 },
55303		{ "MU", 0, 11 },
55304	{ "MAC_PORT_BEAN_XNP_1_LANE2", 0x3e2a8, 0 },
55305	{ "MAC_PORT_BEAN_XNP_2_LANE2", 0x3e2ac, 0 },
55306	{ "MAC_PORT_LP_BEAN_XNP_0_LANE2", 0x3e2b0, 0 },
55307		{ "XNP", 15, 1 },
55308		{ "ACKNOWLEDGE", 14, 1 },
55309		{ "MP", 13, 1 },
55310		{ "ACK2", 12, 1 },
55311		{ "TOGGLE", 11, 1 },
55312		{ "MU", 0, 11 },
55313	{ "MAC_PORT_LP_BEAN_XNP_1_LANE2", 0x3e2b4, 0 },
55314	{ "MAC_PORT_LP_BEAN_XNP_2_LANE2", 0x3e2b8, 0 },
55315	{ "MAC_PORT_BEAN_ETH_STATUS_LANE2", 0x3e2bc, 0 },
55316		{ "100GCR10", 8, 1 },
55317		{ "40GCR4", 6, 1 },
55318		{ "40GKR4", 5, 1 },
55319		{ "FEC", 4, 1 },
55320		{ "10GKR", 3, 1 },
55321		{ "10GKX4", 2, 1 },
55322		{ "1GKX", 1, 1 },
55323	{ "MAC_PORT_BEAN_CTL_LANE3", 0x3e2c0, 0 },
55324		{ "AN_RESET", 15, 1 },
55325		{ "EXT_NXP_CTRL", 13, 1 },
55326		{ "BEAN_EN", 12, 1 },
55327		{ "RESTART_BEAN", 9, 1 },
55328	{ "MAC_PORT_BEAN_STATUS_LANE3", 0x3e2c4, 0 },
55329		{ "PDF", 9, 1 },
55330		{ "EXT_NXP_STATUS", 7, 1 },
55331		{ "PAGE_RCVD", 6, 1 },
55332		{ "BEAN_COMPLETE", 5, 1 },
55333		{ "REM_FAULT_STATUS", 4, 1 },
55334		{ "BEAN_ABILITY", 3, 1 },
55335		{ "LINK_STATUS", 2, 1 },
55336		{ "LP_BEAN_ABILITY", 0, 1 },
55337	{ "MAC_PORT_BEAN_ABILITY_0_LANE3", 0x3e2c8, 0 },
55338		{ "NXP", 15, 1 },
55339		{ "ACK", 14, 1 },
55340		{ "REM_FAULT", 13, 1 },
55341		{ "PAUSE_ABILITY", 10, 3 },
55342		{ "ECHO_NONCE", 5, 5 },
55343		{ "SELECTOR", 0, 5 },
55344	{ "MAC_PORT_BEAN_ABILITY_1_LANE3", 0x3e2cc, 0 },
55345		{ "TECH_ABILITY_1", 5, 11 },
55346		{ "TX_NONCE", 0, 5 },
55347	{ "MAC_PORT_BEAN_ABILITY_2_LANE3", 0x3e2d0, 0 },
55348		{ "T5_FEC_ABILITY", 14, 2 },
55349		{ "TECH_ABILITY_2", 0, 14 },
55350	{ "MAC_PORT_BEAN_REM_ABILITY_0_LANE3", 0x3e2d4, 0 },
55351		{ "NXP", 15, 1 },
55352		{ "ACK", 14, 1 },
55353		{ "REM_FAULT", 13, 1 },
55354		{ "PAUSE_ABILITY", 10, 3 },
55355		{ "ECHO_NONCE", 5, 5 },
55356		{ "SELECTOR", 0, 5 },
55357	{ "MAC_PORT_BEAN_REM_ABILITY_1_LANE3", 0x3e2d8, 0 },
55358		{ "TECH_ABILITY_1", 5, 11 },
55359		{ "TX_NONCE", 0, 5 },
55360	{ "MAC_PORT_BEAN_REM_ABILITY_2_LANE3", 0x3e2dc, 0 },
55361		{ "T5_FEC_ABILITY", 14, 2 },
55362		{ "TECH_ABILITY_2", 0, 14 },
55363	{ "MAC_PORT_BEAN_MS_COUNT_LANE3", 0x3e2e0, 0 },
55364	{ "MAC_PORT_BEAN_XNP_0_LANE3", 0x3e2e4, 0 },
55365		{ "XNP", 15, 1 },
55366		{ "ACKNOWLEDGE", 14, 1 },
55367		{ "MP", 13, 1 },
55368		{ "ACK2", 12, 1 },
55369		{ "TOGGLE", 11, 1 },
55370		{ "MU", 0, 11 },
55371	{ "MAC_PORT_BEAN_XNP_1_LANE3", 0x3e2e8, 0 },
55372	{ "MAC_PORT_BEAN_XNP_2_LANE3", 0x3e2ec, 0 },
55373	{ "MAC_PORT_LP_BEAN_XNP_0_LANE3", 0x3e2f0, 0 },
55374		{ "XNP", 15, 1 },
55375		{ "ACKNOWLEDGE", 14, 1 },
55376		{ "MP", 13, 1 },
55377		{ "ACK2", 12, 1 },
55378		{ "TOGGLE", 11, 1 },
55379		{ "MU", 0, 11 },
55380	{ "MAC_PORT_LP_BEAN_XNP_1_LANE3", 0x3e2f4, 0 },
55381	{ "MAC_PORT_LP_BEAN_XNP_2_LANE3", 0x3e2f8, 0 },
55382	{ "MAC_PORT_BEAN_ETH_STATUS_LANE3", 0x3e2fc, 0 },
55383		{ "100GCR10", 8, 1 },
55384		{ "40GCR4", 6, 1 },
55385		{ "40GKR4", 5, 1 },
55386		{ "FEC", 4, 1 },
55387		{ "10GKR", 3, 1 },
55388		{ "10GKX4", 2, 1 },
55389		{ "1GKX", 1, 1 },
55390	{ "MAC_PORT_FEC_KR_CONTROL", 0x3e600, 0 },
55391		{ "enable_tr", 1, 1 },
55392		{ "restart_tr", 0, 1 },
55393	{ "MAC_PORT_FEC_KR_STATUS", 0x3e604, 0 },
55394		{ "fecKRsigdet", 15, 1 },
55395		{ "train_fail", 3, 1 },
55396		{ "startup_status", 2, 1 },
55397		{ "frame_lock", 1, 1 },
55398		{ "rx_status", 0, 1 },
55399	{ "MAC_PORT_FEC_KR_LP_COEFF", 0x3e608, 0 },
55400		{ "Preset", 13, 1 },
55401		{ "Initialize", 12, 1 },
55402		{ "CP1_UPD", 4, 2 },
55403		{ "C0_UPD", 2, 2 },
55404		{ "CN1_UPD", 0, 2 },
55405	{ "MAC_PORT_FEC_KR_LP_STAT", 0x3e60c, 0 },
55406		{ "rx_ready", 15, 1 },
55407		{ "CP1_STAT", 4, 2 },
55408		{ "C0_STAT", 2, 2 },
55409		{ "CN1_STAT", 0, 2 },
55410	{ "MAC_PORT_FEC_KR_LD_COEFF", 0x3e610, 0 },
55411		{ "Preset", 13, 1 },
55412		{ "Initialize", 12, 1 },
55413		{ "CP1_UPD", 4, 2 },
55414		{ "C0_UPD", 2, 2 },
55415		{ "CN1_UPD", 0, 2 },
55416	{ "MAC_PORT_FEC_KR_LD_STAT", 0x3e614, 0 },
55417		{ "rx_ready", 15, 1 },
55418		{ "CP1_STAT", 4, 2 },
55419		{ "C0_STAT", 2, 2 },
55420		{ "CN1_STAT", 0, 2 },
55421	{ "MAC_PORT_FEC_ABILITY", 0x3e618, 0 },
55422		{ "fec_ind_ability", 1, 1 },
55423		{ "ability", 0, 1 },
55424	{ "MAC_PORT_FEC_CONTROL", 0x3e61c, 0 },
55425		{ "fec_en_err_ind", 1, 1 },
55426		{ "fec_en", 0, 1 },
55427	{ "MAC_PORT_FEC_STATUS", 0x3e620, 0 },
55428		{ "FEC_LOCKED_100", 1, 1 },
55429		{ "FEC_LOCKED", 0, 1 },
55430	{ "MAC_PORT_FEC_CERR_CNT_0", 0x3e624, 0 },
55431	{ "MAC_PORT_FEC_CERR_CNT_1", 0x3e628, 0 },
55432	{ "MAC_PORT_FEC_NCERR_CNT_0", 0x3e62c, 0 },
55433	{ "MAC_PORT_FEC_NCERR_CNT_1", 0x3e630, 0 },
55434	{ "MAC_PORT_AE_RX_COEF_REQ", 0x3ea00, 0 },
55435		{ "RXREQ_CPRE", 13, 1 },
55436		{ "RXREQ_CINIT", 12, 1 },
55437		{ "T5_RXREQ_C2", 4, 2 },
55438		{ "T5_RXREQ_C1", 2, 2 },
55439		{ "T5_RXREQ_C0", 0, 2 },
55440	{ "MAC_PORT_AE_RX_COEF_STAT", 0x3ea04, 0 },
55441		{ "T5_AE0_RXSTAT_RDY", 15, 1 },
55442		{ "T5_AE0_RXSTAT_C2", 4, 2 },
55443		{ "T5_AE0_RXSTAT_C1", 2, 2 },
55444		{ "T5_AE0_RXSTAT_C0", 0, 2 },
55445	{ "MAC_PORT_AE_TX_COEF_REQ", 0x3ea08, 0 },
55446		{ "TXREQ_CPRE", 13, 1 },
55447		{ "TXREQ_CINIT", 12, 1 },
55448		{ "T5_TXREQ_C2", 4, 2 },
55449		{ "T5_TXREQ_C1", 2, 2 },
55450		{ "T5_TXREQ_C0", 0, 2 },
55451	{ "MAC_PORT_AE_TX_COEF_STAT", 0x3ea0c, 0 },
55452		{ "TXSTAT_RDY", 15, 1 },
55453		{ "T5_TXSTAT_C2", 4, 2 },
55454		{ "T5_TXSTAT_C1", 2, 2 },
55455		{ "T5_TXSTAT_C0", 0, 2 },
55456	{ "MAC_PORT_AE_REG_MODE", 0x3ea10, 0 },
55457		{ "AET_RSVD", 7, 1 },
55458		{ "AET_ENABLE", 6, 1 },
55459		{ "MAN_DEC", 4, 2 },
55460		{ "MANUAL_RDY", 3, 1 },
55461		{ "MWT_DISABLE", 2, 1 },
55462		{ "MDIO_OVR", 1, 1 },
55463		{ "STICKY_MODE", 0, 1 },
55464	{ "MAC_PORT_AE_PRBS_CTL", 0x3ea14, 0 },
55465		{ "PRBS_CHK_ERRCNT", 8, 8 },
55466		{ "PRBS_SYNCCNT", 5, 3 },
55467		{ "PRBS_CHK_SYNC", 4, 1 },
55468		{ "PRBS_CHK_RST", 3, 1 },
55469		{ "PRBS_CHK_OFF", 2, 1 },
55470		{ "PRBS_GEN_FRCERR", 1, 1 },
55471		{ "PRBS_GEN_OFF", 0, 1 },
55472	{ "MAC_PORT_AE_FSM_CTL", 0x3ea18, 0 },
55473		{ "CIN_ENABLE", 15, 1 },
55474		{ "FSM_TR_LCL", 14, 1 },
55475		{ "FSM_GDMRK", 11, 3 },
55476		{ "FSM_BADMRK", 8, 3 },
55477		{ "FSM_TR_FAIL", 7, 1 },
55478		{ "FSM_TR_ACT", 6, 1 },
55479		{ "FSM_FRM_LCK", 5, 1 },
55480		{ "FSM_TR_COMP", 4, 1 },
55481		{ "MC_RX_RDY", 3, 1 },
55482		{ "FSM_CU_DIS", 2, 1 },
55483		{ "FSM_TR_RST", 1, 1 },
55484		{ "FSM_TR_EN", 0, 1 },
55485	{ "MAC_PORT_AE_FSM_STATE", 0x3ea1c, 0 },
55486		{ "CC2FSM_STATE", 13, 3 },
55487		{ "CC1FSM_STATE", 10, 3 },
55488		{ "CC0FSM_STATE", 7, 3 },
55489		{ "FLFSM_STATE", 4, 3 },
55490		{ "TFSM_STATE", 0, 3 },
55491	{ "MAC_PORT_AE_RX_COEF_REQ_1", 0x3ea20, 0 },
55492		{ "RXREQ_CPRE", 13, 1 },
55493		{ "RXREQ_CINIT", 12, 1 },
55494		{ "T5_RXREQ_C2", 4, 2 },
55495		{ "T5_RXREQ_C1", 2, 2 },
55496		{ "T5_RXREQ_C0", 0, 2 },
55497	{ "MAC_PORT_AE_RX_COEF_STAT_1", 0x3ea24, 0 },
55498		{ "T5_AE1_RXSTAT_RDY", 15, 1 },
55499		{ "T5_AE1_RXSTAT_C2", 4, 2 },
55500		{ "T5_AE1_RXSTAT_C1", 2, 2 },
55501		{ "T5_AE1_RXSTAT_C0", 0, 2 },
55502	{ "MAC_PORT_AE_TX_COEF_REQ_1", 0x3ea28, 0 },
55503		{ "TXREQ_CPRE", 13, 1 },
55504		{ "TXREQ_CINIT", 12, 1 },
55505		{ "T5_TXREQ_C2", 4, 2 },
55506		{ "T5_TXREQ_C1", 2, 2 },
55507		{ "T5_TXREQ_C0", 0, 2 },
55508	{ "MAC_PORT_AE_TX_COEF_STAT_1", 0x3ea2c, 0 },
55509		{ "TXSTAT_RDY", 15, 1 },
55510		{ "T5_TXSTAT_C2", 4, 2 },
55511		{ "T5_TXSTAT_C1", 2, 2 },
55512		{ "T5_TXSTAT_C0", 0, 2 },
55513	{ "MAC_PORT_AE_REG_MODE_1", 0x3ea30, 0 },
55514		{ "AET_RSVD", 7, 1 },
55515		{ "AET_ENABLE", 6, 1 },
55516		{ "MAN_DEC", 4, 2 },
55517		{ "MANUAL_RDY", 3, 1 },
55518		{ "MWT_DISABLE", 2, 1 },
55519		{ "MDIO_OVR", 1, 1 },
55520		{ "STICKY_MODE", 0, 1 },
55521	{ "MAC_PORT_AE_PRBS_CTL_1", 0x3ea34, 0 },
55522		{ "PRBS_CHK_ERRCNT", 8, 8 },
55523		{ "PRBS_SYNCCNT", 5, 3 },
55524		{ "PRBS_CHK_SYNC", 4, 1 },
55525		{ "PRBS_CHK_RST", 3, 1 },
55526		{ "PRBS_CHK_OFF", 2, 1 },
55527		{ "PRBS_GEN_FRCERR", 1, 1 },
55528		{ "PRBS_GEN_OFF", 0, 1 },
55529	{ "MAC_PORT_AE_FSM_CTL_1", 0x3ea38, 0 },
55530		{ "CIN_ENABLE", 15, 1 },
55531		{ "FSM_TR_LCL", 14, 1 },
55532		{ "FSM_GDMRK", 11, 3 },
55533		{ "FSM_BADMRK", 8, 3 },
55534		{ "FSM_TR_FAIL", 7, 1 },
55535		{ "FSM_TR_ACT", 6, 1 },
55536		{ "FSM_FRM_LCK", 5, 1 },
55537		{ "FSM_TR_COMP", 4, 1 },
55538		{ "MC_RX_RDY", 3, 1 },
55539		{ "FSM_CU_DIS", 2, 1 },
55540		{ "FSM_TR_RST", 1, 1 },
55541		{ "FSM_TR_EN", 0, 1 },
55542	{ "MAC_PORT_AE_FSM_STATE_1", 0x3ea3c, 0 },
55543		{ "CC2FSM_STATE", 13, 3 },
55544		{ "CC1FSM_STATE", 10, 3 },
55545		{ "CC0FSM_STATE", 7, 3 },
55546		{ "FLFSM_STATE", 4, 3 },
55547		{ "TFSM_STATE", 0, 3 },
55548	{ "MAC_PORT_AE_RX_COEF_REQ_2", 0x3ea40, 0 },
55549		{ "RXREQ_CPRE", 13, 1 },
55550		{ "RXREQ_CINIT", 12, 1 },
55551		{ "T5_RXREQ_C2", 4, 2 },
55552		{ "T5_RXREQ_C1", 2, 2 },
55553		{ "T5_RXREQ_C0", 0, 2 },
55554	{ "MAC_PORT_AE_RX_COEF_STAT_2", 0x3ea44, 0 },
55555		{ "T5_AE2_RXSTAT_RDY", 15, 1 },
55556		{ "T5_AE2_RXSTAT_C2", 4, 2 },
55557		{ "T5_AE2_RXSTAT_C1", 2, 2 },
55558		{ "T5_AE2_RXSTAT_C0", 0, 2 },
55559	{ "MAC_PORT_AE_TX_COEF_REQ_2", 0x3ea48, 0 },
55560		{ "TXREQ_CPRE", 13, 1 },
55561		{ "TXREQ_CINIT", 12, 1 },
55562		{ "T5_TXREQ_C2", 4, 2 },
55563		{ "T5_TXREQ_C1", 2, 2 },
55564		{ "T5_TXREQ_C0", 0, 2 },
55565	{ "MAC_PORT_AE_TX_COEF_STAT_2", 0x3ea4c, 0 },
55566		{ "TXSTAT_RDY", 15, 1 },
55567		{ "T5_TXSTAT_C2", 4, 2 },
55568		{ "T5_TXSTAT_C1", 2, 2 },
55569		{ "T5_TXSTAT_C0", 0, 2 },
55570	{ "MAC_PORT_AE_REG_MODE_2", 0x3ea50, 0 },
55571		{ "AET_RSVD", 7, 1 },
55572		{ "AET_ENABLE", 6, 1 },
55573		{ "MAN_DEC", 4, 2 },
55574		{ "MANUAL_RDY", 3, 1 },
55575		{ "MWT_DISABLE", 2, 1 },
55576		{ "MDIO_OVR", 1, 1 },
55577		{ "STICKY_MODE", 0, 1 },
55578	{ "MAC_PORT_AE_PRBS_CTL_2", 0x3ea54, 0 },
55579		{ "PRBS_CHK_ERRCNT", 8, 8 },
55580		{ "PRBS_SYNCCNT", 5, 3 },
55581		{ "PRBS_CHK_SYNC", 4, 1 },
55582		{ "PRBS_CHK_RST", 3, 1 },
55583		{ "PRBS_CHK_OFF", 2, 1 },
55584		{ "PRBS_GEN_FRCERR", 1, 1 },
55585		{ "PRBS_GEN_OFF", 0, 1 },
55586	{ "MAC_PORT_AE_FSM_CTL_2", 0x3ea58, 0 },
55587		{ "CIN_ENABLE", 15, 1 },
55588		{ "FSM_TR_LCL", 14, 1 },
55589		{ "FSM_GDMRK", 11, 3 },
55590		{ "FSM_BADMRK", 8, 3 },
55591		{ "FSM_TR_FAIL", 7, 1 },
55592		{ "FSM_TR_ACT", 6, 1 },
55593		{ "FSM_FRM_LCK", 5, 1 },
55594		{ "FSM_TR_COMP", 4, 1 },
55595		{ "MC_RX_RDY", 3, 1 },
55596		{ "FSM_CU_DIS", 2, 1 },
55597		{ "FSM_TR_RST", 1, 1 },
55598		{ "FSM_TR_EN", 0, 1 },
55599	{ "MAC_PORT_AE_FSM_STATE_2", 0x3ea5c, 0 },
55600		{ "CC2FSM_STATE", 13, 3 },
55601		{ "CC1FSM_STATE", 10, 3 },
55602		{ "CC0FSM_STATE", 7, 3 },
55603		{ "FLFSM_STATE", 4, 3 },
55604		{ "TFSM_STATE", 0, 3 },
55605	{ "MAC_PORT_AE_RX_COEF_REQ_3", 0x3ea60, 0 },
55606		{ "RXREQ_CPRE", 13, 1 },
55607		{ "RXREQ_CINIT", 12, 1 },
55608		{ "T5_RXREQ_C2", 4, 2 },
55609		{ "T5_RXREQ_C1", 2, 2 },
55610		{ "T5_RXREQ_C0", 0, 2 },
55611	{ "MAC_PORT_AE_RX_COEF_STAT_3", 0x3ea64, 0 },
55612		{ "T5_AE3_RXSTAT_RDY", 15, 1 },
55613		{ "T5_AE3_RXSTAT_C2", 4, 2 },
55614		{ "T5_AE3_RXSTAT_C1", 2, 2 },
55615		{ "T5_AE3_RXSTAT_C0", 0, 2 },
55616	{ "MAC_PORT_AE_TX_COEF_REQ_3", 0x3ea68, 0 },
55617		{ "TXREQ_CPRE", 13, 1 },
55618		{ "TXREQ_CINIT", 12, 1 },
55619		{ "T5_TXREQ_C2", 4, 2 },
55620		{ "T5_TXREQ_C1", 2, 2 },
55621		{ "T5_TXREQ_C0", 0, 2 },
55622	{ "MAC_PORT_AE_TX_COEF_STAT_3", 0x3ea6c, 0 },
55623		{ "TXSTAT_RDY", 15, 1 },
55624		{ "T5_TXSTAT_C2", 4, 2 },
55625		{ "T5_TXSTAT_C1", 2, 2 },
55626		{ "T5_TXSTAT_C0", 0, 2 },
55627	{ "MAC_PORT_AE_REG_MODE_3", 0x3ea70, 0 },
55628		{ "AET_RSVD", 7, 1 },
55629		{ "AET_ENABLE", 6, 1 },
55630		{ "MAN_DEC", 4, 2 },
55631		{ "MANUAL_RDY", 3, 1 },
55632		{ "MWT_DISABLE", 2, 1 },
55633		{ "MDIO_OVR", 1, 1 },
55634		{ "STICKY_MODE", 0, 1 },
55635	{ "MAC_PORT_AE_PRBS_CTL_3", 0x3ea74, 0 },
55636		{ "PRBS_CHK_ERRCNT", 8, 8 },
55637		{ "PRBS_SYNCCNT", 5, 3 },
55638		{ "PRBS_CHK_SYNC", 4, 1 },
55639		{ "PRBS_CHK_RST", 3, 1 },
55640		{ "PRBS_CHK_OFF", 2, 1 },
55641		{ "PRBS_GEN_FRCERR", 1, 1 },
55642		{ "PRBS_GEN_OFF", 0, 1 },
55643	{ "MAC_PORT_AE_FSM_CTL_3", 0x3ea78, 0 },
55644		{ "CIN_ENABLE", 15, 1 },
55645		{ "FSM_TR_LCL", 14, 1 },
55646		{ "FSM_GDMRK", 11, 3 },
55647		{ "FSM_BADMRK", 8, 3 },
55648		{ "FSM_TR_FAIL", 7, 1 },
55649		{ "FSM_TR_ACT", 6, 1 },
55650		{ "FSM_FRM_LCK", 5, 1 },
55651		{ "FSM_TR_COMP", 4, 1 },
55652		{ "MC_RX_RDY", 3, 1 },
55653		{ "FSM_CU_DIS", 2, 1 },
55654		{ "FSM_TR_RST", 1, 1 },
55655		{ "FSM_TR_EN", 0, 1 },
55656	{ "MAC_PORT_AE_FSM_STATE_3", 0x3ea7c, 0 },
55657		{ "CC2FSM_STATE", 13, 3 },
55658		{ "CC1FSM_STATE", 10, 3 },
55659		{ "CC0FSM_STATE", 7, 3 },
55660		{ "FLFSM_STATE", 4, 3 },
55661		{ "TFSM_STATE", 0, 3 },
55662	{ "MAC_PORT_AE_TX_DIS", 0x3ea80, 0 },
55663	{ "MAC_PORT_AE_KR_CTRL", 0x3ea84, 0 },
55664		{ "Training_Enable", 1, 1 },
55665		{ "Restart_Training", 0, 1 },
55666	{ "MAC_PORT_AE_RX_SIGDET", 0x3ea88, 0 },
55667	{ "MAC_PORT_AE_KR_STATUS", 0x3ea8c, 0 },
55668		{ "Training_Failure", 3, 1 },
55669		{ "Training", 2, 1 },
55670		{ "Frame_Lock", 1, 1 },
55671		{ "RX_Trained", 0, 1 },
55672	{ "MAC_PORT_AE_TX_DIS_1", 0x3ea90, 0 },
55673	{ "MAC_PORT_AE_KR_CTRL_1", 0x3ea94, 0 },
55674		{ "Training_Enable", 1, 1 },
55675		{ "Restart_Training", 0, 1 },
55676	{ "MAC_PORT_AE_RX_SIGDET_1", 0x3ea98, 0 },
55677	{ "MAC_PORT_AE_KR_STATUS_1", 0x3ea9c, 0 },
55678		{ "Training_Failure", 3, 1 },
55679		{ "Training", 2, 1 },
55680		{ "Frame_Lock", 1, 1 },
55681		{ "RX_Trained", 0, 1 },
55682	{ "MAC_PORT_AE_TX_DIS_2", 0x3eaa0, 0 },
55683	{ "MAC_PORT_AE_KR_CTRL_2", 0x3eaa4, 0 },
55684		{ "Training_Enable", 1, 1 },
55685		{ "Restart_Training", 0, 1 },
55686	{ "MAC_PORT_AE_RX_SIGDET_2", 0x3eaa8, 0 },
55687	{ "MAC_PORT_AE_KR_STATUS_2", 0x3eaac, 0 },
55688		{ "Training_Failure", 3, 1 },
55689		{ "Training", 2, 1 },
55690		{ "Frame_Lock", 1, 1 },
55691		{ "RX_Trained", 0, 1 },
55692	{ "MAC_PORT_AE_TX_DIS_3", 0x3eab0, 0 },
55693	{ "MAC_PORT_AE_KR_CTRL_3", 0x3eab4, 0 },
55694		{ "Training_Enable", 1, 1 },
55695		{ "Restart_Training", 0, 1 },
55696	{ "MAC_PORT_AE_RX_SIGDET_3", 0x3eab8, 0 },
55697	{ "MAC_PORT_AE_KR_STATUS_3", 0x3eabc, 0 },
55698		{ "Training_Failure", 3, 1 },
55699		{ "Training", 2, 1 },
55700		{ "Frame_Lock", 1, 1 },
55701		{ "RX_Trained", 0, 1 },
55702	{ "MAC_PORT_AET_STAGE_CONFIGURATION_0", 0x3eb00, 0 },
55703		{ "EN_HOLD_FAIL", 14, 1 },
55704		{ "INIT_METH", 12, 2 },
55705		{ "CE_DECS", 8, 4 },
55706		{ "EN_ZFE", 7, 1 },
55707		{ "EN_GAIN_TOG", 6, 1 },
55708		{ "EN_AI_C1", 5, 1 },
55709		{ "EN_MAX_ST", 4, 1 },
55710		{ "EN_H1T_EQ", 3, 1 },
55711		{ "H1TEQ_GOAL", 0, 3 },
55712	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_0", 0x3eb04, 0 },
55713		{ "GAIN_TH", 6, 5 },
55714		{ "EN_SD_TH", 5, 1 },
55715		{ "EN_AMIN_TH", 4, 1 },
55716		{ "AMIN_TH", 0, 4 },
55717	{ "MAC_PORT_AET_ZFE_LIMITS_0", 0x3eb08, 0 },
55718		{ "ACC_LIM", 8, 4 },
55719		{ "CNV_LIM", 4, 4 },
55720		{ "TOG_LIM", 0, 4 },
55721	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_0", 0x3eb0c, 0 },
55722		{ "BOOT_LUT7", 12, 4 },
55723		{ "BOOT_LUT6", 8, 4 },
55724		{ "BOOT_LUT45", 4, 4 },
55725		{ "BOOT_LUT0123", 2, 2 },
55726		{ "BOOT_DEC_C0", 1, 1 },
55727	{ "MAC_PORT_AET_STATUS_0", 0x3eb10, 0 },
55728		{ "AET_STAT", 9, 4 },
55729		{ "NEU_STATE", 5, 4 },
55730		{ "CTRL_STATE", 0, 5 },
55731	{ "MAC_PORT_AET_STAGE_CONFIGURATION_1", 0x3eb20, 0 },
55732		{ "EN_HOLD_FAIL", 14, 1 },
55733		{ "INIT_METH", 12, 2 },
55734		{ "CE_DECS", 8, 4 },
55735		{ "EN_ZFE", 7, 1 },
55736		{ "EN_GAIN_TOG", 6, 1 },
55737		{ "EN_AI_C1", 5, 1 },
55738		{ "EN_MAX_ST", 4, 1 },
55739		{ "EN_H1T_EQ", 3, 1 },
55740		{ "H1TEQ_GOAL", 0, 3 },
55741	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_1", 0x3eb24, 0 },
55742		{ "GAIN_TH", 6, 5 },
55743		{ "EN_SD_TH", 5, 1 },
55744		{ "EN_AMIN_TH", 4, 1 },
55745		{ "AMIN_TH", 0, 4 },
55746	{ "MAC_PORT_AET_ZFE_LIMITS_1", 0x3eb28, 0 },
55747		{ "ACC_LIM", 8, 4 },
55748		{ "CNV_LIM", 4, 4 },
55749		{ "TOG_LIM", 0, 4 },
55750	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_1", 0x3eb2c, 0 },
55751		{ "BOOT_LUT7", 12, 4 },
55752		{ "BOOT_LUT6", 8, 4 },
55753		{ "BOOT_LUT45", 4, 4 },
55754		{ "BOOT_LUT0123", 2, 2 },
55755		{ "BOOT_DEC_C0", 1, 1 },
55756	{ "MAC_PORT_AET_STATUS_1", 0x3eb30, 0 },
55757		{ "AET_STAT", 9, 4 },
55758		{ "NEU_STATE", 5, 4 },
55759		{ "CTRL_STATE", 0, 5 },
55760	{ "MAC_PORT_AET_STAGE_CONFIGURATION_2", 0x3eb40, 0 },
55761		{ "EN_HOLD_FAIL", 14, 1 },
55762		{ "INIT_METH", 12, 2 },
55763		{ "CE_DECS", 8, 4 },
55764		{ "EN_ZFE", 7, 1 },
55765		{ "EN_GAIN_TOG", 6, 1 },
55766		{ "EN_AI_C1", 5, 1 },
55767		{ "EN_MAX_ST", 4, 1 },
55768		{ "EN_H1T_EQ", 3, 1 },
55769		{ "H1TEQ_GOAL", 0, 3 },
55770	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_2", 0x3eb44, 0 },
55771		{ "GAIN_TH", 6, 5 },
55772		{ "EN_SD_TH", 5, 1 },
55773		{ "EN_AMIN_TH", 4, 1 },
55774		{ "AMIN_TH", 0, 4 },
55775	{ "MAC_PORT_AET_ZFE_LIMITS_2", 0x3eb48, 0 },
55776		{ "ACC_LIM", 8, 4 },
55777		{ "CNV_LIM", 4, 4 },
55778		{ "TOG_LIM", 0, 4 },
55779	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_2", 0x3eb4c, 0 },
55780		{ "BOOT_LUT7", 12, 4 },
55781		{ "BOOT_LUT6", 8, 4 },
55782		{ "BOOT_LUT45", 4, 4 },
55783		{ "BOOT_LUT0123", 2, 2 },
55784		{ "BOOT_DEC_C0", 1, 1 },
55785	{ "MAC_PORT_AET_STATUS_2", 0x3eb50, 0 },
55786		{ "AET_STAT", 9, 4 },
55787		{ "NEU_STATE", 5, 4 },
55788		{ "CTRL_STATE", 0, 5 },
55789	{ "MAC_PORT_AET_STAGE_CONFIGURATION_3", 0x3eb60, 0 },
55790		{ "EN_HOLD_FAIL", 14, 1 },
55791		{ "INIT_METH", 12, 2 },
55792		{ "CE_DECS", 8, 4 },
55793		{ "EN_ZFE", 7, 1 },
55794		{ "EN_GAIN_TOG", 6, 1 },
55795		{ "EN_AI_C1", 5, 1 },
55796		{ "EN_MAX_ST", 4, 1 },
55797		{ "EN_H1T_EQ", 3, 1 },
55798		{ "H1TEQ_GOAL", 0, 3 },
55799	{ "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_3", 0x3eb64, 0 },
55800		{ "GAIN_TH", 6, 5 },
55801		{ "EN_SD_TH", 5, 1 },
55802		{ "EN_AMIN_TH", 4, 1 },
55803		{ "AMIN_TH", 0, 4 },
55804	{ "MAC_PORT_AET_ZFE_LIMITS_3", 0x3eb68, 0 },
55805		{ "ACC_LIM", 8, 4 },
55806		{ "CNV_LIM", 4, 4 },
55807		{ "TOG_LIM", 0, 4 },
55808	{ "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_3", 0x3eb6c, 0 },
55809		{ "BOOT_LUT7", 12, 4 },
55810		{ "BOOT_LUT6", 8, 4 },
55811		{ "BOOT_LUT45", 4, 4 },
55812		{ "BOOT_LUT0123", 2, 2 },
55813		{ "BOOT_DEC_C0", 1, 1 },
55814	{ "MAC_PORT_AET_STATUS_3", 0x3eb70, 0 },
55815		{ "AET_STAT", 9, 4 },
55816		{ "NEU_STATE", 5, 4 },
55817		{ "CTRL_STATE", 0, 5 },
55818	{ "MAC_PORT_ANALOG_TEST_MUX", 0x3f814, 0 },
55819	{ "MAC_PORT_BANDGAP_CONTROL", 0x3f82c, 0 },
55820	{ "MAC_PORT_RESISTOR_CALIBRATION_CONTROL", 0x3f880, 0 },
55821		{ "RCCTL1", 5, 1 },
55822		{ "RCCTL0", 4, 1 },
55823		{ "RCAMP1", 3, 1 },
55824		{ "RCAMP0", 2, 1 },
55825		{ "RCAMPEN", 1, 1 },
55826		{ "RCRST", 0, 1 },
55827	{ "MAC_PORT_RESISTOR_CALIBRATION_STATUS_1", 0x3f884, 0 },
55828		{ "RCERR", 1, 1 },
55829		{ "RCCOMP", 0, 1 },
55830	{ "MAC_PORT_RESISTOR_CALIBRATION_STATUS_2", 0x3f888, 0 },
55831	{ "MAC_PORT_RESISTOR_CALIBRATION_STATUS_3", 0x3f88c, 0 },
55832	{ "MAC_PORT_MACRO_TEST_CONTROL_6", 0x3f8e8, 0 },
55833		{ "LBIST", 7, 1 },
55834		{ "LOGICTEST", 6, 1 },
55835		{ "MAVDHI", 5, 1 },
55836		{ "AUXEN", 4, 1 },
55837		{ "JTAGMD", 3, 1 },
55838		{ "RXACMODE", 2, 1 },
55839		{ "HSSACJPC", 1, 1 },
55840		{ "HSSACJAC", 0, 1 },
55841	{ "MAC_PORT_MACRO_TEST_CONTROL_5", 0x3f8ec, 0 },
55842		{ "REFVALIDD", 6, 1 },
55843		{ "REFVALIDC", 5, 1 },
55844		{ "REFVALIDB", 4, 1 },
55845		{ "REFVALIDA", 3, 1 },
55846		{ "REFSELRESET", 2, 1 },
55847		{ "SOFTRESET", 1, 1 },
55848		{ "MACROTEST", 0, 1 },
55849	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_0", 0x3fb00, 0 },
55850	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_1", 0x3fb04, 0 },
55851		{ "LDET", 4, 1 },
55852		{ "CCERR", 3, 1 },
55853		{ "CCCMP", 2, 1 },
55854	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_2", 0x3fb08, 0 },
55855	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_3", 0x3fb0c, 0 },
55856		{ "FMIN", 3, 1 },
55857		{ "FMAX", 2, 1 },
55858		{ "CVHOLD", 1, 1 },
55859	{ "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_4", 0x3fb10, 0 },
55860		{ "CMETH", 2, 1 },
55861		{ "RECAL", 1, 1 },
55862		{ "CCLD", 0, 1 },
55863	{ "MAC_PORT_PLLA_CHARGE_PUMP_CONTROL", 0x3fb28, 0 },
55864	{ "MAC_PORT_PLLA_PCLK_CONTROL", 0x3fb3c, 0 },
55865		{ "SPEDIV", 3, 5 },
55866		{ "PCKSEL", 0, 3 },
55867	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_CONTROL", 0x3fb40, 0 },
55868		{ "EMIL", 2, 1 },
55869		{ "EMID", 1, 1 },
55870		{ "EMIS", 0, 1 },
55871	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_1", 0x3fb44, 0 },
55872	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_2", 0x3fb48, 0 },
55873	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_3", 0x3fb4c, 0 },
55874	{ "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_4", 0x3fb50, 0 },
55875	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_4", 0x3fbf0, 0 },
55876		{ "VBST", 1, 3 },
55877	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_3", 0x3fbf4, 0 },
55878		{ "RESYNC", 6, 1 },
55879		{ "RXCLKSEL", 5, 1 },
55880		{ "FRCBAND", 4, 1 },
55881		{ "PLLBYP", 3, 1 },
55882		{ "PDWNP", 2, 1 },
55883		{ "VCOSEL", 1, 1 },
55884		{ "DIVSEL8", 0, 1 },
55885	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_2", 0x3fbf8, 0 },
55886	{ "MAC_PORT_PLLA_MACRO_TEST_CONTROL_1", 0x3fbfc, 0 },
55887	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_0", 0x3fc00, 0 },
55888	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_1", 0x3fc04, 0 },
55889		{ "LDET", 4, 1 },
55890		{ "CCERR", 3, 1 },
55891		{ "CCCMP", 2, 1 },
55892	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_2", 0x3fc08, 0 },
55893	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_3", 0x3fc0c, 0 },
55894		{ "FMIN", 3, 1 },
55895		{ "FMAX", 2, 1 },
55896		{ "CVHOLD", 1, 1 },
55897	{ "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_4", 0x3fc10, 0 },
55898		{ "CMETH", 2, 1 },
55899		{ "RECAL", 1, 1 },
55900		{ "CCLD", 0, 1 },
55901	{ "MAC_PORT_PLLB_CHARGE_PUMP_CONTROL", 0x3fc28, 0 },
55902	{ "MAC_PORT_PLLB_PCLK_CONTROL", 0x3fc3c, 0 },
55903		{ "SPEDIV", 3, 5 },
55904		{ "PCKSEL", 0, 3 },
55905	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_CONTROL", 0x3fc40, 0 },
55906		{ "EMIL", 2, 1 },
55907		{ "EMID", 1, 1 },
55908		{ "EMIS", 0, 1 },
55909	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_1", 0x3fc44, 0 },
55910	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_2", 0x3fc48, 0 },
55911	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_3", 0x3fc4c, 0 },
55912	{ "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_4", 0x3fc50, 0 },
55913	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_4", 0x3fcf0, 0 },
55914		{ "VBST", 1, 3 },
55915	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_3", 0x3fcf4, 0 },
55916		{ "RESYNC", 6, 1 },
55917		{ "RXCLKSEL", 5, 1 },
55918		{ "FRCBAND", 4, 1 },
55919		{ "PLLBYP", 3, 1 },
55920		{ "PDWNP", 2, 1 },
55921		{ "VCOSEL", 1, 1 },
55922		{ "DIVSEL8", 0, 1 },
55923	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_2", 0x3fcf8, 0 },
55924	{ "MAC_PORT_PLLB_MACRO_TEST_CONTROL_1", 0x3fcfc, 0 },
55925	{ "MAC_PORT_TX_LINKA_TRANSMIT_CONFIGURATION_MODE", 0x3f000, 0 },
55926		{ "T5_TX_LINKEN", 15, 1 },
55927		{ "T5_TX_LINKRST", 14, 1 },
55928		{ "T5_TX_CFGWRT", 13, 1 },
55929		{ "T5_TX_CFGPTR", 11, 2 },
55930		{ "T5_TX_CFGEXT", 10, 1 },
55931		{ "T5_TX_CFGACT", 9, 1 },
55932		{ "T5_TX_RSYNCC", 8, 1 },
55933		{ "T5_TX_PLLSEL", 6, 2 },
55934		{ "T5_TX_EXTC16", 5, 1 },
55935		{ "T5_TX_DCKSEL", 4, 1 },
55936		{ "T5_TX_RXLOOP", 3, 1 },
55937		{ "T5_TX_BWSEL", 2, 1 },
55938		{ "T5_TX_RTSEL", 0, 2 },
55939	{ "MAC_PORT_TX_LINKA_TRANSMIT_TEST_CONTROL", 0x3f004, 0 },
55940		{ "SPSEL", 11, 3 },
55941		{ "AFDWEN", 7, 1 },
55942		{ "PRST", 4, 1 },
55943		{ "TPGMD", 3, 1 },
55944		{ "TPSEL", 0, 3 },
55945	{ "MAC_PORT_TX_LINKA_TRANSMIT_COEFFICIENT_CONTROL", 0x3f008, 0 },
55946		{ "ZCALOVRD", 8, 1 },
55947		{ "AMMODE", 7, 1 },
55948		{ "AEPOL", 6, 1 },
55949		{ "AESRC", 5, 1 },
55950		{ "EQMODE", 4, 1 },
55951		{ "OCOEF", 3, 1 },
55952		{ "COEFRST", 2, 1 },
55953		{ "ALOAD", 0, 1 },
55954	{ "MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_MODE_CONTROL", 0x3f00c, 0 },
55955		{ "T5DRVHIZ", 5, 1 },
55956		{ "T5SASIMP", 4, 1 },
55957		{ "T5SLEW", 2, 2 },
55958	{ "MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x3f010, 0 },
55959		{ "T5C2BUFDCEN", 5, 1 },
55960		{ "T5DCCEN", 4, 1 },
55961		{ "T5REGBYP", 3, 1 },
55962		{ "T5REGAEN", 2, 1 },
55963		{ "T5REGAMP", 0, 2 },
55964	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x3f014, 0 },
55965		{ "RSTEP", 15, 1 },
55966		{ "RLOCK", 14, 1 },
55967		{ "RPOS", 8, 6 },
55968		{ "DCLKSAM", 7, 1 },
55969	{ "MAC_PORT_TX_LINKA_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x3f018, 0 },
55970		{ "CALSSTN", 3, 3 },
55971		{ "CALSSTP", 0, 3 },
55972	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3f01c, 0 },
55973	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT", 0x3f020, 0 },
55974	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT", 0x3f024, 0 },
55975	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT", 0x3f028, 0 },
55976	{ "MAC_PORT_TX_LINKA_TRANSMIT_AMPLITUDE", 0x3f030, 0 },
55977	{ "MAC_PORT_TX_LINKA_TRANSMIT_POLARITY", 0x3f034, 0 },
55978		{ "TXPOL", 4, 3 },
55979		{ "NXTPOL", 0, 3 },
55980	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x3f038, 0 },
55981		{ "CPREST", 13, 1 },
55982		{ "CINIT", 12, 1 },
55983		{ "C2UPDT", 4, 2 },
55984		{ "C1UPDT", 2, 2 },
55985		{ "C0UPDT", 0, 2 },
55986	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3f03c, 0 },
55987		{ "C2STAT", 4, 2 },
55988		{ "C1STAT", 2, 2 },
55989		{ "C0STAT", 0, 2 },
55990	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x3f040, 0 },
55991	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x3f044, 0 },
55992	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x3f048, 0 },
55993	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x3f060, 0 },
55994	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x3f064, 0 },
55995	{ "MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x3f068, 0 },
55996	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x3f070, 0 },
55997		{ "MAINSC", 6, 6 },
55998		{ "POSTSC", 0, 6 },
55999	{ "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x3f074, 0 },
56000	{ "MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x3f078, 0 },
56001	{ "MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3f07c, 0 },
56002		{ "T5XADDR", 1, 5 },
56003		{ "T5XWR", 0, 1 },
56004	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x3f080, 0 },
56005	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x3f084, 0 },
56006	{ "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x3f088, 0 },
56007	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_CONTROL", 0x3f08c, 0 },
56008		{ "DCCTIMEDOUT", 15, 1 },
56009		{ "DCCTIMEEN", 14, 1 },
56010		{ "DCCLOCK", 13, 1 },
56011		{ "DCCOFFSET", 8, 5 },
56012		{ "DCCSTEP", 6, 2 },
56013		{ "DCCASTEP", 1, 5 },
56014		{ "DCCAEN", 0, 1 },
56015	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_OVERRIDE", 0x3f090, 0 },
56016		{ "DCCOUT", 12, 1 },
56017		{ "DCCCLK", 11, 1 },
56018		{ "DCCHOLD", 10, 1 },
56019		{ "DCCSIGN", 8, 2 },
56020		{ "DCCAMP", 1, 7 },
56021		{ "DCCOEN", 0, 1 },
56022	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_APPLIED", 0x3f094, 0 },
56023		{ "DCCASIGN", 7, 2 },
56024		{ "DCCAAMP", 0, 7 },
56025	{ "MAC_PORT_TX_LINKA_TRANSMIT_DCC_TIME_OUT", 0x3f098, 0 },
56026	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AZ_CONTROL", 0x3f09c, 0 },
56027		{ "LPIDCLK", 4, 1 },
56028		{ "LPITERM", 2, 2 },
56029		{ "LPIPRCD", 0, 2 },
56030	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_4", 0x3f0f0, 0 },
56031		{ "SDOVRDEN", 8, 1 },
56032		{ "SDOVRD", 0, 8 },
56033	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_3", 0x3f0f4, 0 },
56034		{ "SLEWCODE", 1, 2 },
56035		{ "ASEGEN", 0, 1 },
56036	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_2", 0x3f0f8, 0 },
56037		{ "AECMDVAL", 14, 1 },
56038		{ "AECMD1312", 12, 2 },
56039		{ "AECMD70", 0, 8 },
56040	{ "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_1", 0x3f0fc, 0 },
56041		{ "C48DIVCTL", 12, 3 },
56042		{ "RATEDIVCTL", 9, 3 },
56043		{ "ANLGFLSH", 8, 1 },
56044		{ "DCCTSTOUT", 7, 1 },
56045		{ "BSOUT", 6, 1 },
56046		{ "BSIN", 5, 1 },
56047		{ "JTAGAMPL", 3, 2 },
56048		{ "JTAGTS", 2, 1 },
56049		{ "TS", 1, 1 },
56050		{ "OBS", 0, 1 },
56051	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x3c000, 0 },
56052	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x3c008, 0 },
56053	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x3c010, 0 },
56054		{ "C0MAX", 8, 5 },
56055		{ "C0MIN", 0, 5 },
56056	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x3c018, 0 },
56057	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x3c020, 0 },
56058		{ "C1MAX", 8, 7 },
56059		{ "C1MIN", 0, 7 },
56060	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x3c028, 0 },
56061	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x3c030, 0 },
56062		{ "C2MAX", 8, 6 },
56063		{ "C2MIN", 0, 6 },
56064	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x3c038, 0 },
56065	{ "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x3c040, 0 },
56066	{ "MAC_PORT_TX_LINKB_TRANSMIT_CONFIGURATION_MODE", 0x3f100, 0 },
56067		{ "T5_TX_LINKEN", 15, 1 },
56068		{ "T5_TX_LINKRST", 14, 1 },
56069		{ "T5_TX_CFGWRT", 13, 1 },
56070		{ "T5_TX_CFGPTR", 11, 2 },
56071		{ "T5_TX_CFGEXT", 10, 1 },
56072		{ "T5_TX_CFGACT", 9, 1 },
56073		{ "T5_TX_RSYNCC", 8, 1 },
56074		{ "T5_TX_PLLSEL", 6, 2 },
56075		{ "T5_TX_EXTC16", 5, 1 },
56076		{ "T5_TX_DCKSEL", 4, 1 },
56077		{ "T5_TX_RXLOOP", 3, 1 },
56078		{ "T5_TX_BWSEL", 2, 1 },
56079		{ "T5_TX_RTSEL", 0, 2 },
56080	{ "MAC_PORT_TX_LINKB_TRANSMIT_TEST_CONTROL", 0x3f104, 0 },
56081		{ "SPSEL", 11, 3 },
56082		{ "AFDWEN", 7, 1 },
56083		{ "PRST", 4, 1 },
56084		{ "TPGMD", 3, 1 },
56085		{ "TPSEL", 0, 3 },
56086	{ "MAC_PORT_TX_LINKB_TRANSMIT_COEFFICIENT_CONTROL", 0x3f108, 0 },
56087		{ "ZCALOVRD", 8, 1 },
56088		{ "AMMODE", 7, 1 },
56089		{ "AEPOL", 6, 1 },
56090		{ "AESRC", 5, 1 },
56091		{ "EQMODE", 4, 1 },
56092		{ "OCOEF", 3, 1 },
56093		{ "COEFRST", 2, 1 },
56094		{ "ALOAD", 0, 1 },
56095	{ "MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_MODE_CONTROL", 0x3f10c, 0 },
56096		{ "T5DRVHIZ", 5, 1 },
56097		{ "T5SASIMP", 4, 1 },
56098		{ "T5SLEW", 2, 2 },
56099	{ "MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x3f110, 0 },
56100		{ "T5C2BUFDCEN", 5, 1 },
56101		{ "T5DCCEN", 4, 1 },
56102		{ "T5REGBYP", 3, 1 },
56103		{ "T5REGAEN", 2, 1 },
56104		{ "T5REGAMP", 0, 2 },
56105	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x3f114, 0 },
56106		{ "RSTEP", 15, 1 },
56107		{ "RLOCK", 14, 1 },
56108		{ "RPOS", 8, 6 },
56109		{ "DCLKSAM", 7, 1 },
56110	{ "MAC_PORT_TX_LINKB_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x3f118, 0 },
56111		{ "CALSSTN", 3, 3 },
56112		{ "CALSSTP", 0, 3 },
56113	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3f11c, 0 },
56114	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT", 0x3f120, 0 },
56115	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT", 0x3f124, 0 },
56116	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT", 0x3f128, 0 },
56117	{ "MAC_PORT_TX_LINKB_TRANSMIT_AMPLITUDE", 0x3f130, 0 },
56118	{ "MAC_PORT_TX_LINKB_TRANSMIT_POLARITY", 0x3f134, 0 },
56119		{ "TXPOL", 4, 3 },
56120		{ "NXTPOL", 0, 3 },
56121	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x3f138, 0 },
56122		{ "CPREST", 13, 1 },
56123		{ "CINIT", 12, 1 },
56124		{ "C2UPDT", 4, 2 },
56125		{ "C1UPDT", 2, 2 },
56126		{ "C0UPDT", 0, 2 },
56127	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3f13c, 0 },
56128		{ "C2STAT", 4, 2 },
56129		{ "C1STAT", 2, 2 },
56130		{ "C0STAT", 0, 2 },
56131	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x3f140, 0 },
56132	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x3f144, 0 },
56133	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x3f148, 0 },
56134	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x3f160, 0 },
56135	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x3f164, 0 },
56136	{ "MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x3f168, 0 },
56137	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x3f170, 0 },
56138		{ "MAINSC", 6, 6 },
56139		{ "POSTSC", 0, 6 },
56140	{ "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x3f174, 0 },
56141	{ "MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x3f178, 0 },
56142	{ "MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3f17c, 0 },
56143		{ "T5XADDR", 1, 5 },
56144		{ "T5XWR", 0, 1 },
56145	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x3f180, 0 },
56146	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x3f184, 0 },
56147	{ "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x3f188, 0 },
56148	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_CONTROL", 0x3f18c, 0 },
56149		{ "DCCTIMEDOUT", 15, 1 },
56150		{ "DCCTIMEEN", 14, 1 },
56151		{ "DCCLOCK", 13, 1 },
56152		{ "DCCOFFSET", 8, 5 },
56153		{ "DCCSTEP", 6, 2 },
56154		{ "DCCASTEP", 1, 5 },
56155		{ "DCCAEN", 0, 1 },
56156	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_OVERRIDE", 0x3f190, 0 },
56157		{ "DCCOUT", 12, 1 },
56158		{ "DCCCLK", 11, 1 },
56159		{ "DCCHOLD", 10, 1 },
56160		{ "DCCSIGN", 8, 2 },
56161		{ "DCCAMP", 1, 7 },
56162		{ "DCCOEN", 0, 1 },
56163	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_APPLIED", 0x3f194, 0 },
56164		{ "DCCASIGN", 7, 2 },
56165		{ "DCCAAMP", 0, 7 },
56166	{ "MAC_PORT_TX_LINKB_TRANSMIT_DCC_TIME_OUT", 0x3f198, 0 },
56167	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AZ_CONTROL", 0x3f19c, 0 },
56168		{ "LPIDCLK", 4, 1 },
56169		{ "LPITERM", 2, 2 },
56170		{ "LPIPRCD", 0, 2 },
56171	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_4", 0x3f1f0, 0 },
56172		{ "SDOVRDEN", 8, 1 },
56173		{ "SDOVRD", 0, 8 },
56174	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_3", 0x3f1f4, 0 },
56175		{ "SLEWCODE", 1, 2 },
56176		{ "ASEGEN", 0, 1 },
56177	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_2", 0x3f1f8, 0 },
56178		{ "AECMDVAL", 14, 1 },
56179		{ "AECMD1312", 12, 2 },
56180		{ "AECMD70", 0, 8 },
56181	{ "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_1", 0x3f1fc, 0 },
56182		{ "C48DIVCTL", 12, 3 },
56183		{ "RATEDIVCTL", 9, 3 },
56184		{ "ANLGFLSH", 8, 1 },
56185		{ "DCCTSTOUT", 7, 1 },
56186		{ "BSOUT", 6, 1 },
56187		{ "BSIN", 5, 1 },
56188		{ "JTAGAMPL", 3, 2 },
56189		{ "JTAGTS", 2, 1 },
56190		{ "TS", 1, 1 },
56191		{ "OBS", 0, 1 },
56192	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x3c000, 0 },
56193	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x3c008, 0 },
56194	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x3c010, 0 },
56195		{ "C0MAX", 8, 5 },
56196		{ "C0MIN", 0, 5 },
56197	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x3c018, 0 },
56198	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x3c020, 0 },
56199		{ "C1MAX", 8, 7 },
56200		{ "C1MIN", 0, 7 },
56201	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x3c028, 0 },
56202	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x3c030, 0 },
56203		{ "C2MAX", 8, 6 },
56204		{ "C2MIN", 0, 6 },
56205	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x3c038, 0 },
56206	{ "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x3c040, 0 },
56207	{ "MAC_PORT_TX_LINKC_TRANSMIT_CONFIGURATION_MODE", 0x3f400, 0 },
56208		{ "T5_TX_LINKEN", 15, 1 },
56209		{ "T5_TX_LINKRST", 14, 1 },
56210		{ "T5_TX_CFGWRT", 13, 1 },
56211		{ "T5_TX_CFGPTR", 11, 2 },
56212		{ "T5_TX_CFGEXT", 10, 1 },
56213		{ "T5_TX_CFGACT", 9, 1 },
56214		{ "T5_TX_RSYNCC", 8, 1 },
56215		{ "T5_TX_PLLSEL", 6, 2 },
56216		{ "T5_TX_EXTC16", 5, 1 },
56217		{ "T5_TX_DCKSEL", 4, 1 },
56218		{ "T5_TX_RXLOOP", 3, 1 },
56219		{ "T5_TX_BWSEL", 2, 1 },
56220		{ "T5_TX_RTSEL", 0, 2 },
56221	{ "MAC_PORT_TX_LINKC_TRANSMIT_TEST_CONTROL", 0x3f404, 0 },
56222		{ "SPSEL", 11, 3 },
56223		{ "AFDWEN", 7, 1 },
56224		{ "PRST", 4, 1 },
56225		{ "TPGMD", 3, 1 },
56226		{ "TPSEL", 0, 3 },
56227	{ "MAC_PORT_TX_LINKC_TRANSMIT_COEFFICIENT_CONTROL", 0x3f408, 0 },
56228		{ "ZCALOVRD", 8, 1 },
56229		{ "AMMODE", 7, 1 },
56230		{ "AEPOL", 6, 1 },
56231		{ "AESRC", 5, 1 },
56232		{ "EQMODE", 4, 1 },
56233		{ "OCOEF", 3, 1 },
56234		{ "COEFRST", 2, 1 },
56235		{ "ALOAD", 0, 1 },
56236	{ "MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_MODE_CONTROL", 0x3f40c, 0 },
56237		{ "T5DRVHIZ", 5, 1 },
56238		{ "T5SASIMP", 4, 1 },
56239		{ "T5SLEW", 2, 2 },
56240	{ "MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x3f410, 0 },
56241		{ "T5C2BUFDCEN", 5, 1 },
56242		{ "T5DCCEN", 4, 1 },
56243		{ "T5REGBYP", 3, 1 },
56244		{ "T5REGAEN", 2, 1 },
56245		{ "T5REGAMP", 0, 2 },
56246	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x3f414, 0 },
56247		{ "RSTEP", 15, 1 },
56248		{ "RLOCK", 14, 1 },
56249		{ "RPOS", 8, 6 },
56250		{ "DCLKSAM", 7, 1 },
56251	{ "MAC_PORT_TX_LINKC_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x3f418, 0 },
56252		{ "CALSSTN", 3, 3 },
56253		{ "CALSSTP", 0, 3 },
56254	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3f41c, 0 },
56255	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT", 0x3f420, 0 },
56256	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT", 0x3f424, 0 },
56257	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT", 0x3f428, 0 },
56258	{ "MAC_PORT_TX_LINKC_TRANSMIT_AMPLITUDE", 0x3f430, 0 },
56259	{ "MAC_PORT_TX_LINKC_TRANSMIT_POLARITY", 0x3f434, 0 },
56260		{ "TXPOL", 4, 3 },
56261		{ "NXTPOL", 0, 3 },
56262	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x3f438, 0 },
56263		{ "CPREST", 13, 1 },
56264		{ "CINIT", 12, 1 },
56265		{ "C2UPDT", 4, 2 },
56266		{ "C1UPDT", 2, 2 },
56267		{ "C0UPDT", 0, 2 },
56268	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3f43c, 0 },
56269		{ "C2STAT", 4, 2 },
56270		{ "C1STAT", 2, 2 },
56271		{ "C0STAT", 0, 2 },
56272	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x3f440, 0 },
56273	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x3f444, 0 },
56274	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x3f448, 0 },
56275	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x3f460, 0 },
56276	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x3f464, 0 },
56277	{ "MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x3f468, 0 },
56278	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x3f470, 0 },
56279		{ "MAINSC", 6, 6 },
56280		{ "POSTSC", 0, 6 },
56281	{ "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x3f474, 0 },
56282	{ "MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x3f478, 0 },
56283	{ "MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3f47c, 0 },
56284		{ "T5XADDR", 1, 5 },
56285		{ "T5XWR", 0, 1 },
56286	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x3f480, 0 },
56287	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x3f484, 0 },
56288	{ "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x3f488, 0 },
56289	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_CONTROL", 0x3f48c, 0 },
56290		{ "DCCTIMEDOUT", 15, 1 },
56291		{ "DCCTIMEEN", 14, 1 },
56292		{ "DCCLOCK", 13, 1 },
56293		{ "DCCOFFSET", 8, 5 },
56294		{ "DCCSTEP", 6, 2 },
56295		{ "DCCASTEP", 1, 5 },
56296		{ "DCCAEN", 0, 1 },
56297	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_OVERRIDE", 0x3f490, 0 },
56298		{ "DCCOUT", 12, 1 },
56299		{ "DCCCLK", 11, 1 },
56300		{ "DCCHOLD", 10, 1 },
56301		{ "DCCSIGN", 8, 2 },
56302		{ "DCCAMP", 1, 7 },
56303		{ "DCCOEN", 0, 1 },
56304	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_APPLIED", 0x3f494, 0 },
56305		{ "DCCASIGN", 7, 2 },
56306		{ "DCCAAMP", 0, 7 },
56307	{ "MAC_PORT_TX_LINKC_TRANSMIT_DCC_TIME_OUT", 0x3f498, 0 },
56308	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AZ_CONTROL", 0x3f49c, 0 },
56309		{ "LPIDCLK", 4, 1 },
56310		{ "LPITERM", 2, 2 },
56311		{ "LPIPRCD", 0, 2 },
56312	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_4", 0x3f4f0, 0 },
56313		{ "SDOVRDEN", 8, 1 },
56314		{ "SDOVRD", 0, 8 },
56315	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_3", 0x3f4f4, 0 },
56316		{ "SLEWCODE", 1, 2 },
56317		{ "ASEGEN", 0, 1 },
56318	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_2", 0x3f4f8, 0 },
56319		{ "AECMDVAL", 14, 1 },
56320		{ "AECMD1312", 12, 2 },
56321		{ "AECMD70", 0, 8 },
56322	{ "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_1", 0x3f4fc, 0 },
56323		{ "C48DIVCTL", 12, 3 },
56324		{ "RATEDIVCTL", 9, 3 },
56325		{ "ANLGFLSH", 8, 1 },
56326		{ "DCCTSTOUT", 7, 1 },
56327		{ "BSOUT", 6, 1 },
56328		{ "BSIN", 5, 1 },
56329		{ "JTAGAMPL", 3, 2 },
56330		{ "JTAGTS", 2, 1 },
56331		{ "TS", 1, 1 },
56332		{ "OBS", 0, 1 },
56333	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x3c000, 0 },
56334	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x3c008, 0 },
56335	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x3c010, 0 },
56336		{ "C0MAX", 8, 5 },
56337		{ "C0MIN", 0, 5 },
56338	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x3c018, 0 },
56339	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x3c020, 0 },
56340		{ "C1MAX", 8, 7 },
56341		{ "C1MIN", 0, 7 },
56342	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x3c028, 0 },
56343	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x3c030, 0 },
56344		{ "C2MAX", 8, 6 },
56345		{ "C2MIN", 0, 6 },
56346	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x3c038, 0 },
56347	{ "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x3c040, 0 },
56348	{ "MAC_PORT_TX_LINKD_TRANSMIT_CONFIGURATION_MODE", 0x3f500, 0 },
56349		{ "T5_TX_LINKEN", 15, 1 },
56350		{ "T5_TX_LINKRST", 14, 1 },
56351		{ "T5_TX_CFGWRT", 13, 1 },
56352		{ "T5_TX_CFGPTR", 11, 2 },
56353		{ "T5_TX_CFGEXT", 10, 1 },
56354		{ "T5_TX_CFGACT", 9, 1 },
56355		{ "T5_TX_RSYNCC", 8, 1 },
56356		{ "T5_TX_PLLSEL", 6, 2 },
56357		{ "T5_TX_EXTC16", 5, 1 },
56358		{ "T5_TX_DCKSEL", 4, 1 },
56359		{ "T5_TX_RXLOOP", 3, 1 },
56360		{ "T5_TX_BWSEL", 2, 1 },
56361		{ "T5_TX_RTSEL", 0, 2 },
56362	{ "MAC_PORT_TX_LINKD_TRANSMIT_TEST_CONTROL", 0x3f504, 0 },
56363		{ "SPSEL", 11, 3 },
56364		{ "AFDWEN", 7, 1 },
56365		{ "PRST", 4, 1 },
56366		{ "TPGMD", 3, 1 },
56367		{ "TPSEL", 0, 3 },
56368	{ "MAC_PORT_TX_LINKD_TRANSMIT_COEFFICIENT_CONTROL", 0x3f508, 0 },
56369		{ "ZCALOVRD", 8, 1 },
56370		{ "AMMODE", 7, 1 },
56371		{ "AEPOL", 6, 1 },
56372		{ "AESRC", 5, 1 },
56373		{ "EQMODE", 4, 1 },
56374		{ "OCOEF", 3, 1 },
56375		{ "COEFRST", 2, 1 },
56376		{ "ALOAD", 0, 1 },
56377	{ "MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_MODE_CONTROL", 0x3f50c, 0 },
56378		{ "T5DRVHIZ", 5, 1 },
56379		{ "T5SASIMP", 4, 1 },
56380		{ "T5SLEW", 2, 2 },
56381	{ "MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x3f510, 0 },
56382		{ "T5C2BUFDCEN", 5, 1 },
56383		{ "T5DCCEN", 4, 1 },
56384		{ "T5REGBYP", 3, 1 },
56385		{ "T5REGAEN", 2, 1 },
56386		{ "T5REGAMP", 0, 2 },
56387	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x3f514, 0 },
56388		{ "RSTEP", 15, 1 },
56389		{ "RLOCK", 14, 1 },
56390		{ "RPOS", 8, 6 },
56391		{ "DCLKSAM", 7, 1 },
56392	{ "MAC_PORT_TX_LINKD_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x3f518, 0 },
56393		{ "CALSSTN", 3, 3 },
56394		{ "CALSSTP", 0, 3 },
56395	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3f51c, 0 },
56396	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT", 0x3f520, 0 },
56397	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT", 0x3f524, 0 },
56398	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT", 0x3f528, 0 },
56399	{ "MAC_PORT_TX_LINKD_TRANSMIT_AMPLITUDE", 0x3f530, 0 },
56400	{ "MAC_PORT_TX_LINKD_TRANSMIT_POLARITY", 0x3f534, 0 },
56401		{ "TXPOL", 4, 3 },
56402		{ "NXTPOL", 0, 3 },
56403	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x3f538, 0 },
56404		{ "CPREST", 13, 1 },
56405		{ "CINIT", 12, 1 },
56406		{ "C2UPDT", 4, 2 },
56407		{ "C1UPDT", 2, 2 },
56408		{ "C0UPDT", 0, 2 },
56409	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3f53c, 0 },
56410		{ "C2STAT", 4, 2 },
56411		{ "C1STAT", 2, 2 },
56412		{ "C0STAT", 0, 2 },
56413	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x3f540, 0 },
56414	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x3f544, 0 },
56415	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x3f548, 0 },
56416	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x3f560, 0 },
56417	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x3f564, 0 },
56418	{ "MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x3f568, 0 },
56419	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x3f570, 0 },
56420		{ "MAINSC", 6, 6 },
56421		{ "POSTSC", 0, 6 },
56422	{ "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x3f574, 0 },
56423	{ "MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x3f578, 0 },
56424	{ "MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3f57c, 0 },
56425		{ "T5XADDR", 1, 5 },
56426		{ "T5XWR", 0, 1 },
56427	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x3f580, 0 },
56428	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x3f584, 0 },
56429	{ "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x3f588, 0 },
56430	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_CONTROL", 0x3f58c, 0 },
56431		{ "DCCTIMEDOUT", 15, 1 },
56432		{ "DCCTIMEEN", 14, 1 },
56433		{ "DCCLOCK", 13, 1 },
56434		{ "DCCOFFSET", 8, 5 },
56435		{ "DCCSTEP", 6, 2 },
56436		{ "DCCASTEP", 1, 5 },
56437		{ "DCCAEN", 0, 1 },
56438	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_OVERRIDE", 0x3f590, 0 },
56439		{ "DCCOUT", 12, 1 },
56440		{ "DCCCLK", 11, 1 },
56441		{ "DCCHOLD", 10, 1 },
56442		{ "DCCSIGN", 8, 2 },
56443		{ "DCCAMP", 1, 7 },
56444		{ "DCCOEN", 0, 1 },
56445	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_APPLIED", 0x3f594, 0 },
56446		{ "DCCASIGN", 7, 2 },
56447		{ "DCCAAMP", 0, 7 },
56448	{ "MAC_PORT_TX_LINKD_TRANSMIT_DCC_TIME_OUT", 0x3f598, 0 },
56449	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AZ_CONTROL", 0x3f59c, 0 },
56450		{ "LPIDCLK", 4, 1 },
56451		{ "LPITERM", 2, 2 },
56452		{ "LPIPRCD", 0, 2 },
56453	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_4", 0x3f5f0, 0 },
56454		{ "SDOVRDEN", 8, 1 },
56455		{ "SDOVRD", 0, 8 },
56456	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_3", 0x3f5f4, 0 },
56457		{ "SLEWCODE", 1, 2 },
56458		{ "ASEGEN", 0, 1 },
56459	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_2", 0x3f5f8, 0 },
56460		{ "AECMDVAL", 14, 1 },
56461		{ "AECMD1312", 12, 2 },
56462		{ "AECMD70", 0, 8 },
56463	{ "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_1", 0x3f5fc, 0 },
56464		{ "C48DIVCTL", 12, 3 },
56465		{ "RATEDIVCTL", 9, 3 },
56466		{ "ANLGFLSH", 8, 1 },
56467		{ "DCCTSTOUT", 7, 1 },
56468		{ "BSOUT", 6, 1 },
56469		{ "BSIN", 5, 1 },
56470		{ "JTAGAMPL", 3, 2 },
56471		{ "JTAGTS", 2, 1 },
56472		{ "TS", 1, 1 },
56473		{ "OBS", 0, 1 },
56474	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x3c000, 0 },
56475	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x3c008, 0 },
56476	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x3c010, 0 },
56477		{ "C0MAX", 8, 5 },
56478		{ "C0MIN", 0, 5 },
56479	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x3c018, 0 },
56480	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x3c020, 0 },
56481		{ "C1MAX", 8, 7 },
56482		{ "C1MIN", 0, 7 },
56483	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x3c028, 0 },
56484	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x3c030, 0 },
56485		{ "C2MAX", 8, 6 },
56486		{ "C2MIN", 0, 6 },
56487	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x3c038, 0 },
56488	{ "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x3c040, 0 },
56489	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_CONFIGURATION_MODE", 0x3f900, 0 },
56490		{ "T5_TX_LINKEN", 15, 1 },
56491		{ "T5_TX_LINKRST", 14, 1 },
56492		{ "T5_TX_CFGWRT", 13, 1 },
56493		{ "T5_TX_CFGPTR", 11, 2 },
56494		{ "T5_TX_CFGEXT", 10, 1 },
56495		{ "T5_TX_CFGACT", 9, 1 },
56496		{ "T5_TX_RSYNCC", 8, 1 },
56497		{ "T5_TX_PLLSEL", 6, 2 },
56498		{ "T5_TX_EXTC16", 5, 1 },
56499		{ "T5_TX_DCKSEL", 4, 1 },
56500		{ "T5_TX_RXLOOP", 3, 1 },
56501		{ "T5_TX_BWSEL", 2, 1 },
56502		{ "T5_TX_RTSEL", 0, 2 },
56503	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TEST_CONTROL", 0x3f904, 0 },
56504		{ "SPSEL", 11, 3 },
56505		{ "AFDWEN", 7, 1 },
56506		{ "PRST", 4, 1 },
56507		{ "TPGMD", 3, 1 },
56508		{ "TPSEL", 0, 3 },
56509	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_COEFFICIENT_CONTROL", 0x3f908, 0 },
56510		{ "ZCALOVRD", 8, 1 },
56511		{ "AMMODE", 7, 1 },
56512		{ "AEPOL", 6, 1 },
56513		{ "AESRC", 5, 1 },
56514		{ "EQMODE", 4, 1 },
56515		{ "OCOEF", 3, 1 },
56516		{ "COEFRST", 2, 1 },
56517		{ "ALOAD", 0, 1 },
56518	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_MODE_CONTROL", 0x3f90c, 0 },
56519		{ "T5DRVHIZ", 5, 1 },
56520		{ "T5SASIMP", 4, 1 },
56521		{ "T5SLEW", 2, 2 },
56522	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x3f910, 0 },
56523		{ "T5C2BUFDCEN", 5, 1 },
56524		{ "T5DCCEN", 4, 1 },
56525		{ "T5REGBYP", 3, 1 },
56526		{ "T5REGAEN", 2, 1 },
56527		{ "T5REGAMP", 0, 2 },
56528	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x3f914, 0 },
56529		{ "RSTEP", 15, 1 },
56530		{ "RLOCK", 14, 1 },
56531		{ "RPOS", 8, 6 },
56532		{ "DCLKSAM", 7, 1 },
56533	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x3f918, 0 },
56534		{ "CALSSTN", 3, 3 },
56535		{ "CALSSTP", 0, 3 },
56536	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3f91c, 0 },
56537	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT", 0x3f920, 0 },
56538	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT", 0x3f924, 0 },
56539	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT", 0x3f928, 0 },
56540	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_AMPLITUDE", 0x3f930, 0 },
56541	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_POLARITY", 0x3f934, 0 },
56542		{ "TXPOL", 4, 3 },
56543		{ "NXTPOL", 0, 3 },
56544	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x3f938, 0 },
56545		{ "CPREST", 13, 1 },
56546		{ "CINIT", 12, 1 },
56547		{ "C2UPDT", 4, 2 },
56548		{ "C1UPDT", 2, 2 },
56549		{ "C0UPDT", 0, 2 },
56550	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3f93c, 0 },
56551		{ "C2STAT", 4, 2 },
56552		{ "C1STAT", 2, 2 },
56553		{ "C0STAT", 0, 2 },
56554	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE", 0x3f940, 0 },
56555	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE", 0x3f944, 0 },
56556	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE", 0x3f948, 0 },
56557	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT_APPLIED", 0x3f960, 0 },
56558	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT_APPLIED", 0x3f964, 0 },
56559	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT_APPLIED", 0x3f968, 0 },
56560	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_DISABLE_APPLIED_1", 0x3f970, 0 },
56561		{ "MAINSC", 6, 6 },
56562		{ "POSTSC", 0, 6 },
56563	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_DISABLE_APPLIED_2", 0x3f974, 0 },
56564	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x3f978, 0 },
56565	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3f97c, 0 },
56566		{ "T5XADDR", 1, 5 },
56567		{ "T5XWR", 0, 1 },
56568	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x3f980, 0 },
56569	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x3f984, 0 },
56570	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTE_4", 0x3f988, 0 },
56571	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_CONTROL", 0x3f98c, 0 },
56572		{ "DCCTIMEDOUT", 15, 1 },
56573		{ "DCCTIMEEN", 14, 1 },
56574		{ "DCCLOCK", 13, 1 },
56575		{ "DCCOFFSET", 8, 5 },
56576		{ "DCCSTEP", 6, 2 },
56577		{ "DCCASTEP", 1, 5 },
56578		{ "DCCAEN", 0, 1 },
56579	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_OVERRIDE", 0x3f990, 0 },
56580		{ "DCCOUT", 12, 1 },
56581		{ "DCCCLK", 11, 1 },
56582		{ "DCCHOLD", 10, 1 },
56583		{ "DCCSIGN", 8, 2 },
56584		{ "DCCAMP", 1, 7 },
56585		{ "DCCOEN", 0, 1 },
56586	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_APPLIED", 0x3f994, 0 },
56587		{ "DCCASIGN", 7, 2 },
56588		{ "DCCAAMP", 0, 7 },
56589	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_TIME_OUT", 0x3f998, 0 },
56590	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AZ_CONTROL", 0x3f99c, 0 },
56591		{ "LPIDCLK", 4, 1 },
56592		{ "LPITERM", 2, 2 },
56593		{ "LPIPRCD", 0, 2 },
56594	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_4", 0x3f9f0, 0 },
56595		{ "SDOVRDEN", 8, 1 },
56596		{ "SDOVRD", 0, 8 },
56597	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_3", 0x3f9f4, 0 },
56598		{ "SLEWCODE", 1, 2 },
56599		{ "ASEGEN", 0, 1 },
56600	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_2", 0x3f9f8, 0 },
56601		{ "AECMDVAL", 14, 1 },
56602		{ "AECMD1312", 12, 2 },
56603		{ "AECMD70", 0, 8 },
56604	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_1", 0x3f9fc, 0 },
56605		{ "C48DIVCTL", 12, 3 },
56606		{ "RATEDIVCTL", 9, 3 },
56607		{ "ANLGFLSH", 8, 1 },
56608		{ "DCCTSTOUT", 7, 1 },
56609		{ "BSOUT", 6, 1 },
56610		{ "BSIN", 5, 1 },
56611		{ "JTAGAMPL", 3, 2 },
56612		{ "JTAGTS", 2, 1 },
56613		{ "TS", 1, 1 },
56614		{ "OBS", 0, 1 },
56615	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED", 0x3c000, 0 },
56616	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x3c008, 0 },
56617	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED", 0x3c010, 0 },
56618		{ "C0MAX", 8, 5 },
56619		{ "C0MIN", 0, 5 },
56620	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C1_INIT_EXTENDED", 0x3c018, 0 },
56621	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED", 0x3c020, 0 },
56622		{ "C1MAX", 8, 7 },
56623		{ "C1MIN", 0, 7 },
56624	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C2_INIT_EXTENDED", 0x3c028, 0 },
56625	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED", 0x3c030, 0 },
56626		{ "C2MAX", 8, 6 },
56627		{ "C2MIN", 0, 6 },
56628	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED", 0x3c038, 0 },
56629	{ "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED", 0x3c040, 0 },
56630	{ "MAC_PORT_RX_LINKA_RECEIVER_CONFIGURATION_MODE", 0x3f200, 0 },
56631		{ "T5_RX_LINKEN", 15, 1 },
56632		{ "T5_RX_LINKRST", 14, 1 },
56633		{ "T5_RX_CFGWRT", 13, 1 },
56634		{ "T5_RX_CFGPTR", 11, 2 },
56635		{ "T5_RX_CFGEXT", 10, 1 },
56636		{ "T5_RX_CFGACT", 9, 1 },
56637		{ "T5_RX_AUXCLK", 8, 1 },
56638		{ "T5_RX_PLLSEL", 6, 2 },
56639		{ "T5_RX_DMSEL", 4, 2 },
56640		{ "T5_RX_BWSEL", 2, 2 },
56641		{ "T5_RX_RTSEL", 0, 2 },
56642	{ "MAC_PORT_RX_LINKA_RECEIVER_TEST_CONTROL", 0x3f204, 0 },
56643		{ "RCLKEN", 15, 1 },
56644		{ "RRATE", 13, 2 },
56645		{ "FERRST", 10, 1 },
56646		{ "ERRST", 9, 1 },
56647		{ "SYNCST", 8, 1 },
56648		{ "WRPSM", 7, 1 },
56649		{ "WPLPEN", 6, 1 },
56650		{ "WRPMD", 5, 1 },
56651		{ "PRST", 4, 1 },
56652		{ "PCHKEN", 3, 1 },
56653		{ "PATSEL", 0, 3 },
56654	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_CONTROL", 0x3f208, 0 },
56655		{ "FTHROT", 12, 4 },
56656		{ "RTHROT", 11, 1 },
56657		{ "FILTCTL", 7, 4 },
56658		{ "RSRVO", 5, 2 },
56659		{ "EXTEL", 4, 1 },
56660		{ "RSTUCK", 3, 1 },
56661		{ "FRZFW", 2, 1 },
56662		{ "RSTFW", 1, 1 },
56663		{ "SSCEN", 0, 1 },
56664	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_OFFSET_CONTROL", 0x3f20c, 0 },
56665		{ "RSNP", 11, 1 },
56666		{ "TSOEN", 10, 1 },
56667		{ "OFFEN", 9, 1 },
56668		{ "TMSCAL", 7, 2 },
56669		{ "APADJ", 6, 1 },
56670		{ "RSEL", 5, 1 },
56671		{ "PHOFFS", 0, 5 },
56672	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_1", 0x3f210, 0 },
56673		{ "ROT0A", 8, 6 },
56674		{ "ROT00", 0, 6 },
56675	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_2", 0x3f214, 0 },
56676		{ "FREQFW", 8, 8 },
56677		{ "FWSNAP", 7, 1 },
56678		{ "ROT90", 0, 6 },
56679	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x3f218, 0 },
56680		{ "RCALER", 15, 1 },
56681		{ "RAOOFF", 10, 5 },
56682		{ "RAEOFF", 5, 5 },
56683		{ "RDOFF", 0, 5 },
56684	{ "MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3f21c, 0 },
56685		{ "RCALER", 15, 1 },
56686		{ "RBOOFF", 10, 5 },
56687		{ "RBEOFF", 5, 5 },
56688		{ "RDOFF", 0, 5 },
56689	{ "MAC_PORT_RX_LINKA_DFE_CONTROL", 0x3f220, 0 },
56690		{ "REQCMP", 15, 1 },
56691		{ "DFEREQ", 14, 1 },
56692		{ "SPCEN", 13, 1 },
56693		{ "GATEEN", 12, 1 },
56694		{ "SPIFMT", 9, 3 },
56695		{ "DFEPWR", 6, 3 },
56696		{ "STNDBY", 5, 1 },
56697		{ "FRCH", 4, 1 },
56698		{ "NONRND", 3, 1 },
56699		{ "NONRNF", 2, 1 },
56700		{ "FSTLCK", 1, 1 },
56701		{ "DFERST", 0, 1 },
56702	{ "MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_1", 0x3f224, 0 },
56703		{ "T5BYTE1", 8, 8 },
56704		{ "T5BYTE0", 0, 8 },
56705	{ "MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_2", 0x3f228, 0 },
56706		{ "T5_RX_SMODE", 8, 3 },
56707		{ "T5_RX_ADCORR", 7, 1 },
56708		{ "T5_RX_TRAINEN", 6, 1 },
56709		{ "T5_RX_ASAMPQ", 3, 3 },
56710		{ "T5_RX_ASAMP", 0, 3 },
56711	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_1", 0x3f22c, 0 },
56712		{ "POLE", 12, 2 },
56713		{ "PEAK", 8, 3 },
56714		{ "VOFFSN", 6, 2 },
56715		{ "VOFFA", 0, 6 },
56716	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_2", 0x3f230, 0 },
56717		{ "T5SHORTV", 10, 1 },
56718		{ "T5VGAIN", 0, 5 },
56719	{ "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_3", 0x3f234, 0 },
56720		{ "HBND1", 10, 1 },
56721		{ "HBND0", 9, 1 },
56722		{ "VLCKD", 8, 1 },
56723		{ "VLCKDF", 7, 1 },
56724		{ "AMAXT", 0, 7 },
56725	{ "MAC_PORT_RX_LINKA_RECEIVER_DQCC_CONTROL_1", 0x3f238, 0 },
56726		{ "IQSEP", 10, 5 },
56727		{ "DUTYQ", 5, 5 },
56728		{ "DUTYI", 0, 5 },
56729	{ "MAC_PORT_RX_LINKA_RECEIVER_DQCC_CONTROL_3", 0x3f240, 0 },
56730		{ "DTHR", 8, 6 },
56731		{ "SNUL", 0, 5 },
56732	{ "MAC_PORT_RX_LINKA_RECEIVER_DACAP_AND_DACAN", 0x3f248, 0 },
56733		{ "DACAN", 8, 8 },
56734		{ "DACAP", 0, 8 },
56735	{ "MAC_PORT_RX_LINKA_RECEIVER_DACA_MIN_AND_DACAZ", 0x3f24c, 0 },
56736		{ "DACAZ", 8, 8 },
56737		{ "DACAM", 0, 8 },
56738	{ "MAC_PORT_RX_LINKA_RECEIVER_ADAC_CONTROL", 0x3f250, 0 },
56739		{ "ADSN_ReadWrite", 8, 1 },
56740		{ "ADSN_ReadOnly", 7, 1 },
56741		{ "ADMAG", 0, 7 },
56742	{ "MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3f25c, 0 },
56743		{ "H1O2", 8, 6 },
56744		{ "H1E2", 0, 6 },
56745	{ "MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x3f260, 0 },
56746		{ "H1O3", 8, 6 },
56747		{ "H1E3", 0, 6 },
56748	{ "MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x3f264, 0 },
56749		{ "H1O4", 8, 6 },
56750		{ "H1E4", 0, 6 },
56751	{ "MAC_PORT_RX_LINKA_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x3f270, 0 },
56752		{ "DPCMD", 14, 1 },
56753		{ "DPCCVG", 13, 1 },
56754		{ "DACCVG", 12, 1 },
56755		{ "DPCTGT", 9, 3 },
56756		{ "BLKH1T", 8, 1 },
56757		{ "BLKOAE", 7, 1 },
56758		{ "H1TGT", 4, 3 },
56759		{ "OAE", 0, 4 },
56760	{ "MAC_PORT_RX_LINKA_DYNAMIC_DATA_CENTERING_DDC", 0x3f274, 0 },
56761		{ "OLS", 11, 5 },
56762		{ "OES", 6, 5 },
56763		{ "BLKODEC", 5, 1 },
56764		{ "ODEC", 0, 5 },
56765	{ "MAC_PORT_RX_LINKA_RECEIVER_INTERNAL_STATUS", 0x3f278, 0 },
56766		{ "T5BER6VAL", 15, 1 },
56767		{ "T5BER6", 14, 1 },
56768		{ "T5BER3VAL", 13, 1 },
56769		{ "T5TOOFAST", 12, 1 },
56770		{ "T5DPCCMP", 9, 1 },
56771		{ "T5DACCMP", 8, 1 },
56772		{ "T5DDCCMP", 7, 1 },
56773		{ "T5AERRFLG", 6, 1 },
56774		{ "T5WERRFLG", 5, 1 },
56775		{ "T5TRCMP", 4, 1 },
56776		{ "T5VLCKF", 3, 1 },
56777		{ "T5ROCCMP", 2, 1 },
56778		{ "T5DQCCCMP", 1, 1 },
56779		{ "T5OCCMP", 0, 1 },
56780	{ "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_1", 0x3f27c, 0 },
56781		{ "FDPC", 15, 1 },
56782		{ "FDAC", 14, 1 },
56783		{ "FDDC", 13, 1 },
56784		{ "FNRND", 12, 1 },
56785		{ "FVGAIN", 11, 1 },
56786		{ "FVOFF", 10, 1 },
56787		{ "FSDET", 9, 1 },
56788		{ "FBER6", 8, 1 },
56789		{ "FROTO", 7, 1 },
56790		{ "FH4H5", 6, 1 },
56791		{ "FH2H3", 5, 1 },
56792		{ "FH1", 4, 1 },
56793		{ "FH1SN", 3, 1 },
56794		{ "FNRDF", 2, 1 },
56795		{ "FLOFF", 1, 1 },
56796		{ "FADAC", 0, 1 },
56797	{ "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_2", 0x3f280, 0 },
56798		{ "H25SPC", 15, 1 },
56799		{ "FTOOFAST", 8, 1 },
56800		{ "FINTTRIM", 7, 1 },
56801		{ "FDINV", 6, 1 },
56802		{ "FHGS", 5, 1 },
56803		{ "FH6H12", 4, 1 },
56804		{ "FH1CAL", 3, 1 },
56805		{ "FINTCAL", 2, 1 },
56806		{ "FDCA", 1, 1 },
56807		{ "FDQCC", 0, 1 },
56808	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_EVN1_EVN2", 0x3f284, 0 },
56809		{ "LOFE2S_ReadWrite", 16, 1 },
56810		{ "LOFE2S_ReadOnly", 14, 2 },
56811		{ "LOFE2", 8, 6 },
56812		{ "LOFE1S_ReadWrite", 7, 1 },
56813		{ "LOFE1S_ReadOnly", 6, 1 },
56814		{ "LOFE1", 0, 6 },
56815	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_ODD1_ODD2", 0x3f288, 0 },
56816		{ "LOFO2S_ReadWrite", 15, 1 },
56817		{ "LOFO2S_ReadOnly", 14, 1 },
56818		{ "LOFO2", 8, 6 },
56819		{ "LOFO1S_ReadWrite", 7, 1 },
56820		{ "LOFO1S_ReadOnly", 6, 1 },
56821		{ "LOFO1", 0, 6 },
56822	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_EVN3_EVN4", 0x3f28c, 0 },
56823		{ "LOFE4S_ReadWrite", 15, 1 },
56824		{ "LOFE4S_ReadOnly", 14, 1 },
56825		{ "LOFE", 8, 6 },
56826		{ "LOFE3S_ReadWrite", 7, 1 },
56827		{ "LOFE3S_ReadOnly", 6, 1 },
56828		{ "LOFE3", 0, 6 },
56829	{ "MAC_PORT_RX_LINKA_DFE_OFFSET_ODD3_ODD4", 0x3f290, 0 },
56830		{ "LOFO4S_ReadWrite", 15, 1 },
56831		{ "LOFO4S_ReadOnly", 14, 1 },
56832		{ "LOFO4", 8, 6 },
56833		{ "LOFO3S_ReadWrite", 7, 1 },
56834		{ "LOFO3S_ReadOnly", 6, 1 },
56835		{ "LOFO3", 0, 6 },
56836	{ "MAC_PORT_RX_LINKA_DFE_E0_AND_E1_OFFSET", 0x3f294, 0 },
56837		{ "T5E1SN_ReadWrite", 15, 1 },
56838		{ "T5E1SN_ReadOnly", 14, 1 },
56839		{ "T5E1AMP", 8, 6 },
56840		{ "T5E0SN_ReadWrite", 7, 1 },
56841		{ "T5E0SN_ReadOnly", 6, 1 },
56842		{ "T5E0AMP", 0, 6 },
56843	{ "MAC_PORT_RX_LINKA_RECEIVER_LOFF_CONTROL", 0x3f298, 0 },
56844		{ "T5LFREG", 12, 1 },
56845		{ "T5LFRC", 11, 1 },
56846		{ "T5LFSEL", 8, 3 },
56847	{ "MAC_PORT_RX_LINKA_RECEIVER_SIGDET_CONTROL", 0x3f29c, 0 },
56848		{ "OFFSN_ReadWrite", 14, 1 },
56849		{ "OFFSN_ReadOnly", 13, 1 },
56850		{ "OFFAMP", 8, 5 },
56851		{ "SDACDC", 7, 1 },
56852		{ "SDPDN", 6, 1 },
56853		{ "SIGDET", 5, 1 },
56854		{ "SDLVL", 0, 5 },
56855	{ "MAC_PORT_RX_LINKA_RECEIVER_ANALOG_CONTROL_SWITCH", 0x3f2a0, 0 },
56856		{ "T5_RX_SETHDIS", 7, 1 },
56857		{ "T5_RX_PDTERM", 6, 1 },
56858		{ "T5_RX_BYPASS", 5, 1 },
56859		{ "T5_RX_LPFEN", 4, 1 },
56860		{ "T5_RX_VGABOD", 3, 1 },
56861		{ "T5_RX_VTBYP", 2, 1 },
56862		{ "T5_RX_VTERM", 0, 2 },
56863	{ "MAC_PORT_RX_LINKA_INTEGRATOR_DAC_OFFSET", 0x3f2a4, 0 },
56864		{ "ISTRIMS", 14, 2 },
56865		{ "ISTRIM", 8, 6 },
56866		{ "HALF1", 7, 1 },
56867		{ "HALF2", 6, 1 },
56868		{ "INTDAC", 0, 6 },
56869	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_CONTROL", 0x3f2a8, 0 },
56870		{ "BLKAZ", 15, 1 },
56871		{ "WIDTH", 10, 5 },
56872		{ "MINWDTH", 5, 5 },
56873		{ "MINAMP", 0, 5 },
56874	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS", 0x3f2ac, 0 },
56875		{ "T5SMQM", 13, 3 },
56876		{ "T5SMQ", 5, 8 },
56877		{ "T5EMMD", 3, 2 },
56878		{ "T5EMBRDY", 2, 1 },
56879		{ "T5EMBUMP", 1, 1 },
56880		{ "T5EMEN", 0, 1 },
56881	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x3f2b0, 0 },
56882		{ "EMF8", 15, 1 },
56883		{ "EMCNT", 4, 8 },
56884		{ "EMOFLO", 2, 1 },
56885		{ "EMCRST", 1, 1 },
56886		{ "EMCEN", 0, 1 },
56887	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x3f2b4, 0 },
56888		{ "SM2RDY", 15, 1 },
56889		{ "SM2RST", 14, 1 },
56890		{ "APDF", 0, 12 },
56891	{ "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x3f2b8, 0 },
56892	{ "MAC_PORT_RX_LINKA_DFE_TAP_ENABLE", 0x3f2c0, 0 },
56893		{ "H_EN", 1, 12 },
56894	{ "MAC_PORT_RX_LINKA_DFE_H1", 0x3f2c4, 0 },
56895		{ "H1OSN", 14, 2 },
56896		{ "H1OMAG", 8, 6 },
56897		{ "H1ESN", 6, 2 },
56898		{ "H1EMAG", 0, 6 },
56899	{ "MAC_PORT_RX_LINKA_DFE_H2", 0x3f2c8, 0 },
56900		{ "H2OSN_ReadWrite", 14, 1 },
56901		{ "H2OSN_ReadOnly", 13, 1 },
56902		{ "H2OMAG", 8, 5 },
56903		{ "H2ESN_ReadWrite", 6, 1 },
56904		{ "H2ESN_ReadOnly", 5, 1 },
56905		{ "H2EMAG", 0, 5 },
56906	{ "MAC_PORT_RX_LINKA_DFE_H3", 0x3f2cc, 0 },
56907		{ "H3OSN_ReadWrite", 13, 1 },
56908		{ "H3OSN_ReadOnly", 12, 1 },
56909		{ "H3OMAG", 8, 4 },
56910		{ "H3ESN_ReadWrite", 5, 1 },
56911		{ "H3ESN_ReadOnly", 4, 1 },
56912		{ "H3EMAG", 0, 4 },
56913	{ "MAC_PORT_RX_LINKA_DFE_H4", 0x3f2d0, 0 },
56914		{ "H4OGS", 14, 2 },
56915		{ "H4OSN_ReadWrite", 13, 1 },
56916		{ "H4OSN_ReadOnly", 12, 1 },
56917		{ "H4OMAG", 8, 4 },
56918		{ "H4EGS", 6, 2 },
56919		{ "H4ESN_ReadWrite", 5, 1 },
56920		{ "H4ESN_ReadOnly", 4, 1 },
56921		{ "H4EMAG", 0, 4 },
56922	{ "MAC_PORT_RX_LINKA_DFE_H5", 0x3f2d4, 0 },
56923		{ "H5OGS", 14, 2 },
56924		{ "H5OSN_ReadWrite", 13, 1 },
56925		{ "H5OSN_ReadOnly", 12, 1 },
56926		{ "H5OMAG", 8, 4 },
56927		{ "H5EGS", 6, 2 },
56928		{ "H5ESN_ReadWrite", 5, 1 },
56929		{ "H5ESN_ReadOnly", 4, 1 },
56930		{ "H5EMAG", 0, 4 },
56931	{ "MAC_PORT_RX_LINKA_DFE_H6_AND_H7", 0x3f2d8, 0 },
56932		{ "H7GS", 14, 2 },
56933		{ "H7SN_ReadWrite", 13, 1 },
56934		{ "H7SN_ReadOnly", 12, 1 },
56935		{ "H7MAG", 8, 4 },
56936		{ "H6GS", 6, 2 },
56937		{ "H6SN_ReadWrite", 5, 1 },
56938		{ "H6SN_ReadOnly", 4, 1 },
56939		{ "H6MAG", 0, 4 },
56940	{ "MAC_PORT_RX_LINKA_DFE_H8_AND_H9", 0x3f2dc, 0 },
56941		{ "H9GS", 14, 2 },
56942		{ "H9SN_ReadWrite", 13, 1 },
56943		{ "H9SN_ReadOnly", 12, 1 },
56944		{ "H9MAG", 8, 4 },
56945		{ "H8GS", 6, 2 },
56946		{ "H8SN_ReadWrite", 5, 1 },
56947		{ "H8SN_ReadOnly", 4, 1 },
56948		{ "H8MAG", 0, 4 },
56949	{ "MAC_PORT_RX_LINKA_DFE_H10_AND_H11", 0x3f2e0, 0 },
56950		{ "H11GS", 14, 2 },
56951		{ "H11SN_ReadWrite", 13, 1 },
56952		{ "H11SN_ReadOnly", 12, 1 },
56953		{ "H11MAG", 8, 4 },
56954		{ "H10GS", 6, 2 },
56955		{ "H10SN_ReadWrite", 5, 1 },
56956		{ "H10SN_ReadOnly", 4, 1 },
56957		{ "H10MAG", 0, 4 },
56958	{ "MAC_PORT_RX_LINKA_DFE_H12", 0x3f2e4, 0 },
56959		{ "H12GS", 6, 2 },
56960		{ "H12SN_ReadWrite", 5, 1 },
56961		{ "H12SN_ReadOnly", 4, 1 },
56962		{ "H12MAG", 0, 4 },
56963	{ "MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_2", 0x3f2f8, 0 },
56964		{ "DFEDACLSSD", 6, 1 },
56965		{ "SDLSSD", 5, 1 },
56966		{ "DFEOBSBIAS", 4, 1 },
56967		{ "GBOFSTLSSD", 3, 1 },
56968		{ "RXDOBS", 2, 1 },
56969		{ "ACJZPT", 1, 1 },
56970		{ "ACJZNT", 0, 1 },
56971	{ "MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_1", 0x3f2fc, 0 },
56972		{ "PHSLOCK", 10, 1 },
56973		{ "TESTMODE", 9, 1 },
56974		{ "CALMODE", 8, 1 },
56975		{ "AMPSEL", 7, 1 },
56976		{ "WHICHNRZ", 6, 1 },
56977		{ "BANKA", 5, 1 },
56978		{ "BANKB", 4, 1 },
56979		{ "ACJPDP", 3, 1 },
56980		{ "ACJPDN", 2, 1 },
56981		{ "LSSDT", 1, 1 },
56982		{ "MTHOLD", 0, 1 },
56983	{ "MAC_PORT_RX_LINKB_RECEIVER_CONFIGURATION_MODE", 0x3f300, 0 },
56984		{ "T5_RX_LINKEN", 15, 1 },
56985		{ "T5_RX_LINKRST", 14, 1 },
56986		{ "T5_RX_CFGWRT", 13, 1 },
56987		{ "T5_RX_CFGPTR", 11, 2 },
56988		{ "T5_RX_CFGEXT", 10, 1 },
56989		{ "T5_RX_CFGACT", 9, 1 },
56990		{ "T5_RX_AUXCLK", 8, 1 },
56991		{ "T5_RX_PLLSEL", 6, 2 },
56992		{ "T5_RX_DMSEL", 4, 2 },
56993		{ "T5_RX_BWSEL", 2, 2 },
56994		{ "T5_RX_RTSEL", 0, 2 },
56995	{ "MAC_PORT_RX_LINKB_RECEIVER_TEST_CONTROL", 0x3f304, 0 },
56996		{ "RCLKEN", 15, 1 },
56997		{ "RRATE", 13, 2 },
56998		{ "FERRST", 10, 1 },
56999		{ "ERRST", 9, 1 },
57000		{ "SYNCST", 8, 1 },
57001		{ "WRPSM", 7, 1 },
57002		{ "WPLPEN", 6, 1 },
57003		{ "WRPMD", 5, 1 },
57004		{ "PRST", 4, 1 },
57005		{ "PCHKEN", 3, 1 },
57006		{ "PATSEL", 0, 3 },
57007	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_CONTROL", 0x3f308, 0 },
57008		{ "FTHROT", 12, 4 },
57009		{ "RTHROT", 11, 1 },
57010		{ "FILTCTL", 7, 4 },
57011		{ "RSRVO", 5, 2 },
57012		{ "EXTEL", 4, 1 },
57013		{ "RSTUCK", 3, 1 },
57014		{ "FRZFW", 2, 1 },
57015		{ "RSTFW", 1, 1 },
57016		{ "SSCEN", 0, 1 },
57017	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_OFFSET_CONTROL", 0x3f30c, 0 },
57018		{ "RSNP", 11, 1 },
57019		{ "TSOEN", 10, 1 },
57020		{ "OFFEN", 9, 1 },
57021		{ "TMSCAL", 7, 2 },
57022		{ "APADJ", 6, 1 },
57023		{ "RSEL", 5, 1 },
57024		{ "PHOFFS", 0, 5 },
57025	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_1", 0x3f310, 0 },
57026		{ "ROT0A", 8, 6 },
57027		{ "ROT00", 0, 6 },
57028	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_2", 0x3f314, 0 },
57029		{ "FREQFW", 8, 8 },
57030		{ "FWSNAP", 7, 1 },
57031		{ "ROT90", 0, 6 },
57032	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x3f318, 0 },
57033		{ "RCALER", 15, 1 },
57034		{ "RAOOFF", 10, 5 },
57035		{ "RAEOFF", 5, 5 },
57036		{ "RDOFF", 0, 5 },
57037	{ "MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3f31c, 0 },
57038		{ "RCALER", 15, 1 },
57039		{ "RBOOFF", 10, 5 },
57040		{ "RBEOFF", 5, 5 },
57041		{ "RDOFF", 0, 5 },
57042	{ "MAC_PORT_RX_LINKB_DFE_CONTROL", 0x3f320, 0 },
57043		{ "REQCMP", 15, 1 },
57044		{ "DFEREQ", 14, 1 },
57045		{ "SPCEN", 13, 1 },
57046		{ "GATEEN", 12, 1 },
57047		{ "SPIFMT", 9, 3 },
57048		{ "DFEPWR", 6, 3 },
57049		{ "STNDBY", 5, 1 },
57050		{ "FRCH", 4, 1 },
57051		{ "NONRND", 3, 1 },
57052		{ "NONRNF", 2, 1 },
57053		{ "FSTLCK", 1, 1 },
57054		{ "DFERST", 0, 1 },
57055	{ "MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_1", 0x3f324, 0 },
57056		{ "T5BYTE1", 8, 8 },
57057		{ "T5BYTE0", 0, 8 },
57058	{ "MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_2", 0x3f328, 0 },
57059		{ "T5_RX_SMODE", 8, 3 },
57060		{ "T5_RX_ADCORR", 7, 1 },
57061		{ "T5_RX_TRAINEN", 6, 1 },
57062		{ "T5_RX_ASAMPQ", 3, 3 },
57063		{ "T5_RX_ASAMP", 0, 3 },
57064	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_1", 0x3f32c, 0 },
57065		{ "POLE", 12, 2 },
57066		{ "PEAK", 8, 3 },
57067		{ "VOFFSN", 6, 2 },
57068		{ "VOFFA", 0, 6 },
57069	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_2", 0x3f330, 0 },
57070		{ "T5SHORTV", 10, 1 },
57071		{ "T5VGAIN", 0, 5 },
57072	{ "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_3", 0x3f334, 0 },
57073		{ "HBND1", 10, 1 },
57074		{ "HBND0", 9, 1 },
57075		{ "VLCKD", 8, 1 },
57076		{ "VLCKDF", 7, 1 },
57077		{ "AMAXT", 0, 7 },
57078	{ "MAC_PORT_RX_LINKB_RECEIVER_DQCC_CONTROL_1", 0x3f338, 0 },
57079		{ "IQSEP", 10, 5 },
57080		{ "DUTYQ", 5, 5 },
57081		{ "DUTYI", 0, 5 },
57082	{ "MAC_PORT_RX_LINKB_RECEIVER_DQCC_CONTROL_3", 0x3f340, 0 },
57083		{ "DTHR", 8, 6 },
57084		{ "SNUL", 0, 5 },
57085	{ "MAC_PORT_RX_LINKB_RECEIVER_DACAP_AND_DACAN", 0x3f348, 0 },
57086		{ "DACAN", 8, 8 },
57087		{ "DACAP", 0, 8 },
57088	{ "MAC_PORT_RX_LINKB_RECEIVER_DACA_MIN_AND_DACAZ", 0x3f34c, 0 },
57089		{ "DACAZ", 8, 8 },
57090		{ "DACAM", 0, 8 },
57091	{ "MAC_PORT_RX_LINKB_RECEIVER_ADAC_CONTROL", 0x3f350, 0 },
57092		{ "ADSN_ReadWrite", 8, 1 },
57093		{ "ADSN_ReadOnly", 7, 1 },
57094		{ "ADMAG", 0, 7 },
57095	{ "MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3f35c, 0 },
57096		{ "H1O2", 8, 6 },
57097		{ "H1E2", 0, 6 },
57098	{ "MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x3f360, 0 },
57099		{ "H1O3", 8, 6 },
57100		{ "H1E3", 0, 6 },
57101	{ "MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x3f364, 0 },
57102		{ "H1O4", 8, 6 },
57103		{ "H1E4", 0, 6 },
57104	{ "MAC_PORT_RX_LINKB_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x3f370, 0 },
57105		{ "DPCMD", 14, 1 },
57106		{ "DPCCVG", 13, 1 },
57107		{ "DACCVG", 12, 1 },
57108		{ "DPCTGT", 9, 3 },
57109		{ "BLKH1T", 8, 1 },
57110		{ "BLKOAE", 7, 1 },
57111		{ "H1TGT", 4, 3 },
57112		{ "OAE", 0, 4 },
57113	{ "MAC_PORT_RX_LINKB_DYNAMIC_DATA_CENTERING_DDC", 0x3f374, 0 },
57114		{ "OLS", 11, 5 },
57115		{ "OES", 6, 5 },
57116		{ "BLKODEC", 5, 1 },
57117		{ "ODEC", 0, 5 },
57118	{ "MAC_PORT_RX_LINKB_RECEIVER_INTERNAL_STATUS", 0x3f378, 0 },
57119		{ "T5BER6VAL", 15, 1 },
57120		{ "T5BER6", 14, 1 },
57121		{ "T5BER3VAL", 13, 1 },
57122		{ "T5TOOFAST", 12, 1 },
57123		{ "T5DPCCMP", 9, 1 },
57124		{ "T5DACCMP", 8, 1 },
57125		{ "T5DDCCMP", 7, 1 },
57126		{ "T5AERRFLG", 6, 1 },
57127		{ "T5WERRFLG", 5, 1 },
57128		{ "T5TRCMP", 4, 1 },
57129		{ "T5VLCKF", 3, 1 },
57130		{ "T5ROCCMP", 2, 1 },
57131		{ "T5DQCCCMP", 1, 1 },
57132		{ "T5OCCMP", 0, 1 },
57133	{ "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_1", 0x3f37c, 0 },
57134		{ "FDPC", 15, 1 },
57135		{ "FDAC", 14, 1 },
57136		{ "FDDC", 13, 1 },
57137		{ "FNRND", 12, 1 },
57138		{ "FVGAIN", 11, 1 },
57139		{ "FVOFF", 10, 1 },
57140		{ "FSDET", 9, 1 },
57141		{ "FBER6", 8, 1 },
57142		{ "FROTO", 7, 1 },
57143		{ "FH4H5", 6, 1 },
57144		{ "FH2H3", 5, 1 },
57145		{ "FH1", 4, 1 },
57146		{ "FH1SN", 3, 1 },
57147		{ "FNRDF", 2, 1 },
57148		{ "FLOFF", 1, 1 },
57149		{ "FADAC", 0, 1 },
57150	{ "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_2", 0x3f380, 0 },
57151		{ "H25SPC", 15, 1 },
57152		{ "FTOOFAST", 8, 1 },
57153		{ "FINTTRIM", 7, 1 },
57154		{ "FDINV", 6, 1 },
57155		{ "FHGS", 5, 1 },
57156		{ "FH6H12", 4, 1 },
57157		{ "FH1CAL", 3, 1 },
57158		{ "FINTCAL", 2, 1 },
57159		{ "FDCA", 1, 1 },
57160		{ "FDQCC", 0, 1 },
57161	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_EVN1_EVN2", 0x3f384, 0 },
57162		{ "LOFE2S_ReadWrite", 16, 1 },
57163		{ "LOFE2S_ReadOnly", 14, 2 },
57164		{ "LOFE2", 8, 6 },
57165		{ "LOFE1S_ReadWrite", 7, 1 },
57166		{ "LOFE1S_ReadOnly", 6, 1 },
57167		{ "LOFE1", 0, 6 },
57168	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_ODD1_ODD2", 0x3f388, 0 },
57169		{ "LOFO2S_ReadWrite", 15, 1 },
57170		{ "LOFO2S_ReadOnly", 14, 1 },
57171		{ "LOFO2", 8, 6 },
57172		{ "LOFO1S_ReadWrite", 7, 1 },
57173		{ "LOFO1S_ReadOnly", 6, 1 },
57174		{ "LOFO1", 0, 6 },
57175	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_EVN3_EVN4", 0x3f38c, 0 },
57176		{ "LOFE4S_ReadWrite", 15, 1 },
57177		{ "LOFE4S_ReadOnly", 14, 1 },
57178		{ "LOFE", 8, 6 },
57179		{ "LOFE3S_ReadWrite", 7, 1 },
57180		{ "LOFE3S_ReadOnly", 6, 1 },
57181		{ "LOFE3", 0, 6 },
57182	{ "MAC_PORT_RX_LINKB_DFE_OFFSET_ODD3_ODD4", 0x3f390, 0 },
57183		{ "LOFO4S_ReadWrite", 15, 1 },
57184		{ "LOFO4S_ReadOnly", 14, 1 },
57185		{ "LOFO4", 8, 6 },
57186		{ "LOFO3S_ReadWrite", 7, 1 },
57187		{ "LOFO3S_ReadOnly", 6, 1 },
57188		{ "LOFO3", 0, 6 },
57189	{ "MAC_PORT_RX_LINKB_DFE_E0_AND_E1_OFFSET", 0x3f394, 0 },
57190		{ "T5E1SN_ReadWrite", 15, 1 },
57191		{ "T5E1SN_ReadOnly", 14, 1 },
57192		{ "T5E1AMP", 8, 6 },
57193		{ "T5E0SN_ReadWrite", 7, 1 },
57194		{ "T5E0SN_ReadOnly", 6, 1 },
57195		{ "T5E0AMP", 0, 6 },
57196	{ "MAC_PORT_RX_LINKB_RECEIVER_LOFF_CONTROL", 0x3f398, 0 },
57197		{ "T5LFREG", 12, 1 },
57198		{ "T5LFRC", 11, 1 },
57199		{ "T5LFSEL", 8, 3 },
57200	{ "MAC_PORT_RX_LINKB_RECEIVER_SIGDET_CONTROL", 0x3f39c, 0 },
57201		{ "OFFSN_ReadWrite", 14, 1 },
57202		{ "OFFSN_ReadOnly", 13, 1 },
57203		{ "OFFAMP", 8, 5 },
57204		{ "SDACDC", 7, 1 },
57205		{ "SDPDN", 6, 1 },
57206		{ "SIGDET", 5, 1 },
57207		{ "SDLVL", 0, 5 },
57208	{ "MAC_PORT_RX_LINKB_RECEIVER_ANALOG_CONTROL_SWITCH", 0x3f3a0, 0 },
57209		{ "T5_RX_SETHDIS", 7, 1 },
57210		{ "T5_RX_PDTERM", 6, 1 },
57211		{ "T5_RX_BYPASS", 5, 1 },
57212		{ "T5_RX_LPFEN", 4, 1 },
57213		{ "T5_RX_VGABOD", 3, 1 },
57214		{ "T5_RX_VTBYP", 2, 1 },
57215		{ "T5_RX_VTERM", 0, 2 },
57216	{ "MAC_PORT_RX_LINKB_INTEGRATOR_DAC_OFFSET", 0x3f3a4, 0 },
57217		{ "ISTRIMS", 14, 2 },
57218		{ "ISTRIM", 8, 6 },
57219		{ "HALF1", 7, 1 },
57220		{ "HALF2", 6, 1 },
57221		{ "INTDAC", 0, 6 },
57222	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_CONTROL", 0x3f3a8, 0 },
57223		{ "BLKAZ", 15, 1 },
57224		{ "WIDTH", 10, 5 },
57225		{ "MINWDTH", 5, 5 },
57226		{ "MINAMP", 0, 5 },
57227	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS", 0x3f3ac, 0 },
57228		{ "T5SMQM", 13, 3 },
57229		{ "T5SMQ", 5, 8 },
57230		{ "T5EMMD", 3, 2 },
57231		{ "T5EMBRDY", 2, 1 },
57232		{ "T5EMBUMP", 1, 1 },
57233		{ "T5EMEN", 0, 1 },
57234	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x3f3b0, 0 },
57235		{ "EMF8", 15, 1 },
57236		{ "EMCNT", 4, 8 },
57237		{ "EMOFLO", 2, 1 },
57238		{ "EMCRST", 1, 1 },
57239		{ "EMCEN", 0, 1 },
57240	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x3f3b4, 0 },
57241		{ "SM2RDY", 15, 1 },
57242		{ "SM2RST", 14, 1 },
57243		{ "APDF", 0, 12 },
57244	{ "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x3f3b8, 0 },
57245	{ "MAC_PORT_RX_LINKB_DFE_TAP_ENABLE", 0x3f3c0, 0 },
57246		{ "H_EN", 1, 12 },
57247	{ "MAC_PORT_RX_LINKB_DFE_H1", 0x3f3c4, 0 },
57248		{ "H1OSN", 14, 2 },
57249		{ "H1OMAG", 8, 6 },
57250		{ "H1ESN", 6, 2 },
57251		{ "H1EMAG", 0, 6 },
57252	{ "MAC_PORT_RX_LINKB_DFE_H2", 0x3f3c8, 0 },
57253		{ "H2OSN_ReadWrite", 14, 1 },
57254		{ "H2OSN_ReadOnly", 13, 1 },
57255		{ "H2OMAG", 8, 5 },
57256		{ "H2ESN_ReadWrite", 6, 1 },
57257		{ "H2ESN_ReadOnly", 5, 1 },
57258		{ "H2EMAG", 0, 5 },
57259	{ "MAC_PORT_RX_LINKB_DFE_H3", 0x3f3cc, 0 },
57260		{ "H3OSN_ReadWrite", 13, 1 },
57261		{ "H3OSN_ReadOnly", 12, 1 },
57262		{ "H3OMAG", 8, 4 },
57263		{ "H3ESN_ReadWrite", 5, 1 },
57264		{ "H3ESN_ReadOnly", 4, 1 },
57265		{ "H3EMAG", 0, 4 },
57266	{ "MAC_PORT_RX_LINKB_DFE_H4", 0x3f3d0, 0 },
57267		{ "H4OGS", 14, 2 },
57268		{ "H4OSN_ReadWrite", 13, 1 },
57269		{ "H4OSN_ReadOnly", 12, 1 },
57270		{ "H4OMAG", 8, 4 },
57271		{ "H4EGS", 6, 2 },
57272		{ "H4ESN_ReadWrite", 5, 1 },
57273		{ "H4ESN_ReadOnly", 4, 1 },
57274		{ "H4EMAG", 0, 4 },
57275	{ "MAC_PORT_RX_LINKB_DFE_H5", 0x3f3d4, 0 },
57276		{ "H5OGS", 14, 2 },
57277		{ "H5OSN_ReadWrite", 13, 1 },
57278		{ "H5OSN_ReadOnly", 12, 1 },
57279		{ "H5OMAG", 8, 4 },
57280		{ "H5EGS", 6, 2 },
57281		{ "H5ESN_ReadWrite", 5, 1 },
57282		{ "H5ESN_ReadOnly", 4, 1 },
57283		{ "H5EMAG", 0, 4 },
57284	{ "MAC_PORT_RX_LINKB_DFE_H6_AND_H7", 0x3f3d8, 0 },
57285		{ "H7GS", 14, 2 },
57286		{ "H7SN_ReadWrite", 13, 1 },
57287		{ "H7SN_ReadOnly", 12, 1 },
57288		{ "H7MAG", 8, 4 },
57289		{ "H6GS", 6, 2 },
57290		{ "H6SN_ReadWrite", 5, 1 },
57291		{ "H6SN_ReadOnly", 4, 1 },
57292		{ "H6MAG", 0, 4 },
57293	{ "MAC_PORT_RX_LINKB_DFE_H8_AND_H9", 0x3f3dc, 0 },
57294		{ "H9GS", 14, 2 },
57295		{ "H9SN_ReadWrite", 13, 1 },
57296		{ "H9SN_ReadOnly", 12, 1 },
57297		{ "H9MAG", 8, 4 },
57298		{ "H8GS", 6, 2 },
57299		{ "H8SN_ReadWrite", 5, 1 },
57300		{ "H8SN_ReadOnly", 4, 1 },
57301		{ "H8MAG", 0, 4 },
57302	{ "MAC_PORT_RX_LINKB_DFE_H10_AND_H11", 0x3f3e0, 0 },
57303		{ "H11GS", 14, 2 },
57304		{ "H11SN_ReadWrite", 13, 1 },
57305		{ "H11SN_ReadOnly", 12, 1 },
57306		{ "H11MAG", 8, 4 },
57307		{ "H10GS", 6, 2 },
57308		{ "H10SN_ReadWrite", 5, 1 },
57309		{ "H10SN_ReadOnly", 4, 1 },
57310		{ "H10MAG", 0, 4 },
57311	{ "MAC_PORT_RX_LINKB_DFE_H12", 0x3f3e4, 0 },
57312		{ "H12GS", 6, 2 },
57313		{ "H12SN_ReadWrite", 5, 1 },
57314		{ "H12SN_ReadOnly", 4, 1 },
57315		{ "H12MAG", 0, 4 },
57316	{ "MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_2", 0x3f3f8, 0 },
57317		{ "DFEDACLSSD", 6, 1 },
57318		{ "SDLSSD", 5, 1 },
57319		{ "DFEOBSBIAS", 4, 1 },
57320		{ "GBOFSTLSSD", 3, 1 },
57321		{ "RXDOBS", 2, 1 },
57322		{ "ACJZPT", 1, 1 },
57323		{ "ACJZNT", 0, 1 },
57324	{ "MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_1", 0x3f3fc, 0 },
57325		{ "PHSLOCK", 10, 1 },
57326		{ "TESTMODE", 9, 1 },
57327		{ "CALMODE", 8, 1 },
57328		{ "AMPSEL", 7, 1 },
57329		{ "WHICHNRZ", 6, 1 },
57330		{ "BANKA", 5, 1 },
57331		{ "BANKB", 4, 1 },
57332		{ "ACJPDP", 3, 1 },
57333		{ "ACJPDN", 2, 1 },
57334		{ "LSSDT", 1, 1 },
57335		{ "MTHOLD", 0, 1 },
57336	{ "MAC_PORT_RX_LINKC_RECEIVER_CONFIGURATION_MODE", 0x3f600, 0 },
57337		{ "T5_RX_LINKEN", 15, 1 },
57338		{ "T5_RX_LINKRST", 14, 1 },
57339		{ "T5_RX_CFGWRT", 13, 1 },
57340		{ "T5_RX_CFGPTR", 11, 2 },
57341		{ "T5_RX_CFGEXT", 10, 1 },
57342		{ "T5_RX_CFGACT", 9, 1 },
57343		{ "T5_RX_AUXCLK", 8, 1 },
57344		{ "T5_RX_PLLSEL", 6, 2 },
57345		{ "T5_RX_DMSEL", 4, 2 },
57346		{ "T5_RX_BWSEL", 2, 2 },
57347		{ "T5_RX_RTSEL", 0, 2 },
57348	{ "MAC_PORT_RX_LINKC_RECEIVER_TEST_CONTROL", 0x3f604, 0 },
57349		{ "RCLKEN", 15, 1 },
57350		{ "RRATE", 13, 2 },
57351		{ "FERRST", 10, 1 },
57352		{ "ERRST", 9, 1 },
57353		{ "SYNCST", 8, 1 },
57354		{ "WRPSM", 7, 1 },
57355		{ "WPLPEN", 6, 1 },
57356		{ "WRPMD", 5, 1 },
57357		{ "PRST", 4, 1 },
57358		{ "PCHKEN", 3, 1 },
57359		{ "PATSEL", 0, 3 },
57360	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_CONTROL", 0x3f608, 0 },
57361		{ "FTHROT", 12, 4 },
57362		{ "RTHROT", 11, 1 },
57363		{ "FILTCTL", 7, 4 },
57364		{ "RSRVO", 5, 2 },
57365		{ "EXTEL", 4, 1 },
57366		{ "RSTUCK", 3, 1 },
57367		{ "FRZFW", 2, 1 },
57368		{ "RSTFW", 1, 1 },
57369		{ "SSCEN", 0, 1 },
57370	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_OFFSET_CONTROL", 0x3f60c, 0 },
57371		{ "RSNP", 11, 1 },
57372		{ "TSOEN", 10, 1 },
57373		{ "OFFEN", 9, 1 },
57374		{ "TMSCAL", 7, 2 },
57375		{ "APADJ", 6, 1 },
57376		{ "RSEL", 5, 1 },
57377		{ "PHOFFS", 0, 5 },
57378	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_1", 0x3f610, 0 },
57379		{ "ROT0A", 8, 6 },
57380		{ "ROT00", 0, 6 },
57381	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_2", 0x3f614, 0 },
57382		{ "FREQFW", 8, 8 },
57383		{ "FWSNAP", 7, 1 },
57384		{ "ROT90", 0, 6 },
57385	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x3f618, 0 },
57386		{ "RCALER", 15, 1 },
57387		{ "RAOOFF", 10, 5 },
57388		{ "RAEOFF", 5, 5 },
57389		{ "RDOFF", 0, 5 },
57390	{ "MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3f61c, 0 },
57391		{ "RCALER", 15, 1 },
57392		{ "RBOOFF", 10, 5 },
57393		{ "RBEOFF", 5, 5 },
57394		{ "RDOFF", 0, 5 },
57395	{ "MAC_PORT_RX_LINKC_DFE_CONTROL", 0x3f620, 0 },
57396		{ "REQCMP", 15, 1 },
57397		{ "DFEREQ", 14, 1 },
57398		{ "SPCEN", 13, 1 },
57399		{ "GATEEN", 12, 1 },
57400		{ "SPIFMT", 9, 3 },
57401		{ "DFEPWR", 6, 3 },
57402		{ "STNDBY", 5, 1 },
57403		{ "FRCH", 4, 1 },
57404		{ "NONRND", 3, 1 },
57405		{ "NONRNF", 2, 1 },
57406		{ "FSTLCK", 1, 1 },
57407		{ "DFERST", 0, 1 },
57408	{ "MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_1", 0x3f624, 0 },
57409		{ "T5BYTE1", 8, 8 },
57410		{ "T5BYTE0", 0, 8 },
57411	{ "MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_2", 0x3f628, 0 },
57412		{ "T5_RX_SMODE", 8, 3 },
57413		{ "T5_RX_ADCORR", 7, 1 },
57414		{ "T5_RX_TRAINEN", 6, 1 },
57415		{ "T5_RX_ASAMPQ", 3, 3 },
57416		{ "T5_RX_ASAMP", 0, 3 },
57417	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_1", 0x3f62c, 0 },
57418		{ "POLE", 12, 2 },
57419		{ "PEAK", 8, 3 },
57420		{ "VOFFSN", 6, 2 },
57421		{ "VOFFA", 0, 6 },
57422	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_2", 0x3f630, 0 },
57423		{ "T5SHORTV", 10, 1 },
57424		{ "T5VGAIN", 0, 5 },
57425	{ "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_3", 0x3f634, 0 },
57426		{ "HBND1", 10, 1 },
57427		{ "HBND0", 9, 1 },
57428		{ "VLCKD", 8, 1 },
57429		{ "VLCKDF", 7, 1 },
57430		{ "AMAXT", 0, 7 },
57431	{ "MAC_PORT_RX_LINKC_RECEIVER_DQCC_CONTROL_1", 0x3f638, 0 },
57432		{ "IQSEP", 10, 5 },
57433		{ "DUTYQ", 5, 5 },
57434		{ "DUTYI", 0, 5 },
57435	{ "MAC_PORT_RX_LINKC_RECEIVER_DQCC_CONTROL_3", 0x3f640, 0 },
57436		{ "DTHR", 8, 6 },
57437		{ "SNUL", 0, 5 },
57438	{ "MAC_PORT_RX_LINKC_RECEIVER_DACAP_AND_DACAN", 0x3f648, 0 },
57439		{ "DACAN", 8, 8 },
57440		{ "DACAP", 0, 8 },
57441	{ "MAC_PORT_RX_LINKC_RECEIVER_DACA_MIN_AND_DACAZ", 0x3f64c, 0 },
57442		{ "DACAZ", 8, 8 },
57443		{ "DACAM", 0, 8 },
57444	{ "MAC_PORT_RX_LINKC_RECEIVER_ADAC_CONTROL", 0x3f650, 0 },
57445		{ "ADSN_ReadWrite", 8, 1 },
57446		{ "ADSN_ReadOnly", 7, 1 },
57447		{ "ADMAG", 0, 7 },
57448	{ "MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3f65c, 0 },
57449		{ "H1O2", 8, 6 },
57450		{ "H1E2", 0, 6 },
57451	{ "MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x3f660, 0 },
57452		{ "H1O3", 8, 6 },
57453		{ "H1E3", 0, 6 },
57454	{ "MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x3f664, 0 },
57455		{ "H1O4", 8, 6 },
57456		{ "H1E4", 0, 6 },
57457	{ "MAC_PORT_RX_LINKC_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x3f670, 0 },
57458		{ "DPCMD", 14, 1 },
57459		{ "DPCCVG", 13, 1 },
57460		{ "DACCVG", 12, 1 },
57461		{ "DPCTGT", 9, 3 },
57462		{ "BLKH1T", 8, 1 },
57463		{ "BLKOAE", 7, 1 },
57464		{ "H1TGT", 4, 3 },
57465		{ "OAE", 0, 4 },
57466	{ "MAC_PORT_RX_LINKC_DYNAMIC_DATA_CENTERING_DDC", 0x3f674, 0 },
57467		{ "OLS", 11, 5 },
57468		{ "OES", 6, 5 },
57469		{ "BLKODEC", 5, 1 },
57470		{ "ODEC", 0, 5 },
57471	{ "MAC_PORT_RX_LINKC_RECEIVER_INTERNAL_STATUS", 0x3f678, 0 },
57472		{ "T5BER6VAL", 15, 1 },
57473		{ "T5BER6", 14, 1 },
57474		{ "T5BER3VAL", 13, 1 },
57475		{ "T5TOOFAST", 12, 1 },
57476		{ "T5DPCCMP", 9, 1 },
57477		{ "T5DACCMP", 8, 1 },
57478		{ "T5DDCCMP", 7, 1 },
57479		{ "T5AERRFLG", 6, 1 },
57480		{ "T5WERRFLG", 5, 1 },
57481		{ "T5TRCMP", 4, 1 },
57482		{ "T5VLCKF", 3, 1 },
57483		{ "T5ROCCMP", 2, 1 },
57484		{ "T5DQCCCMP", 1, 1 },
57485		{ "T5OCCMP", 0, 1 },
57486	{ "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_1", 0x3f67c, 0 },
57487		{ "FDPC", 15, 1 },
57488		{ "FDAC", 14, 1 },
57489		{ "FDDC", 13, 1 },
57490		{ "FNRND", 12, 1 },
57491		{ "FVGAIN", 11, 1 },
57492		{ "FVOFF", 10, 1 },
57493		{ "FSDET", 9, 1 },
57494		{ "FBER6", 8, 1 },
57495		{ "FROTO", 7, 1 },
57496		{ "FH4H5", 6, 1 },
57497		{ "FH2H3", 5, 1 },
57498		{ "FH1", 4, 1 },
57499		{ "FH1SN", 3, 1 },
57500		{ "FNRDF", 2, 1 },
57501		{ "FLOFF", 1, 1 },
57502		{ "FADAC", 0, 1 },
57503	{ "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_2", 0x3f680, 0 },
57504		{ "H25SPC", 15, 1 },
57505		{ "FTOOFAST", 8, 1 },
57506		{ "FINTTRIM", 7, 1 },
57507		{ "FDINV", 6, 1 },
57508		{ "FHGS", 5, 1 },
57509		{ "FH6H12", 4, 1 },
57510		{ "FH1CAL", 3, 1 },
57511		{ "FINTCAL", 2, 1 },
57512		{ "FDCA", 1, 1 },
57513		{ "FDQCC", 0, 1 },
57514	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_EVN1_EVN2", 0x3f684, 0 },
57515		{ "LOFE2S_ReadWrite", 16, 1 },
57516		{ "LOFE2S_ReadOnly", 14, 2 },
57517		{ "LOFE2", 8, 6 },
57518		{ "LOFE1S_ReadWrite", 7, 1 },
57519		{ "LOFE1S_ReadOnly", 6, 1 },
57520		{ "LOFE1", 0, 6 },
57521	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_ODD1_ODD2", 0x3f688, 0 },
57522		{ "LOFO2S_ReadWrite", 15, 1 },
57523		{ "LOFO2S_ReadOnly", 14, 1 },
57524		{ "LOFO2", 8, 6 },
57525		{ "LOFO1S_ReadWrite", 7, 1 },
57526		{ "LOFO1S_ReadOnly", 6, 1 },
57527		{ "LOFO1", 0, 6 },
57528	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_EVN3_EVN4", 0x3f68c, 0 },
57529		{ "LOFE4S_ReadWrite", 15, 1 },
57530		{ "LOFE4S_ReadOnly", 14, 1 },
57531		{ "LOFE", 8, 6 },
57532		{ "LOFE3S_ReadWrite", 7, 1 },
57533		{ "LOFE3S_ReadOnly", 6, 1 },
57534		{ "LOFE3", 0, 6 },
57535	{ "MAC_PORT_RX_LINKC_DFE_OFFSET_ODD3_ODD4", 0x3f690, 0 },
57536		{ "LOFO4S_ReadWrite", 15, 1 },
57537		{ "LOFO4S_ReadOnly", 14, 1 },
57538		{ "LOFO4", 8, 6 },
57539		{ "LOFO3S_ReadWrite", 7, 1 },
57540		{ "LOFO3S_ReadOnly", 6, 1 },
57541		{ "LOFO3", 0, 6 },
57542	{ "MAC_PORT_RX_LINKC_DFE_E0_AND_E1_OFFSET", 0x3f694, 0 },
57543		{ "T5E1SN_ReadWrite", 15, 1 },
57544		{ "T5E1SN_ReadOnly", 14, 1 },
57545		{ "T5E1AMP", 8, 6 },
57546		{ "T5E0SN_ReadWrite", 7, 1 },
57547		{ "T5E0SN_ReadOnly", 6, 1 },
57548		{ "T5E0AMP", 0, 6 },
57549	{ "MAC_PORT_RX_LINKC_RECEIVER_LOFF_CONTROL", 0x3f698, 0 },
57550		{ "T5LFREG", 12, 1 },
57551		{ "T5LFRC", 11, 1 },
57552		{ "T5LFSEL", 8, 3 },
57553	{ "MAC_PORT_RX_LINKC_RECEIVER_SIGDET_CONTROL", 0x3f69c, 0 },
57554		{ "OFFSN_ReadWrite", 14, 1 },
57555		{ "OFFSN_ReadOnly", 13, 1 },
57556		{ "OFFAMP", 8, 5 },
57557		{ "SDACDC", 7, 1 },
57558		{ "SDPDN", 6, 1 },
57559		{ "SIGDET", 5, 1 },
57560		{ "SDLVL", 0, 5 },
57561	{ "MAC_PORT_RX_LINKC_RECEIVER_ANALOG_CONTROL_SWITCH", 0x3f6a0, 0 },
57562		{ "T5_RX_SETHDIS", 7, 1 },
57563		{ "T5_RX_PDTERM", 6, 1 },
57564		{ "T5_RX_BYPASS", 5, 1 },
57565		{ "T5_RX_LPFEN", 4, 1 },
57566		{ "T5_RX_VGABOD", 3, 1 },
57567		{ "T5_RX_VTBYP", 2, 1 },
57568		{ "T5_RX_VTERM", 0, 2 },
57569	{ "MAC_PORT_RX_LINKC_INTEGRATOR_DAC_OFFSET", 0x3f6a4, 0 },
57570		{ "ISTRIMS", 14, 2 },
57571		{ "ISTRIM", 8, 6 },
57572		{ "HALF1", 7, 1 },
57573		{ "HALF2", 6, 1 },
57574		{ "INTDAC", 0, 6 },
57575	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_CONTROL", 0x3f6a8, 0 },
57576		{ "BLKAZ", 15, 1 },
57577		{ "WIDTH", 10, 5 },
57578		{ "MINWDTH", 5, 5 },
57579		{ "MINAMP", 0, 5 },
57580	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS", 0x3f6ac, 0 },
57581		{ "T5SMQM", 13, 3 },
57582		{ "T5SMQ", 5, 8 },
57583		{ "T5EMMD", 3, 2 },
57584		{ "T5EMBRDY", 2, 1 },
57585		{ "T5EMBUMP", 1, 1 },
57586		{ "T5EMEN", 0, 1 },
57587	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x3f6b0, 0 },
57588		{ "EMF8", 15, 1 },
57589		{ "EMCNT", 4, 8 },
57590		{ "EMOFLO", 2, 1 },
57591		{ "EMCRST", 1, 1 },
57592		{ "EMCEN", 0, 1 },
57593	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x3f6b4, 0 },
57594		{ "SM2RDY", 15, 1 },
57595		{ "SM2RST", 14, 1 },
57596		{ "APDF", 0, 12 },
57597	{ "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x3f6b8, 0 },
57598	{ "MAC_PORT_RX_LINKC_DFE_TAP_ENABLE", 0x3f6c0, 0 },
57599		{ "H_EN", 1, 12 },
57600	{ "MAC_PORT_RX_LINKC_DFE_H1", 0x3f6c4, 0 },
57601		{ "H1OSN", 14, 2 },
57602		{ "H1OMAG", 8, 6 },
57603		{ "H1ESN", 6, 2 },
57604		{ "H1EMAG", 0, 6 },
57605	{ "MAC_PORT_RX_LINKC_DFE_H2", 0x3f6c8, 0 },
57606		{ "H2OSN_ReadWrite", 14, 1 },
57607		{ "H2OSN_ReadOnly", 13, 1 },
57608		{ "H2OMAG", 8, 5 },
57609		{ "H2ESN_ReadWrite", 6, 1 },
57610		{ "H2ESN_ReadOnly", 5, 1 },
57611		{ "H2EMAG", 0, 5 },
57612	{ "MAC_PORT_RX_LINKC_DFE_H3", 0x3f6cc, 0 },
57613		{ "H3OSN_ReadWrite", 13, 1 },
57614		{ "H3OSN_ReadOnly", 12, 1 },
57615		{ "H3OMAG", 8, 4 },
57616		{ "H3ESN_ReadWrite", 5, 1 },
57617		{ "H3ESN_ReadOnly", 4, 1 },
57618		{ "H3EMAG", 0, 4 },
57619	{ "MAC_PORT_RX_LINKC_DFE_H4", 0x3f6d0, 0 },
57620		{ "H4OGS", 14, 2 },
57621		{ "H4OSN_ReadWrite", 13, 1 },
57622		{ "H4OSN_ReadOnly", 12, 1 },
57623		{ "H4OMAG", 8, 4 },
57624		{ "H4EGS", 6, 2 },
57625		{ "H4ESN_ReadWrite", 5, 1 },
57626		{ "H4ESN_ReadOnly", 4, 1 },
57627		{ "H4EMAG", 0, 4 },
57628	{ "MAC_PORT_RX_LINKC_DFE_H5", 0x3f6d4, 0 },
57629		{ "H5OGS", 14, 2 },
57630		{ "H5OSN_ReadWrite", 13, 1 },
57631		{ "H5OSN_ReadOnly", 12, 1 },
57632		{ "H5OMAG", 8, 4 },
57633		{ "H5EGS", 6, 2 },
57634		{ "H5ESN_ReadWrite", 5, 1 },
57635		{ "H5ESN_ReadOnly", 4, 1 },
57636		{ "H5EMAG", 0, 4 },
57637	{ "MAC_PORT_RX_LINKC_DFE_H6_AND_H7", 0x3f6d8, 0 },
57638		{ "H7GS", 14, 2 },
57639		{ "H7SN_ReadWrite", 13, 1 },
57640		{ "H7SN_ReadOnly", 12, 1 },
57641		{ "H7MAG", 8, 4 },
57642		{ "H6GS", 6, 2 },
57643		{ "H6SN_ReadWrite", 5, 1 },
57644		{ "H6SN_ReadOnly", 4, 1 },
57645		{ "H6MAG", 0, 4 },
57646	{ "MAC_PORT_RX_LINKC_DFE_H8_AND_H9", 0x3f6dc, 0 },
57647		{ "H9GS", 14, 2 },
57648		{ "H9SN_ReadWrite", 13, 1 },
57649		{ "H9SN_ReadOnly", 12, 1 },
57650		{ "H9MAG", 8, 4 },
57651		{ "H8GS", 6, 2 },
57652		{ "H8SN_ReadWrite", 5, 1 },
57653		{ "H8SN_ReadOnly", 4, 1 },
57654		{ "H8MAG", 0, 4 },
57655	{ "MAC_PORT_RX_LINKC_DFE_H10_AND_H11", 0x3f6e0, 0 },
57656		{ "H11GS", 14, 2 },
57657		{ "H11SN_ReadWrite", 13, 1 },
57658		{ "H11SN_ReadOnly", 12, 1 },
57659		{ "H11MAG", 8, 4 },
57660		{ "H10GS", 6, 2 },
57661		{ "H10SN_ReadWrite", 5, 1 },
57662		{ "H10SN_ReadOnly", 4, 1 },
57663		{ "H10MAG", 0, 4 },
57664	{ "MAC_PORT_RX_LINKC_DFE_H12", 0x3f6e4, 0 },
57665		{ "H12GS", 6, 2 },
57666		{ "H12SN_ReadWrite", 5, 1 },
57667		{ "H12SN_ReadOnly", 4, 1 },
57668		{ "H12MAG", 0, 4 },
57669	{ "MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_2", 0x3f6f8, 0 },
57670		{ "DFEDACLSSD", 6, 1 },
57671		{ "SDLSSD", 5, 1 },
57672		{ "DFEOBSBIAS", 4, 1 },
57673		{ "GBOFSTLSSD", 3, 1 },
57674		{ "RXDOBS", 2, 1 },
57675		{ "ACJZPT", 1, 1 },
57676		{ "ACJZNT", 0, 1 },
57677	{ "MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_1", 0x3f6fc, 0 },
57678		{ "PHSLOCK", 10, 1 },
57679		{ "TESTMODE", 9, 1 },
57680		{ "CALMODE", 8, 1 },
57681		{ "AMPSEL", 7, 1 },
57682		{ "WHICHNRZ", 6, 1 },
57683		{ "BANKA", 5, 1 },
57684		{ "BANKB", 4, 1 },
57685		{ "ACJPDP", 3, 1 },
57686		{ "ACJPDN", 2, 1 },
57687		{ "LSSDT", 1, 1 },
57688		{ "MTHOLD", 0, 1 },
57689	{ "MAC_PORT_RX_LINKD_RECEIVER_CONFIGURATION_MODE", 0x3f700, 0 },
57690		{ "T5_RX_LINKEN", 15, 1 },
57691		{ "T5_RX_LINKRST", 14, 1 },
57692		{ "T5_RX_CFGWRT", 13, 1 },
57693		{ "T5_RX_CFGPTR", 11, 2 },
57694		{ "T5_RX_CFGEXT", 10, 1 },
57695		{ "T5_RX_CFGACT", 9, 1 },
57696		{ "T5_RX_AUXCLK", 8, 1 },
57697		{ "T5_RX_PLLSEL", 6, 2 },
57698		{ "T5_RX_DMSEL", 4, 2 },
57699		{ "T5_RX_BWSEL", 2, 2 },
57700		{ "T5_RX_RTSEL", 0, 2 },
57701	{ "MAC_PORT_RX_LINKD_RECEIVER_TEST_CONTROL", 0x3f704, 0 },
57702		{ "RCLKEN", 15, 1 },
57703		{ "RRATE", 13, 2 },
57704		{ "FERRST", 10, 1 },
57705		{ "ERRST", 9, 1 },
57706		{ "SYNCST", 8, 1 },
57707		{ "WRPSM", 7, 1 },
57708		{ "WPLPEN", 6, 1 },
57709		{ "WRPMD", 5, 1 },
57710		{ "PRST", 4, 1 },
57711		{ "PCHKEN", 3, 1 },
57712		{ "PATSEL", 0, 3 },
57713	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_CONTROL", 0x3f708, 0 },
57714		{ "FTHROT", 12, 4 },
57715		{ "RTHROT", 11, 1 },
57716		{ "FILTCTL", 7, 4 },
57717		{ "RSRVO", 5, 2 },
57718		{ "EXTEL", 4, 1 },
57719		{ "RSTUCK", 3, 1 },
57720		{ "FRZFW", 2, 1 },
57721		{ "RSTFW", 1, 1 },
57722		{ "SSCEN", 0, 1 },
57723	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_OFFSET_CONTROL", 0x3f70c, 0 },
57724		{ "RSNP", 11, 1 },
57725		{ "TSOEN", 10, 1 },
57726		{ "OFFEN", 9, 1 },
57727		{ "TMSCAL", 7, 2 },
57728		{ "APADJ", 6, 1 },
57729		{ "RSEL", 5, 1 },
57730		{ "PHOFFS", 0, 5 },
57731	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_1", 0x3f710, 0 },
57732		{ "ROT0A", 8, 6 },
57733		{ "ROT00", 0, 6 },
57734	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_2", 0x3f714, 0 },
57735		{ "FREQFW", 8, 8 },
57736		{ "FWSNAP", 7, 1 },
57737		{ "ROT90", 0, 6 },
57738	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x3f718, 0 },
57739		{ "RCALER", 15, 1 },
57740		{ "RAOOFF", 10, 5 },
57741		{ "RAEOFF", 5, 5 },
57742		{ "RDOFF", 0, 5 },
57743	{ "MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3f71c, 0 },
57744		{ "RCALER", 15, 1 },
57745		{ "RBOOFF", 10, 5 },
57746		{ "RBEOFF", 5, 5 },
57747		{ "RDOFF", 0, 5 },
57748	{ "MAC_PORT_RX_LINKD_DFE_CONTROL", 0x3f720, 0 },
57749		{ "REQCMP", 15, 1 },
57750		{ "DFEREQ", 14, 1 },
57751		{ "SPCEN", 13, 1 },
57752		{ "GATEEN", 12, 1 },
57753		{ "SPIFMT", 9, 3 },
57754		{ "DFEPWR", 6, 3 },
57755		{ "STNDBY", 5, 1 },
57756		{ "FRCH", 4, 1 },
57757		{ "NONRND", 3, 1 },
57758		{ "NONRNF", 2, 1 },
57759		{ "FSTLCK", 1, 1 },
57760		{ "DFERST", 0, 1 },
57761	{ "MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_1", 0x3f724, 0 },
57762		{ "T5BYTE1", 8, 8 },
57763		{ "T5BYTE0", 0, 8 },
57764	{ "MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_2", 0x3f728, 0 },
57765		{ "T5_RX_SMODE", 8, 3 },
57766		{ "T5_RX_ADCORR", 7, 1 },
57767		{ "T5_RX_TRAINEN", 6, 1 },
57768		{ "T5_RX_ASAMPQ", 3, 3 },
57769		{ "T5_RX_ASAMP", 0, 3 },
57770	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_1", 0x3f72c, 0 },
57771		{ "POLE", 12, 2 },
57772		{ "PEAK", 8, 3 },
57773		{ "VOFFSN", 6, 2 },
57774		{ "VOFFA", 0, 6 },
57775	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_2", 0x3f730, 0 },
57776		{ "T5SHORTV", 10, 1 },
57777		{ "T5VGAIN", 0, 5 },
57778	{ "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_3", 0x3f734, 0 },
57779		{ "HBND1", 10, 1 },
57780		{ "HBND0", 9, 1 },
57781		{ "VLCKD", 8, 1 },
57782		{ "VLCKDF", 7, 1 },
57783		{ "AMAXT", 0, 7 },
57784	{ "MAC_PORT_RX_LINKD_RECEIVER_DQCC_CONTROL_1", 0x3f738, 0 },
57785		{ "IQSEP", 10, 5 },
57786		{ "DUTYQ", 5, 5 },
57787		{ "DUTYI", 0, 5 },
57788	{ "MAC_PORT_RX_LINKD_RECEIVER_DQCC_CONTROL_3", 0x3f740, 0 },
57789		{ "DTHR", 8, 6 },
57790		{ "SNUL", 0, 5 },
57791	{ "MAC_PORT_RX_LINKD_RECEIVER_DACAP_AND_DACAN", 0x3f748, 0 },
57792		{ "DACAN", 8, 8 },
57793		{ "DACAP", 0, 8 },
57794	{ "MAC_PORT_RX_LINKD_RECEIVER_DACA_MIN_AND_DACAZ", 0x3f74c, 0 },
57795		{ "DACAZ", 8, 8 },
57796		{ "DACAM", 0, 8 },
57797	{ "MAC_PORT_RX_LINKD_RECEIVER_ADAC_CONTROL", 0x3f750, 0 },
57798		{ "ADSN_ReadWrite", 8, 1 },
57799		{ "ADSN_ReadOnly", 7, 1 },
57800		{ "ADMAG", 0, 7 },
57801	{ "MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3f75c, 0 },
57802		{ "H1O2", 8, 6 },
57803		{ "H1E2", 0, 6 },
57804	{ "MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x3f760, 0 },
57805		{ "H1O3", 8, 6 },
57806		{ "H1E3", 0, 6 },
57807	{ "MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x3f764, 0 },
57808		{ "H1O4", 8, 6 },
57809		{ "H1E4", 0, 6 },
57810	{ "MAC_PORT_RX_LINKD_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x3f770, 0 },
57811		{ "DPCMD", 14, 1 },
57812		{ "DPCCVG", 13, 1 },
57813		{ "DACCVG", 12, 1 },
57814		{ "DPCTGT", 9, 3 },
57815		{ "BLKH1T", 8, 1 },
57816		{ "BLKOAE", 7, 1 },
57817		{ "H1TGT", 4, 3 },
57818		{ "OAE", 0, 4 },
57819	{ "MAC_PORT_RX_LINKD_DYNAMIC_DATA_CENTERING_DDC", 0x3f774, 0 },
57820		{ "OLS", 11, 5 },
57821		{ "OES", 6, 5 },
57822		{ "BLKODEC", 5, 1 },
57823		{ "ODEC", 0, 5 },
57824	{ "MAC_PORT_RX_LINKD_RECEIVER_INTERNAL_STATUS", 0x3f778, 0 },
57825		{ "T5BER6VAL", 15, 1 },
57826		{ "T5BER6", 14, 1 },
57827		{ "T5BER3VAL", 13, 1 },
57828		{ "T5TOOFAST", 12, 1 },
57829		{ "T5DPCCMP", 9, 1 },
57830		{ "T5DACCMP", 8, 1 },
57831		{ "T5DDCCMP", 7, 1 },
57832		{ "T5AERRFLG", 6, 1 },
57833		{ "T5WERRFLG", 5, 1 },
57834		{ "T5TRCMP", 4, 1 },
57835		{ "T5VLCKF", 3, 1 },
57836		{ "T5ROCCMP", 2, 1 },
57837		{ "T5DQCCCMP", 1, 1 },
57838		{ "T5OCCMP", 0, 1 },
57839	{ "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_1", 0x3f77c, 0 },
57840		{ "FDPC", 15, 1 },
57841		{ "FDAC", 14, 1 },
57842		{ "FDDC", 13, 1 },
57843		{ "FNRND", 12, 1 },
57844		{ "FVGAIN", 11, 1 },
57845		{ "FVOFF", 10, 1 },
57846		{ "FSDET", 9, 1 },
57847		{ "FBER6", 8, 1 },
57848		{ "FROTO", 7, 1 },
57849		{ "FH4H5", 6, 1 },
57850		{ "FH2H3", 5, 1 },
57851		{ "FH1", 4, 1 },
57852		{ "FH1SN", 3, 1 },
57853		{ "FNRDF", 2, 1 },
57854		{ "FLOFF", 1, 1 },
57855		{ "FADAC", 0, 1 },
57856	{ "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_2", 0x3f780, 0 },
57857		{ "H25SPC", 15, 1 },
57858		{ "FTOOFAST", 8, 1 },
57859		{ "FINTTRIM", 7, 1 },
57860		{ "FDINV", 6, 1 },
57861		{ "FHGS", 5, 1 },
57862		{ "FH6H12", 4, 1 },
57863		{ "FH1CAL", 3, 1 },
57864		{ "FINTCAL", 2, 1 },
57865		{ "FDCA", 1, 1 },
57866		{ "FDQCC", 0, 1 },
57867	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_EVN1_EVN2", 0x3f784, 0 },
57868		{ "LOFE2S_ReadWrite", 16, 1 },
57869		{ "LOFE2S_ReadOnly", 14, 2 },
57870		{ "LOFE2", 8, 6 },
57871		{ "LOFE1S_ReadWrite", 7, 1 },
57872		{ "LOFE1S_ReadOnly", 6, 1 },
57873		{ "LOFE1", 0, 6 },
57874	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_ODD1_ODD2", 0x3f788, 0 },
57875		{ "LOFO2S_ReadWrite", 15, 1 },
57876		{ "LOFO2S_ReadOnly", 14, 1 },
57877		{ "LOFO2", 8, 6 },
57878		{ "LOFO1S_ReadWrite", 7, 1 },
57879		{ "LOFO1S_ReadOnly", 6, 1 },
57880		{ "LOFO1", 0, 6 },
57881	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_EVN3_EVN4", 0x3f78c, 0 },
57882		{ "LOFE4S_ReadWrite", 15, 1 },
57883		{ "LOFE4S_ReadOnly", 14, 1 },
57884		{ "LOFE", 8, 6 },
57885		{ "LOFE3S_ReadWrite", 7, 1 },
57886		{ "LOFE3S_ReadOnly", 6, 1 },
57887		{ "LOFE3", 0, 6 },
57888	{ "MAC_PORT_RX_LINKD_DFE_OFFSET_ODD3_ODD4", 0x3f790, 0 },
57889		{ "LOFO4S_ReadWrite", 15, 1 },
57890		{ "LOFO4S_ReadOnly", 14, 1 },
57891		{ "LOFO4", 8, 6 },
57892		{ "LOFO3S_ReadWrite", 7, 1 },
57893		{ "LOFO3S_ReadOnly", 6, 1 },
57894		{ "LOFO3", 0, 6 },
57895	{ "MAC_PORT_RX_LINKD_DFE_E0_AND_E1_OFFSET", 0x3f794, 0 },
57896		{ "T5E1SN_ReadWrite", 15, 1 },
57897		{ "T5E1SN_ReadOnly", 14, 1 },
57898		{ "T5E1AMP", 8, 6 },
57899		{ "T5E0SN_ReadWrite", 7, 1 },
57900		{ "T5E0SN_ReadOnly", 6, 1 },
57901		{ "T5E0AMP", 0, 6 },
57902	{ "MAC_PORT_RX_LINKD_RECEIVER_LOFF_CONTROL", 0x3f798, 0 },
57903		{ "T5LFREG", 12, 1 },
57904		{ "T5LFRC", 11, 1 },
57905		{ "T5LFSEL", 8, 3 },
57906	{ "MAC_PORT_RX_LINKD_RECEIVER_SIGDET_CONTROL", 0x3f79c, 0 },
57907		{ "OFFSN_ReadWrite", 14, 1 },
57908		{ "OFFSN_ReadOnly", 13, 1 },
57909		{ "OFFAMP", 8, 5 },
57910		{ "SDACDC", 7, 1 },
57911		{ "SDPDN", 6, 1 },
57912		{ "SIGDET", 5, 1 },
57913		{ "SDLVL", 0, 5 },
57914	{ "MAC_PORT_RX_LINKD_RECEIVER_ANALOG_CONTROL_SWITCH", 0x3f7a0, 0 },
57915		{ "T5_RX_SETHDIS", 7, 1 },
57916		{ "T5_RX_PDTERM", 6, 1 },
57917		{ "T5_RX_BYPASS", 5, 1 },
57918		{ "T5_RX_LPFEN", 4, 1 },
57919		{ "T5_RX_VGABOD", 3, 1 },
57920		{ "T5_RX_VTBYP", 2, 1 },
57921		{ "T5_RX_VTERM", 0, 2 },
57922	{ "MAC_PORT_RX_LINKD_INTEGRATOR_DAC_OFFSET", 0x3f7a4, 0 },
57923		{ "ISTRIMS", 14, 2 },
57924		{ "ISTRIM", 8, 6 },
57925		{ "HALF1", 7, 1 },
57926		{ "HALF2", 6, 1 },
57927		{ "INTDAC", 0, 6 },
57928	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_CONTROL", 0x3f7a8, 0 },
57929		{ "BLKAZ", 15, 1 },
57930		{ "WIDTH", 10, 5 },
57931		{ "MINWDTH", 5, 5 },
57932		{ "MINAMP", 0, 5 },
57933	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS", 0x3f7ac, 0 },
57934		{ "T5SMQM", 13, 3 },
57935		{ "T5SMQ", 5, 8 },
57936		{ "T5EMMD", 3, 2 },
57937		{ "T5EMBRDY", 2, 1 },
57938		{ "T5EMBUMP", 1, 1 },
57939		{ "T5EMEN", 0, 1 },
57940	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x3f7b0, 0 },
57941		{ "EMF8", 15, 1 },
57942		{ "EMCNT", 4, 8 },
57943		{ "EMOFLO", 2, 1 },
57944		{ "EMCRST", 1, 1 },
57945		{ "EMCEN", 0, 1 },
57946	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x3f7b4, 0 },
57947		{ "SM2RDY", 15, 1 },
57948		{ "SM2RST", 14, 1 },
57949		{ "APDF", 0, 12 },
57950	{ "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x3f7b8, 0 },
57951	{ "MAC_PORT_RX_LINKD_DFE_TAP_ENABLE", 0x3f7c0, 0 },
57952		{ "H_EN", 1, 12 },
57953	{ "MAC_PORT_RX_LINKD_DFE_H1", 0x3f7c4, 0 },
57954		{ "H1OSN", 14, 2 },
57955		{ "H1OMAG", 8, 6 },
57956		{ "H1ESN", 6, 2 },
57957		{ "H1EMAG", 0, 6 },
57958	{ "MAC_PORT_RX_LINKD_DFE_H2", 0x3f7c8, 0 },
57959		{ "H2OSN_ReadWrite", 14, 1 },
57960		{ "H2OSN_ReadOnly", 13, 1 },
57961		{ "H2OMAG", 8, 5 },
57962		{ "H2ESN_ReadWrite", 6, 1 },
57963		{ "H2ESN_ReadOnly", 5, 1 },
57964		{ "H2EMAG", 0, 5 },
57965	{ "MAC_PORT_RX_LINKD_DFE_H3", 0x3f7cc, 0 },
57966		{ "H3OSN_ReadWrite", 13, 1 },
57967		{ "H3OSN_ReadOnly", 12, 1 },
57968		{ "H3OMAG", 8, 4 },
57969		{ "H3ESN_ReadWrite", 5, 1 },
57970		{ "H3ESN_ReadOnly", 4, 1 },
57971		{ "H3EMAG", 0, 4 },
57972	{ "MAC_PORT_RX_LINKD_DFE_H4", 0x3f7d0, 0 },
57973		{ "H4OGS", 14, 2 },
57974		{ "H4OSN_ReadWrite", 13, 1 },
57975		{ "H4OSN_ReadOnly", 12, 1 },
57976		{ "H4OMAG", 8, 4 },
57977		{ "H4EGS", 6, 2 },
57978		{ "H4ESN_ReadWrite", 5, 1 },
57979		{ "H4ESN_ReadOnly", 4, 1 },
57980		{ "H4EMAG", 0, 4 },
57981	{ "MAC_PORT_RX_LINKD_DFE_H5", 0x3f7d4, 0 },
57982		{ "H5OGS", 14, 2 },
57983		{ "H5OSN_ReadWrite", 13, 1 },
57984		{ "H5OSN_ReadOnly", 12, 1 },
57985		{ "H5OMAG", 8, 4 },
57986		{ "H5EGS", 6, 2 },
57987		{ "H5ESN_ReadWrite", 5, 1 },
57988		{ "H5ESN_ReadOnly", 4, 1 },
57989		{ "H5EMAG", 0, 4 },
57990	{ "MAC_PORT_RX_LINKD_DFE_H6_AND_H7", 0x3f7d8, 0 },
57991		{ "H7GS", 14, 2 },
57992		{ "H7SN_ReadWrite", 13, 1 },
57993		{ "H7SN_ReadOnly", 12, 1 },
57994		{ "H7MAG", 8, 4 },
57995		{ "H6GS", 6, 2 },
57996		{ "H6SN_ReadWrite", 5, 1 },
57997		{ "H6SN_ReadOnly", 4, 1 },
57998		{ "H6MAG", 0, 4 },
57999	{ "MAC_PORT_RX_LINKD_DFE_H8_AND_H9", 0x3f7dc, 0 },
58000		{ "H9GS", 14, 2 },
58001		{ "H9SN_ReadWrite", 13, 1 },
58002		{ "H9SN_ReadOnly", 12, 1 },
58003		{ "H9MAG", 8, 4 },
58004		{ "H8GS", 6, 2 },
58005		{ "H8SN_ReadWrite", 5, 1 },
58006		{ "H8SN_ReadOnly", 4, 1 },
58007		{ "H8MAG", 0, 4 },
58008	{ "MAC_PORT_RX_LINKD_DFE_H10_AND_H11", 0x3f7e0, 0 },
58009		{ "H11GS", 14, 2 },
58010		{ "H11SN_ReadWrite", 13, 1 },
58011		{ "H11SN_ReadOnly", 12, 1 },
58012		{ "H11MAG", 8, 4 },
58013		{ "H10GS", 6, 2 },
58014		{ "H10SN_ReadWrite", 5, 1 },
58015		{ "H10SN_ReadOnly", 4, 1 },
58016		{ "H10MAG", 0, 4 },
58017	{ "MAC_PORT_RX_LINKD_DFE_H12", 0x3f7e4, 0 },
58018		{ "H12GS", 6, 2 },
58019		{ "H12SN_ReadWrite", 5, 1 },
58020		{ "H12SN_ReadOnly", 4, 1 },
58021		{ "H12MAG", 0, 4 },
58022	{ "MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_2", 0x3f7f8, 0 },
58023		{ "DFEDACLSSD", 6, 1 },
58024		{ "SDLSSD", 5, 1 },
58025		{ "DFEOBSBIAS", 4, 1 },
58026		{ "GBOFSTLSSD", 3, 1 },
58027		{ "RXDOBS", 2, 1 },
58028		{ "ACJZPT", 1, 1 },
58029		{ "ACJZNT", 0, 1 },
58030	{ "MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_1", 0x3f7fc, 0 },
58031		{ "PHSLOCK", 10, 1 },
58032		{ "TESTMODE", 9, 1 },
58033		{ "CALMODE", 8, 1 },
58034		{ "AMPSEL", 7, 1 },
58035		{ "WHICHNRZ", 6, 1 },
58036		{ "BANKA", 5, 1 },
58037		{ "BANKB", 4, 1 },
58038		{ "ACJPDP", 3, 1 },
58039		{ "ACJPDN", 2, 1 },
58040		{ "LSSDT", 1, 1 },
58041		{ "MTHOLD", 0, 1 },
58042	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_CONFIGURATION_MODE", 0x3fa00, 0 },
58043		{ "T5_RX_LINKEN", 15, 1 },
58044		{ "T5_RX_LINKRST", 14, 1 },
58045		{ "T5_RX_CFGWRT", 13, 1 },
58046		{ "T5_RX_CFGPTR", 11, 2 },
58047		{ "T5_RX_CFGEXT", 10, 1 },
58048		{ "T5_RX_CFGACT", 9, 1 },
58049		{ "T5_RX_AUXCLK", 8, 1 },
58050		{ "T5_RX_PLLSEL", 6, 2 },
58051		{ "T5_RX_DMSEL", 4, 2 },
58052		{ "T5_RX_BWSEL", 2, 2 },
58053		{ "T5_RX_RTSEL", 0, 2 },
58054	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_TEST_CONTROL", 0x3fa04, 0 },
58055		{ "RCLKEN", 15, 1 },
58056		{ "RRATE", 13, 2 },
58057		{ "FERRST", 10, 1 },
58058		{ "ERRST", 9, 1 },
58059		{ "SYNCST", 8, 1 },
58060		{ "WRPSM", 7, 1 },
58061		{ "WPLPEN", 6, 1 },
58062		{ "WRPMD", 5, 1 },
58063		{ "PRST", 4, 1 },
58064		{ "PCHKEN", 3, 1 },
58065		{ "PATSEL", 0, 3 },
58066	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_CONTROL", 0x3fa08, 0 },
58067		{ "FTHROT", 12, 4 },
58068		{ "RTHROT", 11, 1 },
58069		{ "FILTCTL", 7, 4 },
58070		{ "RSRVO", 5, 2 },
58071		{ "EXTEL", 4, 1 },
58072		{ "RSTUCK", 3, 1 },
58073		{ "FRZFW", 2, 1 },
58074		{ "RSTFW", 1, 1 },
58075		{ "SSCEN", 0, 1 },
58076	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_OFFSET_CONTROL", 0x3fa0c, 0 },
58077		{ "RSNP", 11, 1 },
58078		{ "TSOEN", 10, 1 },
58079		{ "OFFEN", 9, 1 },
58080		{ "TMSCAL", 7, 2 },
58081		{ "APADJ", 6, 1 },
58082		{ "RSEL", 5, 1 },
58083		{ "PHOFFS", 0, 5 },
58084	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_1", 0x3fa10, 0 },
58085		{ "ROT0A", 8, 6 },
58086		{ "ROT00", 0, 6 },
58087	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_2", 0x3fa14, 0 },
58088		{ "FREQFW", 8, 8 },
58089		{ "FWSNAP", 7, 1 },
58090		{ "ROT90", 0, 6 },
58091	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x3fa18, 0 },
58092		{ "RCALER", 15, 1 },
58093		{ "RAOOFF", 10, 5 },
58094		{ "RAEOFF", 5, 5 },
58095		{ "RDOFF", 0, 5 },
58096	{ "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3fa1c, 0 },
58097		{ "RCALER", 15, 1 },
58098		{ "RBOOFF", 10, 5 },
58099		{ "RBEOFF", 5, 5 },
58100		{ "RDOFF", 0, 5 },
58101	{ "MAC_PORT_RX_LINK_BCST_DFE_CONTROL", 0x3fa20, 0 },
58102		{ "REQCMP", 15, 1 },
58103		{ "DFEREQ", 14, 1 },
58104		{ "SPCEN", 13, 1 },
58105		{ "GATEEN", 12, 1 },
58106		{ "SPIFMT", 9, 3 },
58107		{ "DFEPWR", 6, 3 },
58108		{ "STNDBY", 5, 1 },
58109		{ "FRCH", 4, 1 },
58110		{ "NONRND", 3, 1 },
58111		{ "NONRNF", 2, 1 },
58112		{ "FSTLCK", 1, 1 },
58113		{ "DFERST", 0, 1 },
58114	{ "MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_1", 0x3fa24, 0 },
58115		{ "T5BYTE1", 8, 8 },
58116		{ "T5BYTE0", 0, 8 },
58117	{ "MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_2", 0x3fa28, 0 },
58118		{ "T5_RX_SMODE", 8, 3 },
58119		{ "T5_RX_ADCORR", 7, 1 },
58120		{ "T5_RX_TRAINEN", 6, 1 },
58121		{ "T5_RX_ASAMPQ", 3, 3 },
58122		{ "T5_RX_ASAMP", 0, 3 },
58123	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_1", 0x3fa2c, 0 },
58124		{ "POLE", 12, 2 },
58125		{ "PEAK", 8, 3 },
58126		{ "VOFFSN", 6, 2 },
58127		{ "VOFFA", 0, 6 },
58128	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_2", 0x3fa30, 0 },
58129		{ "T5SHORTV", 10, 1 },
58130		{ "T5VGAIN", 0, 5 },
58131	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_3", 0x3fa34, 0 },
58132		{ "HBND1", 10, 1 },
58133		{ "HBND0", 9, 1 },
58134		{ "VLCKD", 8, 1 },
58135		{ "VLCKDF", 7, 1 },
58136		{ "AMAXT", 0, 7 },
58137	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DQCC_CONTROL_1", 0x3fa38, 0 },
58138		{ "IQSEP", 10, 5 },
58139		{ "DUTYQ", 5, 5 },
58140		{ "DUTYI", 0, 5 },
58141	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DQCC_CONTROL_3", 0x3fa40, 0 },
58142		{ "DTHR", 8, 6 },
58143		{ "SNUL", 0, 5 },
58144	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DACAP_AND_DACAN", 0x3fa48, 0 },
58145		{ "DACAN", 8, 8 },
58146		{ "DACAP", 0, 8 },
58147	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_DACA_MIN_AND_DACAZ", 0x3fa4c, 0 },
58148		{ "DACAZ", 8, 8 },
58149		{ "DACAM", 0, 8 },
58150	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_ADAC_CONTROL", 0x3fa50, 0 },
58151		{ "ADSN_ReadWrite", 8, 1 },
58152		{ "ADSN_ReadOnly", 7, 1 },
58153		{ "ADMAG", 0, 7 },
58154	{ "MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD2_EVN2", 0x3fa5c, 0 },
58155		{ "H1O2", 8, 6 },
58156		{ "H1E2", 0, 6 },
58157	{ "MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD3_EVN3", 0x3fa60, 0 },
58158		{ "H1O3", 8, 6 },
58159		{ "H1E3", 0, 6 },
58160	{ "MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD4_EVN4", 0x3fa64, 0 },
58161		{ "H1O4", 8, 6 },
58162		{ "H1E4", 0, 6 },
58163	{ "MAC_PORT_RX_LINK_BCST_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x3fa70, 0 },
58164		{ "DPCMD", 14, 1 },
58165		{ "DPCCVG", 13, 1 },
58166		{ "DACCVG", 12, 1 },
58167		{ "DPCTGT", 9, 3 },
58168		{ "BLKH1T", 8, 1 },
58169		{ "BLKOAE", 7, 1 },
58170		{ "H1TGT", 4, 3 },
58171		{ "OAE", 0, 4 },
58172	{ "MAC_PORT_RX_LINK_BCST_DYNAMIC_DATA_CENTERING_DDC", 0x3fa74, 0 },
58173		{ "OLS", 11, 5 },
58174		{ "OES", 6, 5 },
58175		{ "BLKODEC", 5, 1 },
58176		{ "ODEC", 0, 5 },
58177	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_INTERNAL_STATUS", 0x3fa78, 0 },
58178		{ "T5BER6VAL", 15, 1 },
58179		{ "T5BER6", 14, 1 },
58180		{ "T5BER3VAL", 13, 1 },
58181		{ "T5TOOFAST", 12, 1 },
58182		{ "T5DPCCMP", 9, 1 },
58183		{ "T5DACCMP", 8, 1 },
58184		{ "T5DDCCMP", 7, 1 },
58185		{ "T5AERRFLG", 6, 1 },
58186		{ "T5WERRFLG", 5, 1 },
58187		{ "T5TRCMP", 4, 1 },
58188		{ "T5VLCKF", 3, 1 },
58189		{ "T5ROCCMP", 2, 1 },
58190		{ "T5DQCCCMP", 1, 1 },
58191		{ "T5OCCMP", 0, 1 },
58192	{ "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_1", 0x3fa7c, 0 },
58193		{ "FDPC", 15, 1 },
58194		{ "FDAC", 14, 1 },
58195		{ "FDDC", 13, 1 },
58196		{ "FNRND", 12, 1 },
58197		{ "FVGAIN", 11, 1 },
58198		{ "FVOFF", 10, 1 },
58199		{ "FSDET", 9, 1 },
58200		{ "FBER6", 8, 1 },
58201		{ "FROTO", 7, 1 },
58202		{ "FH4H5", 6, 1 },
58203		{ "FH2H3", 5, 1 },
58204		{ "FH1", 4, 1 },
58205		{ "FH1SN", 3, 1 },
58206		{ "FNRDF", 2, 1 },
58207		{ "FLOFF", 1, 1 },
58208		{ "FADAC", 0, 1 },
58209	{ "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_2", 0x3fa80, 0 },
58210		{ "H25SPC", 15, 1 },
58211		{ "FTOOFAST", 8, 1 },
58212		{ "FINTTRIM", 7, 1 },
58213		{ "FDINV", 6, 1 },
58214		{ "FHGS", 5, 1 },
58215		{ "FH6H12", 4, 1 },
58216		{ "FH1CAL", 3, 1 },
58217		{ "FINTCAL", 2, 1 },
58218		{ "FDCA", 1, 1 },
58219		{ "FDQCC", 0, 1 },
58220	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_EVN1_EVN2", 0x3fa84, 0 },
58221		{ "LOFE2S_ReadWrite", 16, 1 },
58222		{ "LOFE2S_ReadOnly", 14, 2 },
58223		{ "LOFE2", 8, 6 },
58224		{ "LOFE1S_ReadWrite", 7, 1 },
58225		{ "LOFE1S_ReadOnly", 6, 1 },
58226		{ "LOFE1", 0, 6 },
58227	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_ODD1_ODD2", 0x3fa88, 0 },
58228		{ "LOFO2S_ReadWrite", 15, 1 },
58229		{ "LOFO2S_ReadOnly", 14, 1 },
58230		{ "LOFO2", 8, 6 },
58231		{ "LOFO1S_ReadWrite", 7, 1 },
58232		{ "LOFO1S_ReadOnly", 6, 1 },
58233		{ "LOFO1", 0, 6 },
58234	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_EVN3_EVN4", 0x3fa8c, 0 },
58235		{ "LOFE4S_ReadWrite", 15, 1 },
58236		{ "LOFE4S_ReadOnly", 14, 1 },
58237		{ "LOFE", 8, 6 },
58238		{ "LOFE3S_ReadWrite", 7, 1 },
58239		{ "LOFE3S_ReadOnly", 6, 1 },
58240		{ "LOFE3", 0, 6 },
58241	{ "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_ODD3_ODD4", 0x3fa90, 0 },
58242		{ "LOFO4S_ReadWrite", 15, 1 },
58243		{ "LOFO4S_ReadOnly", 14, 1 },
58244		{ "LOFO4", 8, 6 },
58245		{ "LOFO3S_ReadWrite", 7, 1 },
58246		{ "LOFO3S_ReadOnly", 6, 1 },
58247		{ "LOFO3", 0, 6 },
58248	{ "MAC_PORT_RX_LINK_BCST_DFE_E0_AND_E1_OFFSET", 0x3fa94, 0 },
58249		{ "T5E1SN_ReadWrite", 15, 1 },
58250		{ "T5E1SN_ReadOnly", 14, 1 },
58251		{ "T5E1AMP", 8, 6 },
58252		{ "T5E0SN_ReadWrite", 7, 1 },
58253		{ "T5E0SN_ReadOnly", 6, 1 },
58254		{ "T5E0AMP", 0, 6 },
58255	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_LOFF_CONTROL", 0x3fa98, 0 },
58256		{ "T5LFREG", 12, 1 },
58257		{ "T5LFRC", 11, 1 },
58258		{ "T5LFSEL", 8, 3 },
58259	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_SIGDET_CONTROL", 0x3fa9c, 0 },
58260		{ "OFFSN_ReadWrite", 14, 1 },
58261		{ "OFFSN_ReadOnly", 13, 1 },
58262		{ "OFFAMP", 8, 5 },
58263		{ "SDACDC", 7, 1 },
58264		{ "SDPDN", 6, 1 },
58265		{ "SIGDET", 5, 1 },
58266		{ "SDLVL", 0, 5 },
58267	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_ANALOG_CONTROL_SWITCH", 0x3faa0, 0 },
58268		{ "T5_RX_SETHDIS", 7, 1 },
58269		{ "T5_RX_PDTERM", 6, 1 },
58270		{ "T5_RX_BYPASS", 5, 1 },
58271		{ "T5_RX_LPFEN", 4, 1 },
58272		{ "T5_RX_VGABOD", 3, 1 },
58273		{ "T5_RX_VTBYP", 2, 1 },
58274		{ "T5_RX_VTERM", 0, 2 },
58275	{ "MAC_PORT_RX_LINK_BCST_INTEGRATOR_DAC_OFFSET", 0x3faa4, 0 },
58276		{ "ISTRIMS", 14, 2 },
58277		{ "ISTRIM", 8, 6 },
58278		{ "HALF1", 7, 1 },
58279		{ "HALF2", 6, 1 },
58280		{ "INTDAC", 0, 6 },
58281	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_CONTROL", 0x3faa8, 0 },
58282		{ "BLKAZ", 15, 1 },
58283		{ "WIDTH", 10, 5 },
58284		{ "MINWDTH", 5, 5 },
58285		{ "MINAMP", 0, 5 },
58286	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS", 0x3faac, 0 },
58287		{ "T5SMQM", 13, 3 },
58288		{ "T5SMQ", 5, 8 },
58289		{ "T5EMMD", 3, 2 },
58290		{ "T5EMBRDY", 2, 1 },
58291		{ "T5EMBUMP", 1, 1 },
58292		{ "T5EMEN", 0, 1 },
58293	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x3fab0, 0 },
58294		{ "EMF8", 15, 1 },
58295		{ "EMCNT", 4, 8 },
58296		{ "EMOFLO", 2, 1 },
58297		{ "EMCRST", 1, 1 },
58298		{ "EMCEN", 0, 1 },
58299	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x3fab4, 0 },
58300		{ "SM2RDY", 15, 1 },
58301		{ "SM2RST", 14, 1 },
58302		{ "APDF", 0, 12 },
58303	{ "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x3fab8, 0 },
58304	{ "MAC_PORT_RX_LINK_BCST_DFE_TAP_ENABLE", 0x3fac0, 0 },
58305		{ "H_EN", 1, 12 },
58306	{ "MAC_PORT_RX_LINK_BCST_DFE_H1", 0x3fac4, 0 },
58307		{ "H1OSN", 14, 2 },
58308		{ "H1OMAG", 8, 6 },
58309		{ "H1ESN", 6, 2 },
58310		{ "H1EMAG", 0, 6 },
58311	{ "MAC_PORT_RX_LINK_BCST_DFE_H2", 0x3fac8, 0 },
58312		{ "H2OSN_ReadWrite", 14, 1 },
58313		{ "H2OSN_ReadOnly", 13, 1 },
58314		{ "H2OMAG", 8, 5 },
58315		{ "H2ESN_ReadWrite", 6, 1 },
58316		{ "H2ESN_ReadOnly", 5, 1 },
58317		{ "H2EMAG", 0, 5 },
58318	{ "MAC_PORT_RX_LINK_BCST_DFE_H3", 0x3facc, 0 },
58319		{ "H3OSN_ReadWrite", 13, 1 },
58320		{ "H3OSN_ReadOnly", 12, 1 },
58321		{ "H3OMAG", 8, 4 },
58322		{ "H3ESN_ReadWrite", 5, 1 },
58323		{ "H3ESN_ReadOnly", 4, 1 },
58324		{ "H3EMAG", 0, 4 },
58325	{ "MAC_PORT_RX_LINK_BCST_DFE_H4", 0x3fad0, 0 },
58326		{ "H4OGS", 14, 2 },
58327		{ "H4OSN_ReadWrite", 13, 1 },
58328		{ "H4OSN_ReadOnly", 12, 1 },
58329		{ "H4OMAG", 8, 4 },
58330		{ "H4EGS", 6, 2 },
58331		{ "H4ESN_ReadWrite", 5, 1 },
58332		{ "H4ESN_ReadOnly", 4, 1 },
58333		{ "H4EMAG", 0, 4 },
58334	{ "MAC_PORT_RX_LINK_BCST_DFE_H5", 0x3fad4, 0 },
58335		{ "H5OGS", 14, 2 },
58336		{ "H5OSN_ReadWrite", 13, 1 },
58337		{ "H5OSN_ReadOnly", 12, 1 },
58338		{ "H5OMAG", 8, 4 },
58339		{ "H5EGS", 6, 2 },
58340		{ "H5ESN_ReadWrite", 5, 1 },
58341		{ "H5ESN_ReadOnly", 4, 1 },
58342		{ "H5EMAG", 0, 4 },
58343	{ "MAC_PORT_RX_LINK_BCST_DFE_H6_AND_H7", 0x3fad8, 0 },
58344		{ "H7GS", 14, 2 },
58345		{ "H7SN_ReadWrite", 13, 1 },
58346		{ "H7SN_ReadOnly", 12, 1 },
58347		{ "H7MAG", 8, 4 },
58348		{ "H6GS", 6, 2 },
58349		{ "H6SN_ReadWrite", 5, 1 },
58350		{ "H6SN_ReadOnly", 4, 1 },
58351		{ "H6MAG", 0, 4 },
58352	{ "MAC_PORT_RX_LINK_BCST_DFE_H8_AND_H9", 0x3fadc, 0 },
58353		{ "H9GS", 14, 2 },
58354		{ "H9SN_ReadWrite", 13, 1 },
58355		{ "H9SN_ReadOnly", 12, 1 },
58356		{ "H9MAG", 8, 4 },
58357		{ "H8GS", 6, 2 },
58358		{ "H8SN_ReadWrite", 5, 1 },
58359		{ "H8SN_ReadOnly", 4, 1 },
58360		{ "H8MAG", 0, 4 },
58361	{ "MAC_PORT_RX_LINK_BCST_DFE_H10_AND_H11", 0x3fae0, 0 },
58362		{ "H11GS", 14, 2 },
58363		{ "H11SN_ReadWrite", 13, 1 },
58364		{ "H11SN_ReadOnly", 12, 1 },
58365		{ "H11MAG", 8, 4 },
58366		{ "H10GS", 6, 2 },
58367		{ "H10SN_ReadWrite", 5, 1 },
58368		{ "H10SN_ReadOnly", 4, 1 },
58369		{ "H10MAG", 0, 4 },
58370	{ "MAC_PORT_RX_LINK_BCST_DFE_H12", 0x3fae4, 0 },
58371		{ "H12GS", 6, 2 },
58372		{ "H12SN_ReadWrite", 5, 1 },
58373		{ "H12SN_ReadOnly", 4, 1 },
58374		{ "H12MAG", 0, 4 },
58375	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_2", 0x3faf8, 0 },
58376		{ "DFEDACLSSD", 6, 1 },
58377		{ "SDLSSD", 5, 1 },
58378		{ "DFEOBSBIAS", 4, 1 },
58379		{ "GBOFSTLSSD", 3, 1 },
58380		{ "RXDOBS", 2, 1 },
58381		{ "ACJZPT", 1, 1 },
58382		{ "ACJZNT", 0, 1 },
58383	{ "MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_1", 0x3fafc, 0 },
58384		{ "PHSLOCK", 10, 1 },
58385		{ "TESTMODE", 9, 1 },
58386		{ "CALMODE", 8, 1 },
58387		{ "AMPSEL", 7, 1 },
58388		{ "WHICHNRZ", 6, 1 },
58389		{ "BANKA", 5, 1 },
58390		{ "BANKB", 4, 1 },
58391		{ "ACJPDP", 3, 1 },
58392		{ "ACJPDN", 2, 1 },
58393		{ "LSSDT", 1, 1 },
58394		{ "MTHOLD", 0, 1 },
58395	{ NULL }
58396};
58397
58398struct reg_info t5_mc_0_regs[] = {
58399	{ "MC_DDRPHY_PC_DP18_PLL_LOCK_STATUS", 0x47000, 0 },
58400		{ "DP18_PLL_LOCK", 1, 15 },
58401	{ "MC_DDRPHY_PC_AD32S_PLL_LOCK_STATUS", 0x47004, 0 },
58402		{ "AD32S_PLL_LOCK", 14, 2 },
58403	{ "MC_DDRPHY_PC_RANK_PAIR0", 0x47008, 0 },
58404		{ "RANK_PAIR0_PRI", 13, 3 },
58405		{ "RANK_PAIR0_PRI_V", 12, 1 },
58406		{ "RANK_PAIR0_SEC", 9, 3 },
58407		{ "RANK_PAIR0_SEC_V", 8, 1 },
58408		{ "RANK_PAIR1_PRI", 5, 3 },
58409		{ "RANK_PAIR1_PRI_V", 4, 1 },
58410		{ "RANK_PAIR1_SEC", 1, 3 },
58411		{ "RANK_PAIR1_SEC_V", 0, 1 },
58412	{ "MC_DDRPHY_PC_RANK_PAIR1", 0x4700c, 0 },
58413		{ "RANK_PAIR2_PRI", 13, 3 },
58414		{ "RANK_PAIR2_PRI_V", 12, 1 },
58415		{ "RANK_PAIR2_SEC", 9, 3 },
58416		{ "RANK_PAIR2_SEC_V", 8, 1 },
58417		{ "RANK_PAIR3_PRI", 5, 3 },
58418		{ "RANK_PAIR3_PRI_V", 4, 1 },
58419		{ "RANK_PAIR3_SEC", 1, 3 },
58420		{ "RANK_PAIR3_SEC_V", 0, 1 },
58421	{ "MC_DDRPHY_PC_BASE_CNTR0", 0x47010, 0 },
58422	{ "MC_DDRPHY_PC_RELOAD_VALUE0", 0x47014, 0 },
58423		{ "PERIODIC_CAL_REQ_EN", 15, 1 },
58424		{ "PERIODIC_RELOAD_VALUE0", 0, 15 },
58425	{ "MC_DDRPHY_PC_BASE_CNTR1", 0x47018, 0 },
58426	{ "MC_DDRPHY_PC_CAL_TIMER", 0x4701c, 0 },
58427	{ "MC_DDRPHY_PC_CAL_TIMER_RELOAD_VALUE", 0x47020, 0 },
58428	{ "MC_DDRPHY_PC_ZCAL_TIMER", 0x47024, 0 },
58429	{ "MC_DDRPHY_PC_ZCAL_TIMER_RELOAD_VALUE", 0x47028, 0 },
58430	{ "MC_DDRPHY_PC_PER_CAL_CONFIG", 0x4702c, 0 },
58431		{ "PER_ENA_RANK_PAIR", 12, 4 },
58432		{ "PER_ENA_ZCAL", 11, 1 },
58433		{ "PER_ENA_SYSCLK_ALIGN", 10, 1 },
58434		{ "ENA_PER_READ_CTR", 9, 1 },
58435		{ "ENA_PER_RDCLK_ALIGN", 8, 1 },
58436		{ "ENA_PER_DQS_ALIGN", 7, 1 },
58437		{ "PER_NEXT_RANK_PAIR", 5, 2 },
58438		{ "FAST_SIM_PER_CNTR", 4, 1 },
58439		{ "START_INIT_CAL", 3, 1 },
58440		{ "START_PER_CAL", 2, 1 },
58441		{ "ABORT_ON_ERR_EN", 1, 1 },
58442	{ "MC_DDRPHY_PC_PER_ZCAL_CONFIG", 0x4703c, 0 },
58443		{ "PER_ZCAL_ENA_RANK", 8, 8 },
58444		{ "PER_ZCAL_NEXT_RANK", 5, 3 },
58445		{ "START_PER_ZCAL", 4, 1 },
58446	{ "MC_DDRPHY_PC_CONFIG0", 0x47030, 0 },
58447		{ "PROTOCOL_DDR", 12, 4 },
58448		{ "DATA_MUX4_1MODE", 11, 1 },
58449		{ "DDR4_CMD_SIG_REDUCTION", 9, 1 },
58450		{ "SYSCLK_2X_MEMINTCLKO", 8, 1 },
58451		{ "RANK_OVERRIDE", 7, 1 },
58452		{ "RANK_OVERRIDE_VALUE", 4, 3 },
58453		{ "LOW_LATENCY", 3, 1 },
58454		{ "DDR4_BANK_REFRESH", 2, 1 },
58455		{ "DDR4_VLEVEL_BANK_GROUP", 1, 1 },
58456	{ "MC_DDRPHY_PC_CONFIG1", 0x47034, 0 },
58457		{ "WRITE_LATENCY_OFFSET", 12, 4 },
58458		{ "READ_LATENCY_OFFSET", 8, 4 },
58459		{ "MEMCTL_CIC_FAST", 7, 1 },
58460		{ "MEMCTL_CTRN_IGNORE", 6, 1 },
58461		{ "DISABLE_MEMCTL_CAL", 5, 1 },
58462	{ "MC_DDRPHY_PC_RESETS", 0x47038, 0 },
58463		{ "PLL_RESET", 15, 1 },
58464		{ "SYSCLK_RESET", 14, 1 },
58465	{ "MC_DDRPHY_PC_ERROR_STATUS0", 0x47048, 0 },
58466		{ "RC_ERROR", 15, 1 },
58467		{ "WC_ERROR", 14, 1 },
58468		{ "SEQ_ERROR", 13, 1 },
58469		{ "CC_ERROR", 12, 1 },
58470		{ "APB_ERROR", 11, 1 },
58471		{ "PC_ERROR", 10, 1 },
58472	{ "MC_DDRPHY_PC_ERROR_MASK0", 0x4704c, 0 },
58473		{ "RC_ERROR_MASK", 15, 1 },
58474		{ "WC_ERROR_MASK", 14, 1 },
58475		{ "SEQ_ERROR_MASK", 13, 1 },
58476		{ "CC_ERROR_MASK", 12, 1 },
58477		{ "APB_ERROR_MASK", 11, 1 },
58478		{ "PC_ERROR_MASK", 10, 1 },
58479	{ "MC_DDRPHY_PC_IO_PVT_FET_CONTROL", 0x47050, 0 },
58480		{ "PVTP", 11, 5 },
58481		{ "PVTN", 6, 5 },
58482		{ "PVT_OVERRIDE", 5, 1 },
58483		{ "ENABLE_ZCAL", 4, 1 },
58484	{ "MC_DDRPHY_PC_VREF_DRV_CONTROL", 0x47054, 0 },
58485		{ "VREFDQ0DSGN", 15, 1 },
58486		{ "VREFDQ0D", 11, 4 },
58487		{ "VREFDQ1DSGN", 10, 1 },
58488		{ "VREFDQ1D", 6, 4 },
58489	{ "MC_DDRPHY_PC_INIT_CAL_CONFIG0", 0x47058, 0 },
58490		{ "ENA_WR_LEVEL", 15, 1 },
58491		{ "ENA_INITIAL_PAT_WR", 14, 1 },
58492		{ "ENA_DQS_ALIGN", 13, 1 },
58493		{ "ENA_RDCLK_ALIGN", 12, 1 },
58494		{ "ENA_READ_CTR", 11, 1 },
58495		{ "ENA_WRITE_CTR", 10, 1 },
58496		{ "ENA_INITIAL_COARSE_WR", 9, 1 },
58497		{ "ENA_COARSE_RD", 8, 1 },
58498		{ "ENA_CUSTOM_RD", 7, 1 },
58499		{ "ENA_CUSTOM_WR", 6, 1 },
58500		{ "ABORT_ON_CAL_ERROR", 5, 1 },
58501		{ "ENA_DIGITAL_EYE", 4, 1 },
58502		{ "ENA_RANK_PAIR", 0, 4 },
58503	{ "MC_DDRPHY_PC_INIT_CAL_CONFIG1", 0x4705c, 0 },
58504		{ "REFRESH_COUNT", 12, 4 },
58505		{ "REFRESH_CONTROL", 10, 2 },
58506		{ "REFRESH_ALL_RANKS", 9, 1 },
58507		{ "REFRESH_INTERVAL", 0, 7 },
58508	{ "MC_DDRPHY_PC_INIT_CAL_ERROR", 0x47060, 0 },
58509		{ "ERROR_WR_LEVEL", 15, 1 },
58510		{ "ERROR_INITIAL_PAT_WRITE", 14, 1 },
58511		{ "ERROR_DQS_ALIGN", 13, 1 },
58512		{ "ERROR_RDCLK_ALIGN", 12, 1 },
58513		{ "ERROR_READ_CTR", 11, 1 },
58514		{ "ERROR_WRITE_CTR", 10, 1 },
58515		{ "ERROR_INITIAL_COARSE_WR", 9, 1 },
58516		{ "ERROR_COARSE_RD", 8, 1 },
58517		{ "ERROR_CUSTOM_RD", 7, 1 },
58518		{ "ERROR_CUSTOM_WR", 6, 1 },
58519		{ "ERROR_DIGITAL_EYE", 5, 1 },
58520		{ "ERROR_RANK_PAIR", 0, 4 },
58521	{ "MC_DDRPHY_PC_INIT_CAL_MASK", 0x47068, 0 },
58522		{ "ERROR_WR_LEVEL_MASK", 15, 1 },
58523		{ "ERROR_INITIAL_PAT_WRITE_MASK", 14, 1 },
58524		{ "ERROR_DQS_ALIGN_MASK", 13, 1 },
58525		{ "ERROR_RDCLK_ALIGN_MASK", 12, 1 },
58526		{ "ERROR_READ_CTR_MASK", 11, 1 },
58527		{ "ERROR_WRITE_CTR_MASK", 10, 1 },
58528		{ "ERROR_INITIAL_COARSE_WR_MASK", 9, 1 },
58529		{ "ERROR_COARSE_RD_MASK", 8, 1 },
58530		{ "ERROR_CUSTOM_RD_MASK", 7, 1 },
58531		{ "ERROR_CUSTOM_WR_MASK", 6, 1 },
58532		{ "ERROR_DIGITAL_EYE_MASK", 5, 1 },
58533	{ "MC_DDRPHY_PC_INIT_CAL_STATUS", 0x47064, 0 },
58534		{ "INIT_CAL_COMPLETE", 12, 4 },
58535	{ "MC_DDRPHY_PC_IO_PVT_FET_STATUS", 0x4706c, 0 },
58536		{ "PVTP", 11, 5 },
58537		{ "PVTN", 6, 5 },
58538	{ "MC_DDRPHY_PC_MR0_PRI_RP", 0x47070, 0 },
58539	{ "MC_DDRPHY_PC_MR1_PRI_RP", 0x47074, 0 },
58540	{ "MC_DDRPHY_PC_MR2_PRI_RP", 0x47078, 0 },
58541	{ "MC_DDRPHY_PC_MR3_PRI_RP", 0x4707c, 0 },
58542	{ "MC_DDRPHY_PC_MR0_SEC_RP", 0x47080, 0 },
58543	{ "MC_DDRPHY_PC_MR1_SEC_RP", 0x47084, 0 },
58544	{ "MC_DDRPHY_PC_MR2_SEC_RP", 0x47088, 0 },
58545	{ "MC_DDRPHY_PC_MR3_SEC_RP", 0x4708c, 0 },
58546	{ "MC_DDRPHY_PC_RANK_GROUP", 0x47044, 0 },
58547		{ "ADDR_MIRROR_RP0_PRI", 15, 1 },
58548		{ "ADDR_MIRROR_RP0_SEC", 14, 1 },
58549		{ "ADDR_MIRROR_RP1_PRI", 13, 1 },
58550		{ "ADDR_MIRROR_RP1_SEC", 12, 1 },
58551		{ "ADDR_MIRROR_RP2_PRI", 11, 1 },
58552		{ "ADDR_MIRROR_RP2_SEC", 10, 1 },
58553		{ "ADDR_MIRROR_RP3_PRI", 9, 1 },
58554		{ "ADDR_MIRROR_RP3_SEC", 8, 1 },
58555		{ "RANK_GROUPING", 6, 2 },
58556	{ "MC_ADR_DDRPHY_ADR_BIT_ENABLE", 0x45000, 0 },
58557		{ "BIT_ENABLE_0_11", 4, 12 },
58558		{ "BIT_ENABLE_12_15", 0, 4 },
58559	{ "MC_ADR_DDRPHY_ADR_DIFFPAIR_ENABLE", 0x45004, 0 },
58560		{ "DI_ADR0_ADR1", 15, 1 },
58561		{ "DI_ADR2_ADR3", 14, 1 },
58562		{ "DI_ADR4_ADR5", 13, 1 },
58563		{ "DI_ADR6_ADR7", 12, 1 },
58564		{ "DI_ADR8_ADR9", 11, 1 },
58565		{ "DI_ADR10_ADR11", 10, 1 },
58566		{ "DI_ADR12_ADR13", 9, 1 },
58567		{ "DI_ADR14_ADR15", 8, 1 },
58568	{ "MC_ADR_DDRPHY_ADR_DELAY0", 0x45010, 0 },
58569		{ "ADR_DELAY_BITS1_7", 8, 7 },
58570		{ "ADR_DELAY_BITS9_15", 0, 7 },
58571	{ "MC_ADR_DDRPHY_ADR_DELAY1", 0x45014, 0 },
58572		{ "ADR_DELAY_BITS1_7", 8, 7 },
58573		{ "ADR_DELAY_BITS9_15", 0, 7 },
58574	{ "MC_ADR_DDRPHY_ADR_DELAY2", 0x45018, 0 },
58575		{ "ADR_DELAY_BITS1_7", 8, 7 },
58576		{ "ADR_DELAY_BITS9_15", 0, 7 },
58577	{ "MC_ADR_DDRPHY_ADR_DELAY3", 0x4501c, 0 },
58578		{ "ADR_DELAY_BITS1_7", 8, 7 },
58579		{ "ADR_DELAY_BITS9_15", 0, 7 },
58580	{ "MC_ADR_DDRPHY_ADR_DELAY4", 0x45020, 0 },
58581		{ "ADR_DELAY_BITS1_7", 8, 7 },
58582		{ "ADR_DELAY_BITS9_15", 0, 7 },
58583	{ "MC_ADR_DDRPHY_ADR_DELAY5", 0x45024, 0 },
58584		{ "ADR_DELAY_BITS1_7", 8, 7 },
58585		{ "ADR_DELAY_BITS9_15", 0, 7 },
58586	{ "MC_ADR_DDRPHY_ADR_DELAY6", 0x45028, 0 },
58587		{ "ADR_DELAY_BITS1_7", 8, 7 },
58588		{ "ADR_DELAY_BITS9_15", 0, 7 },
58589	{ "MC_ADR_DDRPHY_ADR_DELAY7", 0x4502c, 0 },
58590		{ "ADR_DELAY_BITS1_7", 8, 7 },
58591		{ "ADR_DELAY_BITS9_15", 0, 7 },
58592	{ "MC_ADR_DDRPHY_ADR_DFT_WRAP_STATUS_CONTROL", 0x45030, 0 },
58593		{ "ADR_TEST_LANE_PAIR_FAIL", 8, 8 },
58594		{ "ADR_TEST_DATA_EN", 7, 1 },
58595		{ "DADR_TEST_MODE", 5, 2 },
58596		{ "ADR_TEST_4TO1_MODE", 4, 1 },
58597		{ "ADR_TEST_RESET", 3, 1 },
58598		{ "ADR_TEST_GEN_EN", 2, 1 },
58599		{ "ADR_TEST_CLEAR_ERROR", 1, 1 },
58600		{ "ADR_TEST_CHECK_EN", 0, 1 },
58601	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN0", 0x45040, 0 },
58602		{ "EN_SLICE_N_WR_0", 8, 8 },
58603		{ "EN_SLICE_N_WR_FFE", 4, 4 },
58604	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN1", 0x45044, 0 },
58605		{ "EN_SLICE_N_WR_1", 8, 8 },
58606		{ "EN_SLICE_N_WR_FFE", 4, 4 },
58607	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN2", 0x45048, 0 },
58608		{ "EN_SLICE_N_WR_2", 8, 8 },
58609		{ "EN_SLICE_N_WR_FFE", 4, 4 },
58610	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN3", 0x4504c, 0 },
58611		{ "EN_SLICE_N_WR_3", 8, 8 },
58612		{ "EN_SLICE_N_WR_FFE", 4, 4 },
58613	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN0", 0x45050, 0 },
58614		{ "EN_SLICE_P_WR", 8, 8 },
58615		{ "EN_SLICE_P_WR_FFE", 4, 4 },
58616	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN1", 0x45054, 0 },
58617		{ "EN_SLICE_P_WR", 8, 8 },
58618		{ "EN_SLICE_P_WR_FFE", 4, 4 },
58619	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN2", 0x45058, 0 },
58620		{ "EN_SLICE_P_WR", 8, 8 },
58621		{ "EN_SLICE_P_WR_FFE", 4, 4 },
58622	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN3", 0x4505c, 0 },
58623		{ "EN_SLICE_P_WR", 8, 8 },
58624		{ "EN_SLICE_P_WR_FFE", 4, 4 },
58625	{ "MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP0", 0x45080, 0 },
58626		{ "SLICE_SEL_REG_BITS0_1", 14, 2 },
58627		{ "SLICE_SEL_REG_BITS2_3", 12, 2 },
58628		{ "SLICE_SEL_REG_BITS4_5", 10, 2 },
58629		{ "SLICE_SEL_REG_BITS6_7", 8, 2 },
58630		{ "SLICE_SEL_REG_BITS8_9", 6, 2 },
58631		{ "SLICE_SEL_REG_BITS10_11", 4, 2 },
58632		{ "SLICE_SEL_REG_BITS12_13", 2, 2 },
58633		{ "SLICE_SEL_REG_BITS14_15", 0, 2 },
58634	{ "MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP1", 0x45084, 0 },
58635		{ "SLICE_SEL_REG_BITS0_1", 14, 2 },
58636		{ "SLICE_SEL_REG_BITS2_3", 12, 2 },
58637		{ "SLICE_SEL_REG_BITS4_5", 10, 2 },
58638		{ "SLICE_SEL_REG_BITS6_7", 8, 2 },
58639		{ "SLICE_SEL_REG_BITS8_9", 6, 2 },
58640		{ "SLICE_SEL_REG_BITS10_11", 4, 2 },
58641		{ "SLICE_SEL_REG_BITS12_13", 2, 2 },
58642		{ "SLICE_SEL_REG_BITS14_15", 0, 2 },
58643	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE", 0x45060, 0 },
58644		{ "POST_CURSOR0", 12, 4 },
58645		{ "POST_CURSOR1", 8, 4 },
58646		{ "POST_CURSOR2", 4, 4 },
58647		{ "POST_CURSOR3", 0, 4 },
58648	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP0", 0x450a0, 0 },
58649		{ "POST_CUR_SEL_BITS0_1", 14, 2 },
58650		{ "POST_CUR_SEL_BITS2_3", 12, 2 },
58651		{ "POST_CUR_SEL_BITS4_5", 10, 2 },
58652		{ "POST_CUR_SEL_BITS6_7", 8, 2 },
58653		{ "POST_CUR_SEL_BITS8_9", 6, 2 },
58654		{ "POST_CUR_SEL_BITS10_11", 4, 2 },
58655		{ "POST_CUR_SEL_BITS12_13", 2, 2 },
58656		{ "POST_CUR_SEL_BITS14_15", 0, 2 },
58657	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP1", 0x450a4, 0 },
58658		{ "POST_CUR_SEL_BITS0_1", 14, 2 },
58659		{ "POST_CUR_SEL_BITS2_3", 12, 2 },
58660		{ "POST_CUR_SEL_BITS4_5", 10, 2 },
58661		{ "POST_CUR_SEL_BITS6_7", 8, 2 },
58662		{ "POST_CUR_SEL_BITS8_9", 6, 2 },
58663		{ "POST_CUR_SEL_BITS10_11", 4, 2 },
58664		{ "POST_CUR_SEL_BITS12_13", 2, 2 },
58665		{ "POST_CUR_SEL_BITS14_15", 0, 2 },
58666	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE", 0x45068, 0 },
58667		{ "SLEW_CTL0", 12, 4 },
58668		{ "SLEW_CTL1", 8, 4 },
58669		{ "SLEW_CTL2", 4, 4 },
58670		{ "SLEW_CTL3", 0, 4 },
58671	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP0", 0x450a8, 0 },
58672		{ "SLEW_CTL_SEL_BITS0_1", 14, 2 },
58673		{ "SLEW_CTL_SEL_BITS2_3", 12, 2 },
58674		{ "SLEW_CTL_SEL_BITS4_5", 10, 2 },
58675		{ "SLEW_CTL_SEL_BITS6_7", 8, 2 },
58676		{ "SLEW_CTL_SEL_BITS8_9", 6, 2 },
58677		{ "SLEW_CTL_SEL_BITS10_11", 4, 2 },
58678		{ "SLEW_CTL_SEL_BITS12_13", 2, 2 },
58679		{ "SLEW_CTL_SEL_BITS14_15", 0, 2 },
58680	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP1", 0x450ac, 0 },
58681		{ "SLEW_CTL_SEL_BITS0_1", 14, 2 },
58682		{ "SLEW_CTL_SEL_BITS2_3", 12, 2 },
58683		{ "SLEW_CTL_SEL_BITS4_5", 10, 2 },
58684		{ "SLEW_CTL_SEL_BITS6_7", 8, 2 },
58685		{ "SLEW_CTL_SEL_BITS8_9", 6, 2 },
58686		{ "SLEW_CTL_SEL_BITS10_11", 4, 2 },
58687		{ "SLEW_CTL_SEL_BITS12_13", 2, 2 },
58688		{ "SLEW_CTL_SEL_BITS14_15", 0, 2 },
58689	{ "MC_ADR_DDRPHY_ADR_POWERDOWN_2", 0x450b0, 0 },
58690		{ "ADR_LANE_0_11_PD", 4, 12 },
58691		{ "ADR_LANE_12_15_PD", 0, 4 },
58692	{ "MC_ADR_DDRPHY_ADR_BIT_ENABLE", 0x45200, 0 },
58693		{ "BIT_ENABLE_0_11", 4, 12 },
58694		{ "BIT_ENABLE_12_15", 0, 4 },
58695	{ "MC_ADR_DDRPHY_ADR_DIFFPAIR_ENABLE", 0x45204, 0 },
58696		{ "DI_ADR0_ADR1", 15, 1 },
58697		{ "DI_ADR2_ADR3", 14, 1 },
58698		{ "DI_ADR4_ADR5", 13, 1 },
58699		{ "DI_ADR6_ADR7", 12, 1 },
58700		{ "DI_ADR8_ADR9", 11, 1 },
58701		{ "DI_ADR10_ADR11", 10, 1 },
58702		{ "DI_ADR12_ADR13", 9, 1 },
58703		{ "DI_ADR14_ADR15", 8, 1 },
58704	{ "MC_ADR_DDRPHY_ADR_DELAY0", 0x45210, 0 },
58705		{ "ADR_DELAY_BITS1_7", 8, 7 },
58706		{ "ADR_DELAY_BITS9_15", 0, 7 },
58707	{ "MC_ADR_DDRPHY_ADR_DELAY1", 0x45214, 0 },
58708		{ "ADR_DELAY_BITS1_7", 8, 7 },
58709		{ "ADR_DELAY_BITS9_15", 0, 7 },
58710	{ "MC_ADR_DDRPHY_ADR_DELAY2", 0x45218, 0 },
58711		{ "ADR_DELAY_BITS1_7", 8, 7 },
58712		{ "ADR_DELAY_BITS9_15", 0, 7 },
58713	{ "MC_ADR_DDRPHY_ADR_DELAY3", 0x4521c, 0 },
58714		{ "ADR_DELAY_BITS1_7", 8, 7 },
58715		{ "ADR_DELAY_BITS9_15", 0, 7 },
58716	{ "MC_ADR_DDRPHY_ADR_DELAY4", 0x45220, 0 },
58717		{ "ADR_DELAY_BITS1_7", 8, 7 },
58718		{ "ADR_DELAY_BITS9_15", 0, 7 },
58719	{ "MC_ADR_DDRPHY_ADR_DELAY5", 0x45224, 0 },
58720		{ "ADR_DELAY_BITS1_7", 8, 7 },
58721		{ "ADR_DELAY_BITS9_15", 0, 7 },
58722	{ "MC_ADR_DDRPHY_ADR_DELAY6", 0x45228, 0 },
58723		{ "ADR_DELAY_BITS1_7", 8, 7 },
58724		{ "ADR_DELAY_BITS9_15", 0, 7 },
58725	{ "MC_ADR_DDRPHY_ADR_DELAY7", 0x4522c, 0 },
58726		{ "ADR_DELAY_BITS1_7", 8, 7 },
58727		{ "ADR_DELAY_BITS9_15", 0, 7 },
58728	{ "MC_ADR_DDRPHY_ADR_DFT_WRAP_STATUS_CONTROL", 0x45230, 0 },
58729		{ "ADR_TEST_LANE_PAIR_FAIL", 8, 8 },
58730		{ "ADR_TEST_DATA_EN", 7, 1 },
58731		{ "DADR_TEST_MODE", 5, 2 },
58732		{ "ADR_TEST_4TO1_MODE", 4, 1 },
58733		{ "ADR_TEST_RESET", 3, 1 },
58734		{ "ADR_TEST_GEN_EN", 2, 1 },
58735		{ "ADR_TEST_CLEAR_ERROR", 1, 1 },
58736		{ "ADR_TEST_CHECK_EN", 0, 1 },
58737	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN0", 0x45240, 0 },
58738		{ "EN_SLICE_N_WR_0", 8, 8 },
58739		{ "EN_SLICE_N_WR_FFE", 4, 4 },
58740	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN1", 0x45244, 0 },
58741		{ "EN_SLICE_N_WR_1", 8, 8 },
58742		{ "EN_SLICE_N_WR_FFE", 4, 4 },
58743	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN2", 0x45248, 0 },
58744		{ "EN_SLICE_N_WR_2", 8, 8 },
58745		{ "EN_SLICE_N_WR_FFE", 4, 4 },
58746	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN3", 0x4524c, 0 },
58747		{ "EN_SLICE_N_WR_3", 8, 8 },
58748		{ "EN_SLICE_N_WR_FFE", 4, 4 },
58749	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN0", 0x45250, 0 },
58750		{ "EN_SLICE_P_WR", 8, 8 },
58751		{ "EN_SLICE_P_WR_FFE", 4, 4 },
58752	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN1", 0x45254, 0 },
58753		{ "EN_SLICE_P_WR", 8, 8 },
58754		{ "EN_SLICE_P_WR_FFE", 4, 4 },
58755	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN2", 0x45258, 0 },
58756		{ "EN_SLICE_P_WR", 8, 8 },
58757		{ "EN_SLICE_P_WR_FFE", 4, 4 },
58758	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN3", 0x4525c, 0 },
58759		{ "EN_SLICE_P_WR", 8, 8 },
58760		{ "EN_SLICE_P_WR_FFE", 4, 4 },
58761	{ "MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP0", 0x45280, 0 },
58762		{ "SLICE_SEL_REG_BITS0_1", 14, 2 },
58763		{ "SLICE_SEL_REG_BITS2_3", 12, 2 },
58764		{ "SLICE_SEL_REG_BITS4_5", 10, 2 },
58765		{ "SLICE_SEL_REG_BITS6_7", 8, 2 },
58766		{ "SLICE_SEL_REG_BITS8_9", 6, 2 },
58767		{ "SLICE_SEL_REG_BITS10_11", 4, 2 },
58768		{ "SLICE_SEL_REG_BITS12_13", 2, 2 },
58769		{ "SLICE_SEL_REG_BITS14_15", 0, 2 },
58770	{ "MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP1", 0x45284, 0 },
58771		{ "SLICE_SEL_REG_BITS0_1", 14, 2 },
58772		{ "SLICE_SEL_REG_BITS2_3", 12, 2 },
58773		{ "SLICE_SEL_REG_BITS4_5", 10, 2 },
58774		{ "SLICE_SEL_REG_BITS6_7", 8, 2 },
58775		{ "SLICE_SEL_REG_BITS8_9", 6, 2 },
58776		{ "SLICE_SEL_REG_BITS10_11", 4, 2 },
58777		{ "SLICE_SEL_REG_BITS12_13", 2, 2 },
58778		{ "SLICE_SEL_REG_BITS14_15", 0, 2 },
58779	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE", 0x45260, 0 },
58780		{ "POST_CURSOR0", 12, 4 },
58781		{ "POST_CURSOR1", 8, 4 },
58782		{ "POST_CURSOR2", 4, 4 },
58783		{ "POST_CURSOR3", 0, 4 },
58784	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP0", 0x452a0, 0 },
58785		{ "POST_CUR_SEL_BITS0_1", 14, 2 },
58786		{ "POST_CUR_SEL_BITS2_3", 12, 2 },
58787		{ "POST_CUR_SEL_BITS4_5", 10, 2 },
58788		{ "POST_CUR_SEL_BITS6_7", 8, 2 },
58789		{ "POST_CUR_SEL_BITS8_9", 6, 2 },
58790		{ "POST_CUR_SEL_BITS10_11", 4, 2 },
58791		{ "POST_CUR_SEL_BITS12_13", 2, 2 },
58792		{ "POST_CUR_SEL_BITS14_15", 0, 2 },
58793	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP1", 0x452a4, 0 },
58794		{ "POST_CUR_SEL_BITS0_1", 14, 2 },
58795		{ "POST_CUR_SEL_BITS2_3", 12, 2 },
58796		{ "POST_CUR_SEL_BITS4_5", 10, 2 },
58797		{ "POST_CUR_SEL_BITS6_7", 8, 2 },
58798		{ "POST_CUR_SEL_BITS8_9", 6, 2 },
58799		{ "POST_CUR_SEL_BITS10_11", 4, 2 },
58800		{ "POST_CUR_SEL_BITS12_13", 2, 2 },
58801		{ "POST_CUR_SEL_BITS14_15", 0, 2 },
58802	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE", 0x45268, 0 },
58803		{ "SLEW_CTL0", 12, 4 },
58804		{ "SLEW_CTL1", 8, 4 },
58805		{ "SLEW_CTL2", 4, 4 },
58806		{ "SLEW_CTL3", 0, 4 },
58807	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP0", 0x452a8, 0 },
58808		{ "SLEW_CTL_SEL_BITS0_1", 14, 2 },
58809		{ "SLEW_CTL_SEL_BITS2_3", 12, 2 },
58810		{ "SLEW_CTL_SEL_BITS4_5", 10, 2 },
58811		{ "SLEW_CTL_SEL_BITS6_7", 8, 2 },
58812		{ "SLEW_CTL_SEL_BITS8_9", 6, 2 },
58813		{ "SLEW_CTL_SEL_BITS10_11", 4, 2 },
58814		{ "SLEW_CTL_SEL_BITS12_13", 2, 2 },
58815		{ "SLEW_CTL_SEL_BITS14_15", 0, 2 },
58816	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP1", 0x452ac, 0 },
58817		{ "SLEW_CTL_SEL_BITS0_1", 14, 2 },
58818		{ "SLEW_CTL_SEL_BITS2_3", 12, 2 },
58819		{ "SLEW_CTL_SEL_BITS4_5", 10, 2 },
58820		{ "SLEW_CTL_SEL_BITS6_7", 8, 2 },
58821		{ "SLEW_CTL_SEL_BITS8_9", 6, 2 },
58822		{ "SLEW_CTL_SEL_BITS10_11", 4, 2 },
58823		{ "SLEW_CTL_SEL_BITS12_13", 2, 2 },
58824		{ "SLEW_CTL_SEL_BITS14_15", 0, 2 },
58825	{ "MC_ADR_DDRPHY_ADR_POWERDOWN_2", 0x452b0, 0 },
58826		{ "ADR_LANE_0_11_PD", 4, 12 },
58827		{ "ADR_LANE_12_15_PD", 0, 4 },
58828	{ "MC_DDRPHY_ADR_PLL_VREG_CONFIG_0", 0x460c0, 0 },
58829		{ "PLL_TUNE_0_2", 13, 3 },
58830		{ "PLL_TUNECP_0_2", 10, 3 },
58831		{ "PLL_TUNEF_0_5", 4, 6 },
58832		{ "PLL_TUNEVCO_0_1", 2, 2 },
58833		{ "PLL_PLLXTR_0_1", 0, 2 },
58834	{ "MC_DDRPHY_ADR_PLL_VREG_CONFIG_1", 0x460c4, 0 },
58835		{ "PLL_TUNETDIV_0_2", 13, 3 },
58836		{ "PLL_TUNEMDIV_0_1", 11, 2 },
58837		{ "PLL_TUNEATST", 10, 1 },
58838		{ "VREG_RANGE_0_1", 8, 2 },
58839		{ "VREG_VREGSPARE", 7, 1 },
58840		{ "VREG_VCCTUNE_0_1", 5, 2 },
58841		{ "INTERP_SIG_SLEW_0_3", 1, 4 },
58842		{ "ANALOG_WRAPON", 0, 1 },
58843	{ "MC_DDRPHY_ADR_SYSCLK_CNTL_PR", 0x460c8, 0 },
58844		{ "SYSCLK_ENABLE", 15, 1 },
58845		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
58846		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
58847		{ "SYSCLK_PHASE_ALIGN_RESE", 6, 1 },
58848		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
58849		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
58850		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
58851		{ "CONTINUOUS_UPDATE", 2, 1 },
58852		{ "CE0DLTVCC", 0, 2 },
58853	{ "MC_DDRPHY_ADR_MCCLK_WRCLK_PR_STATIC_OFFSET", 0x460cc, 0 },
58854		{ "TSYS_WRCLK", 8, 7 },
58855	{ "MC_DDRPHY_ADR_SYSCLK_PR_VALUE_RO", 0x460d0, 0 },
58856		{ "SLEW_LATE_SAMPLE", 15, 1 },
58857		{ "SYSCLK_ROT", 8, 7 },
58858		{ "BB_LOCK", 7, 1 },
58859		{ "SLEW_EARLY_SAMPLE", 6, 1 },
58860		{ "SLEW_DONE_STATUS", 4, 2 },
58861		{ "SLEW_CNTL", 0, 4 },
58862	{ "MC_DDRPHY_ADR_GMTEST_ATEST_CNTL", 0x460d4, 0 },
58863		{ "FLUSH", 15, 1 },
58864		{ "GIANT_MUX_TEST_EN", 14, 1 },
58865		{ "GIANT_MUX_TEST_VAL", 13, 1 },
58866		{ "HS_PROBE_A_SEL_", 8, 4 },
58867		{ "HS_PROBE_B_SEL_", 4, 4 },
58868		{ "ATEST1CTL0", 3, 1 },
58869		{ "ATEST1CTL1", 2, 1 },
58870		{ "ATEST1CTL2", 1, 1 },
58871		{ "ATEST1CTL3", 0, 1 },
58872	{ "MC_DDRPHY_ADR_GIANT_MUX_RESULTS_A0", 0x460d8, 0 },
58873	{ "MC_DDRPHY_ADR_GIANT_MUX_RESULTS_A1", 0x460dc, 0 },
58874	{ "MC_DDRPHY_ADR_POWERDOWN_1", 0x460e0, 0 },
58875		{ "MASTER_PD_CNTL", 15, 1 },
58876		{ "ANALOG_INPUT_STAB2", 14, 1 },
58877		{ "ANALOG_INPUT_STAB1", 8, 1 },
58878		{ "SYSCLK_CLK_GATE", 6, 2 },
58879		{ "WR_FIFO_STAB", 5, 1 },
58880		{ "ADR_RX_PD", 4, 1 },
58881		{ "TX_TRISTATE_CNTL", 1, 1 },
58882		{ "DVCC_REG_PD", 0, 1 },
58883	{ "MC_DDRPHY_ADR_SLEW_CAL_CNTL", 0x460e4, 0 },
58884		{ "SLEW_CAL_ENABLE", 15, 1 },
58885		{ "SLEW_CAL_START", 14, 1 },
58886		{ "SLEW_CAL_OVERRIDE_EN", 12, 1 },
58887		{ "SLEW_CAL_OVERRIDE", 8, 4 },
58888		{ "SLEW_TARGET_PR_OFFSET", 0, 5 },
58889	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44000, 0 },
58890	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44004, 0 },
58891		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
58892		{ "DFT_FORCE_OUTPUTS", 7, 1 },
58893		{ "DFT_PRBS7_GEN_EN", 6, 1 },
58894		{ "WRAPSEL", 5, 1 },
58895		{ "MRS_CMD_DATA_N0", 3, 1 },
58896		{ "MRS_CMD_DATA_N1", 2, 1 },
58897		{ "MRS_CMD_DATA_N2", 1, 1 },
58898		{ "MRS_CMD_DATA_N3", 0, 1 },
58899	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x441f0, 0 },
58900	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x441f4, 0 },
58901		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
58902	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44008, 0 },
58903	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4400c, 0 },
58904		{ "DATA_BIT_DIR_16_23", 8, 8 },
58905		{ "WL_ADVANCE_DISABLE", 7, 1 },
58906		{ "DISABLE_PING_PONG", 6, 1 },
58907		{ "DELAY_PING_PONG_HALF", 5, 1 },
58908		{ "ADVANCE_PING_PONG", 4, 1 },
58909		{ "ATEST_MUX_CTL0", 3, 1 },
58910		{ "ATEST_MUX_CTL1", 2, 1 },
58911		{ "ATEST_MUX_CTL2", 1, 1 },
58912		{ "ATEST_MUX_CTL3", 0, 1 },
58913	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44010, 0 },
58914		{ "QUAD0_CLK16_BIT0", 15, 1 },
58915		{ "QUAD1_CLK16_BIT1", 14, 1 },
58916		{ "QUAD2_CLK16_BIT2", 13, 1 },
58917		{ "QUAD3_CLK16_BIT3", 12, 1 },
58918		{ "QUAD0_CLK18_BIT4", 11, 1 },
58919		{ "QUAD1_CLK18_BIT5", 10, 1 },
58920		{ "QUAD2_CLK20_BIT6", 9, 1 },
58921		{ "QUAD3_CLK20_BIT7", 8, 1 },
58922		{ "QUAD2_CLK22_BIT8", 7, 1 },
58923		{ "QUAD3_CLK22_BIT9", 6, 1 },
58924		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
58925		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
58926		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
58927		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
58928	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44014, 0 },
58929		{ "QUAD0_CLK16_BIT0", 15, 1 },
58930		{ "QUAD1_CLK16_BIT1", 14, 1 },
58931		{ "QUAD2_CLK16_BIT2", 13, 1 },
58932		{ "QUAD3_CLK16_BIT3", 12, 1 },
58933		{ "QUAD0_CLK18_BIT4", 11, 1 },
58934		{ "QUAD1_CLK18_BIT5", 10, 1 },
58935		{ "QUAD2_CLK20_BIT6", 9, 1 },
58936		{ "QUAD3_CLK20_BIT7", 8, 1 },
58937		{ "QUAD2_CLK22_BIT8", 7, 1 },
58938		{ "QUAD3_CLK22_BIT9", 6, 1 },
58939		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
58940		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
58941		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
58942		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
58943		{ "QUAD2_CLK18_BIT14", 1, 1 },
58944		{ "QUAD3_CLK18_BIT15", 0, 1 },
58945	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x441f8, 0 },
58946		{ "DQ_WR_OFFSET_N0", 12, 4 },
58947		{ "DQ_WR_OFFSET_N1", 8, 4 },
58948		{ "DQ_WR_OFFSET_N2", 4, 4 },
58949		{ "DQ_WR_OFFSET_N3", 0, 4 },
58950	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44018, 0 },
58951		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
58952		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
58953		{ "SxMCVREF_0_3", 4, 4 },
58954		{ "SxPODVREF", 3, 1 },
58955		{ "DISABLE_TERMINATION", 2, 1 },
58956		{ "READ_CENTERING_MODE", 0, 2 },
58957	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x4401c, 0 },
58958		{ "SYSCLK_ENABLE", 15, 1 },
58959		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
58960		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
58961		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
58962		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
58963		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
58964		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
58965		{ "CONTINUOUS_UPDATE", 2, 1 },
58966	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x441cc, 0 },
58967		{ "SYSCLK_ROT", 8, 7 },
58968	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x441d0, 0 },
58969		{ "TSYS_WRCLK", 8, 7 },
58970	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x440c0, 0 },
58971		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
58972		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
58973	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x440c4, 0 },
58974		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
58975		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
58976	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44024, 0 },
58977		{ "DQSCLK_SELECT0", 14, 2 },
58978		{ "RDCLK_SELECT0", 12, 2 },
58979		{ "DQSCLK_SELECT1", 10, 2 },
58980		{ "RDCLK_SELECT1", 8, 2 },
58981		{ "DQSCLK_SELECT2", 6, 2 },
58982		{ "RDCLK_SELECT2", 4, 2 },
58983		{ "DQSCLK_SELECT3", 2, 2 },
58984		{ "RDCLK_SELECT3", 0, 2 },
58985	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44170, 0 },
58986		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
58987		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
58988	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44174, 0 },
58989		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
58990		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
58991	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x440e0, 0 },
58992		{ "WR_DELAY", 6, 10 },
58993	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x440e4, 0 },
58994		{ "WR_DELAY", 6, 10 },
58995	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x440e8, 0 },
58996		{ "WR_DELAY", 6, 10 },
58997	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x440ec, 0 },
58998		{ "WR_DELAY", 6, 10 },
58999	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x440f0, 0 },
59000		{ "WR_DELAY", 6, 10 },
59001	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x440f4, 0 },
59002		{ "WR_DELAY", 6, 10 },
59003	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x440f8, 0 },
59004		{ "WR_DELAY", 6, 10 },
59005	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x440fc, 0 },
59006		{ "WR_DELAY", 6, 10 },
59007	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44100, 0 },
59008		{ "WR_DELAY", 6, 10 },
59009	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44104, 0 },
59010		{ "WR_DELAY", 6, 10 },
59011	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44108, 0 },
59012		{ "WR_DELAY", 6, 10 },
59013	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4410c, 0 },
59014		{ "WR_DELAY", 6, 10 },
59015	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44110, 0 },
59016		{ "WR_DELAY", 6, 10 },
59017	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44114, 0 },
59018		{ "WR_DELAY", 6, 10 },
59019	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44118, 0 },
59020		{ "WR_DELAY", 6, 10 },
59021	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4411c, 0 },
59022		{ "WR_DELAY", 6, 10 },
59023	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44120, 0 },
59024		{ "WR_DELAY", 6, 10 },
59025	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44124, 0 },
59026		{ "WR_DELAY", 6, 10 },
59027	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44128, 0 },
59028		{ "WR_DELAY", 6, 10 },
59029	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4412c, 0 },
59030		{ "WR_DELAY", 6, 10 },
59031	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44130, 0 },
59032		{ "WR_DELAY", 6, 10 },
59033	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44134, 0 },
59034		{ "WR_DELAY", 6, 10 },
59035	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44138, 0 },
59036		{ "WR_DELAY", 6, 10 },
59037	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4413c, 0 },
59038		{ "WR_DELAY", 6, 10 },
59039	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44140, 0 },
59040		{ "RD_DELAY_BITS0_6", 9, 7 },
59041		{ "RD_DELAY_BITS8_14", 1, 7 },
59042	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44144, 0 },
59043		{ "RD_DELAY_BITS0_6", 9, 7 },
59044		{ "RD_DELAY_BITS8_14", 1, 7 },
59045	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44148, 0 },
59046		{ "RD_DELAY_BITS0_6", 9, 7 },
59047		{ "RD_DELAY_BITS8_14", 1, 7 },
59048	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4414c, 0 },
59049		{ "RD_DELAY_BITS0_6", 9, 7 },
59050		{ "RD_DELAY_BITS8_14", 1, 7 },
59051	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44150, 0 },
59052		{ "RD_DELAY_BITS0_6", 9, 7 },
59053		{ "RD_DELAY_BITS8_14", 1, 7 },
59054	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44154, 0 },
59055		{ "RD_DELAY_BITS0_6", 9, 7 },
59056		{ "RD_DELAY_BITS8_14", 1, 7 },
59057	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44158, 0 },
59058		{ "RD_DELAY_BITS0_6", 9, 7 },
59059		{ "RD_DELAY_BITS8_14", 1, 7 },
59060	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4415c, 0 },
59061		{ "RD_DELAY_BITS0_6", 9, 7 },
59062		{ "RD_DELAY_BITS8_14", 1, 7 },
59063	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44160, 0 },
59064		{ "RD_DELAY_BITS0_6", 9, 7 },
59065		{ "RD_DELAY_BITS8_14", 1, 7 },
59066	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44164, 0 },
59067		{ "RD_DELAY_BITS0_6", 9, 7 },
59068		{ "RD_DELAY_BITS8_14", 1, 7 },
59069	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44168, 0 },
59070		{ "RD_DELAY_BITS0_6", 9, 7 },
59071		{ "RD_DELAY_BITS8_14", 1, 7 },
59072	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4416c, 0 },
59073		{ "RD_DELAY_BITS0_6", 9, 7 },
59074		{ "RD_DELAY_BITS8_14", 1, 7 },
59075	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44030, 0 },
59076		{ "OFFSET_BITS1_7", 8, 7 },
59077		{ "OFFSET_BITS9_15", 0, 7 },
59078	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44034, 0 },
59079		{ "OFFSET_BITS1_7", 8, 7 },
59080		{ "OFFSET_BITS9_15", 0, 7 },
59081	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x441c0, 0 },
59082		{ "REFERENCE_BITS1_7", 8, 7 },
59083		{ "REFERENCE_BITS9_15", 0, 7 },
59084	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x441c4, 0 },
59085		{ "REFERENCE_BITS1_7", 8, 7 },
59086		{ "REFERENCE_BITS9_15", 0, 7 },
59087	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x441c8, 0 },
59088		{ "REFERENCE", 8, 7 },
59089	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44180, 0 },
59090		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59091		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59092	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44184, 0 },
59093		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59094		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59095	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44188, 0 },
59096		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59097		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59098	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4418c, 0 },
59099		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59100		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59101	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44190, 0 },
59102		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59103		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59104	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44194, 0 },
59105		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59106		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59107	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44198, 0 },
59108		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59109		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59110	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4419c, 0 },
59111		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59112		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59113	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x441a0, 0 },
59114		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59115		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59116	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x441a4, 0 },
59117		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59118		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59119	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x441a8, 0 },
59120		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59121		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59122	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x441ac, 0 },
59123		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59124		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59125	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44028, 0 },
59126		{ "MIN_RD_EYE_SIZE", 8, 6 },
59127		{ "MAX_DQS_DRIFT", 0, 6 },
59128	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44038, 0 },
59129	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4403c, 0 },
59130		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
59131	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44040, 0 },
59132	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44044, 0 },
59133		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
59134	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4404c, 0 },
59135		{ "DQS_GATE_DELAY_N0", 12, 3 },
59136		{ "DQS_GATE_DELAY_N1", 8, 3 },
59137		{ "DQS_GATE_DELAY_N2", 4, 3 },
59138		{ "DQS_GATE_DELAY_N3", 0, 3 },
59139	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x44050, 0 },
59140		{ "NO_EYE_DETECTED", 15, 1 },
59141		{ "LEADING_EDGE_FOUND", 14, 1 },
59142		{ "TRAILING_EDGE_FOUND", 13, 1 },
59143		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
59144		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
59145		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
59146		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
59147		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
59148		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
59149		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
59150		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
59151		{ "EYE_CLIPPING", 4, 1 },
59152		{ "NO_DQS", 3, 1 },
59153		{ "NO_LOCK", 2, 1 },
59154		{ "DRIFT_ERROR", 1, 1 },
59155		{ "MIN_EYE", 0, 1 },
59156	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44054, 0 },
59157		{ "NO_EYE_DETECTED_MASK", 15, 1 },
59158		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
59159		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
59160		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
59161		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
59162		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
59163		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
59164		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
59165		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
59166		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
59167		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
59168		{ "EYE_CLIPPING_MASK", 4, 1 },
59169		{ "NO_DQS_MASK", 3, 1 },
59170		{ "NO_LOCK_MASK", 2, 1 },
59171		{ "DRIFT_ERROR_MASK", 1, 1 },
59172		{ "MIN_EYE_MASK", 0, 1 },
59173	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4405c, 0 },
59174		{ "CLK_LEVEL", 14, 2 },
59175		{ "FINE_STEPPING", 13, 1 },
59176		{ "DONE", 12, 1 },
59177		{ "WL_ERR_CLK16_ST", 11, 1 },
59178		{ "WL_ERR_CLK18_ST", 10, 1 },
59179		{ "WL_ERR_CLK20_ST", 9, 1 },
59180		{ "WL_ERR_CLK22_ST", 8, 1 },
59181		{ "ZERO_DETECTED", 7, 1 },
59182	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44060, 0 },
59183		{ "BIT_CENTERED", 11, 5 },
59184		{ "SMALL_STEP_LEFT", 10, 1 },
59185		{ "BIG_STEP_RIGHT", 9, 1 },
59186		{ "MATCH_STEP_RIGHT", 8, 1 },
59187		{ "JUMP_BACK_RIGHT", 7, 1 },
59188		{ "SMALL_STEP_RIGHT", 6, 1 },
59189		{ "DDONE", 5, 1 },
59190	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44064, 0 },
59191		{ "FW_LEFT_SIDE", 5, 11 },
59192	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44068, 0 },
59193		{ "FW_RIGHT_SIDE", 5, 11 },
59194	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x4406c, 0 },
59195		{ "WL_ERR_CLK16", 15, 1 },
59196		{ "WL_ERR_CLK18", 14, 1 },
59197		{ "WL_ERR_CLK20", 13, 1 },
59198		{ "WL_ERR_CLK22", 12, 1 },
59199		{ "VALID_NS_BIG_L", 7, 1 },
59200		{ "INVALID_NS_SMALL_L", 6, 1 },
59201		{ "VALID_NS_BIG_R", 5, 1 },
59202		{ "INVALID_NS_BIG_R", 4, 1 },
59203		{ "VALID_NS_JUMP_BACK", 3, 1 },
59204		{ "INVALID_NS_SMALL_R", 2, 1 },
59205		{ "OFFSET_ERR", 1, 1 },
59206	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44070, 0 },
59207		{ "WL_ERR_CLK16_MASK", 15, 1 },
59208		{ "WL_ERR_CLK18_MASK", 14, 1 },
59209		{ "WL_ERR_CLK20_MASK", 13, 1 },
59210		{ "WR_ERR_CLK22_MASK", 12, 1 },
59211		{ "VALID_NS_BIG_L_MASK", 7, 1 },
59212		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
59213		{ "VALID_NS_BIG_R_MASK", 5, 1 },
59214		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
59215		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
59216		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
59217		{ "OFFSET_ERR_MASK", 1, 1 },
59218	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x441d8, 0 },
59219		{ "PLL_TUNE_0_2", 13, 3 },
59220		{ "PLL_TUNECP_0_2", 10, 3 },
59221		{ "PLL_TUNEF_0_5", 4, 6 },
59222		{ "PLL_TUNEVCO_0_1", 2, 2 },
59223		{ "PLL_PLLXTR_0_1", 0, 2 },
59224	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x441dc, 0 },
59225		{ "PLL_TUNETDIV_0_2", 13, 3 },
59226		{ "PLL_TUNEMDIV_0_1", 11, 2 },
59227		{ "PLL_TUNEATST", 10, 1 },
59228		{ "VREG_RANGE_0_1", 8, 2 },
59229		{ "CE0DLTVCCA", 7, 1 },
59230		{ "VREG_VCCTUNE_0_1", 5, 2 },
59231		{ "CE0DLTVCCD1", 4, 1 },
59232		{ "CE0DLTVCCD2", 3, 1 },
59233		{ "S0INSDLYTAP", 2, 1 },
59234		{ "S1INSDLYTAP", 1, 1 },
59235	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x441e0, 0 },
59236		{ "EN_SLICE_N_WR", 8, 8 },
59237		{ "EN_SLICE_N_WR_FFE", 4, 4 },
59238	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x441e8, 0 },
59239		{ "EN_TERM_N_WR", 8, 8 },
59240		{ "EN_TERM_N_WR_FFE", 4, 4 },
59241	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x441e4, 0 },
59242		{ "EN_SLICE_P_WR", 8, 8 },
59243		{ "EN_SLICE_P_WR_FFE", 4, 4 },
59244	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x441ec, 0 },
59245		{ "EN_TERM_P_WR", 8, 8 },
59246		{ "EN_TERM_P_WR_FFE", 4, 4 },
59247	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x441d4, 0 },
59248		{ "INTERP_SIG_SLEW", 12, 4 },
59249		{ "POST_CURSOR", 8, 4 },
59250		{ "SLEW_CTL", 4, 4 },
59251	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44074, 0 },
59252		{ "CHECKER_RESET", 14, 1 },
59253		{ "SYNC", 6, 6 },
59254		{ "ERROR", 0, 6 },
59255	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44020, 0 },
59256		{ "DIGITAL_EYE_EN", 15, 1 },
59257		{ "BUMP", 14, 1 },
59258		{ "TRIG_PERIOD", 13, 1 },
59259		{ "CNTL_POL", 12, 1 },
59260		{ "CNTL_SRC", 8, 1 },
59261		{ "DIGITAL_EYE_VALUE", 0, 8 },
59262	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x440c8, 0 },
59263		{ "MEMINTD00_POS", 14, 2 },
59264		{ "MEMINTD01_PO", 12, 2 },
59265		{ "MEMINTD02_POS", 10, 2 },
59266		{ "MEMINTD03_POS", 8, 2 },
59267		{ "MEMINTD04_POS", 6, 2 },
59268		{ "MEMINTD05_POS", 4, 2 },
59269		{ "MEMINTD06_POS", 2, 2 },
59270		{ "MEMINTD07_POS", 0, 2 },
59271	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x440cc, 0 },
59272		{ "MEMINTD08_POS", 14, 2 },
59273		{ "MEMINTD09_POS", 12, 2 },
59274		{ "MEMINTD10_POS", 10, 2 },
59275		{ "MEMINTD11_POS", 8, 2 },
59276		{ "MEMINTD12_POS", 6, 2 },
59277		{ "MEMINTD13_POS", 4, 2 },
59278		{ "MEMINTD14_POS", 2, 2 },
59279		{ "MEMINTD15_POS", 0, 2 },
59280	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x440d0, 0 },
59281		{ "MEMINTD16_POS", 14, 2 },
59282		{ "MEMINTD17_POS", 12, 2 },
59283		{ "MEMINTD18_POS", 10, 2 },
59284		{ "MEMINTD19_POS", 8, 2 },
59285		{ "MEMINTD20_POS", 6, 2 },
59286		{ "MEMINTD21_POS", 4, 2 },
59287		{ "MEMINTD22_POS", 2, 2 },
59288		{ "MEMINTD23_POS", 0, 2 },
59289	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44078, 0 },
59290		{ "SYSCLK_RDCLK_OFFSET", 8, 7 },
59291		{ "SYSCLK_DQSCLK_OFFSET", 0, 7 },
59292	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x440d4, 0 },
59293	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x440d8, 0 },
59294	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x441b4, 0 },
59295	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x441b8, 0 },
59296	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x440dc, 0 },
59297		{ "DQS_OFFSET", 8, 7 },
59298	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x4402c, 0 },
59299		{ "HS_PROBE_A_SEL", 11, 5 },
59300		{ "HS_PROBE_B_SEL", 6, 5 },
59301		{ "RD_DEBUG_SEL", 3, 3 },
59302		{ "WR_DEBUG_SEL", 0, 3 },
59303	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x441fc, 0 },
59304		{ "MASTER_PD_CNTL", 15, 1 },
59305		{ "ANALOG_INPUT_STAB2", 14, 1 },
59306		{ "EYEDAC_PD", 13, 1 },
59307		{ "ANALOG_OUTPUT_STAB", 9, 1 },
59308		{ "ANALOG_INPUT_STAB1", 8, 1 },
59309		{ "SYSCLK_CLK_GATE", 6, 2 },
59310		{ "WR_FIFO_STAB", 5, 1 },
59311		{ "ADR_RX_PD", 4, 1 },
59312		{ "DP18_RX_PD", 2, 2 },
59313		{ "TX_TRISTATE_CNTL", 1, 1 },
59314		{ "DVCC_REG_PD", 0, 1 },
59315	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44048, 0 },
59316		{ "DYN_POWER_CNTL_EN", 15, 1 },
59317		{ "DYN_MCTERM_CNTL_EN", 14, 1 },
59318		{ "DYN_RX_GATE_CNTL_EN", 13, 1 },
59319		{ "CALGATE_ON", 12, 1 },
59320		{ "PER_RDCLK_UPDATE_DIS", 11, 1 },
59321	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44200, 0 },
59322	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44204, 0 },
59323		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
59324		{ "DFT_FORCE_OUTPUTS", 7, 1 },
59325		{ "DFT_PRBS7_GEN_EN", 6, 1 },
59326		{ "WRAPSEL", 5, 1 },
59327		{ "MRS_CMD_DATA_N0", 3, 1 },
59328		{ "MRS_CMD_DATA_N1", 2, 1 },
59329		{ "MRS_CMD_DATA_N2", 1, 1 },
59330		{ "MRS_CMD_DATA_N3", 0, 1 },
59331	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x443f0, 0 },
59332	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x443f4, 0 },
59333		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
59334	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44208, 0 },
59335	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4420c, 0 },
59336		{ "DATA_BIT_DIR_16_23", 8, 8 },
59337		{ "WL_ADVANCE_DISABLE", 7, 1 },
59338		{ "DISABLE_PING_PONG", 6, 1 },
59339		{ "DELAY_PING_PONG_HALF", 5, 1 },
59340		{ "ADVANCE_PING_PONG", 4, 1 },
59341		{ "ATEST_MUX_CTL0", 3, 1 },
59342		{ "ATEST_MUX_CTL1", 2, 1 },
59343		{ "ATEST_MUX_CTL2", 1, 1 },
59344		{ "ATEST_MUX_CTL3", 0, 1 },
59345	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44210, 0 },
59346		{ "QUAD0_CLK16_BIT0", 15, 1 },
59347		{ "QUAD1_CLK16_BIT1", 14, 1 },
59348		{ "QUAD2_CLK16_BIT2", 13, 1 },
59349		{ "QUAD3_CLK16_BIT3", 12, 1 },
59350		{ "QUAD0_CLK18_BIT4", 11, 1 },
59351		{ "QUAD1_CLK18_BIT5", 10, 1 },
59352		{ "QUAD2_CLK20_BIT6", 9, 1 },
59353		{ "QUAD3_CLK20_BIT7", 8, 1 },
59354		{ "QUAD2_CLK22_BIT8", 7, 1 },
59355		{ "QUAD3_CLK22_BIT9", 6, 1 },
59356		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
59357		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
59358		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
59359		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
59360	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44214, 0 },
59361		{ "QUAD0_CLK16_BIT0", 15, 1 },
59362		{ "QUAD1_CLK16_BIT1", 14, 1 },
59363		{ "QUAD2_CLK16_BIT2", 13, 1 },
59364		{ "QUAD3_CLK16_BIT3", 12, 1 },
59365		{ "QUAD0_CLK18_BIT4", 11, 1 },
59366		{ "QUAD1_CLK18_BIT5", 10, 1 },
59367		{ "QUAD2_CLK20_BIT6", 9, 1 },
59368		{ "QUAD3_CLK20_BIT7", 8, 1 },
59369		{ "QUAD2_CLK22_BIT8", 7, 1 },
59370		{ "QUAD3_CLK22_BIT9", 6, 1 },
59371		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
59372		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
59373		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
59374		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
59375		{ "QUAD2_CLK18_BIT14", 1, 1 },
59376		{ "QUAD3_CLK18_BIT15", 0, 1 },
59377	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x443f8, 0 },
59378		{ "DQ_WR_OFFSET_N0", 12, 4 },
59379		{ "DQ_WR_OFFSET_N1", 8, 4 },
59380		{ "DQ_WR_OFFSET_N2", 4, 4 },
59381		{ "DQ_WR_OFFSET_N3", 0, 4 },
59382	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44218, 0 },
59383		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
59384		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
59385		{ "SxMCVREF_0_3", 4, 4 },
59386		{ "SxPODVREF", 3, 1 },
59387		{ "DISABLE_TERMINATION", 2, 1 },
59388		{ "READ_CENTERING_MODE", 0, 2 },
59389	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x4421c, 0 },
59390		{ "SYSCLK_ENABLE", 15, 1 },
59391		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
59392		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
59393		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
59394		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
59395		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
59396		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
59397		{ "CONTINUOUS_UPDATE", 2, 1 },
59398	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x443cc, 0 },
59399		{ "SYSCLK_ROT", 8, 7 },
59400	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x443d0, 0 },
59401		{ "TSYS_WRCLK", 8, 7 },
59402	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x442c0, 0 },
59403		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
59404		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
59405	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x442c4, 0 },
59406		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
59407		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
59408	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44224, 0 },
59409		{ "DQSCLK_SELECT0", 14, 2 },
59410		{ "RDCLK_SELECT0", 12, 2 },
59411		{ "DQSCLK_SELECT1", 10, 2 },
59412		{ "RDCLK_SELECT1", 8, 2 },
59413		{ "DQSCLK_SELECT2", 6, 2 },
59414		{ "RDCLK_SELECT2", 4, 2 },
59415		{ "DQSCLK_SELECT3", 2, 2 },
59416		{ "RDCLK_SELECT3", 0, 2 },
59417	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44370, 0 },
59418		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
59419		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
59420	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44374, 0 },
59421		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
59422		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
59423	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x442e0, 0 },
59424		{ "WR_DELAY", 6, 10 },
59425	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x442e4, 0 },
59426		{ "WR_DELAY", 6, 10 },
59427	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x442e8, 0 },
59428		{ "WR_DELAY", 6, 10 },
59429	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x442ec, 0 },
59430		{ "WR_DELAY", 6, 10 },
59431	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x442f0, 0 },
59432		{ "WR_DELAY", 6, 10 },
59433	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x442f4, 0 },
59434		{ "WR_DELAY", 6, 10 },
59435	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x442f8, 0 },
59436		{ "WR_DELAY", 6, 10 },
59437	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x442fc, 0 },
59438		{ "WR_DELAY", 6, 10 },
59439	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44300, 0 },
59440		{ "WR_DELAY", 6, 10 },
59441	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44304, 0 },
59442		{ "WR_DELAY", 6, 10 },
59443	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44308, 0 },
59444		{ "WR_DELAY", 6, 10 },
59445	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4430c, 0 },
59446		{ "WR_DELAY", 6, 10 },
59447	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44310, 0 },
59448		{ "WR_DELAY", 6, 10 },
59449	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44314, 0 },
59450		{ "WR_DELAY", 6, 10 },
59451	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44318, 0 },
59452		{ "WR_DELAY", 6, 10 },
59453	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4431c, 0 },
59454		{ "WR_DELAY", 6, 10 },
59455	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44320, 0 },
59456		{ "WR_DELAY", 6, 10 },
59457	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44324, 0 },
59458		{ "WR_DELAY", 6, 10 },
59459	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44328, 0 },
59460		{ "WR_DELAY", 6, 10 },
59461	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4432c, 0 },
59462		{ "WR_DELAY", 6, 10 },
59463	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44330, 0 },
59464		{ "WR_DELAY", 6, 10 },
59465	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44334, 0 },
59466		{ "WR_DELAY", 6, 10 },
59467	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44338, 0 },
59468		{ "WR_DELAY", 6, 10 },
59469	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4433c, 0 },
59470		{ "WR_DELAY", 6, 10 },
59471	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44340, 0 },
59472		{ "RD_DELAY_BITS0_6", 9, 7 },
59473		{ "RD_DELAY_BITS8_14", 1, 7 },
59474	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44344, 0 },
59475		{ "RD_DELAY_BITS0_6", 9, 7 },
59476		{ "RD_DELAY_BITS8_14", 1, 7 },
59477	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44348, 0 },
59478		{ "RD_DELAY_BITS0_6", 9, 7 },
59479		{ "RD_DELAY_BITS8_14", 1, 7 },
59480	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4434c, 0 },
59481		{ "RD_DELAY_BITS0_6", 9, 7 },
59482		{ "RD_DELAY_BITS8_14", 1, 7 },
59483	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44350, 0 },
59484		{ "RD_DELAY_BITS0_6", 9, 7 },
59485		{ "RD_DELAY_BITS8_14", 1, 7 },
59486	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44354, 0 },
59487		{ "RD_DELAY_BITS0_6", 9, 7 },
59488		{ "RD_DELAY_BITS8_14", 1, 7 },
59489	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44358, 0 },
59490		{ "RD_DELAY_BITS0_6", 9, 7 },
59491		{ "RD_DELAY_BITS8_14", 1, 7 },
59492	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4435c, 0 },
59493		{ "RD_DELAY_BITS0_6", 9, 7 },
59494		{ "RD_DELAY_BITS8_14", 1, 7 },
59495	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44360, 0 },
59496		{ "RD_DELAY_BITS0_6", 9, 7 },
59497		{ "RD_DELAY_BITS8_14", 1, 7 },
59498	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44364, 0 },
59499		{ "RD_DELAY_BITS0_6", 9, 7 },
59500		{ "RD_DELAY_BITS8_14", 1, 7 },
59501	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44368, 0 },
59502		{ "RD_DELAY_BITS0_6", 9, 7 },
59503		{ "RD_DELAY_BITS8_14", 1, 7 },
59504	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4436c, 0 },
59505		{ "RD_DELAY_BITS0_6", 9, 7 },
59506		{ "RD_DELAY_BITS8_14", 1, 7 },
59507	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44230, 0 },
59508		{ "OFFSET_BITS1_7", 8, 7 },
59509		{ "OFFSET_BITS9_15", 0, 7 },
59510	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44234, 0 },
59511		{ "OFFSET_BITS1_7", 8, 7 },
59512		{ "OFFSET_BITS9_15", 0, 7 },
59513	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x443c0, 0 },
59514		{ "REFERENCE_BITS1_7", 8, 7 },
59515		{ "REFERENCE_BITS9_15", 0, 7 },
59516	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x443c4, 0 },
59517		{ "REFERENCE_BITS1_7", 8, 7 },
59518		{ "REFERENCE_BITS9_15", 0, 7 },
59519	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x443c8, 0 },
59520		{ "REFERENCE", 8, 7 },
59521	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44380, 0 },
59522		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59523		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59524	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44384, 0 },
59525		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59526		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59527	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44388, 0 },
59528		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59529		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59530	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4438c, 0 },
59531		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59532		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59533	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44390, 0 },
59534		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59535		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59536	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44394, 0 },
59537		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59538		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59539	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44398, 0 },
59540		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59541		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59542	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4439c, 0 },
59543		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59544		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59545	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x443a0, 0 },
59546		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59547		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59548	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x443a4, 0 },
59549		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59550		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59551	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x443a8, 0 },
59552		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59553		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59554	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x443ac, 0 },
59555		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59556		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59557	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44228, 0 },
59558		{ "MIN_RD_EYE_SIZE", 8, 6 },
59559		{ "MAX_DQS_DRIFT", 0, 6 },
59560	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44238, 0 },
59561	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4423c, 0 },
59562		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
59563	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44240, 0 },
59564	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44244, 0 },
59565		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
59566	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4424c, 0 },
59567		{ "DQS_GATE_DELAY_N0", 12, 3 },
59568		{ "DQS_GATE_DELAY_N1", 8, 3 },
59569		{ "DQS_GATE_DELAY_N2", 4, 3 },
59570		{ "DQS_GATE_DELAY_N3", 0, 3 },
59571	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x44250, 0 },
59572		{ "NO_EYE_DETECTED", 15, 1 },
59573		{ "LEADING_EDGE_FOUND", 14, 1 },
59574		{ "TRAILING_EDGE_FOUND", 13, 1 },
59575		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
59576		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
59577		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
59578		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
59579		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
59580		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
59581		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
59582		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
59583		{ "EYE_CLIPPING", 4, 1 },
59584		{ "NO_DQS", 3, 1 },
59585		{ "NO_LOCK", 2, 1 },
59586		{ "DRIFT_ERROR", 1, 1 },
59587		{ "MIN_EYE", 0, 1 },
59588	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44254, 0 },
59589		{ "NO_EYE_DETECTED_MASK", 15, 1 },
59590		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
59591		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
59592		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
59593		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
59594		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
59595		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
59596		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
59597		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
59598		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
59599		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
59600		{ "EYE_CLIPPING_MASK", 4, 1 },
59601		{ "NO_DQS_MASK", 3, 1 },
59602		{ "NO_LOCK_MASK", 2, 1 },
59603		{ "DRIFT_ERROR_MASK", 1, 1 },
59604		{ "MIN_EYE_MASK", 0, 1 },
59605	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4425c, 0 },
59606		{ "CLK_LEVEL", 14, 2 },
59607		{ "FINE_STEPPING", 13, 1 },
59608		{ "DONE", 12, 1 },
59609		{ "WL_ERR_CLK16_ST", 11, 1 },
59610		{ "WL_ERR_CLK18_ST", 10, 1 },
59611		{ "WL_ERR_CLK20_ST", 9, 1 },
59612		{ "WL_ERR_CLK22_ST", 8, 1 },
59613		{ "ZERO_DETECTED", 7, 1 },
59614	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44260, 0 },
59615		{ "BIT_CENTERED", 11, 5 },
59616		{ "SMALL_STEP_LEFT", 10, 1 },
59617		{ "BIG_STEP_RIGHT", 9, 1 },
59618		{ "MATCH_STEP_RIGHT", 8, 1 },
59619		{ "JUMP_BACK_RIGHT", 7, 1 },
59620		{ "SMALL_STEP_RIGHT", 6, 1 },
59621		{ "DDONE", 5, 1 },
59622	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44264, 0 },
59623		{ "FW_LEFT_SIDE", 5, 11 },
59624	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44268, 0 },
59625		{ "FW_RIGHT_SIDE", 5, 11 },
59626	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x4426c, 0 },
59627		{ "WL_ERR_CLK16", 15, 1 },
59628		{ "WL_ERR_CLK18", 14, 1 },
59629		{ "WL_ERR_CLK20", 13, 1 },
59630		{ "WL_ERR_CLK22", 12, 1 },
59631		{ "VALID_NS_BIG_L", 7, 1 },
59632		{ "INVALID_NS_SMALL_L", 6, 1 },
59633		{ "VALID_NS_BIG_R", 5, 1 },
59634		{ "INVALID_NS_BIG_R", 4, 1 },
59635		{ "VALID_NS_JUMP_BACK", 3, 1 },
59636		{ "INVALID_NS_SMALL_R", 2, 1 },
59637		{ "OFFSET_ERR", 1, 1 },
59638	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44270, 0 },
59639		{ "WL_ERR_CLK16_MASK", 15, 1 },
59640		{ "WL_ERR_CLK18_MASK", 14, 1 },
59641		{ "WL_ERR_CLK20_MASK", 13, 1 },
59642		{ "WR_ERR_CLK22_MASK", 12, 1 },
59643		{ "VALID_NS_BIG_L_MASK", 7, 1 },
59644		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
59645		{ "VALID_NS_BIG_R_MASK", 5, 1 },
59646		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
59647		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
59648		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
59649		{ "OFFSET_ERR_MASK", 1, 1 },
59650	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x443d8, 0 },
59651		{ "PLL_TUNE_0_2", 13, 3 },
59652		{ "PLL_TUNECP_0_2", 10, 3 },
59653		{ "PLL_TUNEF_0_5", 4, 6 },
59654		{ "PLL_TUNEVCO_0_1", 2, 2 },
59655		{ "PLL_PLLXTR_0_1", 0, 2 },
59656	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x443dc, 0 },
59657		{ "PLL_TUNETDIV_0_2", 13, 3 },
59658		{ "PLL_TUNEMDIV_0_1", 11, 2 },
59659		{ "PLL_TUNEATST", 10, 1 },
59660		{ "VREG_RANGE_0_1", 8, 2 },
59661		{ "CE0DLTVCCA", 7, 1 },
59662		{ "VREG_VCCTUNE_0_1", 5, 2 },
59663		{ "CE0DLTVCCD1", 4, 1 },
59664		{ "CE0DLTVCCD2", 3, 1 },
59665		{ "S0INSDLYTAP", 2, 1 },
59666		{ "S1INSDLYTAP", 1, 1 },
59667	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x443e0, 0 },
59668		{ "EN_SLICE_N_WR", 8, 8 },
59669		{ "EN_SLICE_N_WR_FFE", 4, 4 },
59670	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x443e8, 0 },
59671		{ "EN_TERM_N_WR", 8, 8 },
59672		{ "EN_TERM_N_WR_FFE", 4, 4 },
59673	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x443e4, 0 },
59674		{ "EN_SLICE_P_WR", 8, 8 },
59675		{ "EN_SLICE_P_WR_FFE", 4, 4 },
59676	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x443ec, 0 },
59677		{ "EN_TERM_P_WR", 8, 8 },
59678		{ "EN_TERM_P_WR_FFE", 4, 4 },
59679	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x443d4, 0 },
59680		{ "INTERP_SIG_SLEW", 12, 4 },
59681		{ "POST_CURSOR", 8, 4 },
59682		{ "SLEW_CTL", 4, 4 },
59683	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44274, 0 },
59684		{ "CHECKER_RESET", 14, 1 },
59685		{ "SYNC", 6, 6 },
59686		{ "ERROR", 0, 6 },
59687	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44220, 0 },
59688		{ "DIGITAL_EYE_EN", 15, 1 },
59689		{ "BUMP", 14, 1 },
59690		{ "TRIG_PERIOD", 13, 1 },
59691		{ "CNTL_POL", 12, 1 },
59692		{ "CNTL_SRC", 8, 1 },
59693		{ "DIGITAL_EYE_VALUE", 0, 8 },
59694	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x442c8, 0 },
59695		{ "MEMINTD00_POS", 14, 2 },
59696		{ "MEMINTD01_PO", 12, 2 },
59697		{ "MEMINTD02_POS", 10, 2 },
59698		{ "MEMINTD03_POS", 8, 2 },
59699		{ "MEMINTD04_POS", 6, 2 },
59700		{ "MEMINTD05_POS", 4, 2 },
59701		{ "MEMINTD06_POS", 2, 2 },
59702		{ "MEMINTD07_POS", 0, 2 },
59703	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x442cc, 0 },
59704		{ "MEMINTD08_POS", 14, 2 },
59705		{ "MEMINTD09_POS", 12, 2 },
59706		{ "MEMINTD10_POS", 10, 2 },
59707		{ "MEMINTD11_POS", 8, 2 },
59708		{ "MEMINTD12_POS", 6, 2 },
59709		{ "MEMINTD13_POS", 4, 2 },
59710		{ "MEMINTD14_POS", 2, 2 },
59711		{ "MEMINTD15_POS", 0, 2 },
59712	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x442d0, 0 },
59713		{ "MEMINTD16_POS", 14, 2 },
59714		{ "MEMINTD17_POS", 12, 2 },
59715		{ "MEMINTD18_POS", 10, 2 },
59716		{ "MEMINTD19_POS", 8, 2 },
59717		{ "MEMINTD20_POS", 6, 2 },
59718		{ "MEMINTD21_POS", 4, 2 },
59719		{ "MEMINTD22_POS", 2, 2 },
59720		{ "MEMINTD23_POS", 0, 2 },
59721	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44278, 0 },
59722		{ "SYSCLK_RDCLK_OFFSET", 8, 7 },
59723		{ "SYSCLK_DQSCLK_OFFSET", 0, 7 },
59724	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x442d4, 0 },
59725	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x442d8, 0 },
59726	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x443b4, 0 },
59727	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x443b8, 0 },
59728	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x442dc, 0 },
59729		{ "DQS_OFFSET", 8, 7 },
59730	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x4422c, 0 },
59731		{ "HS_PROBE_A_SEL", 11, 5 },
59732		{ "HS_PROBE_B_SEL", 6, 5 },
59733		{ "RD_DEBUG_SEL", 3, 3 },
59734		{ "WR_DEBUG_SEL", 0, 3 },
59735	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x443fc, 0 },
59736		{ "MASTER_PD_CNTL", 15, 1 },
59737		{ "ANALOG_INPUT_STAB2", 14, 1 },
59738		{ "EYEDAC_PD", 13, 1 },
59739		{ "ANALOG_OUTPUT_STAB", 9, 1 },
59740		{ "ANALOG_INPUT_STAB1", 8, 1 },
59741		{ "SYSCLK_CLK_GATE", 6, 2 },
59742		{ "WR_FIFO_STAB", 5, 1 },
59743		{ "ADR_RX_PD", 4, 1 },
59744		{ "DP18_RX_PD", 2, 2 },
59745		{ "TX_TRISTATE_CNTL", 1, 1 },
59746		{ "DVCC_REG_PD", 0, 1 },
59747	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44248, 0 },
59748		{ "DYN_POWER_CNTL_EN", 15, 1 },
59749		{ "DYN_MCTERM_CNTL_EN", 14, 1 },
59750		{ "DYN_RX_GATE_CNTL_EN", 13, 1 },
59751		{ "CALGATE_ON", 12, 1 },
59752		{ "PER_RDCLK_UPDATE_DIS", 11, 1 },
59753	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44400, 0 },
59754	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44404, 0 },
59755		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
59756		{ "DFT_FORCE_OUTPUTS", 7, 1 },
59757		{ "DFT_PRBS7_GEN_EN", 6, 1 },
59758		{ "WRAPSEL", 5, 1 },
59759		{ "MRS_CMD_DATA_N0", 3, 1 },
59760		{ "MRS_CMD_DATA_N1", 2, 1 },
59761		{ "MRS_CMD_DATA_N2", 1, 1 },
59762		{ "MRS_CMD_DATA_N3", 0, 1 },
59763	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x445f0, 0 },
59764	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x445f4, 0 },
59765		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
59766	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44408, 0 },
59767	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4440c, 0 },
59768		{ "DATA_BIT_DIR_16_23", 8, 8 },
59769		{ "WL_ADVANCE_DISABLE", 7, 1 },
59770		{ "DISABLE_PING_PONG", 6, 1 },
59771		{ "DELAY_PING_PONG_HALF", 5, 1 },
59772		{ "ADVANCE_PING_PONG", 4, 1 },
59773		{ "ATEST_MUX_CTL0", 3, 1 },
59774		{ "ATEST_MUX_CTL1", 2, 1 },
59775		{ "ATEST_MUX_CTL2", 1, 1 },
59776		{ "ATEST_MUX_CTL3", 0, 1 },
59777	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44410, 0 },
59778		{ "QUAD0_CLK16_BIT0", 15, 1 },
59779		{ "QUAD1_CLK16_BIT1", 14, 1 },
59780		{ "QUAD2_CLK16_BIT2", 13, 1 },
59781		{ "QUAD3_CLK16_BIT3", 12, 1 },
59782		{ "QUAD0_CLK18_BIT4", 11, 1 },
59783		{ "QUAD1_CLK18_BIT5", 10, 1 },
59784		{ "QUAD2_CLK20_BIT6", 9, 1 },
59785		{ "QUAD3_CLK20_BIT7", 8, 1 },
59786		{ "QUAD2_CLK22_BIT8", 7, 1 },
59787		{ "QUAD3_CLK22_BIT9", 6, 1 },
59788		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
59789		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
59790		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
59791		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
59792	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44414, 0 },
59793		{ "QUAD0_CLK16_BIT0", 15, 1 },
59794		{ "QUAD1_CLK16_BIT1", 14, 1 },
59795		{ "QUAD2_CLK16_BIT2", 13, 1 },
59796		{ "QUAD3_CLK16_BIT3", 12, 1 },
59797		{ "QUAD0_CLK18_BIT4", 11, 1 },
59798		{ "QUAD1_CLK18_BIT5", 10, 1 },
59799		{ "QUAD2_CLK20_BIT6", 9, 1 },
59800		{ "QUAD3_CLK20_BIT7", 8, 1 },
59801		{ "QUAD2_CLK22_BIT8", 7, 1 },
59802		{ "QUAD3_CLK22_BIT9", 6, 1 },
59803		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
59804		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
59805		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
59806		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
59807		{ "QUAD2_CLK18_BIT14", 1, 1 },
59808		{ "QUAD3_CLK18_BIT15", 0, 1 },
59809	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x445f8, 0 },
59810		{ "DQ_WR_OFFSET_N0", 12, 4 },
59811		{ "DQ_WR_OFFSET_N1", 8, 4 },
59812		{ "DQ_WR_OFFSET_N2", 4, 4 },
59813		{ "DQ_WR_OFFSET_N3", 0, 4 },
59814	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44418, 0 },
59815		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
59816		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
59817		{ "SxMCVREF_0_3", 4, 4 },
59818		{ "SxPODVREF", 3, 1 },
59819		{ "DISABLE_TERMINATION", 2, 1 },
59820		{ "READ_CENTERING_MODE", 0, 2 },
59821	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x4441c, 0 },
59822		{ "SYSCLK_ENABLE", 15, 1 },
59823		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
59824		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
59825		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
59826		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
59827		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
59828		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
59829		{ "CONTINUOUS_UPDATE", 2, 1 },
59830	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x445cc, 0 },
59831		{ "SYSCLK_ROT", 8, 7 },
59832	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x445d0, 0 },
59833		{ "TSYS_WRCLK", 8, 7 },
59834	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x444c0, 0 },
59835		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
59836		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
59837	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x444c4, 0 },
59838		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
59839		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
59840	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44424, 0 },
59841		{ "DQSCLK_SELECT0", 14, 2 },
59842		{ "RDCLK_SELECT0", 12, 2 },
59843		{ "DQSCLK_SELECT1", 10, 2 },
59844		{ "RDCLK_SELECT1", 8, 2 },
59845		{ "DQSCLK_SELECT2", 6, 2 },
59846		{ "RDCLK_SELECT2", 4, 2 },
59847		{ "DQSCLK_SELECT3", 2, 2 },
59848		{ "RDCLK_SELECT3", 0, 2 },
59849	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44570, 0 },
59850		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
59851		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
59852	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44574, 0 },
59853		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
59854		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
59855	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x444e0, 0 },
59856		{ "WR_DELAY", 6, 10 },
59857	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x444e4, 0 },
59858		{ "WR_DELAY", 6, 10 },
59859	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x444e8, 0 },
59860		{ "WR_DELAY", 6, 10 },
59861	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x444ec, 0 },
59862		{ "WR_DELAY", 6, 10 },
59863	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x444f0, 0 },
59864		{ "WR_DELAY", 6, 10 },
59865	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x444f4, 0 },
59866		{ "WR_DELAY", 6, 10 },
59867	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x444f8, 0 },
59868		{ "WR_DELAY", 6, 10 },
59869	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x444fc, 0 },
59870		{ "WR_DELAY", 6, 10 },
59871	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44500, 0 },
59872		{ "WR_DELAY", 6, 10 },
59873	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44504, 0 },
59874		{ "WR_DELAY", 6, 10 },
59875	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44508, 0 },
59876		{ "WR_DELAY", 6, 10 },
59877	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4450c, 0 },
59878		{ "WR_DELAY", 6, 10 },
59879	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44510, 0 },
59880		{ "WR_DELAY", 6, 10 },
59881	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44514, 0 },
59882		{ "WR_DELAY", 6, 10 },
59883	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44518, 0 },
59884		{ "WR_DELAY", 6, 10 },
59885	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4451c, 0 },
59886		{ "WR_DELAY", 6, 10 },
59887	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44520, 0 },
59888		{ "WR_DELAY", 6, 10 },
59889	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44524, 0 },
59890		{ "WR_DELAY", 6, 10 },
59891	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44528, 0 },
59892		{ "WR_DELAY", 6, 10 },
59893	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4452c, 0 },
59894		{ "WR_DELAY", 6, 10 },
59895	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44530, 0 },
59896		{ "WR_DELAY", 6, 10 },
59897	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44534, 0 },
59898		{ "WR_DELAY", 6, 10 },
59899	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44538, 0 },
59900		{ "WR_DELAY", 6, 10 },
59901	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4453c, 0 },
59902		{ "WR_DELAY", 6, 10 },
59903	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44540, 0 },
59904		{ "RD_DELAY_BITS0_6", 9, 7 },
59905		{ "RD_DELAY_BITS8_14", 1, 7 },
59906	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44544, 0 },
59907		{ "RD_DELAY_BITS0_6", 9, 7 },
59908		{ "RD_DELAY_BITS8_14", 1, 7 },
59909	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44548, 0 },
59910		{ "RD_DELAY_BITS0_6", 9, 7 },
59911		{ "RD_DELAY_BITS8_14", 1, 7 },
59912	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4454c, 0 },
59913		{ "RD_DELAY_BITS0_6", 9, 7 },
59914		{ "RD_DELAY_BITS8_14", 1, 7 },
59915	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44550, 0 },
59916		{ "RD_DELAY_BITS0_6", 9, 7 },
59917		{ "RD_DELAY_BITS8_14", 1, 7 },
59918	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44554, 0 },
59919		{ "RD_DELAY_BITS0_6", 9, 7 },
59920		{ "RD_DELAY_BITS8_14", 1, 7 },
59921	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44558, 0 },
59922		{ "RD_DELAY_BITS0_6", 9, 7 },
59923		{ "RD_DELAY_BITS8_14", 1, 7 },
59924	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4455c, 0 },
59925		{ "RD_DELAY_BITS0_6", 9, 7 },
59926		{ "RD_DELAY_BITS8_14", 1, 7 },
59927	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44560, 0 },
59928		{ "RD_DELAY_BITS0_6", 9, 7 },
59929		{ "RD_DELAY_BITS8_14", 1, 7 },
59930	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44564, 0 },
59931		{ "RD_DELAY_BITS0_6", 9, 7 },
59932		{ "RD_DELAY_BITS8_14", 1, 7 },
59933	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44568, 0 },
59934		{ "RD_DELAY_BITS0_6", 9, 7 },
59935		{ "RD_DELAY_BITS8_14", 1, 7 },
59936	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4456c, 0 },
59937		{ "RD_DELAY_BITS0_6", 9, 7 },
59938		{ "RD_DELAY_BITS8_14", 1, 7 },
59939	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44430, 0 },
59940		{ "OFFSET_BITS1_7", 8, 7 },
59941		{ "OFFSET_BITS9_15", 0, 7 },
59942	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44434, 0 },
59943		{ "OFFSET_BITS1_7", 8, 7 },
59944		{ "OFFSET_BITS9_15", 0, 7 },
59945	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x445c0, 0 },
59946		{ "REFERENCE_BITS1_7", 8, 7 },
59947		{ "REFERENCE_BITS9_15", 0, 7 },
59948	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x445c4, 0 },
59949		{ "REFERENCE_BITS1_7", 8, 7 },
59950		{ "REFERENCE_BITS9_15", 0, 7 },
59951	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x445c8, 0 },
59952		{ "REFERENCE", 8, 7 },
59953	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44580, 0 },
59954		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59955		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59956	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44584, 0 },
59957		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59958		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59959	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44588, 0 },
59960		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59961		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59962	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4458c, 0 },
59963		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59964		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59965	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44590, 0 },
59966		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59967		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59968	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44594, 0 },
59969		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59970		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59971	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44598, 0 },
59972		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59973		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59974	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4459c, 0 },
59975		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59976		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59977	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x445a0, 0 },
59978		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59979		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59980	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x445a4, 0 },
59981		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59982		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59983	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x445a8, 0 },
59984		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59985		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59986	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x445ac, 0 },
59987		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
59988		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
59989	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44428, 0 },
59990		{ "MIN_RD_EYE_SIZE", 8, 6 },
59991		{ "MAX_DQS_DRIFT", 0, 6 },
59992	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44438, 0 },
59993	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4443c, 0 },
59994		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
59995	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44440, 0 },
59996	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44444, 0 },
59997		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
59998	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4444c, 0 },
59999		{ "DQS_GATE_DELAY_N0", 12, 3 },
60000		{ "DQS_GATE_DELAY_N1", 8, 3 },
60001		{ "DQS_GATE_DELAY_N2", 4, 3 },
60002		{ "DQS_GATE_DELAY_N3", 0, 3 },
60003	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x44450, 0 },
60004		{ "NO_EYE_DETECTED", 15, 1 },
60005		{ "LEADING_EDGE_FOUND", 14, 1 },
60006		{ "TRAILING_EDGE_FOUND", 13, 1 },
60007		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
60008		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
60009		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
60010		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
60011		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
60012		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
60013		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
60014		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
60015		{ "EYE_CLIPPING", 4, 1 },
60016		{ "NO_DQS", 3, 1 },
60017		{ "NO_LOCK", 2, 1 },
60018		{ "DRIFT_ERROR", 1, 1 },
60019		{ "MIN_EYE", 0, 1 },
60020	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44454, 0 },
60021		{ "NO_EYE_DETECTED_MASK", 15, 1 },
60022		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
60023		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
60024		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
60025		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
60026		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
60027		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
60028		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
60029		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
60030		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
60031		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
60032		{ "EYE_CLIPPING_MASK", 4, 1 },
60033		{ "NO_DQS_MASK", 3, 1 },
60034		{ "NO_LOCK_MASK", 2, 1 },
60035		{ "DRIFT_ERROR_MASK", 1, 1 },
60036		{ "MIN_EYE_MASK", 0, 1 },
60037	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4445c, 0 },
60038		{ "CLK_LEVEL", 14, 2 },
60039		{ "FINE_STEPPING", 13, 1 },
60040		{ "DONE", 12, 1 },
60041		{ "WL_ERR_CLK16_ST", 11, 1 },
60042		{ "WL_ERR_CLK18_ST", 10, 1 },
60043		{ "WL_ERR_CLK20_ST", 9, 1 },
60044		{ "WL_ERR_CLK22_ST", 8, 1 },
60045		{ "ZERO_DETECTED", 7, 1 },
60046	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44460, 0 },
60047		{ "BIT_CENTERED", 11, 5 },
60048		{ "SMALL_STEP_LEFT", 10, 1 },
60049		{ "BIG_STEP_RIGHT", 9, 1 },
60050		{ "MATCH_STEP_RIGHT", 8, 1 },
60051		{ "JUMP_BACK_RIGHT", 7, 1 },
60052		{ "SMALL_STEP_RIGHT", 6, 1 },
60053		{ "DDONE", 5, 1 },
60054	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44464, 0 },
60055		{ "FW_LEFT_SIDE", 5, 11 },
60056	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44468, 0 },
60057		{ "FW_RIGHT_SIDE", 5, 11 },
60058	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x4446c, 0 },
60059		{ "WL_ERR_CLK16", 15, 1 },
60060		{ "WL_ERR_CLK18", 14, 1 },
60061		{ "WL_ERR_CLK20", 13, 1 },
60062		{ "WL_ERR_CLK22", 12, 1 },
60063		{ "VALID_NS_BIG_L", 7, 1 },
60064		{ "INVALID_NS_SMALL_L", 6, 1 },
60065		{ "VALID_NS_BIG_R", 5, 1 },
60066		{ "INVALID_NS_BIG_R", 4, 1 },
60067		{ "VALID_NS_JUMP_BACK", 3, 1 },
60068		{ "INVALID_NS_SMALL_R", 2, 1 },
60069		{ "OFFSET_ERR", 1, 1 },
60070	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44470, 0 },
60071		{ "WL_ERR_CLK16_MASK", 15, 1 },
60072		{ "WL_ERR_CLK18_MASK", 14, 1 },
60073		{ "WL_ERR_CLK20_MASK", 13, 1 },
60074		{ "WR_ERR_CLK22_MASK", 12, 1 },
60075		{ "VALID_NS_BIG_L_MASK", 7, 1 },
60076		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
60077		{ "VALID_NS_BIG_R_MASK", 5, 1 },
60078		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
60079		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
60080		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
60081		{ "OFFSET_ERR_MASK", 1, 1 },
60082	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x445d8, 0 },
60083		{ "PLL_TUNE_0_2", 13, 3 },
60084		{ "PLL_TUNECP_0_2", 10, 3 },
60085		{ "PLL_TUNEF_0_5", 4, 6 },
60086		{ "PLL_TUNEVCO_0_1", 2, 2 },
60087		{ "PLL_PLLXTR_0_1", 0, 2 },
60088	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x445dc, 0 },
60089		{ "PLL_TUNETDIV_0_2", 13, 3 },
60090		{ "PLL_TUNEMDIV_0_1", 11, 2 },
60091		{ "PLL_TUNEATST", 10, 1 },
60092		{ "VREG_RANGE_0_1", 8, 2 },
60093		{ "CE0DLTVCCA", 7, 1 },
60094		{ "VREG_VCCTUNE_0_1", 5, 2 },
60095		{ "CE0DLTVCCD1", 4, 1 },
60096		{ "CE0DLTVCCD2", 3, 1 },
60097		{ "S0INSDLYTAP", 2, 1 },
60098		{ "S1INSDLYTAP", 1, 1 },
60099	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x445e0, 0 },
60100		{ "EN_SLICE_N_WR", 8, 8 },
60101		{ "EN_SLICE_N_WR_FFE", 4, 4 },
60102	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x445e8, 0 },
60103		{ "EN_TERM_N_WR", 8, 8 },
60104		{ "EN_TERM_N_WR_FFE", 4, 4 },
60105	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x445e4, 0 },
60106		{ "EN_SLICE_P_WR", 8, 8 },
60107		{ "EN_SLICE_P_WR_FFE", 4, 4 },
60108	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x445ec, 0 },
60109		{ "EN_TERM_P_WR", 8, 8 },
60110		{ "EN_TERM_P_WR_FFE", 4, 4 },
60111	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x445d4, 0 },
60112		{ "INTERP_SIG_SLEW", 12, 4 },
60113		{ "POST_CURSOR", 8, 4 },
60114		{ "SLEW_CTL", 4, 4 },
60115	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44474, 0 },
60116		{ "CHECKER_RESET", 14, 1 },
60117		{ "SYNC", 6, 6 },
60118		{ "ERROR", 0, 6 },
60119	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44420, 0 },
60120		{ "DIGITAL_EYE_EN", 15, 1 },
60121		{ "BUMP", 14, 1 },
60122		{ "TRIG_PERIOD", 13, 1 },
60123		{ "CNTL_POL", 12, 1 },
60124		{ "CNTL_SRC", 8, 1 },
60125		{ "DIGITAL_EYE_VALUE", 0, 8 },
60126	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x444c8, 0 },
60127		{ "MEMINTD00_POS", 14, 2 },
60128		{ "MEMINTD01_PO", 12, 2 },
60129		{ "MEMINTD02_POS", 10, 2 },
60130		{ "MEMINTD03_POS", 8, 2 },
60131		{ "MEMINTD04_POS", 6, 2 },
60132		{ "MEMINTD05_POS", 4, 2 },
60133		{ "MEMINTD06_POS", 2, 2 },
60134		{ "MEMINTD07_POS", 0, 2 },
60135	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x444cc, 0 },
60136		{ "MEMINTD08_POS", 14, 2 },
60137		{ "MEMINTD09_POS", 12, 2 },
60138		{ "MEMINTD10_POS", 10, 2 },
60139		{ "MEMINTD11_POS", 8, 2 },
60140		{ "MEMINTD12_POS", 6, 2 },
60141		{ "MEMINTD13_POS", 4, 2 },
60142		{ "MEMINTD14_POS", 2, 2 },
60143		{ "MEMINTD15_POS", 0, 2 },
60144	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x444d0, 0 },
60145		{ "MEMINTD16_POS", 14, 2 },
60146		{ "MEMINTD17_POS", 12, 2 },
60147		{ "MEMINTD18_POS", 10, 2 },
60148		{ "MEMINTD19_POS", 8, 2 },
60149		{ "MEMINTD20_POS", 6, 2 },
60150		{ "MEMINTD21_POS", 4, 2 },
60151		{ "MEMINTD22_POS", 2, 2 },
60152		{ "MEMINTD23_POS", 0, 2 },
60153	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44478, 0 },
60154		{ "SYSCLK_RDCLK_OFFSET", 8, 7 },
60155		{ "SYSCLK_DQSCLK_OFFSET", 0, 7 },
60156	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x444d4, 0 },
60157	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x444d8, 0 },
60158	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x445b4, 0 },
60159	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x445b8, 0 },
60160	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x444dc, 0 },
60161		{ "DQS_OFFSET", 8, 7 },
60162	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x4442c, 0 },
60163		{ "HS_PROBE_A_SEL", 11, 5 },
60164		{ "HS_PROBE_B_SEL", 6, 5 },
60165		{ "RD_DEBUG_SEL", 3, 3 },
60166		{ "WR_DEBUG_SEL", 0, 3 },
60167	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x445fc, 0 },
60168		{ "MASTER_PD_CNTL", 15, 1 },
60169		{ "ANALOG_INPUT_STAB2", 14, 1 },
60170		{ "EYEDAC_PD", 13, 1 },
60171		{ "ANALOG_OUTPUT_STAB", 9, 1 },
60172		{ "ANALOG_INPUT_STAB1", 8, 1 },
60173		{ "SYSCLK_CLK_GATE", 6, 2 },
60174		{ "WR_FIFO_STAB", 5, 1 },
60175		{ "ADR_RX_PD", 4, 1 },
60176		{ "DP18_RX_PD", 2, 2 },
60177		{ "TX_TRISTATE_CNTL", 1, 1 },
60178		{ "DVCC_REG_PD", 0, 1 },
60179	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44448, 0 },
60180		{ "DYN_POWER_CNTL_EN", 15, 1 },
60181		{ "DYN_MCTERM_CNTL_EN", 14, 1 },
60182		{ "DYN_RX_GATE_CNTL_EN", 13, 1 },
60183		{ "CALGATE_ON", 12, 1 },
60184		{ "PER_RDCLK_UPDATE_DIS", 11, 1 },
60185	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44600, 0 },
60186	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44604, 0 },
60187		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
60188		{ "DFT_FORCE_OUTPUTS", 7, 1 },
60189		{ "DFT_PRBS7_GEN_EN", 6, 1 },
60190		{ "WRAPSEL", 5, 1 },
60191		{ "MRS_CMD_DATA_N0", 3, 1 },
60192		{ "MRS_CMD_DATA_N1", 2, 1 },
60193		{ "MRS_CMD_DATA_N2", 1, 1 },
60194		{ "MRS_CMD_DATA_N3", 0, 1 },
60195	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x447f0, 0 },
60196	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x447f4, 0 },
60197		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
60198	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44608, 0 },
60199	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4460c, 0 },
60200		{ "DATA_BIT_DIR_16_23", 8, 8 },
60201		{ "WL_ADVANCE_DISABLE", 7, 1 },
60202		{ "DISABLE_PING_PONG", 6, 1 },
60203		{ "DELAY_PING_PONG_HALF", 5, 1 },
60204		{ "ADVANCE_PING_PONG", 4, 1 },
60205		{ "ATEST_MUX_CTL0", 3, 1 },
60206		{ "ATEST_MUX_CTL1", 2, 1 },
60207		{ "ATEST_MUX_CTL2", 1, 1 },
60208		{ "ATEST_MUX_CTL3", 0, 1 },
60209	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44610, 0 },
60210		{ "QUAD0_CLK16_BIT0", 15, 1 },
60211		{ "QUAD1_CLK16_BIT1", 14, 1 },
60212		{ "QUAD2_CLK16_BIT2", 13, 1 },
60213		{ "QUAD3_CLK16_BIT3", 12, 1 },
60214		{ "QUAD0_CLK18_BIT4", 11, 1 },
60215		{ "QUAD1_CLK18_BIT5", 10, 1 },
60216		{ "QUAD2_CLK20_BIT6", 9, 1 },
60217		{ "QUAD3_CLK20_BIT7", 8, 1 },
60218		{ "QUAD2_CLK22_BIT8", 7, 1 },
60219		{ "QUAD3_CLK22_BIT9", 6, 1 },
60220		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
60221		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
60222		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
60223		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
60224	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44614, 0 },
60225		{ "QUAD0_CLK16_BIT0", 15, 1 },
60226		{ "QUAD1_CLK16_BIT1", 14, 1 },
60227		{ "QUAD2_CLK16_BIT2", 13, 1 },
60228		{ "QUAD3_CLK16_BIT3", 12, 1 },
60229		{ "QUAD0_CLK18_BIT4", 11, 1 },
60230		{ "QUAD1_CLK18_BIT5", 10, 1 },
60231		{ "QUAD2_CLK20_BIT6", 9, 1 },
60232		{ "QUAD3_CLK20_BIT7", 8, 1 },
60233		{ "QUAD2_CLK22_BIT8", 7, 1 },
60234		{ "QUAD3_CLK22_BIT9", 6, 1 },
60235		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
60236		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
60237		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
60238		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
60239		{ "QUAD2_CLK18_BIT14", 1, 1 },
60240		{ "QUAD3_CLK18_BIT15", 0, 1 },
60241	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x447f8, 0 },
60242		{ "DQ_WR_OFFSET_N0", 12, 4 },
60243		{ "DQ_WR_OFFSET_N1", 8, 4 },
60244		{ "DQ_WR_OFFSET_N2", 4, 4 },
60245		{ "DQ_WR_OFFSET_N3", 0, 4 },
60246	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44618, 0 },
60247		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
60248		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
60249		{ "SxMCVREF_0_3", 4, 4 },
60250		{ "SxPODVREF", 3, 1 },
60251		{ "DISABLE_TERMINATION", 2, 1 },
60252		{ "READ_CENTERING_MODE", 0, 2 },
60253	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x4461c, 0 },
60254		{ "SYSCLK_ENABLE", 15, 1 },
60255		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
60256		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
60257		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
60258		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
60259		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
60260		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
60261		{ "CONTINUOUS_UPDATE", 2, 1 },
60262	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x447cc, 0 },
60263		{ "SYSCLK_ROT", 8, 7 },
60264	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x447d0, 0 },
60265		{ "TSYS_WRCLK", 8, 7 },
60266	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x446c0, 0 },
60267		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
60268		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
60269	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x446c4, 0 },
60270		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
60271		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
60272	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44624, 0 },
60273		{ "DQSCLK_SELECT0", 14, 2 },
60274		{ "RDCLK_SELECT0", 12, 2 },
60275		{ "DQSCLK_SELECT1", 10, 2 },
60276		{ "RDCLK_SELECT1", 8, 2 },
60277		{ "DQSCLK_SELECT2", 6, 2 },
60278		{ "RDCLK_SELECT2", 4, 2 },
60279		{ "DQSCLK_SELECT3", 2, 2 },
60280		{ "RDCLK_SELECT3", 0, 2 },
60281	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44770, 0 },
60282		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
60283		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
60284	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44774, 0 },
60285		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
60286		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
60287	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x446e0, 0 },
60288		{ "WR_DELAY", 6, 10 },
60289	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x446e4, 0 },
60290		{ "WR_DELAY", 6, 10 },
60291	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x446e8, 0 },
60292		{ "WR_DELAY", 6, 10 },
60293	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x446ec, 0 },
60294		{ "WR_DELAY", 6, 10 },
60295	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x446f0, 0 },
60296		{ "WR_DELAY", 6, 10 },
60297	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x446f4, 0 },
60298		{ "WR_DELAY", 6, 10 },
60299	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x446f8, 0 },
60300		{ "WR_DELAY", 6, 10 },
60301	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x446fc, 0 },
60302		{ "WR_DELAY", 6, 10 },
60303	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44700, 0 },
60304		{ "WR_DELAY", 6, 10 },
60305	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44704, 0 },
60306		{ "WR_DELAY", 6, 10 },
60307	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44708, 0 },
60308		{ "WR_DELAY", 6, 10 },
60309	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4470c, 0 },
60310		{ "WR_DELAY", 6, 10 },
60311	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44710, 0 },
60312		{ "WR_DELAY", 6, 10 },
60313	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44714, 0 },
60314		{ "WR_DELAY", 6, 10 },
60315	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44718, 0 },
60316		{ "WR_DELAY", 6, 10 },
60317	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4471c, 0 },
60318		{ "WR_DELAY", 6, 10 },
60319	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44720, 0 },
60320		{ "WR_DELAY", 6, 10 },
60321	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44724, 0 },
60322		{ "WR_DELAY", 6, 10 },
60323	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44728, 0 },
60324		{ "WR_DELAY", 6, 10 },
60325	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4472c, 0 },
60326		{ "WR_DELAY", 6, 10 },
60327	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44730, 0 },
60328		{ "WR_DELAY", 6, 10 },
60329	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44734, 0 },
60330		{ "WR_DELAY", 6, 10 },
60331	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44738, 0 },
60332		{ "WR_DELAY", 6, 10 },
60333	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4473c, 0 },
60334		{ "WR_DELAY", 6, 10 },
60335	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44740, 0 },
60336		{ "RD_DELAY_BITS0_6", 9, 7 },
60337		{ "RD_DELAY_BITS8_14", 1, 7 },
60338	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44744, 0 },
60339		{ "RD_DELAY_BITS0_6", 9, 7 },
60340		{ "RD_DELAY_BITS8_14", 1, 7 },
60341	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44748, 0 },
60342		{ "RD_DELAY_BITS0_6", 9, 7 },
60343		{ "RD_DELAY_BITS8_14", 1, 7 },
60344	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4474c, 0 },
60345		{ "RD_DELAY_BITS0_6", 9, 7 },
60346		{ "RD_DELAY_BITS8_14", 1, 7 },
60347	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44750, 0 },
60348		{ "RD_DELAY_BITS0_6", 9, 7 },
60349		{ "RD_DELAY_BITS8_14", 1, 7 },
60350	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44754, 0 },
60351		{ "RD_DELAY_BITS0_6", 9, 7 },
60352		{ "RD_DELAY_BITS8_14", 1, 7 },
60353	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44758, 0 },
60354		{ "RD_DELAY_BITS0_6", 9, 7 },
60355		{ "RD_DELAY_BITS8_14", 1, 7 },
60356	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4475c, 0 },
60357		{ "RD_DELAY_BITS0_6", 9, 7 },
60358		{ "RD_DELAY_BITS8_14", 1, 7 },
60359	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44760, 0 },
60360		{ "RD_DELAY_BITS0_6", 9, 7 },
60361		{ "RD_DELAY_BITS8_14", 1, 7 },
60362	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44764, 0 },
60363		{ "RD_DELAY_BITS0_6", 9, 7 },
60364		{ "RD_DELAY_BITS8_14", 1, 7 },
60365	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44768, 0 },
60366		{ "RD_DELAY_BITS0_6", 9, 7 },
60367		{ "RD_DELAY_BITS8_14", 1, 7 },
60368	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4476c, 0 },
60369		{ "RD_DELAY_BITS0_6", 9, 7 },
60370		{ "RD_DELAY_BITS8_14", 1, 7 },
60371	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44630, 0 },
60372		{ "OFFSET_BITS1_7", 8, 7 },
60373		{ "OFFSET_BITS9_15", 0, 7 },
60374	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44634, 0 },
60375		{ "OFFSET_BITS1_7", 8, 7 },
60376		{ "OFFSET_BITS9_15", 0, 7 },
60377	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x447c0, 0 },
60378		{ "REFERENCE_BITS1_7", 8, 7 },
60379		{ "REFERENCE_BITS9_15", 0, 7 },
60380	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x447c4, 0 },
60381		{ "REFERENCE_BITS1_7", 8, 7 },
60382		{ "REFERENCE_BITS9_15", 0, 7 },
60383	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x447c8, 0 },
60384		{ "REFERENCE", 8, 7 },
60385	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44780, 0 },
60386		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60387		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60388	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44784, 0 },
60389		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60390		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60391	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44788, 0 },
60392		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60393		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60394	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4478c, 0 },
60395		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60396		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60397	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44790, 0 },
60398		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60399		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60400	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44794, 0 },
60401		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60402		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60403	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44798, 0 },
60404		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60405		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60406	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4479c, 0 },
60407		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60408		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60409	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x447a0, 0 },
60410		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60411		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60412	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x447a4, 0 },
60413		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60414		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60415	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x447a8, 0 },
60416		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60417		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60418	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x447ac, 0 },
60419		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60420		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60421	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44628, 0 },
60422		{ "MIN_RD_EYE_SIZE", 8, 6 },
60423		{ "MAX_DQS_DRIFT", 0, 6 },
60424	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44638, 0 },
60425	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4463c, 0 },
60426		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
60427	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44640, 0 },
60428	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44644, 0 },
60429		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
60430	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4464c, 0 },
60431		{ "DQS_GATE_DELAY_N0", 12, 3 },
60432		{ "DQS_GATE_DELAY_N1", 8, 3 },
60433		{ "DQS_GATE_DELAY_N2", 4, 3 },
60434		{ "DQS_GATE_DELAY_N3", 0, 3 },
60435	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x44650, 0 },
60436		{ "NO_EYE_DETECTED", 15, 1 },
60437		{ "LEADING_EDGE_FOUND", 14, 1 },
60438		{ "TRAILING_EDGE_FOUND", 13, 1 },
60439		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
60440		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
60441		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
60442		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
60443		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
60444		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
60445		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
60446		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
60447		{ "EYE_CLIPPING", 4, 1 },
60448		{ "NO_DQS", 3, 1 },
60449		{ "NO_LOCK", 2, 1 },
60450		{ "DRIFT_ERROR", 1, 1 },
60451		{ "MIN_EYE", 0, 1 },
60452	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44654, 0 },
60453		{ "NO_EYE_DETECTED_MASK", 15, 1 },
60454		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
60455		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
60456		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
60457		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
60458		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
60459		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
60460		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
60461		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
60462		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
60463		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
60464		{ "EYE_CLIPPING_MASK", 4, 1 },
60465		{ "NO_DQS_MASK", 3, 1 },
60466		{ "NO_LOCK_MASK", 2, 1 },
60467		{ "DRIFT_ERROR_MASK", 1, 1 },
60468		{ "MIN_EYE_MASK", 0, 1 },
60469	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4465c, 0 },
60470		{ "CLK_LEVEL", 14, 2 },
60471		{ "FINE_STEPPING", 13, 1 },
60472		{ "DONE", 12, 1 },
60473		{ "WL_ERR_CLK16_ST", 11, 1 },
60474		{ "WL_ERR_CLK18_ST", 10, 1 },
60475		{ "WL_ERR_CLK20_ST", 9, 1 },
60476		{ "WL_ERR_CLK22_ST", 8, 1 },
60477		{ "ZERO_DETECTED", 7, 1 },
60478	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44660, 0 },
60479		{ "BIT_CENTERED", 11, 5 },
60480		{ "SMALL_STEP_LEFT", 10, 1 },
60481		{ "BIG_STEP_RIGHT", 9, 1 },
60482		{ "MATCH_STEP_RIGHT", 8, 1 },
60483		{ "JUMP_BACK_RIGHT", 7, 1 },
60484		{ "SMALL_STEP_RIGHT", 6, 1 },
60485		{ "DDONE", 5, 1 },
60486	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44664, 0 },
60487		{ "FW_LEFT_SIDE", 5, 11 },
60488	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44668, 0 },
60489		{ "FW_RIGHT_SIDE", 5, 11 },
60490	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x4466c, 0 },
60491		{ "WL_ERR_CLK16", 15, 1 },
60492		{ "WL_ERR_CLK18", 14, 1 },
60493		{ "WL_ERR_CLK20", 13, 1 },
60494		{ "WL_ERR_CLK22", 12, 1 },
60495		{ "VALID_NS_BIG_L", 7, 1 },
60496		{ "INVALID_NS_SMALL_L", 6, 1 },
60497		{ "VALID_NS_BIG_R", 5, 1 },
60498		{ "INVALID_NS_BIG_R", 4, 1 },
60499		{ "VALID_NS_JUMP_BACK", 3, 1 },
60500		{ "INVALID_NS_SMALL_R", 2, 1 },
60501		{ "OFFSET_ERR", 1, 1 },
60502	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44670, 0 },
60503		{ "WL_ERR_CLK16_MASK", 15, 1 },
60504		{ "WL_ERR_CLK18_MASK", 14, 1 },
60505		{ "WL_ERR_CLK20_MASK", 13, 1 },
60506		{ "WR_ERR_CLK22_MASK", 12, 1 },
60507		{ "VALID_NS_BIG_L_MASK", 7, 1 },
60508		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
60509		{ "VALID_NS_BIG_R_MASK", 5, 1 },
60510		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
60511		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
60512		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
60513		{ "OFFSET_ERR_MASK", 1, 1 },
60514	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x447d8, 0 },
60515		{ "PLL_TUNE_0_2", 13, 3 },
60516		{ "PLL_TUNECP_0_2", 10, 3 },
60517		{ "PLL_TUNEF_0_5", 4, 6 },
60518		{ "PLL_TUNEVCO_0_1", 2, 2 },
60519		{ "PLL_PLLXTR_0_1", 0, 2 },
60520	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x447dc, 0 },
60521		{ "PLL_TUNETDIV_0_2", 13, 3 },
60522		{ "PLL_TUNEMDIV_0_1", 11, 2 },
60523		{ "PLL_TUNEATST", 10, 1 },
60524		{ "VREG_RANGE_0_1", 8, 2 },
60525		{ "CE0DLTVCCA", 7, 1 },
60526		{ "VREG_VCCTUNE_0_1", 5, 2 },
60527		{ "CE0DLTVCCD1", 4, 1 },
60528		{ "CE0DLTVCCD2", 3, 1 },
60529		{ "S0INSDLYTAP", 2, 1 },
60530		{ "S1INSDLYTAP", 1, 1 },
60531	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x447e0, 0 },
60532		{ "EN_SLICE_N_WR", 8, 8 },
60533		{ "EN_SLICE_N_WR_FFE", 4, 4 },
60534	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x447e8, 0 },
60535		{ "EN_TERM_N_WR", 8, 8 },
60536		{ "EN_TERM_N_WR_FFE", 4, 4 },
60537	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x447e4, 0 },
60538		{ "EN_SLICE_P_WR", 8, 8 },
60539		{ "EN_SLICE_P_WR_FFE", 4, 4 },
60540	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x447ec, 0 },
60541		{ "EN_TERM_P_WR", 8, 8 },
60542		{ "EN_TERM_P_WR_FFE", 4, 4 },
60543	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x447d4, 0 },
60544		{ "INTERP_SIG_SLEW", 12, 4 },
60545		{ "POST_CURSOR", 8, 4 },
60546		{ "SLEW_CTL", 4, 4 },
60547	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44674, 0 },
60548		{ "CHECKER_RESET", 14, 1 },
60549		{ "SYNC", 6, 6 },
60550		{ "ERROR", 0, 6 },
60551	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44620, 0 },
60552		{ "DIGITAL_EYE_EN", 15, 1 },
60553		{ "BUMP", 14, 1 },
60554		{ "TRIG_PERIOD", 13, 1 },
60555		{ "CNTL_POL", 12, 1 },
60556		{ "CNTL_SRC", 8, 1 },
60557		{ "DIGITAL_EYE_VALUE", 0, 8 },
60558	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x446c8, 0 },
60559		{ "MEMINTD00_POS", 14, 2 },
60560		{ "MEMINTD01_PO", 12, 2 },
60561		{ "MEMINTD02_POS", 10, 2 },
60562		{ "MEMINTD03_POS", 8, 2 },
60563		{ "MEMINTD04_POS", 6, 2 },
60564		{ "MEMINTD05_POS", 4, 2 },
60565		{ "MEMINTD06_POS", 2, 2 },
60566		{ "MEMINTD07_POS", 0, 2 },
60567	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x446cc, 0 },
60568		{ "MEMINTD08_POS", 14, 2 },
60569		{ "MEMINTD09_POS", 12, 2 },
60570		{ "MEMINTD10_POS", 10, 2 },
60571		{ "MEMINTD11_POS", 8, 2 },
60572		{ "MEMINTD12_POS", 6, 2 },
60573		{ "MEMINTD13_POS", 4, 2 },
60574		{ "MEMINTD14_POS", 2, 2 },
60575		{ "MEMINTD15_POS", 0, 2 },
60576	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x446d0, 0 },
60577		{ "MEMINTD16_POS", 14, 2 },
60578		{ "MEMINTD17_POS", 12, 2 },
60579		{ "MEMINTD18_POS", 10, 2 },
60580		{ "MEMINTD19_POS", 8, 2 },
60581		{ "MEMINTD20_POS", 6, 2 },
60582		{ "MEMINTD21_POS", 4, 2 },
60583		{ "MEMINTD22_POS", 2, 2 },
60584		{ "MEMINTD23_POS", 0, 2 },
60585	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44678, 0 },
60586		{ "SYSCLK_RDCLK_OFFSET", 8, 7 },
60587		{ "SYSCLK_DQSCLK_OFFSET", 0, 7 },
60588	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x446d4, 0 },
60589	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x446d8, 0 },
60590	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x447b4, 0 },
60591	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x447b8, 0 },
60592	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x446dc, 0 },
60593		{ "DQS_OFFSET", 8, 7 },
60594	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x4462c, 0 },
60595		{ "HS_PROBE_A_SEL", 11, 5 },
60596		{ "HS_PROBE_B_SEL", 6, 5 },
60597		{ "RD_DEBUG_SEL", 3, 3 },
60598		{ "WR_DEBUG_SEL", 0, 3 },
60599	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x447fc, 0 },
60600		{ "MASTER_PD_CNTL", 15, 1 },
60601		{ "ANALOG_INPUT_STAB2", 14, 1 },
60602		{ "EYEDAC_PD", 13, 1 },
60603		{ "ANALOG_OUTPUT_STAB", 9, 1 },
60604		{ "ANALOG_INPUT_STAB1", 8, 1 },
60605		{ "SYSCLK_CLK_GATE", 6, 2 },
60606		{ "WR_FIFO_STAB", 5, 1 },
60607		{ "ADR_RX_PD", 4, 1 },
60608		{ "DP18_RX_PD", 2, 2 },
60609		{ "TX_TRISTATE_CNTL", 1, 1 },
60610		{ "DVCC_REG_PD", 0, 1 },
60611	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44648, 0 },
60612		{ "DYN_POWER_CNTL_EN", 15, 1 },
60613		{ "DYN_MCTERM_CNTL_EN", 14, 1 },
60614		{ "DYN_RX_GATE_CNTL_EN", 13, 1 },
60615		{ "CALGATE_ON", 12, 1 },
60616		{ "PER_RDCLK_UPDATE_DIS", 11, 1 },
60617	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44800, 0 },
60618	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44804, 0 },
60619		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
60620		{ "DFT_FORCE_OUTPUTS", 7, 1 },
60621		{ "DFT_PRBS7_GEN_EN", 6, 1 },
60622		{ "WRAPSEL", 5, 1 },
60623		{ "MRS_CMD_DATA_N0", 3, 1 },
60624		{ "MRS_CMD_DATA_N1", 2, 1 },
60625		{ "MRS_CMD_DATA_N2", 1, 1 },
60626		{ "MRS_CMD_DATA_N3", 0, 1 },
60627	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x449f0, 0 },
60628	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x449f4, 0 },
60629		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
60630	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44808, 0 },
60631	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4480c, 0 },
60632		{ "DATA_BIT_DIR_16_23", 8, 8 },
60633		{ "WL_ADVANCE_DISABLE", 7, 1 },
60634		{ "DISABLE_PING_PONG", 6, 1 },
60635		{ "DELAY_PING_PONG_HALF", 5, 1 },
60636		{ "ADVANCE_PING_PONG", 4, 1 },
60637		{ "ATEST_MUX_CTL0", 3, 1 },
60638		{ "ATEST_MUX_CTL1", 2, 1 },
60639		{ "ATEST_MUX_CTL2", 1, 1 },
60640		{ "ATEST_MUX_CTL3", 0, 1 },
60641	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44810, 0 },
60642		{ "QUAD0_CLK16_BIT0", 15, 1 },
60643		{ "QUAD1_CLK16_BIT1", 14, 1 },
60644		{ "QUAD2_CLK16_BIT2", 13, 1 },
60645		{ "QUAD3_CLK16_BIT3", 12, 1 },
60646		{ "QUAD0_CLK18_BIT4", 11, 1 },
60647		{ "QUAD1_CLK18_BIT5", 10, 1 },
60648		{ "QUAD2_CLK20_BIT6", 9, 1 },
60649		{ "QUAD3_CLK20_BIT7", 8, 1 },
60650		{ "QUAD2_CLK22_BIT8", 7, 1 },
60651		{ "QUAD3_CLK22_BIT9", 6, 1 },
60652		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
60653		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
60654		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
60655		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
60656	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44814, 0 },
60657		{ "QUAD0_CLK16_BIT0", 15, 1 },
60658		{ "QUAD1_CLK16_BIT1", 14, 1 },
60659		{ "QUAD2_CLK16_BIT2", 13, 1 },
60660		{ "QUAD3_CLK16_BIT3", 12, 1 },
60661		{ "QUAD0_CLK18_BIT4", 11, 1 },
60662		{ "QUAD1_CLK18_BIT5", 10, 1 },
60663		{ "QUAD2_CLK20_BIT6", 9, 1 },
60664		{ "QUAD3_CLK20_BIT7", 8, 1 },
60665		{ "QUAD2_CLK22_BIT8", 7, 1 },
60666		{ "QUAD3_CLK22_BIT9", 6, 1 },
60667		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
60668		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
60669		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
60670		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
60671		{ "QUAD2_CLK18_BIT14", 1, 1 },
60672		{ "QUAD3_CLK18_BIT15", 0, 1 },
60673	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x449f8, 0 },
60674		{ "DQ_WR_OFFSET_N0", 12, 4 },
60675		{ "DQ_WR_OFFSET_N1", 8, 4 },
60676		{ "DQ_WR_OFFSET_N2", 4, 4 },
60677		{ "DQ_WR_OFFSET_N3", 0, 4 },
60678	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44818, 0 },
60679		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
60680		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
60681		{ "SxMCVREF_0_3", 4, 4 },
60682		{ "SxPODVREF", 3, 1 },
60683		{ "DISABLE_TERMINATION", 2, 1 },
60684		{ "READ_CENTERING_MODE", 0, 2 },
60685	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x4481c, 0 },
60686		{ "SYSCLK_ENABLE", 15, 1 },
60687		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
60688		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
60689		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
60690		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
60691		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
60692		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
60693		{ "CONTINUOUS_UPDATE", 2, 1 },
60694	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x449cc, 0 },
60695		{ "SYSCLK_ROT", 8, 7 },
60696	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x449d0, 0 },
60697		{ "TSYS_WRCLK", 8, 7 },
60698	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x448c0, 0 },
60699		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
60700		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
60701	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x448c4, 0 },
60702		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
60703		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
60704	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44824, 0 },
60705		{ "DQSCLK_SELECT0", 14, 2 },
60706		{ "RDCLK_SELECT0", 12, 2 },
60707		{ "DQSCLK_SELECT1", 10, 2 },
60708		{ "RDCLK_SELECT1", 8, 2 },
60709		{ "DQSCLK_SELECT2", 6, 2 },
60710		{ "RDCLK_SELECT2", 4, 2 },
60711		{ "DQSCLK_SELECT3", 2, 2 },
60712		{ "RDCLK_SELECT3", 0, 2 },
60713	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44970, 0 },
60714		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
60715		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
60716	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44974, 0 },
60717		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
60718		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
60719	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x448e0, 0 },
60720		{ "WR_DELAY", 6, 10 },
60721	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x448e4, 0 },
60722		{ "WR_DELAY", 6, 10 },
60723	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x448e8, 0 },
60724		{ "WR_DELAY", 6, 10 },
60725	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x448ec, 0 },
60726		{ "WR_DELAY", 6, 10 },
60727	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x448f0, 0 },
60728		{ "WR_DELAY", 6, 10 },
60729	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x448f4, 0 },
60730		{ "WR_DELAY", 6, 10 },
60731	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x448f8, 0 },
60732		{ "WR_DELAY", 6, 10 },
60733	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x448fc, 0 },
60734		{ "WR_DELAY", 6, 10 },
60735	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44900, 0 },
60736		{ "WR_DELAY", 6, 10 },
60737	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44904, 0 },
60738		{ "WR_DELAY", 6, 10 },
60739	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44908, 0 },
60740		{ "WR_DELAY", 6, 10 },
60741	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4490c, 0 },
60742		{ "WR_DELAY", 6, 10 },
60743	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44910, 0 },
60744		{ "WR_DELAY", 6, 10 },
60745	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44914, 0 },
60746		{ "WR_DELAY", 6, 10 },
60747	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44918, 0 },
60748		{ "WR_DELAY", 6, 10 },
60749	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4491c, 0 },
60750		{ "WR_DELAY", 6, 10 },
60751	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44920, 0 },
60752		{ "WR_DELAY", 6, 10 },
60753	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44924, 0 },
60754		{ "WR_DELAY", 6, 10 },
60755	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44928, 0 },
60756		{ "WR_DELAY", 6, 10 },
60757	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4492c, 0 },
60758		{ "WR_DELAY", 6, 10 },
60759	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44930, 0 },
60760		{ "WR_DELAY", 6, 10 },
60761	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44934, 0 },
60762		{ "WR_DELAY", 6, 10 },
60763	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44938, 0 },
60764		{ "WR_DELAY", 6, 10 },
60765	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4493c, 0 },
60766		{ "WR_DELAY", 6, 10 },
60767	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44940, 0 },
60768		{ "RD_DELAY_BITS0_6", 9, 7 },
60769		{ "RD_DELAY_BITS8_14", 1, 7 },
60770	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44944, 0 },
60771		{ "RD_DELAY_BITS0_6", 9, 7 },
60772		{ "RD_DELAY_BITS8_14", 1, 7 },
60773	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44948, 0 },
60774		{ "RD_DELAY_BITS0_6", 9, 7 },
60775		{ "RD_DELAY_BITS8_14", 1, 7 },
60776	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4494c, 0 },
60777		{ "RD_DELAY_BITS0_6", 9, 7 },
60778		{ "RD_DELAY_BITS8_14", 1, 7 },
60779	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44950, 0 },
60780		{ "RD_DELAY_BITS0_6", 9, 7 },
60781		{ "RD_DELAY_BITS8_14", 1, 7 },
60782	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44954, 0 },
60783		{ "RD_DELAY_BITS0_6", 9, 7 },
60784		{ "RD_DELAY_BITS8_14", 1, 7 },
60785	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44958, 0 },
60786		{ "RD_DELAY_BITS0_6", 9, 7 },
60787		{ "RD_DELAY_BITS8_14", 1, 7 },
60788	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4495c, 0 },
60789		{ "RD_DELAY_BITS0_6", 9, 7 },
60790		{ "RD_DELAY_BITS8_14", 1, 7 },
60791	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44960, 0 },
60792		{ "RD_DELAY_BITS0_6", 9, 7 },
60793		{ "RD_DELAY_BITS8_14", 1, 7 },
60794	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44964, 0 },
60795		{ "RD_DELAY_BITS0_6", 9, 7 },
60796		{ "RD_DELAY_BITS8_14", 1, 7 },
60797	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44968, 0 },
60798		{ "RD_DELAY_BITS0_6", 9, 7 },
60799		{ "RD_DELAY_BITS8_14", 1, 7 },
60800	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4496c, 0 },
60801		{ "RD_DELAY_BITS0_6", 9, 7 },
60802		{ "RD_DELAY_BITS8_14", 1, 7 },
60803	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44830, 0 },
60804		{ "OFFSET_BITS1_7", 8, 7 },
60805		{ "OFFSET_BITS9_15", 0, 7 },
60806	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44834, 0 },
60807		{ "OFFSET_BITS1_7", 8, 7 },
60808		{ "OFFSET_BITS9_15", 0, 7 },
60809	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x449c0, 0 },
60810		{ "REFERENCE_BITS1_7", 8, 7 },
60811		{ "REFERENCE_BITS9_15", 0, 7 },
60812	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x449c4, 0 },
60813		{ "REFERENCE_BITS1_7", 8, 7 },
60814		{ "REFERENCE_BITS9_15", 0, 7 },
60815	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x449c8, 0 },
60816		{ "REFERENCE", 8, 7 },
60817	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44980, 0 },
60818		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60819		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60820	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44984, 0 },
60821		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60822		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60823	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44988, 0 },
60824		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60825		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60826	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4498c, 0 },
60827		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60828		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60829	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44990, 0 },
60830		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60831		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60832	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44994, 0 },
60833		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60834		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60835	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44998, 0 },
60836		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60837		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60838	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4499c, 0 },
60839		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60840		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60841	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x449a0, 0 },
60842		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60843		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60844	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x449a4, 0 },
60845		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60846		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60847	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x449a8, 0 },
60848		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60849		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60850	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x449ac, 0 },
60851		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
60852		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
60853	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44828, 0 },
60854		{ "MIN_RD_EYE_SIZE", 8, 6 },
60855		{ "MAX_DQS_DRIFT", 0, 6 },
60856	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44838, 0 },
60857	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4483c, 0 },
60858		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
60859	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44840, 0 },
60860	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44844, 0 },
60861		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
60862	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4484c, 0 },
60863		{ "DQS_GATE_DELAY_N0", 12, 3 },
60864		{ "DQS_GATE_DELAY_N1", 8, 3 },
60865		{ "DQS_GATE_DELAY_N2", 4, 3 },
60866		{ "DQS_GATE_DELAY_N3", 0, 3 },
60867	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x44850, 0 },
60868		{ "NO_EYE_DETECTED", 15, 1 },
60869		{ "LEADING_EDGE_FOUND", 14, 1 },
60870		{ "TRAILING_EDGE_FOUND", 13, 1 },
60871		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
60872		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
60873		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
60874		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
60875		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
60876		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
60877		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
60878		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
60879		{ "EYE_CLIPPING", 4, 1 },
60880		{ "NO_DQS", 3, 1 },
60881		{ "NO_LOCK", 2, 1 },
60882		{ "DRIFT_ERROR", 1, 1 },
60883		{ "MIN_EYE", 0, 1 },
60884	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44854, 0 },
60885		{ "NO_EYE_DETECTED_MASK", 15, 1 },
60886		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
60887		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
60888		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
60889		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
60890		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
60891		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
60892		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
60893		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
60894		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
60895		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
60896		{ "EYE_CLIPPING_MASK", 4, 1 },
60897		{ "NO_DQS_MASK", 3, 1 },
60898		{ "NO_LOCK_MASK", 2, 1 },
60899		{ "DRIFT_ERROR_MASK", 1, 1 },
60900		{ "MIN_EYE_MASK", 0, 1 },
60901	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4485c, 0 },
60902		{ "CLK_LEVEL", 14, 2 },
60903		{ "FINE_STEPPING", 13, 1 },
60904		{ "DONE", 12, 1 },
60905		{ "WL_ERR_CLK16_ST", 11, 1 },
60906		{ "WL_ERR_CLK18_ST", 10, 1 },
60907		{ "WL_ERR_CLK20_ST", 9, 1 },
60908		{ "WL_ERR_CLK22_ST", 8, 1 },
60909		{ "ZERO_DETECTED", 7, 1 },
60910	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44860, 0 },
60911		{ "BIT_CENTERED", 11, 5 },
60912		{ "SMALL_STEP_LEFT", 10, 1 },
60913		{ "BIG_STEP_RIGHT", 9, 1 },
60914		{ "MATCH_STEP_RIGHT", 8, 1 },
60915		{ "JUMP_BACK_RIGHT", 7, 1 },
60916		{ "SMALL_STEP_RIGHT", 6, 1 },
60917		{ "DDONE", 5, 1 },
60918	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44864, 0 },
60919		{ "FW_LEFT_SIDE", 5, 11 },
60920	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44868, 0 },
60921		{ "FW_RIGHT_SIDE", 5, 11 },
60922	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x4486c, 0 },
60923		{ "WL_ERR_CLK16", 15, 1 },
60924		{ "WL_ERR_CLK18", 14, 1 },
60925		{ "WL_ERR_CLK20", 13, 1 },
60926		{ "WL_ERR_CLK22", 12, 1 },
60927		{ "VALID_NS_BIG_L", 7, 1 },
60928		{ "INVALID_NS_SMALL_L", 6, 1 },
60929		{ "VALID_NS_BIG_R", 5, 1 },
60930		{ "INVALID_NS_BIG_R", 4, 1 },
60931		{ "VALID_NS_JUMP_BACK", 3, 1 },
60932		{ "INVALID_NS_SMALL_R", 2, 1 },
60933		{ "OFFSET_ERR", 1, 1 },
60934	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44870, 0 },
60935		{ "WL_ERR_CLK16_MASK", 15, 1 },
60936		{ "WL_ERR_CLK18_MASK", 14, 1 },
60937		{ "WL_ERR_CLK20_MASK", 13, 1 },
60938		{ "WR_ERR_CLK22_MASK", 12, 1 },
60939		{ "VALID_NS_BIG_L_MASK", 7, 1 },
60940		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
60941		{ "VALID_NS_BIG_R_MASK", 5, 1 },
60942		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
60943		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
60944		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
60945		{ "OFFSET_ERR_MASK", 1, 1 },
60946	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x449d8, 0 },
60947		{ "PLL_TUNE_0_2", 13, 3 },
60948		{ "PLL_TUNECP_0_2", 10, 3 },
60949		{ "PLL_TUNEF_0_5", 4, 6 },
60950		{ "PLL_TUNEVCO_0_1", 2, 2 },
60951		{ "PLL_PLLXTR_0_1", 0, 2 },
60952	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x449dc, 0 },
60953		{ "PLL_TUNETDIV_0_2", 13, 3 },
60954		{ "PLL_TUNEMDIV_0_1", 11, 2 },
60955		{ "PLL_TUNEATST", 10, 1 },
60956		{ "VREG_RANGE_0_1", 8, 2 },
60957		{ "CE0DLTVCCA", 7, 1 },
60958		{ "VREG_VCCTUNE_0_1", 5, 2 },
60959		{ "CE0DLTVCCD1", 4, 1 },
60960		{ "CE0DLTVCCD2", 3, 1 },
60961		{ "S0INSDLYTAP", 2, 1 },
60962		{ "S1INSDLYTAP", 1, 1 },
60963	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x449e0, 0 },
60964		{ "EN_SLICE_N_WR", 8, 8 },
60965		{ "EN_SLICE_N_WR_FFE", 4, 4 },
60966	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x449e8, 0 },
60967		{ "EN_TERM_N_WR", 8, 8 },
60968		{ "EN_TERM_N_WR_FFE", 4, 4 },
60969	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x449e4, 0 },
60970		{ "EN_SLICE_P_WR", 8, 8 },
60971		{ "EN_SLICE_P_WR_FFE", 4, 4 },
60972	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x449ec, 0 },
60973		{ "EN_TERM_P_WR", 8, 8 },
60974		{ "EN_TERM_P_WR_FFE", 4, 4 },
60975	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x449d4, 0 },
60976		{ "INTERP_SIG_SLEW", 12, 4 },
60977		{ "POST_CURSOR", 8, 4 },
60978		{ "SLEW_CTL", 4, 4 },
60979	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44874, 0 },
60980		{ "CHECKER_RESET", 14, 1 },
60981		{ "SYNC", 6, 6 },
60982		{ "ERROR", 0, 6 },
60983	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44820, 0 },
60984		{ "DIGITAL_EYE_EN", 15, 1 },
60985		{ "BUMP", 14, 1 },
60986		{ "TRIG_PERIOD", 13, 1 },
60987		{ "CNTL_POL", 12, 1 },
60988		{ "CNTL_SRC", 8, 1 },
60989		{ "DIGITAL_EYE_VALUE", 0, 8 },
60990	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x448c8, 0 },
60991		{ "MEMINTD00_POS", 14, 2 },
60992		{ "MEMINTD01_PO", 12, 2 },
60993		{ "MEMINTD02_POS", 10, 2 },
60994		{ "MEMINTD03_POS", 8, 2 },
60995		{ "MEMINTD04_POS", 6, 2 },
60996		{ "MEMINTD05_POS", 4, 2 },
60997		{ "MEMINTD06_POS", 2, 2 },
60998		{ "MEMINTD07_POS", 0, 2 },
60999	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x448cc, 0 },
61000		{ "MEMINTD08_POS", 14, 2 },
61001		{ "MEMINTD09_POS", 12, 2 },
61002		{ "MEMINTD10_POS", 10, 2 },
61003		{ "MEMINTD11_POS", 8, 2 },
61004		{ "MEMINTD12_POS", 6, 2 },
61005		{ "MEMINTD13_POS", 4, 2 },
61006		{ "MEMINTD14_POS", 2, 2 },
61007		{ "MEMINTD15_POS", 0, 2 },
61008	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x448d0, 0 },
61009		{ "MEMINTD16_POS", 14, 2 },
61010		{ "MEMINTD17_POS", 12, 2 },
61011		{ "MEMINTD18_POS", 10, 2 },
61012		{ "MEMINTD19_POS", 8, 2 },
61013		{ "MEMINTD20_POS", 6, 2 },
61014		{ "MEMINTD21_POS", 4, 2 },
61015		{ "MEMINTD22_POS", 2, 2 },
61016		{ "MEMINTD23_POS", 0, 2 },
61017	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44878, 0 },
61018		{ "SYSCLK_RDCLK_OFFSET", 8, 7 },
61019		{ "SYSCLK_DQSCLK_OFFSET", 0, 7 },
61020	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x448d4, 0 },
61021	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x448d8, 0 },
61022	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x449b4, 0 },
61023	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x449b8, 0 },
61024	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x448dc, 0 },
61025		{ "DQS_OFFSET", 8, 7 },
61026	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x4482c, 0 },
61027		{ "HS_PROBE_A_SEL", 11, 5 },
61028		{ "HS_PROBE_B_SEL", 6, 5 },
61029		{ "RD_DEBUG_SEL", 3, 3 },
61030		{ "WR_DEBUG_SEL", 0, 3 },
61031	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x449fc, 0 },
61032		{ "MASTER_PD_CNTL", 15, 1 },
61033		{ "ANALOG_INPUT_STAB2", 14, 1 },
61034		{ "EYEDAC_PD", 13, 1 },
61035		{ "ANALOG_OUTPUT_STAB", 9, 1 },
61036		{ "ANALOG_INPUT_STAB1", 8, 1 },
61037		{ "SYSCLK_CLK_GATE", 6, 2 },
61038		{ "WR_FIFO_STAB", 5, 1 },
61039		{ "ADR_RX_PD", 4, 1 },
61040		{ "DP18_RX_PD", 2, 2 },
61041		{ "TX_TRISTATE_CNTL", 1, 1 },
61042		{ "DVCC_REG_PD", 0, 1 },
61043	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44848, 0 },
61044		{ "DYN_POWER_CNTL_EN", 15, 1 },
61045		{ "DYN_MCTERM_CNTL_EN", 14, 1 },
61046		{ "DYN_RX_GATE_CNTL_EN", 13, 1 },
61047		{ "CALGATE_ON", 12, 1 },
61048		{ "PER_RDCLK_UPDATE_DIS", 11, 1 },
61049	{ "MC_DDRPHY_SEQ_RD_WR_DATA0", 0x47200, 0 },
61050	{ "MC_DDRPHY_SEQ_RD_WR_DATA1", 0x47204, 0 },
61051	{ "MC_DDRPHY_SEQ_CONFIG0", 0x47208, 0 },
61052		{ "MPR_PATTERN_BIT", 15, 1 },
61053		{ "TWO_CYCLE_ADDR_EN", 14, 1 },
61054		{ "MR_MASK_EN", 10, 4 },
61055	{ "MC_DDRPHY_SEQ_RESERVED_ADDR0", 0x4720c, 0 },
61056	{ "MC_DDRPHY_SEQ_RESERVED_ADDR1", 0x47210, 0 },
61057	{ "MC_DDRPHY_SEQ_RESERVED_ADDR2", 0x47214, 0 },
61058	{ "MC_DDRPHY_SEQ_RESERVED_ADDR3", 0x47218, 0 },
61059	{ "MC_DDRPHY_SEQ_RESERVED_ADDR4", 0x4721c, 0 },
61060	{ "MC_DDRPHY_SEQ_ERROR_STATUS0", 0x47220, 0 },
61061		{ "MULTIPLE_REQ_ERROR", 15, 1 },
61062		{ "INVALID_REQTYPE_ERRO", 14, 1 },
61063		{ "EARLY_REQ_ERROR", 13, 1 },
61064		{ "MULTIPLE_REQ_SOURCE", 10, 3 },
61065		{ "INVALID_REQTYPE", 6, 4 },
61066		{ "INVALID_REQ_SOURCE", 3, 3 },
61067		{ "EARLY_REQ_SOURCE", 0, 3 },
61068	{ "MC_DDRPHY_SEQ_ERROR_MASK0", 0x47224, 0 },
61069		{ "MULT_REQ_ERR_MASK", 15, 1 },
61070		{ "INVALID_REQTYPE_ERR_MASK", 14, 1 },
61071		{ "EARLY_REQ_ERR_MASK", 13, 1 },
61072	{ "MC_DDRPHY_SEQ_ODT_WR_CONFIG0", 0x47228, 0 },
61073		{ "ODT_WR_VALUES_BITS0_7", 8, 8 },
61074		{ "ODT_WR_VALUES_BITS8_15", 0, 8 },
61075	{ "MC_DDRPHY_SEQ_ODT_WR_CONFIG1", 0x4722c, 0 },
61076		{ "ODT_WR_VALUES_BITS0_7", 8, 8 },
61077		{ "ODT_WR_VALUES_BITS8_15", 0, 8 },
61078	{ "MC_DDRPHY_SEQ_ODT_WR_CONFIG2", 0x47230, 0 },
61079		{ "ODT_WR_VALUES_BITS0_7", 8, 8 },
61080		{ "ODT_WR_VALUES_BITS8_15", 0, 8 },
61081	{ "MC_DDRPHY_SEQ_ODT_WR_CONFIG3", 0x47234, 0 },
61082		{ "ODT_WR_VALUES_BITS0_7", 8, 8 },
61083		{ "ODT_WR_VALUES_BITS8_15", 0, 8 },
61084	{ "MC_DDRPHY_SEQ_ODT_RD_CONFIG0", 0x47238, 0 },
61085		{ "ODT_RD_VALUES_x2", 8, 8 },
61086		{ "ODT_RD_VALUES_x2plus1", 0, 8 },
61087	{ "MC_DDRPHY_SEQ_ODT_RD_CONFIG1", 0x4723c, 0 },
61088		{ "ODT_RD_VALUES_x2", 8, 8 },
61089		{ "ODT_RD_VALUES_x2plus1", 0, 8 },
61090	{ "MC_DDRPHY_SEQ_ODT_RD_CONFIG2", 0x47240, 0 },
61091		{ "ODT_RD_VALUES_x2", 8, 8 },
61092		{ "ODT_RD_VALUES_x2plus1", 0, 8 },
61093	{ "MC_DDRPHY_SEQ_ODT_RD_CONFIG3", 0x47244, 0 },
61094		{ "ODT_RD_VALUES_x2", 8, 8 },
61095		{ "ODT_RD_VALUES_x2plus1", 0, 8 },
61096	{ "MC_DDRPHY_SEQ_MEM_TIMING_PARAM0", 0x47248, 0 },
61097		{ "TMOD_CYCLES", 12, 4 },
61098		{ "TRCD_CYCLES", 8, 4 },
61099		{ "TRP_CYCLES", 4, 4 },
61100		{ "TRFC_CYCLES", 0, 4 },
61101	{ "MC_DDRPHY_SEQ_MEM_TIMING_PARAM1", 0x4724c, 0 },
61102		{ "TZQINIT_CYCLES", 12, 4 },
61103		{ "TZQCS_CYCLES", 8, 4 },
61104		{ "TWLDQSEN_CYCLES", 4, 4 },
61105		{ "TWRMRD_CYCLES", 0, 4 },
61106	{ "MC_DDRPHY_SEQ_MEM_TIMING_PARAM2", 0x47250, 0 },
61107		{ "TODTLON_OFF_CYCLES", 12, 4 },
61108		{ "TRC_CYCLES", 8, 4 },
61109		{ "TMRSC_CYCLES", 4, 4 },
61110	{ "MC_DDRPHY_WC_CONFIG0", 0x47600, 0 },
61111		{ "TWLO_TWLOE", 8, 8 },
61112		{ "WL_ONE_DQS_PULSE", 7, 1 },
61113		{ "FW_WR_RD", 1, 6 },
61114		{ "CUSTOM_INIT_WRITE", 0, 1 },
61115	{ "MC_DDRPHY_WC_CONFIG1", 0x47604, 0 },
61116		{ "BIG_STEP", 12, 4 },
61117		{ "SMALL_STEP", 9, 3 },
61118		{ "WR_PRE_DLY", 3, 6 },
61119	{ "MC_DDRPHY_WC_CONFIG2", 0x47608, 0 },
61120		{ "NUM_VALID_SAMPLES", 12, 4 },
61121		{ "FW_RD_WR", 6, 6 },
61122		{ "EN_RESET_WR_DELAY_WL", 0, 1 },
61123	{ "MC_DDRPHY_WC_CONFIG3", 0x47614, 0 },
61124		{ "DDR4_MRS_CMD_DQ_EN", 15, 1 },
61125		{ "MRS_CMD_DQ_ON", 9, 6 },
61126		{ "MRS_CMD_DQ_OFF", 3, 6 },
61127	{ "MC_DDRPHY_WC_WRCLK_CNTL", 0x47618, 0 },
61128		{ "WRCLK_CAL_START", 15, 1 },
61129		{ "WRCLK_CAL_DONE", 14, 1 },
61130	{ "MC_DDRPHY_WC_ERROR_STATUS0", 0x4760c, 0 },
61131		{ "WR_CNTL_ERROR", 15, 1 },
61132	{ "MC_DDRPHY_WC_ERROR_MASK0", 0x47610, 0 },
61133		{ "WR_CNTL_ERROR_MASK", 15, 1 },
61134	{ "MC_DDRPHY_RC_CONFIG0", 0x47400, 0 },
61135		{ "GLOBAL_PHY_OFFSET", 12, 4 },
61136		{ "ADVANCE_RD_VALID", 11, 1 },
61137		{ "SINGLE_BIT_MPR_RP0", 6, 1 },
61138		{ "SINGLE_BIT_MPR_RP1", 5, 1 },
61139		{ "SINGLE_BIT_MPR_RP2", 4, 1 },
61140		{ "SINGLE_BIT_MPR_RP3", 3, 1 },
61141		{ "ALIGN_ON_EVEN_CYCLES", 2, 1 },
61142		{ "PERFORM_RDCLK_ALIGN", 1, 1 },
61143		{ "STAGGERED_PATTERN", 0, 1 },
61144	{ "MC_DDRPHY_RC_CONFIG1", 0x47404, 0 },
61145		{ "OUTER_LOOP_CNT", 2, 14 },
61146	{ "MC_DDRPHY_RC_CONFIG2", 0x47408, 0 },
61147		{ "CONSEQ_PASS", 11, 5 },
61148		{ "BURST_WINDOW", 5, 2 },
61149		{ "ALLOW_RD_FIFO_AUTO_R_ESET", 4, 1 },
61150	{ "MC_DDRPHY_RC_CONFIG3", 0x4741c, 0 },
61151		{ "FINE_CAL_STEP_SIZE", 13, 3 },
61152		{ "COARSE_CAL_STEP_SIZE", 9, 4 },
61153		{ "DQ_SEL_QUAD", 7, 2 },
61154		{ "DQ_SEL_LANE", 4, 3 },
61155	{ "MC_DDRPHY_RC_PERIODIC", 0x47420, 0 },
61156	{ "MC_DDRPHY_RC_ERROR_STATUS0", 0x47414, 0 },
61157		{ "RD_CNTL_ERROR", 15, 1 },
61158	{ "MC_DDRPHY_RC_ERROR_MASK0", 0x47418, 0 },
61159		{ "RD_CNTL_ERROR_MASK", 15, 1 },
61160	{ "MC_DDRPHY_APB_CONFIG0", 0x47800, 0 },
61161		{ "DISABLE_PARITY_CHECKER", 15, 1 },
61162		{ "GENERATE_EVEN_PARITY", 14, 1 },
61163		{ "FORCE_ON_CLK_GATE", 13, 1 },
61164		{ "DEBUG_BUS_SEL_LO", 12, 1 },
61165		{ "DEBUG_BUS_SEL_HI", 8, 4 },
61166	{ "MC_DDRPHY_APB_ERROR_STATUS0", 0x47804, 0 },
61167		{ "INVALID_ADDRESS", 15, 1 },
61168		{ "WR_PAR_ERR", 14, 1 },
61169	{ "MC_DDRPHY_APB_ERROR_MASK0", 0x47808, 0 },
61170		{ "INVALID_ADDRESS_MASK", 15, 1 },
61171		{ "WR_PAR_ERR_MASK", 14, 1 },
61172	{ "MC_DDRPHY_APB_DP18_POPULATION", 0x4780c, 0 },
61173		{ "DP18_0_Populated", 15, 1 },
61174		{ "DP18_1_Populated", 14, 1 },
61175		{ "DP18_2_Populated", 13, 1 },
61176		{ "DP18_3_Populated", 12, 1 },
61177		{ "DP18_4_Populated", 11, 1 },
61178		{ "DP18_5_Populated", 10, 1 },
61179		{ "DP18_6_Populated", 9, 1 },
61180		{ "DP18_7_Populated", 8, 1 },
61181		{ "DP18_8_Populated", 7, 1 },
61182		{ "DP18_9_Populated", 6, 1 },
61183		{ "DP18_10_Populated", 5, 1 },
61184		{ "DP18_11_Populated", 4, 1 },
61185		{ "DP18_12_Populated", 3, 1 },
61186		{ "DP18_13_Populated", 2, 1 },
61187		{ "DP18_14_Populated", 1, 1 },
61188	{ "MC_DDRPHY_APB_ADR_POPULATION", 0x47810, 0 },
61189		{ "ADR16_0_Populated", 15, 1 },
61190		{ "ADR16_1_Populated", 14, 1 },
61191		{ "ADR16_2_Populated", 13, 1 },
61192		{ "ADR16_3_Populated", 12, 1 },
61193		{ "ADR12_0_Populated", 7, 1 },
61194		{ "ADR12_1_Populated", 6, 1 },
61195		{ "ADR12_2_Populated", 5, 1 },
61196		{ "ADR12_3_Populated", 4, 1 },
61197	{ "MC_DDRPHY_APB_ATEST_MUX_SEL", 0x47814, 0 },
61198		{ "ATEST_CNTL", 10, 6 },
61199	{ "MC_UPCTL_SCFG", 0x40000, 0 },
61200		{ "bbflags_timing", 8, 4 },
61201		{ "nfifo_nif1_dis", 6, 1 },
61202		{ "hw_low_power_en", 0, 1 },
61203	{ "MC_UPCTL_SCTL", 0x40004, 0 },
61204	{ "MC_UPCTL_STAT", 0x40008, 0 },
61205		{ "lp_trig", 4, 3 },
61206		{ "ctl_stat", 0, 3 },
61207	{ "MC_UPCTL_INTRSTAT", 0x4000c, 0 },
61208		{ "parity_intr", 1, 1 },
61209		{ "ecc_intr", 0, 1 },
61210	{ "MC_UPCTL_MCMD", 0x40040, 0 },
61211		{ "start_cmd", 31, 1 },
61212		{ "cmd_add_del", 24, 4 },
61213		{ "rank_sel", 20, 4 },
61214		{ "bank_addr", 17, 3 },
61215		{ "cmd_addr", 4, 13 },
61216		{ "cmd_opcode0", 0, 4 },
61217	{ "MC_UPCTL_POWCTL", 0x40044, 0 },
61218	{ "MC_UPCTL_POWSTAT", 0x40048, 0 },
61219	{ "MC_UPCTL_CMDTSTAT", 0x4004c, 0 },
61220	{ "MC_UPCTL_CMDTSTATEN", 0x40050, 0 },
61221	{ "MC_UPCTL_MRRCFG0", 0x40060, 0 },
61222	{ "MC_UPCTL_MRRSTAT0", 0x40064, 0 },
61223		{ "mrrstat_beat3", 24, 8 },
61224		{ "mrrstat_beat2", 16, 8 },
61225		{ "mrrstat_beat1", 8, 8 },
61226		{ "mrrstat_beat0", 0, 8 },
61227	{ "MC_UPCTL_MRRSTAT1", 0x40068, 0 },
61228		{ "mrrstat_beat7", 24, 8 },
61229		{ "mrrstat_beat6", 16, 8 },
61230		{ "mrrstat_beat5", 8, 8 },
61231		{ "mrrstat_beat4", 0, 8 },
61232	{ "MC_UPCTL_MCFG1", 0x4007c, 0 },
61233		{ "hw_exit_idle_en", 31, 1 },
61234		{ "hw_idle", 16, 8 },
61235		{ "sr_idle", 0, 8 },
61236	{ "MC_UPCTL_MCFG", 0x40080, 0 },
61237		{ "mddr_lpddr2_clk_stop_idle", 24, 8 },
61238		{ "mddr_lpddr2_en", 22, 2 },
61239		{ "mddr_lpddr2_bl", 20, 2 },
61240		{ "tfaw_cfg", 18, 2 },
61241		{ "pd_exit_mode", 17, 1 },
61242		{ "pd_type", 16, 1 },
61243		{ "pd_idle", 8, 8 },
61244		{ "lpddr2_s4", 6, 1 },
61245		{ "ddr3_en", 5, 1 },
61246		{ "stagger_cs", 4, 1 },
61247		{ "two_t_en", 3, 1 },
61248		{ "bl8int_en", 2, 1 },
61249		{ "cke_or_en", 1, 1 },
61250		{ "mem_bl", 0, 1 },
61251	{ "MC_UPCTL_PPCFG", 0x40084, 0 },
61252		{ "rpmem_dis", 1, 8 },
61253		{ "ppmem_en", 0, 1 },
61254	{ "MC_UPCTL_MSTAT", 0x40088, 0 },
61255		{ "self_refresh", 2, 1 },
61256		{ "clock_stop", 1, 1 },
61257		{ "power_down", 0, 1 },
61258	{ "MC_UPCTL_LPDDR2ZQCFG", 0x4008c, 0 },
61259		{ "zqcl_op", 24, 8 },
61260		{ "zqcl_ma", 16, 8 },
61261		{ "zqcs_op", 8, 8 },
61262		{ "zqcs_ma", 0, 8 },
61263	{ "MC_UPCTL_DTUPDES", 0x40094, 0 },
61264		{ "dtu_rd_missing", 13, 1 },
61265		{ "dtu_eaffl", 9, 4 },
61266		{ "dtu_random_error", 8, 1 },
61267		{ "dtu_err_b7", 7, 1 },
61268		{ "dtu_err_b6", 6, 1 },
61269		{ "dtu_err_b5", 5, 1 },
61270		{ "dtu_err_b4", 4, 1 },
61271		{ "dtu_err_b3", 3, 1 },
61272		{ "dtu_err_b2", 2, 1 },
61273		{ "dtu_err_b1", 1, 1 },
61274		{ "dtu_err_b0", 0, 1 },
61275	{ "MC_UPCTL_DTUNA", 0x40098, 0 },
61276	{ "MC_UPCTL_DTUNE", 0x4009c, 0 },
61277	{ "MC_UPCTL_DTUPRD0", 0x400a0, 0 },
61278		{ "dtu_allbits_1", 16, 16 },
61279		{ "dtu_allbits_0", 0, 16 },
61280	{ "MC_UPCTL_DTUPRD1", 0x400a4, 0 },
61281		{ "dtu_allbits_3", 16, 16 },
61282		{ "dtu_allbits_2", 0, 16 },
61283	{ "MC_UPCTL_DTUPRD2", 0x400a8, 0 },
61284		{ "dtu_allbits_5", 16, 16 },
61285		{ "dtu_allbits_4", 0, 16 },
61286	{ "MC_UPCTL_DTUPRD3", 0x400ac, 0 },
61287		{ "dtu_allbits_7", 16, 16 },
61288		{ "dtu_allbits_6", 0, 16 },
61289	{ "MC_UPCTL_DTUAWDT", 0x400b0, 0 },
61290		{ "number_ranks", 9, 2 },
61291		{ "row_addr_width", 6, 2 },
61292		{ "bank_addr_width", 3, 2 },
61293		{ "column_addr_width", 0, 2 },
61294	{ "MC_UPCTL_TOGCNT1U", 0x400c0, 0 },
61295	{ "MC_UPCTL_TINIT", 0x400c4, 0 },
61296	{ "MC_UPCTL_TRSTH", 0x400c8, 0 },
61297	{ "MC_UPCTL_TOGCNT100N", 0x400cc, 0 },
61298	{ "MC_UPCTL_TREFI", 0x400d0, 0 },
61299	{ "MC_UPCTL_TMRD", 0x400d4, 0 },
61300	{ "MC_UPCTL_TRFC", 0x400d8, 0 },
61301	{ "MC_UPCTL_TRP", 0x400dc, 0 },
61302		{ "prea_extra", 16, 2 },
61303		{ "t_rp", 0, 4 },
61304	{ "MC_UPCTL_TRTW", 0x400e0, 0 },
61305	{ "MC_UPCTL_TAL", 0x400e4, 0 },
61306	{ "MC_UPCTL_TCL", 0x400e8, 0 },
61307	{ "MC_UPCTL_TCWL", 0x400ec, 0 },
61308	{ "MC_UPCTL_TRAS", 0x400f0, 0 },
61309	{ "MC_UPCTL_TRC", 0x400f4, 0 },
61310	{ "MC_UPCTL_TRCD", 0x400f8, 0 },
61311	{ "MC_UPCTL_TRRD", 0x400fc, 0 },
61312	{ "MC_UPCTL_TRTP", 0x40100, 0 },
61313	{ "MC_UPCTL_TWR", 0x40104, 0 },
61314	{ "MC_UPCTL_TWTR", 0x40108, 0 },
61315	{ "MC_UPCTL_TEXSR", 0x4010c, 0 },
61316	{ "MC_UPCTL_TXP", 0x40110, 0 },
61317	{ "MC_UPCTL_TXPDLL", 0x40114, 0 },
61318	{ "MC_UPCTL_TZQCS", 0x40118, 0 },
61319	{ "MC_UPCTL_TZQCSI", 0x4011c, 0 },
61320	{ "MC_UPCTL_TDQS", 0x40120, 0 },
61321	{ "MC_UPCTL_TCKSRE", 0x40124, 0 },
61322	{ "MC_UPCTL_TCKSRX", 0x40128, 0 },
61323	{ "MC_UPCTL_TCKE", 0x4012c, 0 },
61324	{ "MC_UPCTL_TMOD", 0x40130, 0 },
61325	{ "MC_UPCTL_TRSTL", 0x40134, 0 },
61326	{ "MC_UPCTL_TZQCL", 0x40138, 0 },
61327	{ "MC_UPCTL_TMRR", 0x4013c, 0 },
61328	{ "MC_UPCTL_TCKESR", 0x40140, 0 },
61329	{ "MC_UPCTL_TDPD", 0x40144, 0 },
61330	{ "MC_UPCTL_ECCCFG", 0x40180, 0 },
61331		{ "inline_syn_en", 4, 1 },
61332		{ "ecc_en", 3, 1 },
61333		{ "ecc_intr_en", 2, 1 },
61334	{ "MC_UPCTL_ECCTST", 0x40184, 0 },
61335	{ "MC_UPCTL_ECCCLR", 0x40188, 0 },
61336		{ "clr_ecc_log", 1, 1 },
61337		{ "clr_ecc_intr", 0, 1 },
61338	{ "MC_UPCTL_ECCLOG", 0x4018c, 0 },
61339	{ "MC_UPCTL_DTUWACTL", 0x40200, 0 },
61340		{ "dtu_wr_rank", 30, 2 },
61341		{ "dtu_wr_row0", 13, 16 },
61342		{ "dtu_wr_bank", 10, 3 },
61343		{ "dtu_wr_col", 0, 10 },
61344	{ "MC_UPCTL_DTURACTL", 0x40204, 0 },
61345		{ "dtu_rd_rank", 30, 2 },
61346		{ "dtu_rd_row0", 13, 16 },
61347		{ "dtu_rd_bank", 10, 3 },
61348		{ "dtu_rd_col", 0, 10 },
61349	{ "MC_UPCTL_DTUCFG", 0x40208, 0 },
61350		{ "dtu_row_increments", 16, 7 },
61351		{ "dtu_wr_multi_rd", 15, 1 },
61352		{ "dtu_data_mask_en", 14, 1 },
61353		{ "dtu_target_lane", 10, 4 },
61354		{ "dtu_generate_random", 9, 1 },
61355		{ "dtu_incr_banks", 8, 1 },
61356		{ "dtu_incr_cols", 7, 1 },
61357		{ "dtu_nalen", 1, 6 },
61358		{ "dtu_enable", 0, 1 },
61359	{ "MC_UPCTL_DTUECTL", 0x4020c, 0 },
61360		{ "wr_multi_rd_rst", 2, 1 },
61361		{ "run_error_reports", 1, 1 },
61362		{ "run_dtu", 0, 1 },
61363	{ "MC_UPCTL_DTUWD0", 0x40210, 0 },
61364		{ "dtu_wr_byte3", 24, 8 },
61365		{ "dtu_wr_byte2", 16, 8 },
61366		{ "dtu_wr_byte1", 8, 8 },
61367		{ "dtu_wr_byte0", 0, 8 },
61368	{ "MC_UPCTL_DTUWD1", 0x40214, 0 },
61369		{ "dtu_wr_byte7", 24, 8 },
61370		{ "dtu_wr_byte6", 16, 8 },
61371		{ "dtu_wr_byte5", 8, 8 },
61372		{ "dtu_wr_byte4", 0, 8 },
61373	{ "MC_UPCTL_DTUWD2", 0x40218, 0 },
61374		{ "dtu_wr_byte11", 24, 8 },
61375		{ "dtu_wr_byte10", 16, 8 },
61376		{ "dtu_wr_byte9", 8, 8 },
61377		{ "dtu_wr_byte8", 0, 8 },
61378	{ "MC_UPCTL_DTUWD3", 0x4021c, 0 },
61379		{ "dtu_wr_byte15", 24, 8 },
61380		{ "dtu_wr_byte14", 16, 8 },
61381		{ "dtu_wr_byte13", 8, 8 },
61382		{ "dtu_wr_byte12", 0, 8 },
61383	{ "MC_UPCTL_DTUWDM", 0x40220, 0 },
61384	{ "MC_UPCTL_DTURD0", 0x40224, 0 },
61385		{ "dtu_rd_byte3", 24, 8 },
61386		{ "dtu_rd_byte2", 16, 8 },
61387		{ "dtu_rd_byte1", 8, 8 },
61388		{ "dtu_rd_byte0", 0, 8 },
61389	{ "MC_UPCTL_DTURD1", 0x40228, 0 },
61390		{ "dtu_rd_byte7", 24, 8 },
61391		{ "dtu_rd_byte6", 16, 8 },
61392		{ "dtu_rd_byte5", 8, 8 },
61393		{ "dtu_rd_byte4", 0, 8 },
61394	{ "MC_UPCTL_DTURD2", 0x4022c, 0 },
61395		{ "dtu_rd_byte11", 24, 8 },
61396		{ "dtu_rd_byte10", 16, 8 },
61397		{ "dtu_rd_byte9", 8, 8 },
61398		{ "dtu_rd_byte8", 0, 8 },
61399	{ "MC_UPCTL_DTURD3", 0x40230, 0 },
61400		{ "dtu_rd_byte15", 24, 8 },
61401		{ "dtu_rd_byte14", 16, 8 },
61402		{ "dtu_rd_byte13", 8, 8 },
61403		{ "dtu_rd_byte12", 0, 8 },
61404	{ "MC_UPCTL_DTULFSRWD", 0x40234, 0 },
61405	{ "MC_UPCTL_DTULFSRRD", 0x40238, 0 },
61406	{ "MC_UPCTL_DTUEAF", 0x4023c, 0 },
61407		{ "ea_rank", 30, 2 },
61408		{ "ea_row0", 13, 16 },
61409		{ "ea_bank", 10, 3 },
61410		{ "ea_column", 0, 10 },
61411	{ "MC_UPCTL_DFITCTRLDELAY", 0x40240, 0 },
61412	{ "MC_UPCTL_DFIODTCFG", 0x40244, 0 },
61413		{ "rank3_odt_default", 28, 1 },
61414		{ "rank3_odt_write_sel", 27, 1 },
61415		{ "rank3_odt_write_nsel", 26, 1 },
61416		{ "rank3_odt_read_sel", 25, 1 },
61417		{ "rank3_odt_read_nsel", 24, 1 },
61418		{ "rank2_odt_default", 20, 1 },
61419		{ "rank2_odt_write_sel", 19, 1 },
61420		{ "rank2_odt_write_nsel", 18, 1 },
61421		{ "rank2_odt_read_sel", 17, 1 },
61422		{ "rank2_odt_read_nsel", 16, 1 },
61423		{ "rank1_odt_default", 12, 1 },
61424		{ "rank1_odt_write_sel", 11, 1 },
61425		{ "rank1_odt_write_nsel", 10, 1 },
61426		{ "rank1_odt_read_sel", 9, 1 },
61427		{ "rank1_odt_read_nsel", 8, 1 },
61428		{ "rank0_odt_default", 4, 1 },
61429		{ "rank0_odt_write_sel", 3, 1 },
61430		{ "rank0_odt_write_nsel", 2, 1 },
61431		{ "rank0_odt_read_sel", 1, 1 },
61432		{ "rank0_odt_read_nsel", 0, 1 },
61433	{ "MC_UPCTL_DFIODTCFG1", 0x40248, 0 },
61434		{ "odt_len_b8_r", 24, 3 },
61435		{ "odt_len_bl8_w", 16, 3 },
61436		{ "odt_lat_r", 8, 5 },
61437		{ "odt_lat_w", 0, 5 },
61438	{ "MC_UPCTL_DFIODTRANKMAP", 0x4024c, 0 },
61439		{ "odt_rank_map3", 12, 4 },
61440		{ "odt_rank_map2", 8, 4 },
61441		{ "odt_rank_map1", 4, 4 },
61442		{ "odt_rank_map0", 0, 4 },
61443	{ "MC_UPCTL_DFITPHYWRDATA", 0x40250, 0 },
61444	{ "MC_UPCTL_DFITPHYWRLAT", 0x40254, 0 },
61445	{ "MC_UPCTL_DFITRDDATAEN", 0x40260, 0 },
61446	{ "MC_UPCTL_DFITPHYRDLAT", 0x40264, 0 },
61447	{ "MC_UPCTL_DFITPHYUPDTYPE0", 0x40270, 0 },
61448	{ "MC_UPCTL_DFITPHYUPDTYPE1", 0x40274, 0 },
61449	{ "MC_UPCTL_DFITPHYUPDTYPE2", 0x40278, 0 },
61450	{ "MC_UPCTL_DFITPHYUPDTYPE3", 0x4027c, 0 },
61451	{ "MC_UPCTL_DFITCTRLUPDMIN", 0x40280, 0 },
61452	{ "MC_UPCTL_DFITCTRLUPDMAX", 0x40284, 0 },
61453	{ "MC_UPCTL_DFITCTRLUPDDLY", 0x40288, 0 },
61454	{ "MC_UPCTL_DFIUPDCFG", 0x40290, 0 },
61455		{ "dfi_phyupd_en", 1, 1 },
61456		{ "dfi_ctrlupd_en", 0, 1 },
61457	{ "MC_UPCTL_DFITREFMSKI", 0x40294, 0 },
61458	{ "MC_UPCTL_DFITCTRLUPDI", 0x40298, 0 },
61459	{ "MC_UPCTL_DFITRCFG0", 0x402ac, 0 },
61460		{ "dfi_wrlvl_rank_sel", 16, 4 },
61461		{ "dfi_rdlvl_edge", 4, 9 },
61462		{ "dfi_rdlvl_rank_sel", 0, 4 },
61463	{ "MC_UPCTL_DFITRSTAT0", 0x402b0, 0 },
61464		{ "dfi_wrlvl_mode", 16, 2 },
61465		{ "dfi_rdlvl_gate_mode", 8, 2 },
61466		{ "dfi_rdlvl_mode", 0, 2 },
61467	{ "MC_UPCTL_DFITRWRLVLEN", 0x402b4, 0 },
61468	{ "MC_UPCTL_DFITRRDLVLEN", 0x402b8, 0 },
61469	{ "MC_UPCTL_DFITRRDLVLGATEEN", 0x402bc, 0 },
61470	{ "MC_UPCTL_DFISTSTAT0", 0x402c0, 0 },
61471		{ "dfi_data_byte_disable", 16, 9 },
61472		{ "dfi_freq_ratio", 4, 2 },
61473		{ "dfi_init_start0", 1, 1 },
61474		{ "dfi_init_complete", 0, 1 },
61475	{ "MC_UPCTL_DFISTCFG0", 0x402c4, 0 },
61476		{ "dfi_data_byte_disable_en", 2, 1 },
61477		{ "dfi_freq_ratio_en", 1, 1 },
61478		{ "dfi_init_start", 0, 1 },
61479	{ "MC_UPCTL_DFISTCFG1", 0x402c8, 0 },
61480		{ "dfi_dram_clk_disable_en_dpd", 1, 1 },
61481		{ "dfi_dram_clk_disable_en", 0, 1 },
61482	{ "MC_UPCTL_DFITDRAMCLKEN", 0x402d0, 0 },
61483	{ "MC_UPCTL_DFITDRAMCLKDIS", 0x402d4, 0 },
61484	{ "MC_UPCTL_DFISTCFG2", 0x402d8, 0 },
61485		{ "parity_en", 1, 1 },
61486		{ "parity_intr_en", 0, 1 },
61487	{ "MC_UPCTL_DFISTPARCLR", 0x402dc, 0 },
61488		{ "parity_log_clr", 1, 1 },
61489		{ "parity_intr_clr", 0, 1 },
61490	{ "MC_UPCTL_DFISTPARLOG", 0x402e0, 0 },
61491	{ "MC_UPCTL_DFILPCFG0", 0x402f0, 0 },
61492		{ "dfi_lp_wakeup_dpd", 28, 4 },
61493		{ "dfi_lp_en_dpd", 24, 1 },
61494		{ "dfi_tlp_resp", 16, 4 },
61495		{ "dfi_lp_en_sr", 8, 1 },
61496		{ "dfi_lp_wakeup_pd", 4, 4 },
61497		{ "dfi_lp_en_pd", 0, 1 },
61498	{ "MC_UPCTL_DFITRWRLVLRESP0", 0x40300, 0 },
61499	{ "MC_UPCTL_DFITRWRLVLRESP1", 0x40304, 0 },
61500	{ "MC_UPCTL_DFITRWRLVLRESP2", 0x40308, 0 },
61501	{ "MC_UPCTL_DFITRRDLVLRESP0", 0x4030c, 0 },
61502	{ "MC_UPCTL_DFITRRDLVLRESP1", 0x40310, 0 },
61503	{ "MC_UPCTL_DFITRRDLVLRESP2", 0x40314, 0 },
61504	{ "MC_UPCTL_DFITRWRLVLDELAY0", 0x40318, 0 },
61505	{ "MC_UPCTL_DFITRWRLVLDELAY1", 0x4031c, 0 },
61506	{ "MC_UPCTL_DFITRWRLVLDELAY2", 0x40320, 0 },
61507	{ "MC_UPCTL_DFITRRDLVLDELAY0", 0x40324, 0 },
61508	{ "MC_UPCTL_DFITRRDLVLDELAY1", 0x40328, 0 },
61509	{ "MC_UPCTL_DFITRRDLVLDELAY2", 0x4032c, 0 },
61510	{ "MC_UPCTL_DFITRRDLVLGATEDELAY0", 0x40330, 0 },
61511	{ "MC_UPCTL_DFITRRDLVLGATEDELAY1", 0x40334, 0 },
61512	{ "MC_UPCTL_DFITRRDLVLGATEDELAY2", 0x40338, 0 },
61513	{ "MC_UPCTL_DFITRCMD", 0x4033c, 0 },
61514		{ "dfitrcmd_start", 31, 1 },
61515		{ "dfitrcmd_en", 4, 9 },
61516		{ "dfitrcmd_opcode", 0, 2 },
61517	{ "MC_UPCTL_IPVR", 0x403f8, 0 },
61518	{ "MC_UPCTL_IPTR", 0x403fc, 0 },
61519	{ "MC_P_DDRPHY_RST_CTRL", 0x41300, 0 },
61520		{ "PHY_DRAM_WL", 17, 5 },
61521		{ "PHY_CALIB_DONE", 5, 1 },
61522		{ "CTL_CAL_REQ", 4, 1 },
61523		{ "CTL_CKE", 3, 1 },
61524		{ "CTL_RST_N", 2, 1 },
61525		{ "DDRIO_ENABLE", 1, 1 },
61526		{ "PHY_RST_N", 0, 1 },
61527	{ "MC_P_PERFORMANCE_CTRL", 0x41304, 0 },
61528		{ "STALL_CHK_BIT", 2, 1 },
61529		{ "DDR3_BRC_MODE", 1, 1 },
61530		{ "RMW_PERF_CTRL", 0, 1 },
61531	{ "MC_P_ECC_CTRL", 0x41308, 0 },
61532		{ "ECC_BYPASS_BIST", 1, 1 },
61533		{ "ECC_DISABLE", 0, 1 },
61534	{ "MC_P_PAR_ENABLE", 0x4130c, 0 },
61535		{ "ECC_UE_PAR_ENABLE", 3, 1 },
61536		{ "ECC_CE_PAR_ENABLE", 2, 1 },
61537		{ "PERR_REG_INT_ENABLE", 1, 1 },
61538		{ "PERR_BLK_INT_ENABLE", 0, 1 },
61539	{ "MC_P_PAR_CAUSE", 0x41310, 0 },
61540		{ "ECC_UE_PAR_CAUSE", 3, 1 },
61541		{ "ECC_CE_PAR_CAUSE", 2, 1 },
61542		{ "FIFOR_PAR_CAUSE", 1, 1 },
61543		{ "RDATA_FIFOR_PAR_CAUSE", 0, 1 },
61544	{ "MC_P_INT_ENABLE", 0x41314, 0 },
61545		{ "ECC_UE_INT_ENABLE", 2, 1 },
61546		{ "ECC_CE_INT_ENABLE", 1, 1 },
61547		{ "PERR_INT_ENABLE", 0, 1 },
61548	{ "MC_P_INT_CAUSE", 0x41318, 0 },
61549		{ "ECC_UE_INT_CAUSE", 2, 1 },
61550		{ "ECC_CE_INT_CAUSE", 1, 1 },
61551		{ "PERR_INT_CAUSE", 0, 1 },
61552	{ "MC_P_ECC_STATUS", 0x4131c, 0 },
61553		{ "ECC_CECNT", 16, 16 },
61554		{ "ECC_UECNT", 0, 16 },
61555	{ "MC_P_PHY_CTRL", 0x41320, 0 },
61556	{ "MC_P_STATIC_CFG_STATUS", 0x41324, 0 },
61557		{ "STATIC_AWEN", 23, 1 },
61558		{ "STATIC_SWLAT", 18, 5 },
61559		{ "STATIC_WLAT", 17, 1 },
61560		{ "STATIC_ALIGN", 16, 1 },
61561		{ "STATIC_SLAT", 11, 5 },
61562		{ "STATIC_LAT", 10, 1 },
61563		{ "STATIC_MODE", 9, 1 },
61564		{ "STATIC_DEN", 6, 3 },
61565		{ "STATIC_ORG", 5, 1 },
61566		{ "STATIC_RKS", 4, 1 },
61567		{ "STATIC_WIDTH", 1, 3 },
61568		{ "STATIC_SLOW", 0, 1 },
61569	{ "MC_P_CORE_PCTL_STAT", 0x41328, 0 },
61570	{ "MC_P_DEBUG_CNT", 0x4132c, 0 },
61571		{ "WDATA_OCNT", 8, 5 },
61572		{ "RDATA_OCNT", 0, 5 },
61573	{ "MC_CE_ERR_DATA_RDATA", 0x41330, 0 },
61574	{ "MC_CE_ERR_DATA_RDATA", 0x41334, 0 },
61575	{ "MC_CE_ERR_DATA_RDATA", 0x41338, 0 },
61576	{ "MC_CE_ERR_DATA_RDATA", 0x4133c, 0 },
61577	{ "MC_CE_ERR_DATA_RDATA", 0x41340, 0 },
61578	{ "MC_CE_ERR_DATA_RDATA", 0x41344, 0 },
61579	{ "MC_CE_ERR_DATA_RDATA", 0x41348, 0 },
61580	{ "MC_CE_ERR_DATA_RDATA", 0x4134c, 0 },
61581	{ "MC_CE_COR_DATA_RDATA", 0x41350, 0 },
61582	{ "MC_CE_COR_DATA_RDATA", 0x41354, 0 },
61583	{ "MC_CE_COR_DATA_RDATA", 0x41358, 0 },
61584	{ "MC_CE_COR_DATA_RDATA", 0x4135c, 0 },
61585	{ "MC_CE_COR_DATA_RDATA", 0x41360, 0 },
61586	{ "MC_CE_COR_DATA_RDATA", 0x41364, 0 },
61587	{ "MC_CE_COR_DATA_RDATA", 0x41368, 0 },
61588	{ "MC_CE_COR_DATA_RDATA", 0x4136c, 0 },
61589	{ "MC_UE_ERR_DATA_RDATA", 0x41370, 0 },
61590	{ "MC_UE_ERR_DATA_RDATA", 0x41374, 0 },
61591	{ "MC_UE_ERR_DATA_RDATA", 0x41378, 0 },
61592	{ "MC_UE_ERR_DATA_RDATA", 0x4137c, 0 },
61593	{ "MC_UE_ERR_DATA_RDATA", 0x41380, 0 },
61594	{ "MC_UE_ERR_DATA_RDATA", 0x41384, 0 },
61595	{ "MC_UE_ERR_DATA_RDATA", 0x41388, 0 },
61596	{ "MC_UE_ERR_DATA_RDATA", 0x4138c, 0 },
61597	{ "MC_UE_COR_DATA_RDATA", 0x41390, 0 },
61598	{ "MC_UE_COR_DATA_RDATA", 0x41394, 0 },
61599	{ "MC_UE_COR_DATA_RDATA", 0x41398, 0 },
61600	{ "MC_UE_COR_DATA_RDATA", 0x4139c, 0 },
61601	{ "MC_UE_COR_DATA_RDATA", 0x413a0, 0 },
61602	{ "MC_UE_COR_DATA_RDATA", 0x413a4, 0 },
61603	{ "MC_UE_COR_DATA_RDATA", 0x413a8, 0 },
61604	{ "MC_UE_COR_DATA_RDATA", 0x413ac, 0 },
61605	{ "MC_CE_ADDR", 0x413b0, 0 },
61606	{ "MC_UE_ADDR", 0x413b4, 0 },
61607	{ "MC_P_DEEP_SLEEP", 0x413b8, 0 },
61608		{ "SleepStatus", 1, 1 },
61609		{ "SleepReq", 0, 1 },
61610	{ "MC_P_FPGA_BONUS", 0x413bc, 0 },
61611	{ "MC_P_DEBUG_CFG", 0x413c0, 0 },
61612		{ "DEBUG_OR", 15, 1 },
61613		{ "DEBUG_HI", 14, 1 },
61614		{ "DEBUG_RPT", 13, 1 },
61615		{ "DEBUGPAGE", 10, 3 },
61616		{ "DEBUGSELH", 5, 5 },
61617		{ "DEBUGSELL", 0, 5 },
61618	{ "MC_P_DEBUG_RPT", 0x413c4, 0 },
61619	{ "MC_P_BIST_CMD", 0x41400, 0 },
61620		{ "START_BIST", 31, 1 },
61621		{ "BURST_LEN", 16, 2 },
61622		{ "BIST_CMD_GAP", 8, 8 },
61623		{ "BIST_OPCODE", 0, 2 },
61624	{ "MC_P_BIST_CMD_ADDR", 0x41404, 0 },
61625	{ "MC_P_BIST_CMD_LEN", 0x41408, 0 },
61626	{ "MC_P_BIST_DATA_PATTERN", 0x4140c, 0 },
61627	{ "MC_P_BIST_USER_WDATA0", 0x41414, 0 },
61628	{ "MC_P_BIST_USER_WDATA1", 0x41418, 0 },
61629	{ "MC_P_BIST_USER_WDATA2", 0x4141c, 0 },
61630		{ "USER_DATA_MASK", 8, 9 },
61631		{ "USER_DATA2", 0, 8 },
61632	{ "MC_P_BIST_NUM_ERR", 0x41480, 0 },
61633	{ "MC_P_BIST_ERR_FIRST_ADDR", 0x41484, 0 },
61634	{ "MC_P_BIST_STATUS_RDATA", 0x41488, 0 },
61635	{ "MC_P_BIST_STATUS_RDATA", 0x4148c, 0 },
61636	{ "MC_P_BIST_STATUS_RDATA", 0x41490, 0 },
61637	{ "MC_P_BIST_STATUS_RDATA", 0x41494, 0 },
61638	{ "MC_P_BIST_STATUS_RDATA", 0x41498, 0 },
61639	{ "MC_P_BIST_STATUS_RDATA", 0x4149c, 0 },
61640	{ "MC_P_BIST_STATUS_RDATA", 0x414a0, 0 },
61641	{ "MC_P_BIST_STATUS_RDATA", 0x414a4, 0 },
61642	{ "MC_P_BIST_STATUS_RDATA", 0x414a8, 0 },
61643	{ "MC_P_BIST_STATUS_RDATA", 0x414ac, 0 },
61644	{ "MC_P_BIST_STATUS_RDATA", 0x414b0, 0 },
61645	{ "MC_P_BIST_STATUS_RDATA", 0x414b4, 0 },
61646	{ "MC_P_BIST_STATUS_RDATA", 0x414b8, 0 },
61647	{ "MC_P_BIST_STATUS_RDATA", 0x414bc, 0 },
61648	{ "MC_P_BIST_STATUS_RDATA", 0x414c0, 0 },
61649	{ "MC_P_BIST_STATUS_RDATA", 0x414c4, 0 },
61650	{ "MC_P_BIST_STATUS_RDATA", 0x414c8, 0 },
61651	{ "MC_P_BIST_STATUS_RDATA", 0x414cc, 0 },
61652	{ "MC_P_BIST_CRC_SEED", 0x414d0, 0 },
61653	{ NULL }
61654};
61655
61656struct reg_info t5_mc_1_regs[] = {
61657	{ "MC_DDRPHY_PC_DP18_PLL_LOCK_STATUS", 0x4f000, 0 },
61658		{ "DP18_PLL_LOCK", 1, 15 },
61659	{ "MC_DDRPHY_PC_AD32S_PLL_LOCK_STATUS", 0x4f004, 0 },
61660		{ "AD32S_PLL_LOCK", 14, 2 },
61661	{ "MC_DDRPHY_PC_RANK_PAIR0", 0x4f008, 0 },
61662		{ "RANK_PAIR0_PRI", 13, 3 },
61663		{ "RANK_PAIR0_PRI_V", 12, 1 },
61664		{ "RANK_PAIR0_SEC", 9, 3 },
61665		{ "RANK_PAIR0_SEC_V", 8, 1 },
61666		{ "RANK_PAIR1_PRI", 5, 3 },
61667		{ "RANK_PAIR1_PRI_V", 4, 1 },
61668		{ "RANK_PAIR1_SEC", 1, 3 },
61669		{ "RANK_PAIR1_SEC_V", 0, 1 },
61670	{ "MC_DDRPHY_PC_RANK_PAIR1", 0x4f00c, 0 },
61671		{ "RANK_PAIR2_PRI", 13, 3 },
61672		{ "RANK_PAIR2_PRI_V", 12, 1 },
61673		{ "RANK_PAIR2_SEC", 9, 3 },
61674		{ "RANK_PAIR2_SEC_V", 8, 1 },
61675		{ "RANK_PAIR3_PRI", 5, 3 },
61676		{ "RANK_PAIR3_PRI_V", 4, 1 },
61677		{ "RANK_PAIR3_SEC", 1, 3 },
61678		{ "RANK_PAIR3_SEC_V", 0, 1 },
61679	{ "MC_DDRPHY_PC_BASE_CNTR0", 0x4f010, 0 },
61680	{ "MC_DDRPHY_PC_RELOAD_VALUE0", 0x4f014, 0 },
61681		{ "PERIODIC_CAL_REQ_EN", 15, 1 },
61682		{ "PERIODIC_RELOAD_VALUE0", 0, 15 },
61683	{ "MC_DDRPHY_PC_BASE_CNTR1", 0x4f018, 0 },
61684	{ "MC_DDRPHY_PC_CAL_TIMER", 0x4f01c, 0 },
61685	{ "MC_DDRPHY_PC_CAL_TIMER_RELOAD_VALUE", 0x4f020, 0 },
61686	{ "MC_DDRPHY_PC_ZCAL_TIMER", 0x4f024, 0 },
61687	{ "MC_DDRPHY_PC_ZCAL_TIMER_RELOAD_VALUE", 0x4f028, 0 },
61688	{ "MC_DDRPHY_PC_PER_CAL_CONFIG", 0x4f02c, 0 },
61689		{ "PER_ENA_RANK_PAIR", 12, 4 },
61690		{ "PER_ENA_ZCAL", 11, 1 },
61691		{ "PER_ENA_SYSCLK_ALIGN", 10, 1 },
61692		{ "ENA_PER_READ_CTR", 9, 1 },
61693		{ "ENA_PER_RDCLK_ALIGN", 8, 1 },
61694		{ "ENA_PER_DQS_ALIGN", 7, 1 },
61695		{ "PER_NEXT_RANK_PAIR", 5, 2 },
61696		{ "FAST_SIM_PER_CNTR", 4, 1 },
61697		{ "START_INIT_CAL", 3, 1 },
61698		{ "START_PER_CAL", 2, 1 },
61699		{ "ABORT_ON_ERR_EN", 1, 1 },
61700	{ "MC_DDRPHY_PC_PER_ZCAL_CONFIG", 0x4f03c, 0 },
61701		{ "PER_ZCAL_ENA_RANK", 8, 8 },
61702		{ "PER_ZCAL_NEXT_RANK", 5, 3 },
61703		{ "START_PER_ZCAL", 4, 1 },
61704	{ "MC_DDRPHY_PC_CONFIG0", 0x4f030, 0 },
61705		{ "PROTOCOL_DDR", 12, 4 },
61706		{ "DATA_MUX4_1MODE", 11, 1 },
61707		{ "DDR4_CMD_SIG_REDUCTION", 9, 1 },
61708		{ "SYSCLK_2X_MEMINTCLKO", 8, 1 },
61709		{ "RANK_OVERRIDE", 7, 1 },
61710		{ "RANK_OVERRIDE_VALUE", 4, 3 },
61711		{ "LOW_LATENCY", 3, 1 },
61712		{ "DDR4_BANK_REFRESH", 2, 1 },
61713		{ "DDR4_VLEVEL_BANK_GROUP", 1, 1 },
61714	{ "MC_DDRPHY_PC_CONFIG1", 0x4f034, 0 },
61715		{ "WRITE_LATENCY_OFFSET", 12, 4 },
61716		{ "READ_LATENCY_OFFSET", 8, 4 },
61717		{ "MEMCTL_CIC_FAST", 7, 1 },
61718		{ "MEMCTL_CTRN_IGNORE", 6, 1 },
61719		{ "DISABLE_MEMCTL_CAL", 5, 1 },
61720	{ "MC_DDRPHY_PC_RESETS", 0x4f038, 0 },
61721		{ "PLL_RESET", 15, 1 },
61722		{ "SYSCLK_RESET", 14, 1 },
61723	{ "MC_DDRPHY_PC_ERROR_STATUS0", 0x4f048, 0 },
61724		{ "RC_ERROR", 15, 1 },
61725		{ "WC_ERROR", 14, 1 },
61726		{ "SEQ_ERROR", 13, 1 },
61727		{ "CC_ERROR", 12, 1 },
61728		{ "APB_ERROR", 11, 1 },
61729		{ "PC_ERROR", 10, 1 },
61730	{ "MC_DDRPHY_PC_ERROR_MASK0", 0x4f04c, 0 },
61731		{ "RC_ERROR_MASK", 15, 1 },
61732		{ "WC_ERROR_MASK", 14, 1 },
61733		{ "SEQ_ERROR_MASK", 13, 1 },
61734		{ "CC_ERROR_MASK", 12, 1 },
61735		{ "APB_ERROR_MASK", 11, 1 },
61736		{ "PC_ERROR_MASK", 10, 1 },
61737	{ "MC_DDRPHY_PC_IO_PVT_FET_CONTROL", 0x4f050, 0 },
61738		{ "PVTP", 11, 5 },
61739		{ "PVTN", 6, 5 },
61740		{ "PVT_OVERRIDE", 5, 1 },
61741		{ "ENABLE_ZCAL", 4, 1 },
61742	{ "MC_DDRPHY_PC_VREF_DRV_CONTROL", 0x4f054, 0 },
61743		{ "VREFDQ0DSGN", 15, 1 },
61744		{ "VREFDQ0D", 11, 4 },
61745		{ "VREFDQ1DSGN", 10, 1 },
61746		{ "VREFDQ1D", 6, 4 },
61747	{ "MC_DDRPHY_PC_INIT_CAL_CONFIG0", 0x4f058, 0 },
61748		{ "ENA_WR_LEVEL", 15, 1 },
61749		{ "ENA_INITIAL_PAT_WR", 14, 1 },
61750		{ "ENA_DQS_ALIGN", 13, 1 },
61751		{ "ENA_RDCLK_ALIGN", 12, 1 },
61752		{ "ENA_READ_CTR", 11, 1 },
61753		{ "ENA_WRITE_CTR", 10, 1 },
61754		{ "ENA_INITIAL_COARSE_WR", 9, 1 },
61755		{ "ENA_COARSE_RD", 8, 1 },
61756		{ "ENA_CUSTOM_RD", 7, 1 },
61757		{ "ENA_CUSTOM_WR", 6, 1 },
61758		{ "ABORT_ON_CAL_ERROR", 5, 1 },
61759		{ "ENA_DIGITAL_EYE", 4, 1 },
61760		{ "ENA_RANK_PAIR", 0, 4 },
61761	{ "MC_DDRPHY_PC_INIT_CAL_CONFIG1", 0x4f05c, 0 },
61762		{ "REFRESH_COUNT", 12, 4 },
61763		{ "REFRESH_CONTROL", 10, 2 },
61764		{ "REFRESH_ALL_RANKS", 9, 1 },
61765		{ "REFRESH_INTERVAL", 0, 7 },
61766	{ "MC_DDRPHY_PC_INIT_CAL_ERROR", 0x4f060, 0 },
61767		{ "ERROR_WR_LEVEL", 15, 1 },
61768		{ "ERROR_INITIAL_PAT_WRITE", 14, 1 },
61769		{ "ERROR_DQS_ALIGN", 13, 1 },
61770		{ "ERROR_RDCLK_ALIGN", 12, 1 },
61771		{ "ERROR_READ_CTR", 11, 1 },
61772		{ "ERROR_WRITE_CTR", 10, 1 },
61773		{ "ERROR_INITIAL_COARSE_WR", 9, 1 },
61774		{ "ERROR_COARSE_RD", 8, 1 },
61775		{ "ERROR_CUSTOM_RD", 7, 1 },
61776		{ "ERROR_CUSTOM_WR", 6, 1 },
61777		{ "ERROR_DIGITAL_EYE", 5, 1 },
61778		{ "ERROR_RANK_PAIR", 0, 4 },
61779	{ "MC_DDRPHY_PC_INIT_CAL_MASK", 0x4f068, 0 },
61780		{ "ERROR_WR_LEVEL_MASK", 15, 1 },
61781		{ "ERROR_INITIAL_PAT_WRITE_MASK", 14, 1 },
61782		{ "ERROR_DQS_ALIGN_MASK", 13, 1 },
61783		{ "ERROR_RDCLK_ALIGN_MASK", 12, 1 },
61784		{ "ERROR_READ_CTR_MASK", 11, 1 },
61785		{ "ERROR_WRITE_CTR_MASK", 10, 1 },
61786		{ "ERROR_INITIAL_COARSE_WR_MASK", 9, 1 },
61787		{ "ERROR_COARSE_RD_MASK", 8, 1 },
61788		{ "ERROR_CUSTOM_RD_MASK", 7, 1 },
61789		{ "ERROR_CUSTOM_WR_MASK", 6, 1 },
61790		{ "ERROR_DIGITAL_EYE_MASK", 5, 1 },
61791	{ "MC_DDRPHY_PC_INIT_CAL_STATUS", 0x4f064, 0 },
61792		{ "INIT_CAL_COMPLETE", 12, 4 },
61793	{ "MC_DDRPHY_PC_IO_PVT_FET_STATUS", 0x4f06c, 0 },
61794		{ "PVTP", 11, 5 },
61795		{ "PVTN", 6, 5 },
61796	{ "MC_DDRPHY_PC_MR0_PRI_RP", 0x4f070, 0 },
61797	{ "MC_DDRPHY_PC_MR1_PRI_RP", 0x4f074, 0 },
61798	{ "MC_DDRPHY_PC_MR2_PRI_RP", 0x4f078, 0 },
61799	{ "MC_DDRPHY_PC_MR3_PRI_RP", 0x4f07c, 0 },
61800	{ "MC_DDRPHY_PC_MR0_SEC_RP", 0x4f080, 0 },
61801	{ "MC_DDRPHY_PC_MR1_SEC_RP", 0x4f084, 0 },
61802	{ "MC_DDRPHY_PC_MR2_SEC_RP", 0x4f088, 0 },
61803	{ "MC_DDRPHY_PC_MR3_SEC_RP", 0x4f08c, 0 },
61804	{ "MC_DDRPHY_PC_RANK_GROUP", 0x4f044, 0 },
61805		{ "ADDR_MIRROR_RP0_PRI", 15, 1 },
61806		{ "ADDR_MIRROR_RP0_SEC", 14, 1 },
61807		{ "ADDR_MIRROR_RP1_PRI", 13, 1 },
61808		{ "ADDR_MIRROR_RP1_SEC", 12, 1 },
61809		{ "ADDR_MIRROR_RP2_PRI", 11, 1 },
61810		{ "ADDR_MIRROR_RP2_SEC", 10, 1 },
61811		{ "ADDR_MIRROR_RP3_PRI", 9, 1 },
61812		{ "ADDR_MIRROR_RP3_SEC", 8, 1 },
61813		{ "RANK_GROUPING", 6, 2 },
61814	{ "MC_ADR_DDRPHY_ADR_BIT_ENABLE", 0x4d000, 0 },
61815		{ "BIT_ENABLE_0_11", 4, 12 },
61816		{ "BIT_ENABLE_12_15", 0, 4 },
61817	{ "MC_ADR_DDRPHY_ADR_DIFFPAIR_ENABLE", 0x4d004, 0 },
61818		{ "DI_ADR0_ADR1", 15, 1 },
61819		{ "DI_ADR2_ADR3", 14, 1 },
61820		{ "DI_ADR4_ADR5", 13, 1 },
61821		{ "DI_ADR6_ADR7", 12, 1 },
61822		{ "DI_ADR8_ADR9", 11, 1 },
61823		{ "DI_ADR10_ADR11", 10, 1 },
61824		{ "DI_ADR12_ADR13", 9, 1 },
61825		{ "DI_ADR14_ADR15", 8, 1 },
61826	{ "MC_ADR_DDRPHY_ADR_DELAY0", 0x4d010, 0 },
61827		{ "ADR_DELAY_BITS1_7", 8, 7 },
61828		{ "ADR_DELAY_BITS9_15", 0, 7 },
61829	{ "MC_ADR_DDRPHY_ADR_DELAY1", 0x4d014, 0 },
61830		{ "ADR_DELAY_BITS1_7", 8, 7 },
61831		{ "ADR_DELAY_BITS9_15", 0, 7 },
61832	{ "MC_ADR_DDRPHY_ADR_DELAY2", 0x4d018, 0 },
61833		{ "ADR_DELAY_BITS1_7", 8, 7 },
61834		{ "ADR_DELAY_BITS9_15", 0, 7 },
61835	{ "MC_ADR_DDRPHY_ADR_DELAY3", 0x4d01c, 0 },
61836		{ "ADR_DELAY_BITS1_7", 8, 7 },
61837		{ "ADR_DELAY_BITS9_15", 0, 7 },
61838	{ "MC_ADR_DDRPHY_ADR_DELAY4", 0x4d020, 0 },
61839		{ "ADR_DELAY_BITS1_7", 8, 7 },
61840		{ "ADR_DELAY_BITS9_15", 0, 7 },
61841	{ "MC_ADR_DDRPHY_ADR_DELAY5", 0x4d024, 0 },
61842		{ "ADR_DELAY_BITS1_7", 8, 7 },
61843		{ "ADR_DELAY_BITS9_15", 0, 7 },
61844	{ "MC_ADR_DDRPHY_ADR_DELAY6", 0x4d028, 0 },
61845		{ "ADR_DELAY_BITS1_7", 8, 7 },
61846		{ "ADR_DELAY_BITS9_15", 0, 7 },
61847	{ "MC_ADR_DDRPHY_ADR_DELAY7", 0x4d02c, 0 },
61848		{ "ADR_DELAY_BITS1_7", 8, 7 },
61849		{ "ADR_DELAY_BITS9_15", 0, 7 },
61850	{ "MC_ADR_DDRPHY_ADR_DFT_WRAP_STATUS_CONTROL", 0x4d030, 0 },
61851		{ "ADR_TEST_LANE_PAIR_FAIL", 8, 8 },
61852		{ "ADR_TEST_DATA_EN", 7, 1 },
61853		{ "DADR_TEST_MODE", 5, 2 },
61854		{ "ADR_TEST_4TO1_MODE", 4, 1 },
61855		{ "ADR_TEST_RESET", 3, 1 },
61856		{ "ADR_TEST_GEN_EN", 2, 1 },
61857		{ "ADR_TEST_CLEAR_ERROR", 1, 1 },
61858		{ "ADR_TEST_CHECK_EN", 0, 1 },
61859	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN0", 0x4d040, 0 },
61860		{ "EN_SLICE_N_WR_0", 8, 8 },
61861		{ "EN_SLICE_N_WR_FFE", 4, 4 },
61862	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN1", 0x4d044, 0 },
61863		{ "EN_SLICE_N_WR_1", 8, 8 },
61864		{ "EN_SLICE_N_WR_FFE", 4, 4 },
61865	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN2", 0x4d048, 0 },
61866		{ "EN_SLICE_N_WR_2", 8, 8 },
61867		{ "EN_SLICE_N_WR_FFE", 4, 4 },
61868	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN3", 0x4d04c, 0 },
61869		{ "EN_SLICE_N_WR_3", 8, 8 },
61870		{ "EN_SLICE_N_WR_FFE", 4, 4 },
61871	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN0", 0x4d050, 0 },
61872		{ "EN_SLICE_P_WR", 8, 8 },
61873		{ "EN_SLICE_P_WR_FFE", 4, 4 },
61874	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN1", 0x4d054, 0 },
61875		{ "EN_SLICE_P_WR", 8, 8 },
61876		{ "EN_SLICE_P_WR_FFE", 4, 4 },
61877	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN2", 0x4d058, 0 },
61878		{ "EN_SLICE_P_WR", 8, 8 },
61879		{ "EN_SLICE_P_WR_FFE", 4, 4 },
61880	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN3", 0x4d05c, 0 },
61881		{ "EN_SLICE_P_WR", 8, 8 },
61882		{ "EN_SLICE_P_WR_FFE", 4, 4 },
61883	{ "MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP0", 0x4d080, 0 },
61884		{ "SLICE_SEL_REG_BITS0_1", 14, 2 },
61885		{ "SLICE_SEL_REG_BITS2_3", 12, 2 },
61886		{ "SLICE_SEL_REG_BITS4_5", 10, 2 },
61887		{ "SLICE_SEL_REG_BITS6_7", 8, 2 },
61888		{ "SLICE_SEL_REG_BITS8_9", 6, 2 },
61889		{ "SLICE_SEL_REG_BITS10_11", 4, 2 },
61890		{ "SLICE_SEL_REG_BITS12_13", 2, 2 },
61891		{ "SLICE_SEL_REG_BITS14_15", 0, 2 },
61892	{ "MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP1", 0x4d084, 0 },
61893		{ "SLICE_SEL_REG_BITS0_1", 14, 2 },
61894		{ "SLICE_SEL_REG_BITS2_3", 12, 2 },
61895		{ "SLICE_SEL_REG_BITS4_5", 10, 2 },
61896		{ "SLICE_SEL_REG_BITS6_7", 8, 2 },
61897		{ "SLICE_SEL_REG_BITS8_9", 6, 2 },
61898		{ "SLICE_SEL_REG_BITS10_11", 4, 2 },
61899		{ "SLICE_SEL_REG_BITS12_13", 2, 2 },
61900		{ "SLICE_SEL_REG_BITS14_15", 0, 2 },
61901	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE", 0x4d060, 0 },
61902		{ "POST_CURSOR0", 12, 4 },
61903		{ "POST_CURSOR1", 8, 4 },
61904		{ "POST_CURSOR2", 4, 4 },
61905		{ "POST_CURSOR3", 0, 4 },
61906	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP0", 0x4d0a0, 0 },
61907		{ "POST_CUR_SEL_BITS0_1", 14, 2 },
61908		{ "POST_CUR_SEL_BITS2_3", 12, 2 },
61909		{ "POST_CUR_SEL_BITS4_5", 10, 2 },
61910		{ "POST_CUR_SEL_BITS6_7", 8, 2 },
61911		{ "POST_CUR_SEL_BITS8_9", 6, 2 },
61912		{ "POST_CUR_SEL_BITS10_11", 4, 2 },
61913		{ "POST_CUR_SEL_BITS12_13", 2, 2 },
61914		{ "POST_CUR_SEL_BITS14_15", 0, 2 },
61915	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP1", 0x4d0a4, 0 },
61916		{ "POST_CUR_SEL_BITS0_1", 14, 2 },
61917		{ "POST_CUR_SEL_BITS2_3", 12, 2 },
61918		{ "POST_CUR_SEL_BITS4_5", 10, 2 },
61919		{ "POST_CUR_SEL_BITS6_7", 8, 2 },
61920		{ "POST_CUR_SEL_BITS8_9", 6, 2 },
61921		{ "POST_CUR_SEL_BITS10_11", 4, 2 },
61922		{ "POST_CUR_SEL_BITS12_13", 2, 2 },
61923		{ "POST_CUR_SEL_BITS14_15", 0, 2 },
61924	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE", 0x4d068, 0 },
61925		{ "SLEW_CTL0", 12, 4 },
61926		{ "SLEW_CTL1", 8, 4 },
61927		{ "SLEW_CTL2", 4, 4 },
61928		{ "SLEW_CTL3", 0, 4 },
61929	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP0", 0x4d0a8, 0 },
61930		{ "SLEW_CTL_SEL_BITS0_1", 14, 2 },
61931		{ "SLEW_CTL_SEL_BITS2_3", 12, 2 },
61932		{ "SLEW_CTL_SEL_BITS4_5", 10, 2 },
61933		{ "SLEW_CTL_SEL_BITS6_7", 8, 2 },
61934		{ "SLEW_CTL_SEL_BITS8_9", 6, 2 },
61935		{ "SLEW_CTL_SEL_BITS10_11", 4, 2 },
61936		{ "SLEW_CTL_SEL_BITS12_13", 2, 2 },
61937		{ "SLEW_CTL_SEL_BITS14_15", 0, 2 },
61938	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP1", 0x4d0ac, 0 },
61939		{ "SLEW_CTL_SEL_BITS0_1", 14, 2 },
61940		{ "SLEW_CTL_SEL_BITS2_3", 12, 2 },
61941		{ "SLEW_CTL_SEL_BITS4_5", 10, 2 },
61942		{ "SLEW_CTL_SEL_BITS6_7", 8, 2 },
61943		{ "SLEW_CTL_SEL_BITS8_9", 6, 2 },
61944		{ "SLEW_CTL_SEL_BITS10_11", 4, 2 },
61945		{ "SLEW_CTL_SEL_BITS12_13", 2, 2 },
61946		{ "SLEW_CTL_SEL_BITS14_15", 0, 2 },
61947	{ "MC_ADR_DDRPHY_ADR_POWERDOWN_2", 0x4d0b0, 0 },
61948		{ "ADR_LANE_0_11_PD", 4, 12 },
61949		{ "ADR_LANE_12_15_PD", 0, 4 },
61950	{ "MC_ADR_DDRPHY_ADR_BIT_ENABLE", 0x4d200, 0 },
61951		{ "BIT_ENABLE_0_11", 4, 12 },
61952		{ "BIT_ENABLE_12_15", 0, 4 },
61953	{ "MC_ADR_DDRPHY_ADR_DIFFPAIR_ENABLE", 0x4d204, 0 },
61954		{ "DI_ADR0_ADR1", 15, 1 },
61955		{ "DI_ADR2_ADR3", 14, 1 },
61956		{ "DI_ADR4_ADR5", 13, 1 },
61957		{ "DI_ADR6_ADR7", 12, 1 },
61958		{ "DI_ADR8_ADR9", 11, 1 },
61959		{ "DI_ADR10_ADR11", 10, 1 },
61960		{ "DI_ADR12_ADR13", 9, 1 },
61961		{ "DI_ADR14_ADR15", 8, 1 },
61962	{ "MC_ADR_DDRPHY_ADR_DELAY0", 0x4d210, 0 },
61963		{ "ADR_DELAY_BITS1_7", 8, 7 },
61964		{ "ADR_DELAY_BITS9_15", 0, 7 },
61965	{ "MC_ADR_DDRPHY_ADR_DELAY1", 0x4d214, 0 },
61966		{ "ADR_DELAY_BITS1_7", 8, 7 },
61967		{ "ADR_DELAY_BITS9_15", 0, 7 },
61968	{ "MC_ADR_DDRPHY_ADR_DELAY2", 0x4d218, 0 },
61969		{ "ADR_DELAY_BITS1_7", 8, 7 },
61970		{ "ADR_DELAY_BITS9_15", 0, 7 },
61971	{ "MC_ADR_DDRPHY_ADR_DELAY3", 0x4d21c, 0 },
61972		{ "ADR_DELAY_BITS1_7", 8, 7 },
61973		{ "ADR_DELAY_BITS9_15", 0, 7 },
61974	{ "MC_ADR_DDRPHY_ADR_DELAY4", 0x4d220, 0 },
61975		{ "ADR_DELAY_BITS1_7", 8, 7 },
61976		{ "ADR_DELAY_BITS9_15", 0, 7 },
61977	{ "MC_ADR_DDRPHY_ADR_DELAY5", 0x4d224, 0 },
61978		{ "ADR_DELAY_BITS1_7", 8, 7 },
61979		{ "ADR_DELAY_BITS9_15", 0, 7 },
61980	{ "MC_ADR_DDRPHY_ADR_DELAY6", 0x4d228, 0 },
61981		{ "ADR_DELAY_BITS1_7", 8, 7 },
61982		{ "ADR_DELAY_BITS9_15", 0, 7 },
61983	{ "MC_ADR_DDRPHY_ADR_DELAY7", 0x4d22c, 0 },
61984		{ "ADR_DELAY_BITS1_7", 8, 7 },
61985		{ "ADR_DELAY_BITS9_15", 0, 7 },
61986	{ "MC_ADR_DDRPHY_ADR_DFT_WRAP_STATUS_CONTROL", 0x4d230, 0 },
61987		{ "ADR_TEST_LANE_PAIR_FAIL", 8, 8 },
61988		{ "ADR_TEST_DATA_EN", 7, 1 },
61989		{ "DADR_TEST_MODE", 5, 2 },
61990		{ "ADR_TEST_4TO1_MODE", 4, 1 },
61991		{ "ADR_TEST_RESET", 3, 1 },
61992		{ "ADR_TEST_GEN_EN", 2, 1 },
61993		{ "ADR_TEST_CLEAR_ERROR", 1, 1 },
61994		{ "ADR_TEST_CHECK_EN", 0, 1 },
61995	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN0", 0x4d240, 0 },
61996		{ "EN_SLICE_N_WR_0", 8, 8 },
61997		{ "EN_SLICE_N_WR_FFE", 4, 4 },
61998	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN1", 0x4d244, 0 },
61999		{ "EN_SLICE_N_WR_1", 8, 8 },
62000		{ "EN_SLICE_N_WR_FFE", 4, 4 },
62001	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN2", 0x4d248, 0 },
62002		{ "EN_SLICE_N_WR_2", 8, 8 },
62003		{ "EN_SLICE_N_WR_FFE", 4, 4 },
62004	{ "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN3", 0x4d24c, 0 },
62005		{ "EN_SLICE_N_WR_3", 8, 8 },
62006		{ "EN_SLICE_N_WR_FFE", 4, 4 },
62007	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN0", 0x4d250, 0 },
62008		{ "EN_SLICE_P_WR", 8, 8 },
62009		{ "EN_SLICE_P_WR_FFE", 4, 4 },
62010	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN1", 0x4d254, 0 },
62011		{ "EN_SLICE_P_WR", 8, 8 },
62012		{ "EN_SLICE_P_WR_FFE", 4, 4 },
62013	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN2", 0x4d258, 0 },
62014		{ "EN_SLICE_P_WR", 8, 8 },
62015		{ "EN_SLICE_P_WR_FFE", 4, 4 },
62016	{ "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN3", 0x4d25c, 0 },
62017		{ "EN_SLICE_P_WR", 8, 8 },
62018		{ "EN_SLICE_P_WR_FFE", 4, 4 },
62019	{ "MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP0", 0x4d280, 0 },
62020		{ "SLICE_SEL_REG_BITS0_1", 14, 2 },
62021		{ "SLICE_SEL_REG_BITS2_3", 12, 2 },
62022		{ "SLICE_SEL_REG_BITS4_5", 10, 2 },
62023		{ "SLICE_SEL_REG_BITS6_7", 8, 2 },
62024		{ "SLICE_SEL_REG_BITS8_9", 6, 2 },
62025		{ "SLICE_SEL_REG_BITS10_11", 4, 2 },
62026		{ "SLICE_SEL_REG_BITS12_13", 2, 2 },
62027		{ "SLICE_SEL_REG_BITS14_15", 0, 2 },
62028	{ "MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP1", 0x4d284, 0 },
62029		{ "SLICE_SEL_REG_BITS0_1", 14, 2 },
62030		{ "SLICE_SEL_REG_BITS2_3", 12, 2 },
62031		{ "SLICE_SEL_REG_BITS4_5", 10, 2 },
62032		{ "SLICE_SEL_REG_BITS6_7", 8, 2 },
62033		{ "SLICE_SEL_REG_BITS8_9", 6, 2 },
62034		{ "SLICE_SEL_REG_BITS10_11", 4, 2 },
62035		{ "SLICE_SEL_REG_BITS12_13", 2, 2 },
62036		{ "SLICE_SEL_REG_BITS14_15", 0, 2 },
62037	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE", 0x4d260, 0 },
62038		{ "POST_CURSOR0", 12, 4 },
62039		{ "POST_CURSOR1", 8, 4 },
62040		{ "POST_CURSOR2", 4, 4 },
62041		{ "POST_CURSOR3", 0, 4 },
62042	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP0", 0x4d2a0, 0 },
62043		{ "POST_CUR_SEL_BITS0_1", 14, 2 },
62044		{ "POST_CUR_SEL_BITS2_3", 12, 2 },
62045		{ "POST_CUR_SEL_BITS4_5", 10, 2 },
62046		{ "POST_CUR_SEL_BITS6_7", 8, 2 },
62047		{ "POST_CUR_SEL_BITS8_9", 6, 2 },
62048		{ "POST_CUR_SEL_BITS10_11", 4, 2 },
62049		{ "POST_CUR_SEL_BITS12_13", 2, 2 },
62050		{ "POST_CUR_SEL_BITS14_15", 0, 2 },
62051	{ "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP1", 0x4d2a4, 0 },
62052		{ "POST_CUR_SEL_BITS0_1", 14, 2 },
62053		{ "POST_CUR_SEL_BITS2_3", 12, 2 },
62054		{ "POST_CUR_SEL_BITS4_5", 10, 2 },
62055		{ "POST_CUR_SEL_BITS6_7", 8, 2 },
62056		{ "POST_CUR_SEL_BITS8_9", 6, 2 },
62057		{ "POST_CUR_SEL_BITS10_11", 4, 2 },
62058		{ "POST_CUR_SEL_BITS12_13", 2, 2 },
62059		{ "POST_CUR_SEL_BITS14_15", 0, 2 },
62060	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE", 0x4d268, 0 },
62061		{ "SLEW_CTL0", 12, 4 },
62062		{ "SLEW_CTL1", 8, 4 },
62063		{ "SLEW_CTL2", 4, 4 },
62064		{ "SLEW_CTL3", 0, 4 },
62065	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP0", 0x4d2a8, 0 },
62066		{ "SLEW_CTL_SEL_BITS0_1", 14, 2 },
62067		{ "SLEW_CTL_SEL_BITS2_3", 12, 2 },
62068		{ "SLEW_CTL_SEL_BITS4_5", 10, 2 },
62069		{ "SLEW_CTL_SEL_BITS6_7", 8, 2 },
62070		{ "SLEW_CTL_SEL_BITS8_9", 6, 2 },
62071		{ "SLEW_CTL_SEL_BITS10_11", 4, 2 },
62072		{ "SLEW_CTL_SEL_BITS12_13", 2, 2 },
62073		{ "SLEW_CTL_SEL_BITS14_15", 0, 2 },
62074	{ "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP1", 0x4d2ac, 0 },
62075		{ "SLEW_CTL_SEL_BITS0_1", 14, 2 },
62076		{ "SLEW_CTL_SEL_BITS2_3", 12, 2 },
62077		{ "SLEW_CTL_SEL_BITS4_5", 10, 2 },
62078		{ "SLEW_CTL_SEL_BITS6_7", 8, 2 },
62079		{ "SLEW_CTL_SEL_BITS8_9", 6, 2 },
62080		{ "SLEW_CTL_SEL_BITS10_11", 4, 2 },
62081		{ "SLEW_CTL_SEL_BITS12_13", 2, 2 },
62082		{ "SLEW_CTL_SEL_BITS14_15", 0, 2 },
62083	{ "MC_ADR_DDRPHY_ADR_POWERDOWN_2", 0x4d2b0, 0 },
62084		{ "ADR_LANE_0_11_PD", 4, 12 },
62085		{ "ADR_LANE_12_15_PD", 0, 4 },
62086	{ "MC_DDRPHY_ADR_PLL_VREG_CONFIG_0", 0x4e0c0, 0 },
62087		{ "PLL_TUNE_0_2", 13, 3 },
62088		{ "PLL_TUNECP_0_2", 10, 3 },
62089		{ "PLL_TUNEF_0_5", 4, 6 },
62090		{ "PLL_TUNEVCO_0_1", 2, 2 },
62091		{ "PLL_PLLXTR_0_1", 0, 2 },
62092	{ "MC_DDRPHY_ADR_PLL_VREG_CONFIG_1", 0x4e0c4, 0 },
62093		{ "PLL_TUNETDIV_0_2", 13, 3 },
62094		{ "PLL_TUNEMDIV_0_1", 11, 2 },
62095		{ "PLL_TUNEATST", 10, 1 },
62096		{ "VREG_RANGE_0_1", 8, 2 },
62097		{ "VREG_VREGSPARE", 7, 1 },
62098		{ "VREG_VCCTUNE_0_1", 5, 2 },
62099		{ "INTERP_SIG_SLEW_0_3", 1, 4 },
62100		{ "ANALOG_WRAPON", 0, 1 },
62101	{ "MC_DDRPHY_ADR_SYSCLK_CNTL_PR", 0x4e0c8, 0 },
62102		{ "SYSCLK_ENABLE", 15, 1 },
62103		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
62104		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
62105		{ "SYSCLK_PHASE_ALIGN_RESE", 6, 1 },
62106		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
62107		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
62108		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
62109		{ "CONTINUOUS_UPDATE", 2, 1 },
62110		{ "CE0DLTVCC", 0, 2 },
62111	{ "MC_DDRPHY_ADR_MCCLK_WRCLK_PR_STATIC_OFFSET", 0x4e0cc, 0 },
62112		{ "TSYS_WRCLK", 8, 7 },
62113	{ "MC_DDRPHY_ADR_SYSCLK_PR_VALUE_RO", 0x4e0d0, 0 },
62114		{ "SLEW_LATE_SAMPLE", 15, 1 },
62115		{ "SYSCLK_ROT", 8, 7 },
62116		{ "BB_LOCK", 7, 1 },
62117		{ "SLEW_EARLY_SAMPLE", 6, 1 },
62118		{ "SLEW_DONE_STATUS", 4, 2 },
62119		{ "SLEW_CNTL", 0, 4 },
62120	{ "MC_DDRPHY_ADR_GMTEST_ATEST_CNTL", 0x4e0d4, 0 },
62121		{ "FLUSH", 15, 1 },
62122		{ "GIANT_MUX_TEST_EN", 14, 1 },
62123		{ "GIANT_MUX_TEST_VAL", 13, 1 },
62124		{ "HS_PROBE_A_SEL_", 8, 4 },
62125		{ "HS_PROBE_B_SEL_", 4, 4 },
62126		{ "ATEST1CTL0", 3, 1 },
62127		{ "ATEST1CTL1", 2, 1 },
62128		{ "ATEST1CTL2", 1, 1 },
62129		{ "ATEST1CTL3", 0, 1 },
62130	{ "MC_DDRPHY_ADR_GIANT_MUX_RESULTS_A0", 0x4e0d8, 0 },
62131	{ "MC_DDRPHY_ADR_GIANT_MUX_RESULTS_A1", 0x4e0dc, 0 },
62132	{ "MC_DDRPHY_ADR_POWERDOWN_1", 0x4e0e0, 0 },
62133		{ "MASTER_PD_CNTL", 15, 1 },
62134		{ "ANALOG_INPUT_STAB2", 14, 1 },
62135		{ "ANALOG_INPUT_STAB1", 8, 1 },
62136		{ "SYSCLK_CLK_GATE", 6, 2 },
62137		{ "WR_FIFO_STAB", 5, 1 },
62138		{ "ADR_RX_PD", 4, 1 },
62139		{ "TX_TRISTATE_CNTL", 1, 1 },
62140		{ "DVCC_REG_PD", 0, 1 },
62141	{ "MC_DDRPHY_ADR_SLEW_CAL_CNTL", 0x4e0e4, 0 },
62142		{ "SLEW_CAL_ENABLE", 15, 1 },
62143		{ "SLEW_CAL_START", 14, 1 },
62144		{ "SLEW_CAL_OVERRIDE_EN", 12, 1 },
62145		{ "SLEW_CAL_OVERRIDE", 8, 4 },
62146		{ "SLEW_TARGET_PR_OFFSET", 0, 5 },
62147	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x4c000, 0 },
62148	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x4c004, 0 },
62149		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
62150		{ "DFT_FORCE_OUTPUTS", 7, 1 },
62151		{ "DFT_PRBS7_GEN_EN", 6, 1 },
62152		{ "WRAPSEL", 5, 1 },
62153		{ "MRS_CMD_DATA_N0", 3, 1 },
62154		{ "MRS_CMD_DATA_N1", 2, 1 },
62155		{ "MRS_CMD_DATA_N2", 1, 1 },
62156		{ "MRS_CMD_DATA_N3", 0, 1 },
62157	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x4c1f0, 0 },
62158	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x4c1f4, 0 },
62159		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
62160	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x4c008, 0 },
62161	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4c00c, 0 },
62162		{ "DATA_BIT_DIR_16_23", 8, 8 },
62163		{ "WL_ADVANCE_DISABLE", 7, 1 },
62164		{ "DISABLE_PING_PONG", 6, 1 },
62165		{ "DELAY_PING_PONG_HALF", 5, 1 },
62166		{ "ADVANCE_PING_PONG", 4, 1 },
62167		{ "ATEST_MUX_CTL0", 3, 1 },
62168		{ "ATEST_MUX_CTL1", 2, 1 },
62169		{ "ATEST_MUX_CTL2", 1, 1 },
62170		{ "ATEST_MUX_CTL3", 0, 1 },
62171	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x4c010, 0 },
62172		{ "QUAD0_CLK16_BIT0", 15, 1 },
62173		{ "QUAD1_CLK16_BIT1", 14, 1 },
62174		{ "QUAD2_CLK16_BIT2", 13, 1 },
62175		{ "QUAD3_CLK16_BIT3", 12, 1 },
62176		{ "QUAD0_CLK18_BIT4", 11, 1 },
62177		{ "QUAD1_CLK18_BIT5", 10, 1 },
62178		{ "QUAD2_CLK20_BIT6", 9, 1 },
62179		{ "QUAD3_CLK20_BIT7", 8, 1 },
62180		{ "QUAD2_CLK22_BIT8", 7, 1 },
62181		{ "QUAD3_CLK22_BIT9", 6, 1 },
62182		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
62183		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
62184		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
62185		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
62186	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x4c014, 0 },
62187		{ "QUAD0_CLK16_BIT0", 15, 1 },
62188		{ "QUAD1_CLK16_BIT1", 14, 1 },
62189		{ "QUAD2_CLK16_BIT2", 13, 1 },
62190		{ "QUAD3_CLK16_BIT3", 12, 1 },
62191		{ "QUAD0_CLK18_BIT4", 11, 1 },
62192		{ "QUAD1_CLK18_BIT5", 10, 1 },
62193		{ "QUAD2_CLK20_BIT6", 9, 1 },
62194		{ "QUAD3_CLK20_BIT7", 8, 1 },
62195		{ "QUAD2_CLK22_BIT8", 7, 1 },
62196		{ "QUAD3_CLK22_BIT9", 6, 1 },
62197		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
62198		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
62199		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
62200		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
62201		{ "QUAD2_CLK18_BIT14", 1, 1 },
62202		{ "QUAD3_CLK18_BIT15", 0, 1 },
62203	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x4c1f8, 0 },
62204		{ "DQ_WR_OFFSET_N0", 12, 4 },
62205		{ "DQ_WR_OFFSET_N1", 8, 4 },
62206		{ "DQ_WR_OFFSET_N2", 4, 4 },
62207		{ "DQ_WR_OFFSET_N3", 0, 4 },
62208	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x4c018, 0 },
62209		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
62210		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
62211		{ "SxMCVREF_0_3", 4, 4 },
62212		{ "SxPODVREF", 3, 1 },
62213		{ "DISABLE_TERMINATION", 2, 1 },
62214		{ "READ_CENTERING_MODE", 0, 2 },
62215	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x4c01c, 0 },
62216		{ "SYSCLK_ENABLE", 15, 1 },
62217		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
62218		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
62219		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
62220		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
62221		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
62222		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
62223		{ "CONTINUOUS_UPDATE", 2, 1 },
62224	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x4c1cc, 0 },
62225		{ "SYSCLK_ROT", 8, 7 },
62226	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x4c1d0, 0 },
62227		{ "TSYS_WRCLK", 8, 7 },
62228	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x4c0c0, 0 },
62229		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
62230		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
62231	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x4c0c4, 0 },
62232		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
62233		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
62234	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x4c024, 0 },
62235		{ "DQSCLK_SELECT0", 14, 2 },
62236		{ "RDCLK_SELECT0", 12, 2 },
62237		{ "DQSCLK_SELECT1", 10, 2 },
62238		{ "RDCLK_SELECT1", 8, 2 },
62239		{ "DQSCLK_SELECT2", 6, 2 },
62240		{ "RDCLK_SELECT2", 4, 2 },
62241		{ "DQSCLK_SELECT3", 2, 2 },
62242		{ "RDCLK_SELECT3", 0, 2 },
62243	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x4c170, 0 },
62244		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
62245		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
62246	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x4c174, 0 },
62247		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
62248		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
62249	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x4c0e0, 0 },
62250		{ "WR_DELAY", 6, 10 },
62251	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x4c0e4, 0 },
62252		{ "WR_DELAY", 6, 10 },
62253	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x4c0e8, 0 },
62254		{ "WR_DELAY", 6, 10 },
62255	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x4c0ec, 0 },
62256		{ "WR_DELAY", 6, 10 },
62257	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x4c0f0, 0 },
62258		{ "WR_DELAY", 6, 10 },
62259	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x4c0f4, 0 },
62260		{ "WR_DELAY", 6, 10 },
62261	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x4c0f8, 0 },
62262		{ "WR_DELAY", 6, 10 },
62263	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x4c0fc, 0 },
62264		{ "WR_DELAY", 6, 10 },
62265	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x4c100, 0 },
62266		{ "WR_DELAY", 6, 10 },
62267	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x4c104, 0 },
62268		{ "WR_DELAY", 6, 10 },
62269	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x4c108, 0 },
62270		{ "WR_DELAY", 6, 10 },
62271	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4c10c, 0 },
62272		{ "WR_DELAY", 6, 10 },
62273	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x4c110, 0 },
62274		{ "WR_DELAY", 6, 10 },
62275	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x4c114, 0 },
62276		{ "WR_DELAY", 6, 10 },
62277	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x4c118, 0 },
62278		{ "WR_DELAY", 6, 10 },
62279	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4c11c, 0 },
62280		{ "WR_DELAY", 6, 10 },
62281	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x4c120, 0 },
62282		{ "WR_DELAY", 6, 10 },
62283	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x4c124, 0 },
62284		{ "WR_DELAY", 6, 10 },
62285	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x4c128, 0 },
62286		{ "WR_DELAY", 6, 10 },
62287	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4c12c, 0 },
62288		{ "WR_DELAY", 6, 10 },
62289	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x4c130, 0 },
62290		{ "WR_DELAY", 6, 10 },
62291	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x4c134, 0 },
62292		{ "WR_DELAY", 6, 10 },
62293	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x4c138, 0 },
62294		{ "WR_DELAY", 6, 10 },
62295	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4c13c, 0 },
62296		{ "WR_DELAY", 6, 10 },
62297	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x4c140, 0 },
62298		{ "RD_DELAY_BITS0_6", 9, 7 },
62299		{ "RD_DELAY_BITS8_14", 1, 7 },
62300	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x4c144, 0 },
62301		{ "RD_DELAY_BITS0_6", 9, 7 },
62302		{ "RD_DELAY_BITS8_14", 1, 7 },
62303	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x4c148, 0 },
62304		{ "RD_DELAY_BITS0_6", 9, 7 },
62305		{ "RD_DELAY_BITS8_14", 1, 7 },
62306	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4c14c, 0 },
62307		{ "RD_DELAY_BITS0_6", 9, 7 },
62308		{ "RD_DELAY_BITS8_14", 1, 7 },
62309	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x4c150, 0 },
62310		{ "RD_DELAY_BITS0_6", 9, 7 },
62311		{ "RD_DELAY_BITS8_14", 1, 7 },
62312	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x4c154, 0 },
62313		{ "RD_DELAY_BITS0_6", 9, 7 },
62314		{ "RD_DELAY_BITS8_14", 1, 7 },
62315	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x4c158, 0 },
62316		{ "RD_DELAY_BITS0_6", 9, 7 },
62317		{ "RD_DELAY_BITS8_14", 1, 7 },
62318	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4c15c, 0 },
62319		{ "RD_DELAY_BITS0_6", 9, 7 },
62320		{ "RD_DELAY_BITS8_14", 1, 7 },
62321	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x4c160, 0 },
62322		{ "RD_DELAY_BITS0_6", 9, 7 },
62323		{ "RD_DELAY_BITS8_14", 1, 7 },
62324	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x4c164, 0 },
62325		{ "RD_DELAY_BITS0_6", 9, 7 },
62326		{ "RD_DELAY_BITS8_14", 1, 7 },
62327	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x4c168, 0 },
62328		{ "RD_DELAY_BITS0_6", 9, 7 },
62329		{ "RD_DELAY_BITS8_14", 1, 7 },
62330	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4c16c, 0 },
62331		{ "RD_DELAY_BITS0_6", 9, 7 },
62332		{ "RD_DELAY_BITS8_14", 1, 7 },
62333	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x4c030, 0 },
62334		{ "OFFSET_BITS1_7", 8, 7 },
62335		{ "OFFSET_BITS9_15", 0, 7 },
62336	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x4c034, 0 },
62337		{ "OFFSET_BITS1_7", 8, 7 },
62338		{ "OFFSET_BITS9_15", 0, 7 },
62339	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x4c1c0, 0 },
62340		{ "REFERENCE_BITS1_7", 8, 7 },
62341		{ "REFERENCE_BITS9_15", 0, 7 },
62342	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x4c1c4, 0 },
62343		{ "REFERENCE_BITS1_7", 8, 7 },
62344		{ "REFERENCE_BITS9_15", 0, 7 },
62345	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x4c1c8, 0 },
62346		{ "REFERENCE", 8, 7 },
62347	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x4c180, 0 },
62348		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62349		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62350	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x4c184, 0 },
62351		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62352		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62353	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x4c188, 0 },
62354		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62355		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62356	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4c18c, 0 },
62357		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62358		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62359	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x4c190, 0 },
62360		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62361		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62362	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x4c194, 0 },
62363		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62364		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62365	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x4c198, 0 },
62366		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62367		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62368	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4c19c, 0 },
62369		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62370		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62371	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x4c1a0, 0 },
62372		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62373		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62374	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x4c1a4, 0 },
62375		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62376		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62377	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x4c1a8, 0 },
62378		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62379		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62380	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x4c1ac, 0 },
62381		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62382		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62383	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x4c028, 0 },
62384		{ "MIN_RD_EYE_SIZE", 8, 6 },
62385		{ "MAX_DQS_DRIFT", 0, 6 },
62386	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x4c038, 0 },
62387	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4c03c, 0 },
62388		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
62389	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x4c040, 0 },
62390	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x4c044, 0 },
62391		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
62392	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4c04c, 0 },
62393		{ "DQS_GATE_DELAY_N0", 12, 3 },
62394		{ "DQS_GATE_DELAY_N1", 8, 3 },
62395		{ "DQS_GATE_DELAY_N2", 4, 3 },
62396		{ "DQS_GATE_DELAY_N3", 0, 3 },
62397	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x4c050, 0 },
62398		{ "NO_EYE_DETECTED", 15, 1 },
62399		{ "LEADING_EDGE_FOUND", 14, 1 },
62400		{ "TRAILING_EDGE_FOUND", 13, 1 },
62401		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
62402		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
62403		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
62404		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
62405		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
62406		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
62407		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
62408		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
62409		{ "EYE_CLIPPING", 4, 1 },
62410		{ "NO_DQS", 3, 1 },
62411		{ "NO_LOCK", 2, 1 },
62412		{ "DRIFT_ERROR", 1, 1 },
62413		{ "MIN_EYE", 0, 1 },
62414	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x4c054, 0 },
62415		{ "NO_EYE_DETECTED_MASK", 15, 1 },
62416		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
62417		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
62418		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
62419		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
62420		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
62421		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
62422		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
62423		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
62424		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
62425		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
62426		{ "EYE_CLIPPING_MASK", 4, 1 },
62427		{ "NO_DQS_MASK", 3, 1 },
62428		{ "NO_LOCK_MASK", 2, 1 },
62429		{ "DRIFT_ERROR_MASK", 1, 1 },
62430		{ "MIN_EYE_MASK", 0, 1 },
62431	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4c05c, 0 },
62432		{ "CLK_LEVEL", 14, 2 },
62433		{ "FINE_STEPPING", 13, 1 },
62434		{ "DONE", 12, 1 },
62435		{ "WL_ERR_CLK16_ST", 11, 1 },
62436		{ "WL_ERR_CLK18_ST", 10, 1 },
62437		{ "WL_ERR_CLK20_ST", 9, 1 },
62438		{ "WL_ERR_CLK22_ST", 8, 1 },
62439		{ "ZERO_DETECTED", 7, 1 },
62440	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x4c060, 0 },
62441		{ "BIT_CENTERED", 11, 5 },
62442		{ "SMALL_STEP_LEFT", 10, 1 },
62443		{ "BIG_STEP_RIGHT", 9, 1 },
62444		{ "MATCH_STEP_RIGHT", 8, 1 },
62445		{ "JUMP_BACK_RIGHT", 7, 1 },
62446		{ "SMALL_STEP_RIGHT", 6, 1 },
62447		{ "DDONE", 5, 1 },
62448	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x4c064, 0 },
62449		{ "FW_LEFT_SIDE", 5, 11 },
62450	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x4c068, 0 },
62451		{ "FW_RIGHT_SIDE", 5, 11 },
62452	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x4c06c, 0 },
62453		{ "WL_ERR_CLK16", 15, 1 },
62454		{ "WL_ERR_CLK18", 14, 1 },
62455		{ "WL_ERR_CLK20", 13, 1 },
62456		{ "WL_ERR_CLK22", 12, 1 },
62457		{ "VALID_NS_BIG_L", 7, 1 },
62458		{ "INVALID_NS_SMALL_L", 6, 1 },
62459		{ "VALID_NS_BIG_R", 5, 1 },
62460		{ "INVALID_NS_BIG_R", 4, 1 },
62461		{ "VALID_NS_JUMP_BACK", 3, 1 },
62462		{ "INVALID_NS_SMALL_R", 2, 1 },
62463		{ "OFFSET_ERR", 1, 1 },
62464	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x4c070, 0 },
62465		{ "WL_ERR_CLK16_MASK", 15, 1 },
62466		{ "WL_ERR_CLK18_MASK", 14, 1 },
62467		{ "WL_ERR_CLK20_MASK", 13, 1 },
62468		{ "WR_ERR_CLK22_MASK", 12, 1 },
62469		{ "VALID_NS_BIG_L_MASK", 7, 1 },
62470		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
62471		{ "VALID_NS_BIG_R_MASK", 5, 1 },
62472		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
62473		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
62474		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
62475		{ "OFFSET_ERR_MASK", 1, 1 },
62476	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x4c1d8, 0 },
62477		{ "PLL_TUNE_0_2", 13, 3 },
62478		{ "PLL_TUNECP_0_2", 10, 3 },
62479		{ "PLL_TUNEF_0_5", 4, 6 },
62480		{ "PLL_TUNEVCO_0_1", 2, 2 },
62481		{ "PLL_PLLXTR_0_1", 0, 2 },
62482	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x4c1dc, 0 },
62483		{ "PLL_TUNETDIV_0_2", 13, 3 },
62484		{ "PLL_TUNEMDIV_0_1", 11, 2 },
62485		{ "PLL_TUNEATST", 10, 1 },
62486		{ "VREG_RANGE_0_1", 8, 2 },
62487		{ "CE0DLTVCCA", 7, 1 },
62488		{ "VREG_VCCTUNE_0_1", 5, 2 },
62489		{ "CE0DLTVCCD1", 4, 1 },
62490		{ "CE0DLTVCCD2", 3, 1 },
62491		{ "S0INSDLYTAP", 2, 1 },
62492		{ "S1INSDLYTAP", 1, 1 },
62493	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x4c1e0, 0 },
62494		{ "EN_SLICE_N_WR", 8, 8 },
62495		{ "EN_SLICE_N_WR_FFE", 4, 4 },
62496	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x4c1e8, 0 },
62497		{ "EN_TERM_N_WR", 8, 8 },
62498		{ "EN_TERM_N_WR_FFE", 4, 4 },
62499	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x4c1e4, 0 },
62500		{ "EN_SLICE_P_WR", 8, 8 },
62501		{ "EN_SLICE_P_WR_FFE", 4, 4 },
62502	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x4c1ec, 0 },
62503		{ "EN_TERM_P_WR", 8, 8 },
62504		{ "EN_TERM_P_WR_FFE", 4, 4 },
62505	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x4c1d4, 0 },
62506		{ "INTERP_SIG_SLEW", 12, 4 },
62507		{ "POST_CURSOR", 8, 4 },
62508		{ "SLEW_CTL", 4, 4 },
62509	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x4c074, 0 },
62510		{ "CHECKER_RESET", 14, 1 },
62511		{ "SYNC", 6, 6 },
62512		{ "ERROR", 0, 6 },
62513	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x4c020, 0 },
62514		{ "DIGITAL_EYE_EN", 15, 1 },
62515		{ "BUMP", 14, 1 },
62516		{ "TRIG_PERIOD", 13, 1 },
62517		{ "CNTL_POL", 12, 1 },
62518		{ "CNTL_SRC", 8, 1 },
62519		{ "DIGITAL_EYE_VALUE", 0, 8 },
62520	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x4c0c8, 0 },
62521		{ "MEMINTD00_POS", 14, 2 },
62522		{ "MEMINTD01_PO", 12, 2 },
62523		{ "MEMINTD02_POS", 10, 2 },
62524		{ "MEMINTD03_POS", 8, 2 },
62525		{ "MEMINTD04_POS", 6, 2 },
62526		{ "MEMINTD05_POS", 4, 2 },
62527		{ "MEMINTD06_POS", 2, 2 },
62528		{ "MEMINTD07_POS", 0, 2 },
62529	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x4c0cc, 0 },
62530		{ "MEMINTD08_POS", 14, 2 },
62531		{ "MEMINTD09_POS", 12, 2 },
62532		{ "MEMINTD10_POS", 10, 2 },
62533		{ "MEMINTD11_POS", 8, 2 },
62534		{ "MEMINTD12_POS", 6, 2 },
62535		{ "MEMINTD13_POS", 4, 2 },
62536		{ "MEMINTD14_POS", 2, 2 },
62537		{ "MEMINTD15_POS", 0, 2 },
62538	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x4c0d0, 0 },
62539		{ "MEMINTD16_POS", 14, 2 },
62540		{ "MEMINTD17_POS", 12, 2 },
62541		{ "MEMINTD18_POS", 10, 2 },
62542		{ "MEMINTD19_POS", 8, 2 },
62543		{ "MEMINTD20_POS", 6, 2 },
62544		{ "MEMINTD21_POS", 4, 2 },
62545		{ "MEMINTD22_POS", 2, 2 },
62546		{ "MEMINTD23_POS", 0, 2 },
62547	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x4c078, 0 },
62548		{ "SYSCLK_RDCLK_OFFSET", 8, 7 },
62549		{ "SYSCLK_DQSCLK_OFFSET", 0, 7 },
62550	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x4c0d4, 0 },
62551	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x4c0d8, 0 },
62552	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x4c1b4, 0 },
62553	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x4c1b8, 0 },
62554	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x4c0dc, 0 },
62555		{ "DQS_OFFSET", 8, 7 },
62556	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x4c02c, 0 },
62557		{ "HS_PROBE_A_SEL", 11, 5 },
62558		{ "HS_PROBE_B_SEL", 6, 5 },
62559		{ "RD_DEBUG_SEL", 3, 3 },
62560		{ "WR_DEBUG_SEL", 0, 3 },
62561	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x4c1fc, 0 },
62562		{ "MASTER_PD_CNTL", 15, 1 },
62563		{ "ANALOG_INPUT_STAB2", 14, 1 },
62564		{ "EYEDAC_PD", 13, 1 },
62565		{ "ANALOG_OUTPUT_STAB", 9, 1 },
62566		{ "ANALOG_INPUT_STAB1", 8, 1 },
62567		{ "SYSCLK_CLK_GATE", 6, 2 },
62568		{ "WR_FIFO_STAB", 5, 1 },
62569		{ "ADR_RX_PD", 4, 1 },
62570		{ "DP18_RX_PD", 2, 2 },
62571		{ "TX_TRISTATE_CNTL", 1, 1 },
62572		{ "DVCC_REG_PD", 0, 1 },
62573	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x4c048, 0 },
62574		{ "DYN_POWER_CNTL_EN", 15, 1 },
62575		{ "DYN_MCTERM_CNTL_EN", 14, 1 },
62576		{ "DYN_RX_GATE_CNTL_EN", 13, 1 },
62577		{ "CALGATE_ON", 12, 1 },
62578		{ "PER_RDCLK_UPDATE_DIS", 11, 1 },
62579	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x4c200, 0 },
62580	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x4c204, 0 },
62581		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
62582		{ "DFT_FORCE_OUTPUTS", 7, 1 },
62583		{ "DFT_PRBS7_GEN_EN", 6, 1 },
62584		{ "WRAPSEL", 5, 1 },
62585		{ "MRS_CMD_DATA_N0", 3, 1 },
62586		{ "MRS_CMD_DATA_N1", 2, 1 },
62587		{ "MRS_CMD_DATA_N2", 1, 1 },
62588		{ "MRS_CMD_DATA_N3", 0, 1 },
62589	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x4c3f0, 0 },
62590	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x4c3f4, 0 },
62591		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
62592	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x4c208, 0 },
62593	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4c20c, 0 },
62594		{ "DATA_BIT_DIR_16_23", 8, 8 },
62595		{ "WL_ADVANCE_DISABLE", 7, 1 },
62596		{ "DISABLE_PING_PONG", 6, 1 },
62597		{ "DELAY_PING_PONG_HALF", 5, 1 },
62598		{ "ADVANCE_PING_PONG", 4, 1 },
62599		{ "ATEST_MUX_CTL0", 3, 1 },
62600		{ "ATEST_MUX_CTL1", 2, 1 },
62601		{ "ATEST_MUX_CTL2", 1, 1 },
62602		{ "ATEST_MUX_CTL3", 0, 1 },
62603	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x4c210, 0 },
62604		{ "QUAD0_CLK16_BIT0", 15, 1 },
62605		{ "QUAD1_CLK16_BIT1", 14, 1 },
62606		{ "QUAD2_CLK16_BIT2", 13, 1 },
62607		{ "QUAD3_CLK16_BIT3", 12, 1 },
62608		{ "QUAD0_CLK18_BIT4", 11, 1 },
62609		{ "QUAD1_CLK18_BIT5", 10, 1 },
62610		{ "QUAD2_CLK20_BIT6", 9, 1 },
62611		{ "QUAD3_CLK20_BIT7", 8, 1 },
62612		{ "QUAD2_CLK22_BIT8", 7, 1 },
62613		{ "QUAD3_CLK22_BIT9", 6, 1 },
62614		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
62615		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
62616		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
62617		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
62618	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x4c214, 0 },
62619		{ "QUAD0_CLK16_BIT0", 15, 1 },
62620		{ "QUAD1_CLK16_BIT1", 14, 1 },
62621		{ "QUAD2_CLK16_BIT2", 13, 1 },
62622		{ "QUAD3_CLK16_BIT3", 12, 1 },
62623		{ "QUAD0_CLK18_BIT4", 11, 1 },
62624		{ "QUAD1_CLK18_BIT5", 10, 1 },
62625		{ "QUAD2_CLK20_BIT6", 9, 1 },
62626		{ "QUAD3_CLK20_BIT7", 8, 1 },
62627		{ "QUAD2_CLK22_BIT8", 7, 1 },
62628		{ "QUAD3_CLK22_BIT9", 6, 1 },
62629		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
62630		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
62631		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
62632		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
62633		{ "QUAD2_CLK18_BIT14", 1, 1 },
62634		{ "QUAD3_CLK18_BIT15", 0, 1 },
62635	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x4c3f8, 0 },
62636		{ "DQ_WR_OFFSET_N0", 12, 4 },
62637		{ "DQ_WR_OFFSET_N1", 8, 4 },
62638		{ "DQ_WR_OFFSET_N2", 4, 4 },
62639		{ "DQ_WR_OFFSET_N3", 0, 4 },
62640	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x4c218, 0 },
62641		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
62642		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
62643		{ "SxMCVREF_0_3", 4, 4 },
62644		{ "SxPODVREF", 3, 1 },
62645		{ "DISABLE_TERMINATION", 2, 1 },
62646		{ "READ_CENTERING_MODE", 0, 2 },
62647	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x4c21c, 0 },
62648		{ "SYSCLK_ENABLE", 15, 1 },
62649		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
62650		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
62651		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
62652		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
62653		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
62654		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
62655		{ "CONTINUOUS_UPDATE", 2, 1 },
62656	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x4c3cc, 0 },
62657		{ "SYSCLK_ROT", 8, 7 },
62658	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x4c3d0, 0 },
62659		{ "TSYS_WRCLK", 8, 7 },
62660	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x4c2c0, 0 },
62661		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
62662		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
62663	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x4c2c4, 0 },
62664		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
62665		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
62666	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x4c224, 0 },
62667		{ "DQSCLK_SELECT0", 14, 2 },
62668		{ "RDCLK_SELECT0", 12, 2 },
62669		{ "DQSCLK_SELECT1", 10, 2 },
62670		{ "RDCLK_SELECT1", 8, 2 },
62671		{ "DQSCLK_SELECT2", 6, 2 },
62672		{ "RDCLK_SELECT2", 4, 2 },
62673		{ "DQSCLK_SELECT3", 2, 2 },
62674		{ "RDCLK_SELECT3", 0, 2 },
62675	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x4c370, 0 },
62676		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
62677		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
62678	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x4c374, 0 },
62679		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
62680		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
62681	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x4c2e0, 0 },
62682		{ "WR_DELAY", 6, 10 },
62683	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x4c2e4, 0 },
62684		{ "WR_DELAY", 6, 10 },
62685	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x4c2e8, 0 },
62686		{ "WR_DELAY", 6, 10 },
62687	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x4c2ec, 0 },
62688		{ "WR_DELAY", 6, 10 },
62689	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x4c2f0, 0 },
62690		{ "WR_DELAY", 6, 10 },
62691	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x4c2f4, 0 },
62692		{ "WR_DELAY", 6, 10 },
62693	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x4c2f8, 0 },
62694		{ "WR_DELAY", 6, 10 },
62695	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x4c2fc, 0 },
62696		{ "WR_DELAY", 6, 10 },
62697	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x4c300, 0 },
62698		{ "WR_DELAY", 6, 10 },
62699	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x4c304, 0 },
62700		{ "WR_DELAY", 6, 10 },
62701	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x4c308, 0 },
62702		{ "WR_DELAY", 6, 10 },
62703	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4c30c, 0 },
62704		{ "WR_DELAY", 6, 10 },
62705	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x4c310, 0 },
62706		{ "WR_DELAY", 6, 10 },
62707	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x4c314, 0 },
62708		{ "WR_DELAY", 6, 10 },
62709	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x4c318, 0 },
62710		{ "WR_DELAY", 6, 10 },
62711	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4c31c, 0 },
62712		{ "WR_DELAY", 6, 10 },
62713	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x4c320, 0 },
62714		{ "WR_DELAY", 6, 10 },
62715	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x4c324, 0 },
62716		{ "WR_DELAY", 6, 10 },
62717	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x4c328, 0 },
62718		{ "WR_DELAY", 6, 10 },
62719	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4c32c, 0 },
62720		{ "WR_DELAY", 6, 10 },
62721	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x4c330, 0 },
62722		{ "WR_DELAY", 6, 10 },
62723	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x4c334, 0 },
62724		{ "WR_DELAY", 6, 10 },
62725	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x4c338, 0 },
62726		{ "WR_DELAY", 6, 10 },
62727	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4c33c, 0 },
62728		{ "WR_DELAY", 6, 10 },
62729	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x4c340, 0 },
62730		{ "RD_DELAY_BITS0_6", 9, 7 },
62731		{ "RD_DELAY_BITS8_14", 1, 7 },
62732	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x4c344, 0 },
62733		{ "RD_DELAY_BITS0_6", 9, 7 },
62734		{ "RD_DELAY_BITS8_14", 1, 7 },
62735	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x4c348, 0 },
62736		{ "RD_DELAY_BITS0_6", 9, 7 },
62737		{ "RD_DELAY_BITS8_14", 1, 7 },
62738	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4c34c, 0 },
62739		{ "RD_DELAY_BITS0_6", 9, 7 },
62740		{ "RD_DELAY_BITS8_14", 1, 7 },
62741	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x4c350, 0 },
62742		{ "RD_DELAY_BITS0_6", 9, 7 },
62743		{ "RD_DELAY_BITS8_14", 1, 7 },
62744	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x4c354, 0 },
62745		{ "RD_DELAY_BITS0_6", 9, 7 },
62746		{ "RD_DELAY_BITS8_14", 1, 7 },
62747	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x4c358, 0 },
62748		{ "RD_DELAY_BITS0_6", 9, 7 },
62749		{ "RD_DELAY_BITS8_14", 1, 7 },
62750	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4c35c, 0 },
62751		{ "RD_DELAY_BITS0_6", 9, 7 },
62752		{ "RD_DELAY_BITS8_14", 1, 7 },
62753	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x4c360, 0 },
62754		{ "RD_DELAY_BITS0_6", 9, 7 },
62755		{ "RD_DELAY_BITS8_14", 1, 7 },
62756	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x4c364, 0 },
62757		{ "RD_DELAY_BITS0_6", 9, 7 },
62758		{ "RD_DELAY_BITS8_14", 1, 7 },
62759	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x4c368, 0 },
62760		{ "RD_DELAY_BITS0_6", 9, 7 },
62761		{ "RD_DELAY_BITS8_14", 1, 7 },
62762	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4c36c, 0 },
62763		{ "RD_DELAY_BITS0_6", 9, 7 },
62764		{ "RD_DELAY_BITS8_14", 1, 7 },
62765	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x4c230, 0 },
62766		{ "OFFSET_BITS1_7", 8, 7 },
62767		{ "OFFSET_BITS9_15", 0, 7 },
62768	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x4c234, 0 },
62769		{ "OFFSET_BITS1_7", 8, 7 },
62770		{ "OFFSET_BITS9_15", 0, 7 },
62771	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x4c3c0, 0 },
62772		{ "REFERENCE_BITS1_7", 8, 7 },
62773		{ "REFERENCE_BITS9_15", 0, 7 },
62774	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x4c3c4, 0 },
62775		{ "REFERENCE_BITS1_7", 8, 7 },
62776		{ "REFERENCE_BITS9_15", 0, 7 },
62777	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x4c3c8, 0 },
62778		{ "REFERENCE", 8, 7 },
62779	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x4c380, 0 },
62780		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62781		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62782	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x4c384, 0 },
62783		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62784		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62785	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x4c388, 0 },
62786		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62787		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62788	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4c38c, 0 },
62789		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62790		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62791	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x4c390, 0 },
62792		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62793		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62794	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x4c394, 0 },
62795		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62796		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62797	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x4c398, 0 },
62798		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62799		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62800	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4c39c, 0 },
62801		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62802		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62803	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x4c3a0, 0 },
62804		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62805		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62806	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x4c3a4, 0 },
62807		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62808		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62809	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x4c3a8, 0 },
62810		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62811		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62812	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x4c3ac, 0 },
62813		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
62814		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
62815	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x4c228, 0 },
62816		{ "MIN_RD_EYE_SIZE", 8, 6 },
62817		{ "MAX_DQS_DRIFT", 0, 6 },
62818	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x4c238, 0 },
62819	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4c23c, 0 },
62820		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
62821	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x4c240, 0 },
62822	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x4c244, 0 },
62823		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
62824	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4c24c, 0 },
62825		{ "DQS_GATE_DELAY_N0", 12, 3 },
62826		{ "DQS_GATE_DELAY_N1", 8, 3 },
62827		{ "DQS_GATE_DELAY_N2", 4, 3 },
62828		{ "DQS_GATE_DELAY_N3", 0, 3 },
62829	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x4c250, 0 },
62830		{ "NO_EYE_DETECTED", 15, 1 },
62831		{ "LEADING_EDGE_FOUND", 14, 1 },
62832		{ "TRAILING_EDGE_FOUND", 13, 1 },
62833		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
62834		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
62835		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
62836		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
62837		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
62838		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
62839		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
62840		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
62841		{ "EYE_CLIPPING", 4, 1 },
62842		{ "NO_DQS", 3, 1 },
62843		{ "NO_LOCK", 2, 1 },
62844		{ "DRIFT_ERROR", 1, 1 },
62845		{ "MIN_EYE", 0, 1 },
62846	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x4c254, 0 },
62847		{ "NO_EYE_DETECTED_MASK", 15, 1 },
62848		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
62849		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
62850		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
62851		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
62852		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
62853		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
62854		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
62855		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
62856		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
62857		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
62858		{ "EYE_CLIPPING_MASK", 4, 1 },
62859		{ "NO_DQS_MASK", 3, 1 },
62860		{ "NO_LOCK_MASK", 2, 1 },
62861		{ "DRIFT_ERROR_MASK", 1, 1 },
62862		{ "MIN_EYE_MASK", 0, 1 },
62863	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4c25c, 0 },
62864		{ "CLK_LEVEL", 14, 2 },
62865		{ "FINE_STEPPING", 13, 1 },
62866		{ "DONE", 12, 1 },
62867		{ "WL_ERR_CLK16_ST", 11, 1 },
62868		{ "WL_ERR_CLK18_ST", 10, 1 },
62869		{ "WL_ERR_CLK20_ST", 9, 1 },
62870		{ "WL_ERR_CLK22_ST", 8, 1 },
62871		{ "ZERO_DETECTED", 7, 1 },
62872	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x4c260, 0 },
62873		{ "BIT_CENTERED", 11, 5 },
62874		{ "SMALL_STEP_LEFT", 10, 1 },
62875		{ "BIG_STEP_RIGHT", 9, 1 },
62876		{ "MATCH_STEP_RIGHT", 8, 1 },
62877		{ "JUMP_BACK_RIGHT", 7, 1 },
62878		{ "SMALL_STEP_RIGHT", 6, 1 },
62879		{ "DDONE", 5, 1 },
62880	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x4c264, 0 },
62881		{ "FW_LEFT_SIDE", 5, 11 },
62882	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x4c268, 0 },
62883		{ "FW_RIGHT_SIDE", 5, 11 },
62884	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x4c26c, 0 },
62885		{ "WL_ERR_CLK16", 15, 1 },
62886		{ "WL_ERR_CLK18", 14, 1 },
62887		{ "WL_ERR_CLK20", 13, 1 },
62888		{ "WL_ERR_CLK22", 12, 1 },
62889		{ "VALID_NS_BIG_L", 7, 1 },
62890		{ "INVALID_NS_SMALL_L", 6, 1 },
62891		{ "VALID_NS_BIG_R", 5, 1 },
62892		{ "INVALID_NS_BIG_R", 4, 1 },
62893		{ "VALID_NS_JUMP_BACK", 3, 1 },
62894		{ "INVALID_NS_SMALL_R", 2, 1 },
62895		{ "OFFSET_ERR", 1, 1 },
62896	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x4c270, 0 },
62897		{ "WL_ERR_CLK16_MASK", 15, 1 },
62898		{ "WL_ERR_CLK18_MASK", 14, 1 },
62899		{ "WL_ERR_CLK20_MASK", 13, 1 },
62900		{ "WR_ERR_CLK22_MASK", 12, 1 },
62901		{ "VALID_NS_BIG_L_MASK", 7, 1 },
62902		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
62903		{ "VALID_NS_BIG_R_MASK", 5, 1 },
62904		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
62905		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
62906		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
62907		{ "OFFSET_ERR_MASK", 1, 1 },
62908	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x4c3d8, 0 },
62909		{ "PLL_TUNE_0_2", 13, 3 },
62910		{ "PLL_TUNECP_0_2", 10, 3 },
62911		{ "PLL_TUNEF_0_5", 4, 6 },
62912		{ "PLL_TUNEVCO_0_1", 2, 2 },
62913		{ "PLL_PLLXTR_0_1", 0, 2 },
62914	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x4c3dc, 0 },
62915		{ "PLL_TUNETDIV_0_2", 13, 3 },
62916		{ "PLL_TUNEMDIV_0_1", 11, 2 },
62917		{ "PLL_TUNEATST", 10, 1 },
62918		{ "VREG_RANGE_0_1", 8, 2 },
62919		{ "CE0DLTVCCA", 7, 1 },
62920		{ "VREG_VCCTUNE_0_1", 5, 2 },
62921		{ "CE0DLTVCCD1", 4, 1 },
62922		{ "CE0DLTVCCD2", 3, 1 },
62923		{ "S0INSDLYTAP", 2, 1 },
62924		{ "S1INSDLYTAP", 1, 1 },
62925	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x4c3e0, 0 },
62926		{ "EN_SLICE_N_WR", 8, 8 },
62927		{ "EN_SLICE_N_WR_FFE", 4, 4 },
62928	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x4c3e8, 0 },
62929		{ "EN_TERM_N_WR", 8, 8 },
62930		{ "EN_TERM_N_WR_FFE", 4, 4 },
62931	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x4c3e4, 0 },
62932		{ "EN_SLICE_P_WR", 8, 8 },
62933		{ "EN_SLICE_P_WR_FFE", 4, 4 },
62934	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x4c3ec, 0 },
62935		{ "EN_TERM_P_WR", 8, 8 },
62936		{ "EN_TERM_P_WR_FFE", 4, 4 },
62937	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x4c3d4, 0 },
62938		{ "INTERP_SIG_SLEW", 12, 4 },
62939		{ "POST_CURSOR", 8, 4 },
62940		{ "SLEW_CTL", 4, 4 },
62941	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x4c274, 0 },
62942		{ "CHECKER_RESET", 14, 1 },
62943		{ "SYNC", 6, 6 },
62944		{ "ERROR", 0, 6 },
62945	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x4c220, 0 },
62946		{ "DIGITAL_EYE_EN", 15, 1 },
62947		{ "BUMP", 14, 1 },
62948		{ "TRIG_PERIOD", 13, 1 },
62949		{ "CNTL_POL", 12, 1 },
62950		{ "CNTL_SRC", 8, 1 },
62951		{ "DIGITAL_EYE_VALUE", 0, 8 },
62952	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x4c2c8, 0 },
62953		{ "MEMINTD00_POS", 14, 2 },
62954		{ "MEMINTD01_PO", 12, 2 },
62955		{ "MEMINTD02_POS", 10, 2 },
62956		{ "MEMINTD03_POS", 8, 2 },
62957		{ "MEMINTD04_POS", 6, 2 },
62958		{ "MEMINTD05_POS", 4, 2 },
62959		{ "MEMINTD06_POS", 2, 2 },
62960		{ "MEMINTD07_POS", 0, 2 },
62961	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x4c2cc, 0 },
62962		{ "MEMINTD08_POS", 14, 2 },
62963		{ "MEMINTD09_POS", 12, 2 },
62964		{ "MEMINTD10_POS", 10, 2 },
62965		{ "MEMINTD11_POS", 8, 2 },
62966		{ "MEMINTD12_POS", 6, 2 },
62967		{ "MEMINTD13_POS", 4, 2 },
62968		{ "MEMINTD14_POS", 2, 2 },
62969		{ "MEMINTD15_POS", 0, 2 },
62970	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x4c2d0, 0 },
62971		{ "MEMINTD16_POS", 14, 2 },
62972		{ "MEMINTD17_POS", 12, 2 },
62973		{ "MEMINTD18_POS", 10, 2 },
62974		{ "MEMINTD19_POS", 8, 2 },
62975		{ "MEMINTD20_POS", 6, 2 },
62976		{ "MEMINTD21_POS", 4, 2 },
62977		{ "MEMINTD22_POS", 2, 2 },
62978		{ "MEMINTD23_POS", 0, 2 },
62979	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x4c278, 0 },
62980		{ "SYSCLK_RDCLK_OFFSET", 8, 7 },
62981		{ "SYSCLK_DQSCLK_OFFSET", 0, 7 },
62982	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x4c2d4, 0 },
62983	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x4c2d8, 0 },
62984	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x4c3b4, 0 },
62985	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x4c3b8, 0 },
62986	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x4c2dc, 0 },
62987		{ "DQS_OFFSET", 8, 7 },
62988	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x4c22c, 0 },
62989		{ "HS_PROBE_A_SEL", 11, 5 },
62990		{ "HS_PROBE_B_SEL", 6, 5 },
62991		{ "RD_DEBUG_SEL", 3, 3 },
62992		{ "WR_DEBUG_SEL", 0, 3 },
62993	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x4c3fc, 0 },
62994		{ "MASTER_PD_CNTL", 15, 1 },
62995		{ "ANALOG_INPUT_STAB2", 14, 1 },
62996		{ "EYEDAC_PD", 13, 1 },
62997		{ "ANALOG_OUTPUT_STAB", 9, 1 },
62998		{ "ANALOG_INPUT_STAB1", 8, 1 },
62999		{ "SYSCLK_CLK_GATE", 6, 2 },
63000		{ "WR_FIFO_STAB", 5, 1 },
63001		{ "ADR_RX_PD", 4, 1 },
63002		{ "DP18_RX_PD", 2, 2 },
63003		{ "TX_TRISTATE_CNTL", 1, 1 },
63004		{ "DVCC_REG_PD", 0, 1 },
63005	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x4c248, 0 },
63006		{ "DYN_POWER_CNTL_EN", 15, 1 },
63007		{ "DYN_MCTERM_CNTL_EN", 14, 1 },
63008		{ "DYN_RX_GATE_CNTL_EN", 13, 1 },
63009		{ "CALGATE_ON", 12, 1 },
63010		{ "PER_RDCLK_UPDATE_DIS", 11, 1 },
63011	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x4c400, 0 },
63012	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x4c404, 0 },
63013		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
63014		{ "DFT_FORCE_OUTPUTS", 7, 1 },
63015		{ "DFT_PRBS7_GEN_EN", 6, 1 },
63016		{ "WRAPSEL", 5, 1 },
63017		{ "MRS_CMD_DATA_N0", 3, 1 },
63018		{ "MRS_CMD_DATA_N1", 2, 1 },
63019		{ "MRS_CMD_DATA_N2", 1, 1 },
63020		{ "MRS_CMD_DATA_N3", 0, 1 },
63021	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x4c5f0, 0 },
63022	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x4c5f4, 0 },
63023		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
63024	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x4c408, 0 },
63025	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4c40c, 0 },
63026		{ "DATA_BIT_DIR_16_23", 8, 8 },
63027		{ "WL_ADVANCE_DISABLE", 7, 1 },
63028		{ "DISABLE_PING_PONG", 6, 1 },
63029		{ "DELAY_PING_PONG_HALF", 5, 1 },
63030		{ "ADVANCE_PING_PONG", 4, 1 },
63031		{ "ATEST_MUX_CTL0", 3, 1 },
63032		{ "ATEST_MUX_CTL1", 2, 1 },
63033		{ "ATEST_MUX_CTL2", 1, 1 },
63034		{ "ATEST_MUX_CTL3", 0, 1 },
63035	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x4c410, 0 },
63036		{ "QUAD0_CLK16_BIT0", 15, 1 },
63037		{ "QUAD1_CLK16_BIT1", 14, 1 },
63038		{ "QUAD2_CLK16_BIT2", 13, 1 },
63039		{ "QUAD3_CLK16_BIT3", 12, 1 },
63040		{ "QUAD0_CLK18_BIT4", 11, 1 },
63041		{ "QUAD1_CLK18_BIT5", 10, 1 },
63042		{ "QUAD2_CLK20_BIT6", 9, 1 },
63043		{ "QUAD3_CLK20_BIT7", 8, 1 },
63044		{ "QUAD2_CLK22_BIT8", 7, 1 },
63045		{ "QUAD3_CLK22_BIT9", 6, 1 },
63046		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
63047		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
63048		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
63049		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
63050	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x4c414, 0 },
63051		{ "QUAD0_CLK16_BIT0", 15, 1 },
63052		{ "QUAD1_CLK16_BIT1", 14, 1 },
63053		{ "QUAD2_CLK16_BIT2", 13, 1 },
63054		{ "QUAD3_CLK16_BIT3", 12, 1 },
63055		{ "QUAD0_CLK18_BIT4", 11, 1 },
63056		{ "QUAD1_CLK18_BIT5", 10, 1 },
63057		{ "QUAD2_CLK20_BIT6", 9, 1 },
63058		{ "QUAD3_CLK20_BIT7", 8, 1 },
63059		{ "QUAD2_CLK22_BIT8", 7, 1 },
63060		{ "QUAD3_CLK22_BIT9", 6, 1 },
63061		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
63062		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
63063		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
63064		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
63065		{ "QUAD2_CLK18_BIT14", 1, 1 },
63066		{ "QUAD3_CLK18_BIT15", 0, 1 },
63067	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x4c5f8, 0 },
63068		{ "DQ_WR_OFFSET_N0", 12, 4 },
63069		{ "DQ_WR_OFFSET_N1", 8, 4 },
63070		{ "DQ_WR_OFFSET_N2", 4, 4 },
63071		{ "DQ_WR_OFFSET_N3", 0, 4 },
63072	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x4c418, 0 },
63073		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
63074		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
63075		{ "SxMCVREF_0_3", 4, 4 },
63076		{ "SxPODVREF", 3, 1 },
63077		{ "DISABLE_TERMINATION", 2, 1 },
63078		{ "READ_CENTERING_MODE", 0, 2 },
63079	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x4c41c, 0 },
63080		{ "SYSCLK_ENABLE", 15, 1 },
63081		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
63082		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
63083		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
63084		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
63085		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
63086		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
63087		{ "CONTINUOUS_UPDATE", 2, 1 },
63088	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x4c5cc, 0 },
63089		{ "SYSCLK_ROT", 8, 7 },
63090	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x4c5d0, 0 },
63091		{ "TSYS_WRCLK", 8, 7 },
63092	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x4c4c0, 0 },
63093		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
63094		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
63095	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x4c4c4, 0 },
63096		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
63097		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
63098	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x4c424, 0 },
63099		{ "DQSCLK_SELECT0", 14, 2 },
63100		{ "RDCLK_SELECT0", 12, 2 },
63101		{ "DQSCLK_SELECT1", 10, 2 },
63102		{ "RDCLK_SELECT1", 8, 2 },
63103		{ "DQSCLK_SELECT2", 6, 2 },
63104		{ "RDCLK_SELECT2", 4, 2 },
63105		{ "DQSCLK_SELECT3", 2, 2 },
63106		{ "RDCLK_SELECT3", 0, 2 },
63107	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x4c570, 0 },
63108		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
63109		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
63110	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x4c574, 0 },
63111		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
63112		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
63113	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x4c4e0, 0 },
63114		{ "WR_DELAY", 6, 10 },
63115	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x4c4e4, 0 },
63116		{ "WR_DELAY", 6, 10 },
63117	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x4c4e8, 0 },
63118		{ "WR_DELAY", 6, 10 },
63119	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x4c4ec, 0 },
63120		{ "WR_DELAY", 6, 10 },
63121	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x4c4f0, 0 },
63122		{ "WR_DELAY", 6, 10 },
63123	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x4c4f4, 0 },
63124		{ "WR_DELAY", 6, 10 },
63125	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x4c4f8, 0 },
63126		{ "WR_DELAY", 6, 10 },
63127	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x4c4fc, 0 },
63128		{ "WR_DELAY", 6, 10 },
63129	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x4c500, 0 },
63130		{ "WR_DELAY", 6, 10 },
63131	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x4c504, 0 },
63132		{ "WR_DELAY", 6, 10 },
63133	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x4c508, 0 },
63134		{ "WR_DELAY", 6, 10 },
63135	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4c50c, 0 },
63136		{ "WR_DELAY", 6, 10 },
63137	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x4c510, 0 },
63138		{ "WR_DELAY", 6, 10 },
63139	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x4c514, 0 },
63140		{ "WR_DELAY", 6, 10 },
63141	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x4c518, 0 },
63142		{ "WR_DELAY", 6, 10 },
63143	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4c51c, 0 },
63144		{ "WR_DELAY", 6, 10 },
63145	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x4c520, 0 },
63146		{ "WR_DELAY", 6, 10 },
63147	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x4c524, 0 },
63148		{ "WR_DELAY", 6, 10 },
63149	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x4c528, 0 },
63150		{ "WR_DELAY", 6, 10 },
63151	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4c52c, 0 },
63152		{ "WR_DELAY", 6, 10 },
63153	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x4c530, 0 },
63154		{ "WR_DELAY", 6, 10 },
63155	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x4c534, 0 },
63156		{ "WR_DELAY", 6, 10 },
63157	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x4c538, 0 },
63158		{ "WR_DELAY", 6, 10 },
63159	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4c53c, 0 },
63160		{ "WR_DELAY", 6, 10 },
63161	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x4c540, 0 },
63162		{ "RD_DELAY_BITS0_6", 9, 7 },
63163		{ "RD_DELAY_BITS8_14", 1, 7 },
63164	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x4c544, 0 },
63165		{ "RD_DELAY_BITS0_6", 9, 7 },
63166		{ "RD_DELAY_BITS8_14", 1, 7 },
63167	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x4c548, 0 },
63168		{ "RD_DELAY_BITS0_6", 9, 7 },
63169		{ "RD_DELAY_BITS8_14", 1, 7 },
63170	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4c54c, 0 },
63171		{ "RD_DELAY_BITS0_6", 9, 7 },
63172		{ "RD_DELAY_BITS8_14", 1, 7 },
63173	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x4c550, 0 },
63174		{ "RD_DELAY_BITS0_6", 9, 7 },
63175		{ "RD_DELAY_BITS8_14", 1, 7 },
63176	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x4c554, 0 },
63177		{ "RD_DELAY_BITS0_6", 9, 7 },
63178		{ "RD_DELAY_BITS8_14", 1, 7 },
63179	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x4c558, 0 },
63180		{ "RD_DELAY_BITS0_6", 9, 7 },
63181		{ "RD_DELAY_BITS8_14", 1, 7 },
63182	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4c55c, 0 },
63183		{ "RD_DELAY_BITS0_6", 9, 7 },
63184		{ "RD_DELAY_BITS8_14", 1, 7 },
63185	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x4c560, 0 },
63186		{ "RD_DELAY_BITS0_6", 9, 7 },
63187		{ "RD_DELAY_BITS8_14", 1, 7 },
63188	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x4c564, 0 },
63189		{ "RD_DELAY_BITS0_6", 9, 7 },
63190		{ "RD_DELAY_BITS8_14", 1, 7 },
63191	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x4c568, 0 },
63192		{ "RD_DELAY_BITS0_6", 9, 7 },
63193		{ "RD_DELAY_BITS8_14", 1, 7 },
63194	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4c56c, 0 },
63195		{ "RD_DELAY_BITS0_6", 9, 7 },
63196		{ "RD_DELAY_BITS8_14", 1, 7 },
63197	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x4c430, 0 },
63198		{ "OFFSET_BITS1_7", 8, 7 },
63199		{ "OFFSET_BITS9_15", 0, 7 },
63200	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x4c434, 0 },
63201		{ "OFFSET_BITS1_7", 8, 7 },
63202		{ "OFFSET_BITS9_15", 0, 7 },
63203	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x4c5c0, 0 },
63204		{ "REFERENCE_BITS1_7", 8, 7 },
63205		{ "REFERENCE_BITS9_15", 0, 7 },
63206	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x4c5c4, 0 },
63207		{ "REFERENCE_BITS1_7", 8, 7 },
63208		{ "REFERENCE_BITS9_15", 0, 7 },
63209	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x4c5c8, 0 },
63210		{ "REFERENCE", 8, 7 },
63211	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x4c580, 0 },
63212		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63213		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63214	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x4c584, 0 },
63215		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63216		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63217	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x4c588, 0 },
63218		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63219		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63220	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4c58c, 0 },
63221		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63222		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63223	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x4c590, 0 },
63224		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63225		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63226	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x4c594, 0 },
63227		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63228		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63229	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x4c598, 0 },
63230		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63231		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63232	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4c59c, 0 },
63233		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63234		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63235	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x4c5a0, 0 },
63236		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63237		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63238	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x4c5a4, 0 },
63239		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63240		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63241	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x4c5a8, 0 },
63242		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63243		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63244	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x4c5ac, 0 },
63245		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63246		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63247	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x4c428, 0 },
63248		{ "MIN_RD_EYE_SIZE", 8, 6 },
63249		{ "MAX_DQS_DRIFT", 0, 6 },
63250	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x4c438, 0 },
63251	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4c43c, 0 },
63252		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
63253	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x4c440, 0 },
63254	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x4c444, 0 },
63255		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
63256	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4c44c, 0 },
63257		{ "DQS_GATE_DELAY_N0", 12, 3 },
63258		{ "DQS_GATE_DELAY_N1", 8, 3 },
63259		{ "DQS_GATE_DELAY_N2", 4, 3 },
63260		{ "DQS_GATE_DELAY_N3", 0, 3 },
63261	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x4c450, 0 },
63262		{ "NO_EYE_DETECTED", 15, 1 },
63263		{ "LEADING_EDGE_FOUND", 14, 1 },
63264		{ "TRAILING_EDGE_FOUND", 13, 1 },
63265		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
63266		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
63267		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
63268		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
63269		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
63270		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
63271		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
63272		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
63273		{ "EYE_CLIPPING", 4, 1 },
63274		{ "NO_DQS", 3, 1 },
63275		{ "NO_LOCK", 2, 1 },
63276		{ "DRIFT_ERROR", 1, 1 },
63277		{ "MIN_EYE", 0, 1 },
63278	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x4c454, 0 },
63279		{ "NO_EYE_DETECTED_MASK", 15, 1 },
63280		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
63281		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
63282		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
63283		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
63284		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
63285		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
63286		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
63287		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
63288		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
63289		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
63290		{ "EYE_CLIPPING_MASK", 4, 1 },
63291		{ "NO_DQS_MASK", 3, 1 },
63292		{ "NO_LOCK_MASK", 2, 1 },
63293		{ "DRIFT_ERROR_MASK", 1, 1 },
63294		{ "MIN_EYE_MASK", 0, 1 },
63295	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4c45c, 0 },
63296		{ "CLK_LEVEL", 14, 2 },
63297		{ "FINE_STEPPING", 13, 1 },
63298		{ "DONE", 12, 1 },
63299		{ "WL_ERR_CLK16_ST", 11, 1 },
63300		{ "WL_ERR_CLK18_ST", 10, 1 },
63301		{ "WL_ERR_CLK20_ST", 9, 1 },
63302		{ "WL_ERR_CLK22_ST", 8, 1 },
63303		{ "ZERO_DETECTED", 7, 1 },
63304	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x4c460, 0 },
63305		{ "BIT_CENTERED", 11, 5 },
63306		{ "SMALL_STEP_LEFT", 10, 1 },
63307		{ "BIG_STEP_RIGHT", 9, 1 },
63308		{ "MATCH_STEP_RIGHT", 8, 1 },
63309		{ "JUMP_BACK_RIGHT", 7, 1 },
63310		{ "SMALL_STEP_RIGHT", 6, 1 },
63311		{ "DDONE", 5, 1 },
63312	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x4c464, 0 },
63313		{ "FW_LEFT_SIDE", 5, 11 },
63314	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x4c468, 0 },
63315		{ "FW_RIGHT_SIDE", 5, 11 },
63316	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x4c46c, 0 },
63317		{ "WL_ERR_CLK16", 15, 1 },
63318		{ "WL_ERR_CLK18", 14, 1 },
63319		{ "WL_ERR_CLK20", 13, 1 },
63320		{ "WL_ERR_CLK22", 12, 1 },
63321		{ "VALID_NS_BIG_L", 7, 1 },
63322		{ "INVALID_NS_SMALL_L", 6, 1 },
63323		{ "VALID_NS_BIG_R", 5, 1 },
63324		{ "INVALID_NS_BIG_R", 4, 1 },
63325		{ "VALID_NS_JUMP_BACK", 3, 1 },
63326		{ "INVALID_NS_SMALL_R", 2, 1 },
63327		{ "OFFSET_ERR", 1, 1 },
63328	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x4c470, 0 },
63329		{ "WL_ERR_CLK16_MASK", 15, 1 },
63330		{ "WL_ERR_CLK18_MASK", 14, 1 },
63331		{ "WL_ERR_CLK20_MASK", 13, 1 },
63332		{ "WR_ERR_CLK22_MASK", 12, 1 },
63333		{ "VALID_NS_BIG_L_MASK", 7, 1 },
63334		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
63335		{ "VALID_NS_BIG_R_MASK", 5, 1 },
63336		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
63337		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
63338		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
63339		{ "OFFSET_ERR_MASK", 1, 1 },
63340	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x4c5d8, 0 },
63341		{ "PLL_TUNE_0_2", 13, 3 },
63342		{ "PLL_TUNECP_0_2", 10, 3 },
63343		{ "PLL_TUNEF_0_5", 4, 6 },
63344		{ "PLL_TUNEVCO_0_1", 2, 2 },
63345		{ "PLL_PLLXTR_0_1", 0, 2 },
63346	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x4c5dc, 0 },
63347		{ "PLL_TUNETDIV_0_2", 13, 3 },
63348		{ "PLL_TUNEMDIV_0_1", 11, 2 },
63349		{ "PLL_TUNEATST", 10, 1 },
63350		{ "VREG_RANGE_0_1", 8, 2 },
63351		{ "CE0DLTVCCA", 7, 1 },
63352		{ "VREG_VCCTUNE_0_1", 5, 2 },
63353		{ "CE0DLTVCCD1", 4, 1 },
63354		{ "CE0DLTVCCD2", 3, 1 },
63355		{ "S0INSDLYTAP", 2, 1 },
63356		{ "S1INSDLYTAP", 1, 1 },
63357	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x4c5e0, 0 },
63358		{ "EN_SLICE_N_WR", 8, 8 },
63359		{ "EN_SLICE_N_WR_FFE", 4, 4 },
63360	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x4c5e8, 0 },
63361		{ "EN_TERM_N_WR", 8, 8 },
63362		{ "EN_TERM_N_WR_FFE", 4, 4 },
63363	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x4c5e4, 0 },
63364		{ "EN_SLICE_P_WR", 8, 8 },
63365		{ "EN_SLICE_P_WR_FFE", 4, 4 },
63366	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x4c5ec, 0 },
63367		{ "EN_TERM_P_WR", 8, 8 },
63368		{ "EN_TERM_P_WR_FFE", 4, 4 },
63369	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x4c5d4, 0 },
63370		{ "INTERP_SIG_SLEW", 12, 4 },
63371		{ "POST_CURSOR", 8, 4 },
63372		{ "SLEW_CTL", 4, 4 },
63373	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x4c474, 0 },
63374		{ "CHECKER_RESET", 14, 1 },
63375		{ "SYNC", 6, 6 },
63376		{ "ERROR", 0, 6 },
63377	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x4c420, 0 },
63378		{ "DIGITAL_EYE_EN", 15, 1 },
63379		{ "BUMP", 14, 1 },
63380		{ "TRIG_PERIOD", 13, 1 },
63381		{ "CNTL_POL", 12, 1 },
63382		{ "CNTL_SRC", 8, 1 },
63383		{ "DIGITAL_EYE_VALUE", 0, 8 },
63384	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x4c4c8, 0 },
63385		{ "MEMINTD00_POS", 14, 2 },
63386		{ "MEMINTD01_PO", 12, 2 },
63387		{ "MEMINTD02_POS", 10, 2 },
63388		{ "MEMINTD03_POS", 8, 2 },
63389		{ "MEMINTD04_POS", 6, 2 },
63390		{ "MEMINTD05_POS", 4, 2 },
63391		{ "MEMINTD06_POS", 2, 2 },
63392		{ "MEMINTD07_POS", 0, 2 },
63393	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x4c4cc, 0 },
63394		{ "MEMINTD08_POS", 14, 2 },
63395		{ "MEMINTD09_POS", 12, 2 },
63396		{ "MEMINTD10_POS", 10, 2 },
63397		{ "MEMINTD11_POS", 8, 2 },
63398		{ "MEMINTD12_POS", 6, 2 },
63399		{ "MEMINTD13_POS", 4, 2 },
63400		{ "MEMINTD14_POS", 2, 2 },
63401		{ "MEMINTD15_POS", 0, 2 },
63402	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x4c4d0, 0 },
63403		{ "MEMINTD16_POS", 14, 2 },
63404		{ "MEMINTD17_POS", 12, 2 },
63405		{ "MEMINTD18_POS", 10, 2 },
63406		{ "MEMINTD19_POS", 8, 2 },
63407		{ "MEMINTD20_POS", 6, 2 },
63408		{ "MEMINTD21_POS", 4, 2 },
63409		{ "MEMINTD22_POS", 2, 2 },
63410		{ "MEMINTD23_POS", 0, 2 },
63411	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x4c478, 0 },
63412		{ "SYSCLK_RDCLK_OFFSET", 8, 7 },
63413		{ "SYSCLK_DQSCLK_OFFSET", 0, 7 },
63414	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x4c4d4, 0 },
63415	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x4c4d8, 0 },
63416	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x4c5b4, 0 },
63417	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x4c5b8, 0 },
63418	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x4c4dc, 0 },
63419		{ "DQS_OFFSET", 8, 7 },
63420	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x4c42c, 0 },
63421		{ "HS_PROBE_A_SEL", 11, 5 },
63422		{ "HS_PROBE_B_SEL", 6, 5 },
63423		{ "RD_DEBUG_SEL", 3, 3 },
63424		{ "WR_DEBUG_SEL", 0, 3 },
63425	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x4c5fc, 0 },
63426		{ "MASTER_PD_CNTL", 15, 1 },
63427		{ "ANALOG_INPUT_STAB2", 14, 1 },
63428		{ "EYEDAC_PD", 13, 1 },
63429		{ "ANALOG_OUTPUT_STAB", 9, 1 },
63430		{ "ANALOG_INPUT_STAB1", 8, 1 },
63431		{ "SYSCLK_CLK_GATE", 6, 2 },
63432		{ "WR_FIFO_STAB", 5, 1 },
63433		{ "ADR_RX_PD", 4, 1 },
63434		{ "DP18_RX_PD", 2, 2 },
63435		{ "TX_TRISTATE_CNTL", 1, 1 },
63436		{ "DVCC_REG_PD", 0, 1 },
63437	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x4c448, 0 },
63438		{ "DYN_POWER_CNTL_EN", 15, 1 },
63439		{ "DYN_MCTERM_CNTL_EN", 14, 1 },
63440		{ "DYN_RX_GATE_CNTL_EN", 13, 1 },
63441		{ "CALGATE_ON", 12, 1 },
63442		{ "PER_RDCLK_UPDATE_DIS", 11, 1 },
63443	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x4c600, 0 },
63444	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x4c604, 0 },
63445		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
63446		{ "DFT_FORCE_OUTPUTS", 7, 1 },
63447		{ "DFT_PRBS7_GEN_EN", 6, 1 },
63448		{ "WRAPSEL", 5, 1 },
63449		{ "MRS_CMD_DATA_N0", 3, 1 },
63450		{ "MRS_CMD_DATA_N1", 2, 1 },
63451		{ "MRS_CMD_DATA_N2", 1, 1 },
63452		{ "MRS_CMD_DATA_N3", 0, 1 },
63453	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x4c7f0, 0 },
63454	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x4c7f4, 0 },
63455		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
63456	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x4c608, 0 },
63457	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4c60c, 0 },
63458		{ "DATA_BIT_DIR_16_23", 8, 8 },
63459		{ "WL_ADVANCE_DISABLE", 7, 1 },
63460		{ "DISABLE_PING_PONG", 6, 1 },
63461		{ "DELAY_PING_PONG_HALF", 5, 1 },
63462		{ "ADVANCE_PING_PONG", 4, 1 },
63463		{ "ATEST_MUX_CTL0", 3, 1 },
63464		{ "ATEST_MUX_CTL1", 2, 1 },
63465		{ "ATEST_MUX_CTL2", 1, 1 },
63466		{ "ATEST_MUX_CTL3", 0, 1 },
63467	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x4c610, 0 },
63468		{ "QUAD0_CLK16_BIT0", 15, 1 },
63469		{ "QUAD1_CLK16_BIT1", 14, 1 },
63470		{ "QUAD2_CLK16_BIT2", 13, 1 },
63471		{ "QUAD3_CLK16_BIT3", 12, 1 },
63472		{ "QUAD0_CLK18_BIT4", 11, 1 },
63473		{ "QUAD1_CLK18_BIT5", 10, 1 },
63474		{ "QUAD2_CLK20_BIT6", 9, 1 },
63475		{ "QUAD3_CLK20_BIT7", 8, 1 },
63476		{ "QUAD2_CLK22_BIT8", 7, 1 },
63477		{ "QUAD3_CLK22_BIT9", 6, 1 },
63478		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
63479		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
63480		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
63481		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
63482	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x4c614, 0 },
63483		{ "QUAD0_CLK16_BIT0", 15, 1 },
63484		{ "QUAD1_CLK16_BIT1", 14, 1 },
63485		{ "QUAD2_CLK16_BIT2", 13, 1 },
63486		{ "QUAD3_CLK16_BIT3", 12, 1 },
63487		{ "QUAD0_CLK18_BIT4", 11, 1 },
63488		{ "QUAD1_CLK18_BIT5", 10, 1 },
63489		{ "QUAD2_CLK20_BIT6", 9, 1 },
63490		{ "QUAD3_CLK20_BIT7", 8, 1 },
63491		{ "QUAD2_CLK22_BIT8", 7, 1 },
63492		{ "QUAD3_CLK22_BIT9", 6, 1 },
63493		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
63494		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
63495		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
63496		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
63497		{ "QUAD2_CLK18_BIT14", 1, 1 },
63498		{ "QUAD3_CLK18_BIT15", 0, 1 },
63499	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x4c7f8, 0 },
63500		{ "DQ_WR_OFFSET_N0", 12, 4 },
63501		{ "DQ_WR_OFFSET_N1", 8, 4 },
63502		{ "DQ_WR_OFFSET_N2", 4, 4 },
63503		{ "DQ_WR_OFFSET_N3", 0, 4 },
63504	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x4c618, 0 },
63505		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
63506		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
63507		{ "SxMCVREF_0_3", 4, 4 },
63508		{ "SxPODVREF", 3, 1 },
63509		{ "DISABLE_TERMINATION", 2, 1 },
63510		{ "READ_CENTERING_MODE", 0, 2 },
63511	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x4c61c, 0 },
63512		{ "SYSCLK_ENABLE", 15, 1 },
63513		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
63514		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
63515		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
63516		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
63517		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
63518		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
63519		{ "CONTINUOUS_UPDATE", 2, 1 },
63520	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x4c7cc, 0 },
63521		{ "SYSCLK_ROT", 8, 7 },
63522	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x4c7d0, 0 },
63523		{ "TSYS_WRCLK", 8, 7 },
63524	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x4c6c0, 0 },
63525		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
63526		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
63527	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x4c6c4, 0 },
63528		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
63529		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
63530	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x4c624, 0 },
63531		{ "DQSCLK_SELECT0", 14, 2 },
63532		{ "RDCLK_SELECT0", 12, 2 },
63533		{ "DQSCLK_SELECT1", 10, 2 },
63534		{ "RDCLK_SELECT1", 8, 2 },
63535		{ "DQSCLK_SELECT2", 6, 2 },
63536		{ "RDCLK_SELECT2", 4, 2 },
63537		{ "DQSCLK_SELECT3", 2, 2 },
63538		{ "RDCLK_SELECT3", 0, 2 },
63539	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x4c770, 0 },
63540		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
63541		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
63542	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x4c774, 0 },
63543		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
63544		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
63545	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x4c6e0, 0 },
63546		{ "WR_DELAY", 6, 10 },
63547	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x4c6e4, 0 },
63548		{ "WR_DELAY", 6, 10 },
63549	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x4c6e8, 0 },
63550		{ "WR_DELAY", 6, 10 },
63551	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x4c6ec, 0 },
63552		{ "WR_DELAY", 6, 10 },
63553	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x4c6f0, 0 },
63554		{ "WR_DELAY", 6, 10 },
63555	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x4c6f4, 0 },
63556		{ "WR_DELAY", 6, 10 },
63557	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x4c6f8, 0 },
63558		{ "WR_DELAY", 6, 10 },
63559	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x4c6fc, 0 },
63560		{ "WR_DELAY", 6, 10 },
63561	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x4c700, 0 },
63562		{ "WR_DELAY", 6, 10 },
63563	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x4c704, 0 },
63564		{ "WR_DELAY", 6, 10 },
63565	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x4c708, 0 },
63566		{ "WR_DELAY", 6, 10 },
63567	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4c70c, 0 },
63568		{ "WR_DELAY", 6, 10 },
63569	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x4c710, 0 },
63570		{ "WR_DELAY", 6, 10 },
63571	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x4c714, 0 },
63572		{ "WR_DELAY", 6, 10 },
63573	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x4c718, 0 },
63574		{ "WR_DELAY", 6, 10 },
63575	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4c71c, 0 },
63576		{ "WR_DELAY", 6, 10 },
63577	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x4c720, 0 },
63578		{ "WR_DELAY", 6, 10 },
63579	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x4c724, 0 },
63580		{ "WR_DELAY", 6, 10 },
63581	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x4c728, 0 },
63582		{ "WR_DELAY", 6, 10 },
63583	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4c72c, 0 },
63584		{ "WR_DELAY", 6, 10 },
63585	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x4c730, 0 },
63586		{ "WR_DELAY", 6, 10 },
63587	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x4c734, 0 },
63588		{ "WR_DELAY", 6, 10 },
63589	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x4c738, 0 },
63590		{ "WR_DELAY", 6, 10 },
63591	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4c73c, 0 },
63592		{ "WR_DELAY", 6, 10 },
63593	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x4c740, 0 },
63594		{ "RD_DELAY_BITS0_6", 9, 7 },
63595		{ "RD_DELAY_BITS8_14", 1, 7 },
63596	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x4c744, 0 },
63597		{ "RD_DELAY_BITS0_6", 9, 7 },
63598		{ "RD_DELAY_BITS8_14", 1, 7 },
63599	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x4c748, 0 },
63600		{ "RD_DELAY_BITS0_6", 9, 7 },
63601		{ "RD_DELAY_BITS8_14", 1, 7 },
63602	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4c74c, 0 },
63603		{ "RD_DELAY_BITS0_6", 9, 7 },
63604		{ "RD_DELAY_BITS8_14", 1, 7 },
63605	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x4c750, 0 },
63606		{ "RD_DELAY_BITS0_6", 9, 7 },
63607		{ "RD_DELAY_BITS8_14", 1, 7 },
63608	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x4c754, 0 },
63609		{ "RD_DELAY_BITS0_6", 9, 7 },
63610		{ "RD_DELAY_BITS8_14", 1, 7 },
63611	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x4c758, 0 },
63612		{ "RD_DELAY_BITS0_6", 9, 7 },
63613		{ "RD_DELAY_BITS8_14", 1, 7 },
63614	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4c75c, 0 },
63615		{ "RD_DELAY_BITS0_6", 9, 7 },
63616		{ "RD_DELAY_BITS8_14", 1, 7 },
63617	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x4c760, 0 },
63618		{ "RD_DELAY_BITS0_6", 9, 7 },
63619		{ "RD_DELAY_BITS8_14", 1, 7 },
63620	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x4c764, 0 },
63621		{ "RD_DELAY_BITS0_6", 9, 7 },
63622		{ "RD_DELAY_BITS8_14", 1, 7 },
63623	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x4c768, 0 },
63624		{ "RD_DELAY_BITS0_6", 9, 7 },
63625		{ "RD_DELAY_BITS8_14", 1, 7 },
63626	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4c76c, 0 },
63627		{ "RD_DELAY_BITS0_6", 9, 7 },
63628		{ "RD_DELAY_BITS8_14", 1, 7 },
63629	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x4c630, 0 },
63630		{ "OFFSET_BITS1_7", 8, 7 },
63631		{ "OFFSET_BITS9_15", 0, 7 },
63632	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x4c634, 0 },
63633		{ "OFFSET_BITS1_7", 8, 7 },
63634		{ "OFFSET_BITS9_15", 0, 7 },
63635	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x4c7c0, 0 },
63636		{ "REFERENCE_BITS1_7", 8, 7 },
63637		{ "REFERENCE_BITS9_15", 0, 7 },
63638	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x4c7c4, 0 },
63639		{ "REFERENCE_BITS1_7", 8, 7 },
63640		{ "REFERENCE_BITS9_15", 0, 7 },
63641	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x4c7c8, 0 },
63642		{ "REFERENCE", 8, 7 },
63643	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x4c780, 0 },
63644		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63645		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63646	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x4c784, 0 },
63647		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63648		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63649	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x4c788, 0 },
63650		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63651		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63652	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4c78c, 0 },
63653		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63654		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63655	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x4c790, 0 },
63656		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63657		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63658	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x4c794, 0 },
63659		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63660		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63661	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x4c798, 0 },
63662		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63663		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63664	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4c79c, 0 },
63665		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63666		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63667	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x4c7a0, 0 },
63668		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63669		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63670	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x4c7a4, 0 },
63671		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63672		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63673	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x4c7a8, 0 },
63674		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63675		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63676	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x4c7ac, 0 },
63677		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
63678		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
63679	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x4c628, 0 },
63680		{ "MIN_RD_EYE_SIZE", 8, 6 },
63681		{ "MAX_DQS_DRIFT", 0, 6 },
63682	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x4c638, 0 },
63683	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4c63c, 0 },
63684		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
63685	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x4c640, 0 },
63686	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x4c644, 0 },
63687		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
63688	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4c64c, 0 },
63689		{ "DQS_GATE_DELAY_N0", 12, 3 },
63690		{ "DQS_GATE_DELAY_N1", 8, 3 },
63691		{ "DQS_GATE_DELAY_N2", 4, 3 },
63692		{ "DQS_GATE_DELAY_N3", 0, 3 },
63693	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x4c650, 0 },
63694		{ "NO_EYE_DETECTED", 15, 1 },
63695		{ "LEADING_EDGE_FOUND", 14, 1 },
63696		{ "TRAILING_EDGE_FOUND", 13, 1 },
63697		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
63698		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
63699		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
63700		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
63701		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
63702		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
63703		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
63704		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
63705		{ "EYE_CLIPPING", 4, 1 },
63706		{ "NO_DQS", 3, 1 },
63707		{ "NO_LOCK", 2, 1 },
63708		{ "DRIFT_ERROR", 1, 1 },
63709		{ "MIN_EYE", 0, 1 },
63710	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x4c654, 0 },
63711		{ "NO_EYE_DETECTED_MASK", 15, 1 },
63712		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
63713		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
63714		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
63715		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
63716		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
63717		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
63718		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
63719		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
63720		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
63721		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
63722		{ "EYE_CLIPPING_MASK", 4, 1 },
63723		{ "NO_DQS_MASK", 3, 1 },
63724		{ "NO_LOCK_MASK", 2, 1 },
63725		{ "DRIFT_ERROR_MASK", 1, 1 },
63726		{ "MIN_EYE_MASK", 0, 1 },
63727	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4c65c, 0 },
63728		{ "CLK_LEVEL", 14, 2 },
63729		{ "FINE_STEPPING", 13, 1 },
63730		{ "DONE", 12, 1 },
63731		{ "WL_ERR_CLK16_ST", 11, 1 },
63732		{ "WL_ERR_CLK18_ST", 10, 1 },
63733		{ "WL_ERR_CLK20_ST", 9, 1 },
63734		{ "WL_ERR_CLK22_ST", 8, 1 },
63735		{ "ZERO_DETECTED", 7, 1 },
63736	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x4c660, 0 },
63737		{ "BIT_CENTERED", 11, 5 },
63738		{ "SMALL_STEP_LEFT", 10, 1 },
63739		{ "BIG_STEP_RIGHT", 9, 1 },
63740		{ "MATCH_STEP_RIGHT", 8, 1 },
63741		{ "JUMP_BACK_RIGHT", 7, 1 },
63742		{ "SMALL_STEP_RIGHT", 6, 1 },
63743		{ "DDONE", 5, 1 },
63744	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x4c664, 0 },
63745		{ "FW_LEFT_SIDE", 5, 11 },
63746	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x4c668, 0 },
63747		{ "FW_RIGHT_SIDE", 5, 11 },
63748	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x4c66c, 0 },
63749		{ "WL_ERR_CLK16", 15, 1 },
63750		{ "WL_ERR_CLK18", 14, 1 },
63751		{ "WL_ERR_CLK20", 13, 1 },
63752		{ "WL_ERR_CLK22", 12, 1 },
63753		{ "VALID_NS_BIG_L", 7, 1 },
63754		{ "INVALID_NS_SMALL_L", 6, 1 },
63755		{ "VALID_NS_BIG_R", 5, 1 },
63756		{ "INVALID_NS_BIG_R", 4, 1 },
63757		{ "VALID_NS_JUMP_BACK", 3, 1 },
63758		{ "INVALID_NS_SMALL_R", 2, 1 },
63759		{ "OFFSET_ERR", 1, 1 },
63760	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x4c670, 0 },
63761		{ "WL_ERR_CLK16_MASK", 15, 1 },
63762		{ "WL_ERR_CLK18_MASK", 14, 1 },
63763		{ "WL_ERR_CLK20_MASK", 13, 1 },
63764		{ "WR_ERR_CLK22_MASK", 12, 1 },
63765		{ "VALID_NS_BIG_L_MASK", 7, 1 },
63766		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
63767		{ "VALID_NS_BIG_R_MASK", 5, 1 },
63768		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
63769		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
63770		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
63771		{ "OFFSET_ERR_MASK", 1, 1 },
63772	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x4c7d8, 0 },
63773		{ "PLL_TUNE_0_2", 13, 3 },
63774		{ "PLL_TUNECP_0_2", 10, 3 },
63775		{ "PLL_TUNEF_0_5", 4, 6 },
63776		{ "PLL_TUNEVCO_0_1", 2, 2 },
63777		{ "PLL_PLLXTR_0_1", 0, 2 },
63778	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x4c7dc, 0 },
63779		{ "PLL_TUNETDIV_0_2", 13, 3 },
63780		{ "PLL_TUNEMDIV_0_1", 11, 2 },
63781		{ "PLL_TUNEATST", 10, 1 },
63782		{ "VREG_RANGE_0_1", 8, 2 },
63783		{ "CE0DLTVCCA", 7, 1 },
63784		{ "VREG_VCCTUNE_0_1", 5, 2 },
63785		{ "CE0DLTVCCD1", 4, 1 },
63786		{ "CE0DLTVCCD2", 3, 1 },
63787		{ "S0INSDLYTAP", 2, 1 },
63788		{ "S1INSDLYTAP", 1, 1 },
63789	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x4c7e0, 0 },
63790		{ "EN_SLICE_N_WR", 8, 8 },
63791		{ "EN_SLICE_N_WR_FFE", 4, 4 },
63792	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x4c7e8, 0 },
63793		{ "EN_TERM_N_WR", 8, 8 },
63794		{ "EN_TERM_N_WR_FFE", 4, 4 },
63795	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x4c7e4, 0 },
63796		{ "EN_SLICE_P_WR", 8, 8 },
63797		{ "EN_SLICE_P_WR_FFE", 4, 4 },
63798	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x4c7ec, 0 },
63799		{ "EN_TERM_P_WR", 8, 8 },
63800		{ "EN_TERM_P_WR_FFE", 4, 4 },
63801	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x4c7d4, 0 },
63802		{ "INTERP_SIG_SLEW", 12, 4 },
63803		{ "POST_CURSOR", 8, 4 },
63804		{ "SLEW_CTL", 4, 4 },
63805	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x4c674, 0 },
63806		{ "CHECKER_RESET", 14, 1 },
63807		{ "SYNC", 6, 6 },
63808		{ "ERROR", 0, 6 },
63809	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x4c620, 0 },
63810		{ "DIGITAL_EYE_EN", 15, 1 },
63811		{ "BUMP", 14, 1 },
63812		{ "TRIG_PERIOD", 13, 1 },
63813		{ "CNTL_POL", 12, 1 },
63814		{ "CNTL_SRC", 8, 1 },
63815		{ "DIGITAL_EYE_VALUE", 0, 8 },
63816	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x4c6c8, 0 },
63817		{ "MEMINTD00_POS", 14, 2 },
63818		{ "MEMINTD01_PO", 12, 2 },
63819		{ "MEMINTD02_POS", 10, 2 },
63820		{ "MEMINTD03_POS", 8, 2 },
63821		{ "MEMINTD04_POS", 6, 2 },
63822		{ "MEMINTD05_POS", 4, 2 },
63823		{ "MEMINTD06_POS", 2, 2 },
63824		{ "MEMINTD07_POS", 0, 2 },
63825	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x4c6cc, 0 },
63826		{ "MEMINTD08_POS", 14, 2 },
63827		{ "MEMINTD09_POS", 12, 2 },
63828		{ "MEMINTD10_POS", 10, 2 },
63829		{ "MEMINTD11_POS", 8, 2 },
63830		{ "MEMINTD12_POS", 6, 2 },
63831		{ "MEMINTD13_POS", 4, 2 },
63832		{ "MEMINTD14_POS", 2, 2 },
63833		{ "MEMINTD15_POS", 0, 2 },
63834	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x4c6d0, 0 },
63835		{ "MEMINTD16_POS", 14, 2 },
63836		{ "MEMINTD17_POS", 12, 2 },
63837		{ "MEMINTD18_POS", 10, 2 },
63838		{ "MEMINTD19_POS", 8, 2 },
63839		{ "MEMINTD20_POS", 6, 2 },
63840		{ "MEMINTD21_POS", 4, 2 },
63841		{ "MEMINTD22_POS", 2, 2 },
63842		{ "MEMINTD23_POS", 0, 2 },
63843	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x4c678, 0 },
63844		{ "SYSCLK_RDCLK_OFFSET", 8, 7 },
63845		{ "SYSCLK_DQSCLK_OFFSET", 0, 7 },
63846	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x4c6d4, 0 },
63847	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x4c6d8, 0 },
63848	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x4c7b4, 0 },
63849	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x4c7b8, 0 },
63850	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x4c6dc, 0 },
63851		{ "DQS_OFFSET", 8, 7 },
63852	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x4c62c, 0 },
63853		{ "HS_PROBE_A_SEL", 11, 5 },
63854		{ "HS_PROBE_B_SEL", 6, 5 },
63855		{ "RD_DEBUG_SEL", 3, 3 },
63856		{ "WR_DEBUG_SEL", 0, 3 },
63857	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x4c7fc, 0 },
63858		{ "MASTER_PD_CNTL", 15, 1 },
63859		{ "ANALOG_INPUT_STAB2", 14, 1 },
63860		{ "EYEDAC_PD", 13, 1 },
63861		{ "ANALOG_OUTPUT_STAB", 9, 1 },
63862		{ "ANALOG_INPUT_STAB1", 8, 1 },
63863		{ "SYSCLK_CLK_GATE", 6, 2 },
63864		{ "WR_FIFO_STAB", 5, 1 },
63865		{ "ADR_RX_PD", 4, 1 },
63866		{ "DP18_RX_PD", 2, 2 },
63867		{ "TX_TRISTATE_CNTL", 1, 1 },
63868		{ "DVCC_REG_PD", 0, 1 },
63869	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x4c648, 0 },
63870		{ "DYN_POWER_CNTL_EN", 15, 1 },
63871		{ "DYN_MCTERM_CNTL_EN", 14, 1 },
63872		{ "DYN_RX_GATE_CNTL_EN", 13, 1 },
63873		{ "CALGATE_ON", 12, 1 },
63874		{ "PER_RDCLK_UPDATE_DIS", 11, 1 },
63875	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x4c800, 0 },
63876	{ "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x4c804, 0 },
63877		{ "DATA_BIT_ENABLE_16_23", 8, 8 },
63878		{ "DFT_FORCE_OUTPUTS", 7, 1 },
63879		{ "DFT_PRBS7_GEN_EN", 6, 1 },
63880		{ "WRAPSEL", 5, 1 },
63881		{ "MRS_CMD_DATA_N0", 3, 1 },
63882		{ "MRS_CMD_DATA_N1", 2, 1 },
63883		{ "MRS_CMD_DATA_N2", 1, 1 },
63884		{ "MRS_CMD_DATA_N3", 0, 1 },
63885	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x4c9f0, 0 },
63886	{ "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x4c9f4, 0 },
63887		{ "DATA_BIT_DISABLE_16_23", 8, 8 },
63888	{ "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x4c808, 0 },
63889	{ "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4c80c, 0 },
63890		{ "DATA_BIT_DIR_16_23", 8, 8 },
63891		{ "WL_ADVANCE_DISABLE", 7, 1 },
63892		{ "DISABLE_PING_PONG", 6, 1 },
63893		{ "DELAY_PING_PONG_HALF", 5, 1 },
63894		{ "ADVANCE_PING_PONG", 4, 1 },
63895		{ "ATEST_MUX_CTL0", 3, 1 },
63896		{ "ATEST_MUX_CTL1", 2, 1 },
63897		{ "ATEST_MUX_CTL2", 1, 1 },
63898		{ "ATEST_MUX_CTL3", 0, 1 },
63899	{ "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x4c810, 0 },
63900		{ "QUAD0_CLK16_BIT0", 15, 1 },
63901		{ "QUAD1_CLK16_BIT1", 14, 1 },
63902		{ "QUAD2_CLK16_BIT2", 13, 1 },
63903		{ "QUAD3_CLK16_BIT3", 12, 1 },
63904		{ "QUAD0_CLK18_BIT4", 11, 1 },
63905		{ "QUAD1_CLK18_BIT5", 10, 1 },
63906		{ "QUAD2_CLK20_BIT6", 9, 1 },
63907		{ "QUAD3_CLK20_BIT7", 8, 1 },
63908		{ "QUAD2_CLK22_BIT8", 7, 1 },
63909		{ "QUAD3_CLK22_BIT9", 6, 1 },
63910		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
63911		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
63912		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
63913		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
63914	{ "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x4c814, 0 },
63915		{ "QUAD0_CLK16_BIT0", 15, 1 },
63916		{ "QUAD1_CLK16_BIT1", 14, 1 },
63917		{ "QUAD2_CLK16_BIT2", 13, 1 },
63918		{ "QUAD3_CLK16_BIT3", 12, 1 },
63919		{ "QUAD0_CLK18_BIT4", 11, 1 },
63920		{ "QUAD1_CLK18_BIT5", 10, 1 },
63921		{ "QUAD2_CLK20_BIT6", 9, 1 },
63922		{ "QUAD3_CLK20_BIT7", 8, 1 },
63923		{ "QUAD2_CLK22_BIT8", 7, 1 },
63924		{ "QUAD3_CLK22_BIT9", 6, 1 },
63925		{ "CLK16_SINGLE_ENDED_BIT10", 5, 1 },
63926		{ "CLK18_SINGLE_ENDED_BIT11", 4, 1 },
63927		{ "CLK20_SINGLE_ENDED_BIT12", 3, 1 },
63928		{ "CLK22_SINGLE_ENDED_BIT13", 2, 1 },
63929		{ "QUAD2_CLK18_BIT14", 1, 1 },
63930		{ "QUAD3_CLK18_BIT15", 0, 1 },
63931	{ "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x4c9f8, 0 },
63932		{ "DQ_WR_OFFSET_N0", 12, 4 },
63933		{ "DQ_WR_OFFSET_N1", 8, 4 },
63934		{ "DQ_WR_OFFSET_N2", 4, 4 },
63935		{ "DQ_WR_OFFSET_N3", 0, 4 },
63936	{ "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x4c818, 0 },
63937		{ "PEAK_AMP_CTL_SIDE0", 13, 3 },
63938		{ "PEAK_AMP_CTL_SIDE1", 9, 3 },
63939		{ "SxMCVREF_0_3", 4, 4 },
63940		{ "SxPODVREF", 3, 1 },
63941		{ "DISABLE_TERMINATION", 2, 1 },
63942		{ "READ_CENTERING_MODE", 0, 2 },
63943	{ "MC_DDRPHY_DP18_SYSCLK_PR", 0x4c81c, 0 },
63944		{ "SYSCLK_ENABLE", 15, 1 },
63945		{ "SYSCLK_ROT_OVERRIDE", 8, 7 },
63946		{ "SYSCLK_ROT_OVERRIDE_EN", 7, 1 },
63947		{ "SYSCLK_PHASE_ALIGN_RESET", 6, 1 },
63948		{ "SYSCLK_PHASE_CNTL_EN", 5, 1 },
63949		{ "SYSCLK_PHASE_DEFAULT_EN", 4, 1 },
63950		{ "SYSCLK_POS_EDGE_ALIGN", 3, 1 },
63951		{ "CONTINUOUS_UPDATE", 2, 1 },
63952	{ "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x4c9cc, 0 },
63953		{ "SYSCLK_ROT", 8, 7 },
63954	{ "MC_DDRPHY_DP18_WRCLK_PR", 0x4c9d0, 0 },
63955		{ "TSYS_WRCLK", 8, 7 },
63956	{ "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x4c8c0, 0 },
63957		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
63958		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
63959	{ "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x4c8c4, 0 },
63960		{ "DQSCLK_ROT_CLK_N0_N2", 8, 7 },
63961		{ "DQSCLK_ROT_CLK_N1_N3", 0, 7 },
63962	{ "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x4c824, 0 },
63963		{ "DQSCLK_SELECT0", 14, 2 },
63964		{ "RDCLK_SELECT0", 12, 2 },
63965		{ "DQSCLK_SELECT1", 10, 2 },
63966		{ "RDCLK_SELECT1", 8, 2 },
63967		{ "DQSCLK_SELECT2", 6, 2 },
63968		{ "RDCLK_SELECT2", 4, 2 },
63969		{ "DQSCLK_SELECT3", 2, 2 },
63970		{ "RDCLK_SELECT3", 0, 2 },
63971	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x4c970, 0 },
63972		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
63973		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
63974	{ "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x4c974, 0 },
63975		{ "INITIAL_DQS_ROT_N0_N2", 8, 7 },
63976		{ "INITIAL_DQS_ROT_N1_N3", 0, 7 },
63977	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x4c8e0, 0 },
63978		{ "WR_DELAY", 6, 10 },
63979	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x4c8e4, 0 },
63980		{ "WR_DELAY", 6, 10 },
63981	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x4c8e8, 0 },
63982		{ "WR_DELAY", 6, 10 },
63983	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x4c8ec, 0 },
63984		{ "WR_DELAY", 6, 10 },
63985	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x4c8f0, 0 },
63986		{ "WR_DELAY", 6, 10 },
63987	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x4c8f4, 0 },
63988		{ "WR_DELAY", 6, 10 },
63989	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x4c8f8, 0 },
63990		{ "WR_DELAY", 6, 10 },
63991	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x4c8fc, 0 },
63992		{ "WR_DELAY", 6, 10 },
63993	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x4c900, 0 },
63994		{ "WR_DELAY", 6, 10 },
63995	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x4c904, 0 },
63996		{ "WR_DELAY", 6, 10 },
63997	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x4c908, 0 },
63998		{ "WR_DELAY", 6, 10 },
63999	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4c90c, 0 },
64000		{ "WR_DELAY", 6, 10 },
64001	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x4c910, 0 },
64002		{ "WR_DELAY", 6, 10 },
64003	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x4c914, 0 },
64004		{ "WR_DELAY", 6, 10 },
64005	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x4c918, 0 },
64006		{ "WR_DELAY", 6, 10 },
64007	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4c91c, 0 },
64008		{ "WR_DELAY", 6, 10 },
64009	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x4c920, 0 },
64010		{ "WR_DELAY", 6, 10 },
64011	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x4c924, 0 },
64012		{ "WR_DELAY", 6, 10 },
64013	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x4c928, 0 },
64014		{ "WR_DELAY", 6, 10 },
64015	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4c92c, 0 },
64016		{ "WR_DELAY", 6, 10 },
64017	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x4c930, 0 },
64018		{ "WR_DELAY", 6, 10 },
64019	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x4c934, 0 },
64020		{ "WR_DELAY", 6, 10 },
64021	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x4c938, 0 },
64022		{ "WR_DELAY", 6, 10 },
64023	{ "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4c93c, 0 },
64024		{ "WR_DELAY", 6, 10 },
64025	{ "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x4c940, 0 },
64026		{ "RD_DELAY_BITS0_6", 9, 7 },
64027		{ "RD_DELAY_BITS8_14", 1, 7 },
64028	{ "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x4c944, 0 },
64029		{ "RD_DELAY_BITS0_6", 9, 7 },
64030		{ "RD_DELAY_BITS8_14", 1, 7 },
64031	{ "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x4c948, 0 },
64032		{ "RD_DELAY_BITS0_6", 9, 7 },
64033		{ "RD_DELAY_BITS8_14", 1, 7 },
64034	{ "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4c94c, 0 },
64035		{ "RD_DELAY_BITS0_6", 9, 7 },
64036		{ "RD_DELAY_BITS8_14", 1, 7 },
64037	{ "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x4c950, 0 },
64038		{ "RD_DELAY_BITS0_6", 9, 7 },
64039		{ "RD_DELAY_BITS8_14", 1, 7 },
64040	{ "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x4c954, 0 },
64041		{ "RD_DELAY_BITS0_6", 9, 7 },
64042		{ "RD_DELAY_BITS8_14", 1, 7 },
64043	{ "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x4c958, 0 },
64044		{ "RD_DELAY_BITS0_6", 9, 7 },
64045		{ "RD_DELAY_BITS8_14", 1, 7 },
64046	{ "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4c95c, 0 },
64047		{ "RD_DELAY_BITS0_6", 9, 7 },
64048		{ "RD_DELAY_BITS8_14", 1, 7 },
64049	{ "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x4c960, 0 },
64050		{ "RD_DELAY_BITS0_6", 9, 7 },
64051		{ "RD_DELAY_BITS8_14", 1, 7 },
64052	{ "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x4c964, 0 },
64053		{ "RD_DELAY_BITS0_6", 9, 7 },
64054		{ "RD_DELAY_BITS8_14", 1, 7 },
64055	{ "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x4c968, 0 },
64056		{ "RD_DELAY_BITS0_6", 9, 7 },
64057		{ "RD_DELAY_BITS8_14", 1, 7 },
64058	{ "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4c96c, 0 },
64059		{ "RD_DELAY_BITS0_6", 9, 7 },
64060		{ "RD_DELAY_BITS8_14", 1, 7 },
64061	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x4c830, 0 },
64062		{ "OFFSET_BITS1_7", 8, 7 },
64063		{ "OFFSET_BITS9_15", 0, 7 },
64064	{ "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x4c834, 0 },
64065		{ "OFFSET_BITS1_7", 8, 7 },
64066		{ "OFFSET_BITS9_15", 0, 7 },
64067	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x4c9c0, 0 },
64068		{ "REFERENCE_BITS1_7", 8, 7 },
64069		{ "REFERENCE_BITS9_15", 0, 7 },
64070	{ "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x4c9c4, 0 },
64071		{ "REFERENCE_BITS1_7", 8, 7 },
64072		{ "REFERENCE_BITS9_15", 0, 7 },
64073	{ "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x4c9c8, 0 },
64074		{ "REFERENCE", 8, 7 },
64075	{ "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x4c980, 0 },
64076		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
64077		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
64078	{ "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x4c984, 0 },
64079		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
64080		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
64081	{ "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x4c988, 0 },
64082		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
64083		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
64084	{ "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4c98c, 0 },
64085		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
64086		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
64087	{ "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x4c990, 0 },
64088		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
64089		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
64090	{ "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x4c994, 0 },
64091		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
64092		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
64093	{ "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x4c998, 0 },
64094		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
64095		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
64096	{ "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4c99c, 0 },
64097		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
64098		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
64099	{ "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x4c9a0, 0 },
64100		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
64101		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
64102	{ "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x4c9a4, 0 },
64103		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
64104		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
64105	{ "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x4c9a8, 0 },
64106		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
64107		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
64108	{ "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x4c9ac, 0 },
64109		{ "RD_EYE_SIZE_BITS2_7", 8, 6 },
64110		{ "RD_EYE_SIZE_BITS10_15", 0, 6 },
64111	{ "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x4c828, 0 },
64112		{ "MIN_RD_EYE_SIZE", 8, 6 },
64113		{ "MAX_DQS_DRIFT", 0, 6 },
64114	{ "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x4c838, 0 },
64115	{ "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4c83c, 0 },
64116		{ "LEADING_EDGE_NOT_FOUND_1", 8, 8 },
64117	{ "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x4c840, 0 },
64118	{ "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x4c844, 0 },
64119		{ "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 },
64120	{ "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4c84c, 0 },
64121		{ "DQS_GATE_DELAY_N0", 12, 3 },
64122		{ "DQS_GATE_DELAY_N1", 8, 3 },
64123		{ "DQS_GATE_DELAY_N2", 4, 3 },
64124		{ "DQS_GATE_DELAY_N3", 0, 3 },
64125	{ "MC_DDRPHY_DP18_RD_STATUS0", 0x4c850, 0 },
64126		{ "NO_EYE_DETECTED", 15, 1 },
64127		{ "LEADING_EDGE_FOUND", 14, 1 },
64128		{ "TRAILING_EDGE_FOUND", 13, 1 },
64129		{ "INCOMPLETE_RD_CAL_N0", 12, 1 },
64130		{ "INCOMPLETE_RD_CAL_N1", 11, 1 },
64131		{ "INCOMPLETE_RD_CAL_N2", 10, 1 },
64132		{ "INCOMPLETE_RD_CAL_N3", 9, 1 },
64133		{ "COARSE_PATTERN_ERR_N0", 8, 1 },
64134		{ "COARSE_PATTERN_ERR_N1", 7, 1 },
64135		{ "COARSE_PATTERN_ERR_N2", 6, 1 },
64136		{ "COARSE_PATTERN_ERR_N3", 5, 1 },
64137		{ "EYE_CLIPPING", 4, 1 },
64138		{ "NO_DQS", 3, 1 },
64139		{ "NO_LOCK", 2, 1 },
64140		{ "DRIFT_ERROR", 1, 1 },
64141		{ "MIN_EYE", 0, 1 },
64142	{ "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x4c854, 0 },
64143		{ "NO_EYE_DETECTED_MASK", 15, 1 },
64144		{ "LEADING_EDGE_FOUND_MASK", 14, 1 },
64145		{ "TRAILING_EDGE_FOUND_MASK", 13, 1 },
64146		{ "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 },
64147		{ "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 },
64148		{ "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 },
64149		{ "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 },
64150		{ "COARSE_PATTERN_ERR_N0_MASK", 8, 1 },
64151		{ "COARSE_PATTERN_ERR_N1_MASK", 7, 1 },
64152		{ "COARSE_PATTERN_ERR_N2_MASK", 6, 1 },
64153		{ "COARSE_PATTERN_ERR_N3_MASK", 5, 1 },
64154		{ "EYE_CLIPPING_MASK", 4, 1 },
64155		{ "NO_DQS_MASK", 3, 1 },
64156		{ "NO_LOCK_MASK", 2, 1 },
64157		{ "DRIFT_ERROR_MASK", 1, 1 },
64158		{ "MIN_EYE_MASK", 0, 1 },
64159	{ "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4c85c, 0 },
64160		{ "CLK_LEVEL", 14, 2 },
64161		{ "FINE_STEPPING", 13, 1 },
64162		{ "DONE", 12, 1 },
64163		{ "WL_ERR_CLK16_ST", 11, 1 },
64164		{ "WL_ERR_CLK18_ST", 10, 1 },
64165		{ "WL_ERR_CLK20_ST", 9, 1 },
64166		{ "WL_ERR_CLK22_ST", 8, 1 },
64167		{ "ZERO_DETECTED", 7, 1 },
64168	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x4c860, 0 },
64169		{ "BIT_CENTERED", 11, 5 },
64170		{ "SMALL_STEP_LEFT", 10, 1 },
64171		{ "BIG_STEP_RIGHT", 9, 1 },
64172		{ "MATCH_STEP_RIGHT", 8, 1 },
64173		{ "JUMP_BACK_RIGHT", 7, 1 },
64174		{ "SMALL_STEP_RIGHT", 6, 1 },
64175		{ "DDONE", 5, 1 },
64176	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x4c864, 0 },
64177		{ "FW_LEFT_SIDE", 5, 11 },
64178	{ "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x4c868, 0 },
64179		{ "FW_RIGHT_SIDE", 5, 11 },
64180	{ "MC_DDRPHY_DP18_WR_ERROR0", 0x4c86c, 0 },
64181		{ "WL_ERR_CLK16", 15, 1 },
64182		{ "WL_ERR_CLK18", 14, 1 },
64183		{ "WL_ERR_CLK20", 13, 1 },
64184		{ "WL_ERR_CLK22", 12, 1 },
64185		{ "VALID_NS_BIG_L", 7, 1 },
64186		{ "INVALID_NS_SMALL_L", 6, 1 },
64187		{ "VALID_NS_BIG_R", 5, 1 },
64188		{ "INVALID_NS_BIG_R", 4, 1 },
64189		{ "VALID_NS_JUMP_BACK", 3, 1 },
64190		{ "INVALID_NS_SMALL_R", 2, 1 },
64191		{ "OFFSET_ERR", 1, 1 },
64192	{ "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x4c870, 0 },
64193		{ "WL_ERR_CLK16_MASK", 15, 1 },
64194		{ "WL_ERR_CLK18_MASK", 14, 1 },
64195		{ "WL_ERR_CLK20_MASK", 13, 1 },
64196		{ "WR_ERR_CLK22_MASK", 12, 1 },
64197		{ "VALID_NS_BIG_L_MASK", 7, 1 },
64198		{ "INVALID_NS_SMALL_L_MASK", 6, 1 },
64199		{ "VALID_NS_BIG_R_MASK", 5, 1 },
64200		{ "INVALID_NS_BIG_R_MASK", 4, 1 },
64201		{ "VALID_NS_JUMP_BACK_MASK", 3, 1 },
64202		{ "INVALID_NS_SMALL_R_MASK", 2, 1 },
64203		{ "OFFSET_ERR_MASK", 1, 1 },
64204	{ "MC_DDRPHY_DP18_PLL_CONFIG0", 0x4c9d8, 0 },
64205		{ "PLL_TUNE_0_2", 13, 3 },
64206		{ "PLL_TUNECP_0_2", 10, 3 },
64207		{ "PLL_TUNEF_0_5", 4, 6 },
64208		{ "PLL_TUNEVCO_0_1", 2, 2 },
64209		{ "PLL_PLLXTR_0_1", 0, 2 },
64210	{ "MC_DDRPHY_DP18_PLL_CONFIG1", 0x4c9dc, 0 },
64211		{ "PLL_TUNETDIV_0_2", 13, 3 },
64212		{ "PLL_TUNEMDIV_0_1", 11, 2 },
64213		{ "PLL_TUNEATST", 10, 1 },
64214		{ "VREG_RANGE_0_1", 8, 2 },
64215		{ "CE0DLTVCCA", 7, 1 },
64216		{ "VREG_VCCTUNE_0_1", 5, 2 },
64217		{ "CE0DLTVCCD1", 4, 1 },
64218		{ "CE0DLTVCCD2", 3, 1 },
64219		{ "S0INSDLYTAP", 2, 1 },
64220		{ "S1INSDLYTAP", 1, 1 },
64221	{ "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x4c9e0, 0 },
64222		{ "EN_SLICE_N_WR", 8, 8 },
64223		{ "EN_SLICE_N_WR_FFE", 4, 4 },
64224	{ "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x4c9e8, 0 },
64225		{ "EN_TERM_N_WR", 8, 8 },
64226		{ "EN_TERM_N_WR_FFE", 4, 4 },
64227	{ "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x4c9e4, 0 },
64228		{ "EN_SLICE_P_WR", 8, 8 },
64229		{ "EN_SLICE_P_WR_FFE", 4, 4 },
64230	{ "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x4c9ec, 0 },
64231		{ "EN_TERM_P_WR", 8, 8 },
64232		{ "EN_TERM_P_WR_FFE", 4, 4 },
64233	{ "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x4c9d4, 0 },
64234		{ "INTERP_SIG_SLEW", 12, 4 },
64235		{ "POST_CURSOR", 8, 4 },
64236		{ "SLEW_CTL", 4, 4 },
64237	{ "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x4c874, 0 },
64238		{ "CHECKER_RESET", 14, 1 },
64239		{ "SYNC", 6, 6 },
64240		{ "ERROR", 0, 6 },
64241	{ "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x4c820, 0 },
64242		{ "DIGITAL_EYE_EN", 15, 1 },
64243		{ "BUMP", 14, 1 },
64244		{ "TRIG_PERIOD", 13, 1 },
64245		{ "CNTL_POL", 12, 1 },
64246		{ "CNTL_SRC", 8, 1 },
64247		{ "DIGITAL_EYE_VALUE", 0, 8 },
64248	{ "MC_DDRPHY_DP18_PATTERN_POS_0", 0x4c8c8, 0 },
64249		{ "MEMINTD00_POS", 14, 2 },
64250		{ "MEMINTD01_PO", 12, 2 },
64251		{ "MEMINTD02_POS", 10, 2 },
64252		{ "MEMINTD03_POS", 8, 2 },
64253		{ "MEMINTD04_POS", 6, 2 },
64254		{ "MEMINTD05_POS", 4, 2 },
64255		{ "MEMINTD06_POS", 2, 2 },
64256		{ "MEMINTD07_POS", 0, 2 },
64257	{ "MC_DDRPHY_DP18_PATTERN_POS_1", 0x4c8cc, 0 },
64258		{ "MEMINTD08_POS", 14, 2 },
64259		{ "MEMINTD09_POS", 12, 2 },
64260		{ "MEMINTD10_POS", 10, 2 },
64261		{ "MEMINTD11_POS", 8, 2 },
64262		{ "MEMINTD12_POS", 6, 2 },
64263		{ "MEMINTD13_POS", 4, 2 },
64264		{ "MEMINTD14_POS", 2, 2 },
64265		{ "MEMINTD15_POS", 0, 2 },
64266	{ "MC_DDRPHY_DP18_PATTERN_POS_2", 0x4c8d0, 0 },
64267		{ "MEMINTD16_POS", 14, 2 },
64268		{ "MEMINTD17_POS", 12, 2 },
64269		{ "MEMINTD18_POS", 10, 2 },
64270		{ "MEMINTD19_POS", 8, 2 },
64271		{ "MEMINTD20_POS", 6, 2 },
64272		{ "MEMINTD21_POS", 4, 2 },
64273		{ "MEMINTD22_POS", 2, 2 },
64274		{ "MEMINTD23_POS", 0, 2 },
64275	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x4c878, 0 },
64276		{ "SYSCLK_RDCLK_OFFSET", 8, 7 },
64277		{ "SYSCLK_DQSCLK_OFFSET", 0, 7 },
64278	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x4c8d4, 0 },
64279	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x4c8d8, 0 },
64280	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x4c9b4, 0 },
64281	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x4c9b8, 0 },
64282	{ "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x4c8dc, 0 },
64283		{ "DQS_OFFSET", 8, 7 },
64284	{ "MC_DDRPHY_DP18_DEBUG_SEL", 0x4c82c, 0 },
64285		{ "HS_PROBE_A_SEL", 11, 5 },
64286		{ "HS_PROBE_B_SEL", 6, 5 },
64287		{ "RD_DEBUG_SEL", 3, 3 },
64288		{ "WR_DEBUG_SEL", 0, 3 },
64289	{ "MC_DDRPHY_DP18_POWERDOWN_1", 0x4c9fc, 0 },
64290		{ "MASTER_PD_CNTL", 15, 1 },
64291		{ "ANALOG_INPUT_STAB2", 14, 1 },
64292		{ "EYEDAC_PD", 13, 1 },
64293		{ "ANALOG_OUTPUT_STAB", 9, 1 },
64294		{ "ANALOG_INPUT_STAB1", 8, 1 },
64295		{ "SYSCLK_CLK_GATE", 6, 2 },
64296		{ "WR_FIFO_STAB", 5, 1 },
64297		{ "ADR_RX_PD", 4, 1 },
64298		{ "DP18_RX_PD", 2, 2 },
64299		{ "TX_TRISTATE_CNTL", 1, 1 },
64300		{ "DVCC_REG_PD", 0, 1 },
64301	{ "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x4c848, 0 },
64302		{ "DYN_POWER_CNTL_EN", 15, 1 },
64303		{ "DYN_MCTERM_CNTL_EN", 14, 1 },
64304		{ "DYN_RX_GATE_CNTL_EN", 13, 1 },
64305		{ "CALGATE_ON", 12, 1 },
64306		{ "PER_RDCLK_UPDATE_DIS", 11, 1 },
64307	{ "MC_DDRPHY_SEQ_RD_WR_DATA0", 0x4f200, 0 },
64308	{ "MC_DDRPHY_SEQ_RD_WR_DATA1", 0x4f204, 0 },
64309	{ "MC_DDRPHY_SEQ_CONFIG0", 0x4f208, 0 },
64310		{ "MPR_PATTERN_BIT", 15, 1 },
64311		{ "TWO_CYCLE_ADDR_EN", 14, 1 },
64312		{ "MR_MASK_EN", 10, 4 },
64313	{ "MC_DDRPHY_SEQ_RESERVED_ADDR0", 0x4f20c, 0 },
64314	{ "MC_DDRPHY_SEQ_RESERVED_ADDR1", 0x4f210, 0 },
64315	{ "MC_DDRPHY_SEQ_RESERVED_ADDR2", 0x4f214, 0 },
64316	{ "MC_DDRPHY_SEQ_RESERVED_ADDR3", 0x4f218, 0 },
64317	{ "MC_DDRPHY_SEQ_RESERVED_ADDR4", 0x4f21c, 0 },
64318	{ "MC_DDRPHY_SEQ_ERROR_STATUS0", 0x4f220, 0 },
64319		{ "MULTIPLE_REQ_ERROR", 15, 1 },
64320		{ "INVALID_REQTYPE_ERRO", 14, 1 },
64321		{ "EARLY_REQ_ERROR", 13, 1 },
64322		{ "MULTIPLE_REQ_SOURCE", 10, 3 },
64323		{ "INVALID_REQTYPE", 6, 4 },
64324		{ "INVALID_REQ_SOURCE", 3, 3 },
64325		{ "EARLY_REQ_SOURCE", 0, 3 },
64326	{ "MC_DDRPHY_SEQ_ERROR_MASK0", 0x4f224, 0 },
64327		{ "MULT_REQ_ERR_MASK", 15, 1 },
64328		{ "INVALID_REQTYPE_ERR_MASK", 14, 1 },
64329		{ "EARLY_REQ_ERR_MASK", 13, 1 },
64330	{ "MC_DDRPHY_SEQ_ODT_WR_CONFIG0", 0x4f228, 0 },
64331		{ "ODT_WR_VALUES_BITS0_7", 8, 8 },
64332		{ "ODT_WR_VALUES_BITS8_15", 0, 8 },
64333	{ "MC_DDRPHY_SEQ_ODT_WR_CONFIG1", 0x4f22c, 0 },
64334		{ "ODT_WR_VALUES_BITS0_7", 8, 8 },
64335		{ "ODT_WR_VALUES_BITS8_15", 0, 8 },
64336	{ "MC_DDRPHY_SEQ_ODT_WR_CONFIG2", 0x4f230, 0 },
64337		{ "ODT_WR_VALUES_BITS0_7", 8, 8 },
64338		{ "ODT_WR_VALUES_BITS8_15", 0, 8 },
64339	{ "MC_DDRPHY_SEQ_ODT_WR_CONFIG3", 0x4f234, 0 },
64340		{ "ODT_WR_VALUES_BITS0_7", 8, 8 },
64341		{ "ODT_WR_VALUES_BITS8_15", 0, 8 },
64342	{ "MC_DDRPHY_SEQ_ODT_RD_CONFIG0", 0x4f238, 0 },
64343		{ "ODT_RD_VALUES_x2", 8, 8 },
64344		{ "ODT_RD_VALUES_x2plus1", 0, 8 },
64345	{ "MC_DDRPHY_SEQ_ODT_RD_CONFIG1", 0x4f23c, 0 },
64346		{ "ODT_RD_VALUES_x2", 8, 8 },
64347		{ "ODT_RD_VALUES_x2plus1", 0, 8 },
64348	{ "MC_DDRPHY_SEQ_ODT_RD_CONFIG2", 0x4f240, 0 },
64349		{ "ODT_RD_VALUES_x2", 8, 8 },
64350		{ "ODT_RD_VALUES_x2plus1", 0, 8 },
64351	{ "MC_DDRPHY_SEQ_ODT_RD_CONFIG3", 0x4f244, 0 },
64352		{ "ODT_RD_VALUES_x2", 8, 8 },
64353		{ "ODT_RD_VALUES_x2plus1", 0, 8 },
64354	{ "MC_DDRPHY_SEQ_MEM_TIMING_PARAM0", 0x4f248, 0 },
64355		{ "TMOD_CYCLES", 12, 4 },
64356		{ "TRCD_CYCLES", 8, 4 },
64357		{ "TRP_CYCLES", 4, 4 },
64358		{ "TRFC_CYCLES", 0, 4 },
64359	{ "MC_DDRPHY_SEQ_MEM_TIMING_PARAM1", 0x4f24c, 0 },
64360		{ "TZQINIT_CYCLES", 12, 4 },
64361		{ "TZQCS_CYCLES", 8, 4 },
64362		{ "TWLDQSEN_CYCLES", 4, 4 },
64363		{ "TWRMRD_CYCLES", 0, 4 },
64364	{ "MC_DDRPHY_SEQ_MEM_TIMING_PARAM2", 0x4f250, 0 },
64365		{ "TODTLON_OFF_CYCLES", 12, 4 },
64366		{ "TRC_CYCLES", 8, 4 },
64367		{ "TMRSC_CYCLES", 4, 4 },
64368	{ "MC_DDRPHY_WC_CONFIG0", 0x4f600, 0 },
64369		{ "TWLO_TWLOE", 8, 8 },
64370		{ "WL_ONE_DQS_PULSE", 7, 1 },
64371		{ "FW_WR_RD", 1, 6 },
64372		{ "CUSTOM_INIT_WRITE", 0, 1 },
64373	{ "MC_DDRPHY_WC_CONFIG1", 0x4f604, 0 },
64374		{ "BIG_STEP", 12, 4 },
64375		{ "SMALL_STEP", 9, 3 },
64376		{ "WR_PRE_DLY", 3, 6 },
64377	{ "MC_DDRPHY_WC_CONFIG2", 0x4f608, 0 },
64378		{ "NUM_VALID_SAMPLES", 12, 4 },
64379		{ "FW_RD_WR", 6, 6 },
64380		{ "EN_RESET_WR_DELAY_WL", 0, 1 },
64381	{ "MC_DDRPHY_WC_CONFIG3", 0x4f614, 0 },
64382		{ "DDR4_MRS_CMD_DQ_EN", 15, 1 },
64383		{ "MRS_CMD_DQ_ON", 9, 6 },
64384		{ "MRS_CMD_DQ_OFF", 3, 6 },
64385	{ "MC_DDRPHY_WC_WRCLK_CNTL", 0x4f618, 0 },
64386		{ "WRCLK_CAL_START", 15, 1 },
64387		{ "WRCLK_CAL_DONE", 14, 1 },
64388	{ "MC_DDRPHY_WC_ERROR_STATUS0", 0x4f60c, 0 },
64389		{ "WR_CNTL_ERROR", 15, 1 },
64390	{ "MC_DDRPHY_WC_ERROR_MASK0", 0x4f610, 0 },
64391		{ "WR_CNTL_ERROR_MASK", 15, 1 },
64392	{ "MC_DDRPHY_RC_CONFIG0", 0x4f400, 0 },
64393		{ "GLOBAL_PHY_OFFSET", 12, 4 },
64394		{ "ADVANCE_RD_VALID", 11, 1 },
64395		{ "SINGLE_BIT_MPR_RP0", 6, 1 },
64396		{ "SINGLE_BIT_MPR_RP1", 5, 1 },
64397		{ "SINGLE_BIT_MPR_RP2", 4, 1 },
64398		{ "SINGLE_BIT_MPR_RP3", 3, 1 },
64399		{ "ALIGN_ON_EVEN_CYCLES", 2, 1 },
64400		{ "PERFORM_RDCLK_ALIGN", 1, 1 },
64401		{ "STAGGERED_PATTERN", 0, 1 },
64402	{ "MC_DDRPHY_RC_CONFIG1", 0x4f404, 0 },
64403		{ "OUTER_LOOP_CNT", 2, 14 },
64404	{ "MC_DDRPHY_RC_CONFIG2", 0x4f408, 0 },
64405		{ "CONSEQ_PASS", 11, 5 },
64406		{ "BURST_WINDOW", 5, 2 },
64407		{ "ALLOW_RD_FIFO_AUTO_R_ESET", 4, 1 },
64408	{ "MC_DDRPHY_RC_CONFIG3", 0x4f41c, 0 },
64409		{ "FINE_CAL_STEP_SIZE", 13, 3 },
64410		{ "COARSE_CAL_STEP_SIZE", 9, 4 },
64411		{ "DQ_SEL_QUAD", 7, 2 },
64412		{ "DQ_SEL_LANE", 4, 3 },
64413	{ "MC_DDRPHY_RC_PERIODIC", 0x4f420, 0 },
64414	{ "MC_DDRPHY_RC_ERROR_STATUS0", 0x4f414, 0 },
64415		{ "RD_CNTL_ERROR", 15, 1 },
64416	{ "MC_DDRPHY_RC_ERROR_MASK0", 0x4f418, 0 },
64417		{ "RD_CNTL_ERROR_MASK", 15, 1 },
64418	{ "MC_DDRPHY_APB_CONFIG0", 0x4f800, 0 },
64419		{ "DISABLE_PARITY_CHECKER", 15, 1 },
64420		{ "GENERATE_EVEN_PARITY", 14, 1 },
64421		{ "FORCE_ON_CLK_GATE", 13, 1 },
64422		{ "DEBUG_BUS_SEL_LO", 12, 1 },
64423		{ "DEBUG_BUS_SEL_HI", 8, 4 },
64424	{ "MC_DDRPHY_APB_ERROR_STATUS0", 0x4f804, 0 },
64425		{ "INVALID_ADDRESS", 15, 1 },
64426		{ "WR_PAR_ERR", 14, 1 },
64427	{ "MC_DDRPHY_APB_ERROR_MASK0", 0x4f808, 0 },
64428		{ "INVALID_ADDRESS_MASK", 15, 1 },
64429		{ "WR_PAR_ERR_MASK", 14, 1 },
64430	{ "MC_DDRPHY_APB_DP18_POPULATION", 0x4f80c, 0 },
64431		{ "DP18_0_Populated", 15, 1 },
64432		{ "DP18_1_Populated", 14, 1 },
64433		{ "DP18_2_Populated", 13, 1 },
64434		{ "DP18_3_Populated", 12, 1 },
64435		{ "DP18_4_Populated", 11, 1 },
64436		{ "DP18_5_Populated", 10, 1 },
64437		{ "DP18_6_Populated", 9, 1 },
64438		{ "DP18_7_Populated", 8, 1 },
64439		{ "DP18_8_Populated", 7, 1 },
64440		{ "DP18_9_Populated", 6, 1 },
64441		{ "DP18_10_Populated", 5, 1 },
64442		{ "DP18_11_Populated", 4, 1 },
64443		{ "DP18_12_Populated", 3, 1 },
64444		{ "DP18_13_Populated", 2, 1 },
64445		{ "DP18_14_Populated", 1, 1 },
64446	{ "MC_DDRPHY_APB_ADR_POPULATION", 0x4f810, 0 },
64447		{ "ADR16_0_Populated", 15, 1 },
64448		{ "ADR16_1_Populated", 14, 1 },
64449		{ "ADR16_2_Populated", 13, 1 },
64450		{ "ADR16_3_Populated", 12, 1 },
64451		{ "ADR12_0_Populated", 7, 1 },
64452		{ "ADR12_1_Populated", 6, 1 },
64453		{ "ADR12_2_Populated", 5, 1 },
64454		{ "ADR12_3_Populated", 4, 1 },
64455	{ "MC_DDRPHY_APB_ATEST_MUX_SEL", 0x4f814, 0 },
64456		{ "ATEST_CNTL", 10, 6 },
64457	{ "MC_UPCTL_SCFG", 0x48000, 0 },
64458		{ "bbflags_timing", 8, 4 },
64459		{ "nfifo_nif1_dis", 6, 1 },
64460		{ "hw_low_power_en", 0, 1 },
64461	{ "MC_UPCTL_SCTL", 0x48004, 0 },
64462	{ "MC_UPCTL_STAT", 0x48008, 0 },
64463		{ "lp_trig", 4, 3 },
64464		{ "ctl_stat", 0, 3 },
64465	{ "MC_UPCTL_INTRSTAT", 0x4800c, 0 },
64466		{ "parity_intr", 1, 1 },
64467		{ "ecc_intr", 0, 1 },
64468	{ "MC_UPCTL_MCMD", 0x48040, 0 },
64469		{ "start_cmd", 31, 1 },
64470		{ "cmd_add_del", 24, 4 },
64471		{ "rank_sel", 20, 4 },
64472		{ "bank_addr", 17, 3 },
64473		{ "cmd_addr", 4, 13 },
64474		{ "cmd_opcode0", 0, 4 },
64475	{ "MC_UPCTL_POWCTL", 0x48044, 0 },
64476	{ "MC_UPCTL_POWSTAT", 0x48048, 0 },
64477	{ "MC_UPCTL_CMDTSTAT", 0x4804c, 0 },
64478	{ "MC_UPCTL_CMDTSTATEN", 0x48050, 0 },
64479	{ "MC_UPCTL_MRRCFG0", 0x48060, 0 },
64480	{ "MC_UPCTL_MRRSTAT0", 0x48064, 0 },
64481		{ "mrrstat_beat3", 24, 8 },
64482		{ "mrrstat_beat2", 16, 8 },
64483		{ "mrrstat_beat1", 8, 8 },
64484		{ "mrrstat_beat0", 0, 8 },
64485	{ "MC_UPCTL_MRRSTAT1", 0x48068, 0 },
64486		{ "mrrstat_beat7", 24, 8 },
64487		{ "mrrstat_beat6", 16, 8 },
64488		{ "mrrstat_beat5", 8, 8 },
64489		{ "mrrstat_beat4", 0, 8 },
64490	{ "MC_UPCTL_MCFG1", 0x4807c, 0 },
64491		{ "hw_exit_idle_en", 31, 1 },
64492		{ "hw_idle", 16, 8 },
64493		{ "sr_idle", 0, 8 },
64494	{ "MC_UPCTL_MCFG", 0x48080, 0 },
64495		{ "mddr_lpddr2_clk_stop_idle", 24, 8 },
64496		{ "mddr_lpddr2_en", 22, 2 },
64497		{ "mddr_lpddr2_bl", 20, 2 },
64498		{ "tfaw_cfg", 18, 2 },
64499		{ "pd_exit_mode", 17, 1 },
64500		{ "pd_type", 16, 1 },
64501		{ "pd_idle", 8, 8 },
64502		{ "lpddr2_s4", 6, 1 },
64503		{ "ddr3_en", 5, 1 },
64504		{ "stagger_cs", 4, 1 },
64505		{ "two_t_en", 3, 1 },
64506		{ "bl8int_en", 2, 1 },
64507		{ "cke_or_en", 1, 1 },
64508		{ "mem_bl", 0, 1 },
64509	{ "MC_UPCTL_PPCFG", 0x48084, 0 },
64510		{ "rpmem_dis", 1, 8 },
64511		{ "ppmem_en", 0, 1 },
64512	{ "MC_UPCTL_MSTAT", 0x48088, 0 },
64513		{ "self_refresh", 2, 1 },
64514		{ "clock_stop", 1, 1 },
64515		{ "power_down", 0, 1 },
64516	{ "MC_UPCTL_LPDDR2ZQCFG", 0x4808c, 0 },
64517		{ "zqcl_op", 24, 8 },
64518		{ "zqcl_ma", 16, 8 },
64519		{ "zqcs_op", 8, 8 },
64520		{ "zqcs_ma", 0, 8 },
64521	{ "MC_UPCTL_DTUPDES", 0x48094, 0 },
64522		{ "dtu_rd_missing", 13, 1 },
64523		{ "dtu_eaffl", 9, 4 },
64524		{ "dtu_random_error", 8, 1 },
64525		{ "dtu_err_b7", 7, 1 },
64526		{ "dtu_err_b6", 6, 1 },
64527		{ "dtu_err_b5", 5, 1 },
64528		{ "dtu_err_b4", 4, 1 },
64529		{ "dtu_err_b3", 3, 1 },
64530		{ "dtu_err_b2", 2, 1 },
64531		{ "dtu_err_b1", 1, 1 },
64532		{ "dtu_err_b0", 0, 1 },
64533	{ "MC_UPCTL_DTUNA", 0x48098, 0 },
64534	{ "MC_UPCTL_DTUNE", 0x4809c, 0 },
64535	{ "MC_UPCTL_DTUPRD0", 0x480a0, 0 },
64536		{ "dtu_allbits_1", 16, 16 },
64537		{ "dtu_allbits_0", 0, 16 },
64538	{ "MC_UPCTL_DTUPRD1", 0x480a4, 0 },
64539		{ "dtu_allbits_3", 16, 16 },
64540		{ "dtu_allbits_2", 0, 16 },
64541	{ "MC_UPCTL_DTUPRD2", 0x480a8, 0 },
64542		{ "dtu_allbits_5", 16, 16 },
64543		{ "dtu_allbits_4", 0, 16 },
64544	{ "MC_UPCTL_DTUPRD3", 0x480ac, 0 },
64545		{ "dtu_allbits_7", 16, 16 },
64546		{ "dtu_allbits_6", 0, 16 },
64547	{ "MC_UPCTL_DTUAWDT", 0x480b0, 0 },
64548		{ "number_ranks", 9, 2 },
64549		{ "row_addr_width", 6, 2 },
64550		{ "bank_addr_width", 3, 2 },
64551		{ "column_addr_width", 0, 2 },
64552	{ "MC_UPCTL_TOGCNT1U", 0x480c0, 0 },
64553	{ "MC_UPCTL_TINIT", 0x480c4, 0 },
64554	{ "MC_UPCTL_TRSTH", 0x480c8, 0 },
64555	{ "MC_UPCTL_TOGCNT100N", 0x480cc, 0 },
64556	{ "MC_UPCTL_TREFI", 0x480d0, 0 },
64557	{ "MC_UPCTL_TMRD", 0x480d4, 0 },
64558	{ "MC_UPCTL_TRFC", 0x480d8, 0 },
64559	{ "MC_UPCTL_TRP", 0x480dc, 0 },
64560		{ "prea_extra", 16, 2 },
64561		{ "t_rp", 0, 4 },
64562	{ "MC_UPCTL_TRTW", 0x480e0, 0 },
64563	{ "MC_UPCTL_TAL", 0x480e4, 0 },
64564	{ "MC_UPCTL_TCL", 0x480e8, 0 },
64565	{ "MC_UPCTL_TCWL", 0x480ec, 0 },
64566	{ "MC_UPCTL_TRAS", 0x480f0, 0 },
64567	{ "MC_UPCTL_TRC", 0x480f4, 0 },
64568	{ "MC_UPCTL_TRCD", 0x480f8, 0 },
64569	{ "MC_UPCTL_TRRD", 0x480fc, 0 },
64570	{ "MC_UPCTL_TRTP", 0x48100, 0 },
64571	{ "MC_UPCTL_TWR", 0x48104, 0 },
64572	{ "MC_UPCTL_TWTR", 0x48108, 0 },
64573	{ "MC_UPCTL_TEXSR", 0x4810c, 0 },
64574	{ "MC_UPCTL_TXP", 0x48110, 0 },
64575	{ "MC_UPCTL_TXPDLL", 0x48114, 0 },
64576	{ "MC_UPCTL_TZQCS", 0x48118, 0 },
64577	{ "MC_UPCTL_TZQCSI", 0x4811c, 0 },
64578	{ "MC_UPCTL_TDQS", 0x48120, 0 },
64579	{ "MC_UPCTL_TCKSRE", 0x48124, 0 },
64580	{ "MC_UPCTL_TCKSRX", 0x48128, 0 },
64581	{ "MC_UPCTL_TCKE", 0x4812c, 0 },
64582	{ "MC_UPCTL_TMOD", 0x48130, 0 },
64583	{ "MC_UPCTL_TRSTL", 0x48134, 0 },
64584	{ "MC_UPCTL_TZQCL", 0x48138, 0 },
64585	{ "MC_UPCTL_TMRR", 0x4813c, 0 },
64586	{ "MC_UPCTL_TCKESR", 0x48140, 0 },
64587	{ "MC_UPCTL_TDPD", 0x48144, 0 },
64588	{ "MC_UPCTL_ECCCFG", 0x48180, 0 },
64589		{ "inline_syn_en", 4, 1 },
64590		{ "ecc_en", 3, 1 },
64591		{ "ecc_intr_en", 2, 1 },
64592	{ "MC_UPCTL_ECCTST", 0x48184, 0 },
64593	{ "MC_UPCTL_ECCCLR", 0x48188, 0 },
64594		{ "clr_ecc_log", 1, 1 },
64595		{ "clr_ecc_intr", 0, 1 },
64596	{ "MC_UPCTL_ECCLOG", 0x4818c, 0 },
64597	{ "MC_UPCTL_DTUWACTL", 0x48200, 0 },
64598		{ "dtu_wr_rank", 30, 2 },
64599		{ "dtu_wr_row0", 13, 16 },
64600		{ "dtu_wr_bank", 10, 3 },
64601		{ "dtu_wr_col", 0, 10 },
64602	{ "MC_UPCTL_DTURACTL", 0x48204, 0 },
64603		{ "dtu_rd_rank", 30, 2 },
64604		{ "dtu_rd_row0", 13, 16 },
64605		{ "dtu_rd_bank", 10, 3 },
64606		{ "dtu_rd_col", 0, 10 },
64607	{ "MC_UPCTL_DTUCFG", 0x48208, 0 },
64608		{ "dtu_row_increments", 16, 7 },
64609		{ "dtu_wr_multi_rd", 15, 1 },
64610		{ "dtu_data_mask_en", 14, 1 },
64611		{ "dtu_target_lane", 10, 4 },
64612		{ "dtu_generate_random", 9, 1 },
64613		{ "dtu_incr_banks", 8, 1 },
64614		{ "dtu_incr_cols", 7, 1 },
64615		{ "dtu_nalen", 1, 6 },
64616		{ "dtu_enable", 0, 1 },
64617	{ "MC_UPCTL_DTUECTL", 0x4820c, 0 },
64618		{ "wr_multi_rd_rst", 2, 1 },
64619		{ "run_error_reports", 1, 1 },
64620		{ "run_dtu", 0, 1 },
64621	{ "MC_UPCTL_DTUWD0", 0x48210, 0 },
64622		{ "dtu_wr_byte3", 24, 8 },
64623		{ "dtu_wr_byte2", 16, 8 },
64624		{ "dtu_wr_byte1", 8, 8 },
64625		{ "dtu_wr_byte0", 0, 8 },
64626	{ "MC_UPCTL_DTUWD1", 0x48214, 0 },
64627		{ "dtu_wr_byte7", 24, 8 },
64628		{ "dtu_wr_byte6", 16, 8 },
64629		{ "dtu_wr_byte5", 8, 8 },
64630		{ "dtu_wr_byte4", 0, 8 },
64631	{ "MC_UPCTL_DTUWD2", 0x48218, 0 },
64632		{ "dtu_wr_byte11", 24, 8 },
64633		{ "dtu_wr_byte10", 16, 8 },
64634		{ "dtu_wr_byte9", 8, 8 },
64635		{ "dtu_wr_byte8", 0, 8 },
64636	{ "MC_UPCTL_DTUWD3", 0x4821c, 0 },
64637		{ "dtu_wr_byte15", 24, 8 },
64638		{ "dtu_wr_byte14", 16, 8 },
64639		{ "dtu_wr_byte13", 8, 8 },
64640		{ "dtu_wr_byte12", 0, 8 },
64641	{ "MC_UPCTL_DTUWDM", 0x48220, 0 },
64642	{ "MC_UPCTL_DTURD0", 0x48224, 0 },
64643		{ "dtu_rd_byte3", 24, 8 },
64644		{ "dtu_rd_byte2", 16, 8 },
64645		{ "dtu_rd_byte1", 8, 8 },
64646		{ "dtu_rd_byte0", 0, 8 },
64647	{ "MC_UPCTL_DTURD1", 0x48228, 0 },
64648		{ "dtu_rd_byte7", 24, 8 },
64649		{ "dtu_rd_byte6", 16, 8 },
64650		{ "dtu_rd_byte5", 8, 8 },
64651		{ "dtu_rd_byte4", 0, 8 },
64652	{ "MC_UPCTL_DTURD2", 0x4822c, 0 },
64653		{ "dtu_rd_byte11", 24, 8 },
64654		{ "dtu_rd_byte10", 16, 8 },
64655		{ "dtu_rd_byte9", 8, 8 },
64656		{ "dtu_rd_byte8", 0, 8 },
64657	{ "MC_UPCTL_DTURD3", 0x48230, 0 },
64658		{ "dtu_rd_byte15", 24, 8 },
64659		{ "dtu_rd_byte14", 16, 8 },
64660		{ "dtu_rd_byte13", 8, 8 },
64661		{ "dtu_rd_byte12", 0, 8 },
64662	{ "MC_UPCTL_DTULFSRWD", 0x48234, 0 },
64663	{ "MC_UPCTL_DTULFSRRD", 0x48238, 0 },
64664	{ "MC_UPCTL_DTUEAF", 0x4823c, 0 },
64665		{ "ea_rank", 30, 2 },
64666		{ "ea_row0", 13, 16 },
64667		{ "ea_bank", 10, 3 },
64668		{ "ea_column", 0, 10 },
64669	{ "MC_UPCTL_DFITCTRLDELAY", 0x48240, 0 },
64670	{ "MC_UPCTL_DFIODTCFG", 0x48244, 0 },
64671		{ "rank3_odt_default", 28, 1 },
64672		{ "rank3_odt_write_sel", 27, 1 },
64673		{ "rank3_odt_write_nsel", 26, 1 },
64674		{ "rank3_odt_read_sel", 25, 1 },
64675		{ "rank3_odt_read_nsel", 24, 1 },
64676		{ "rank2_odt_default", 20, 1 },
64677		{ "rank2_odt_write_sel", 19, 1 },
64678		{ "rank2_odt_write_nsel", 18, 1 },
64679		{ "rank2_odt_read_sel", 17, 1 },
64680		{ "rank2_odt_read_nsel", 16, 1 },
64681		{ "rank1_odt_default", 12, 1 },
64682		{ "rank1_odt_write_sel", 11, 1 },
64683		{ "rank1_odt_write_nsel", 10, 1 },
64684		{ "rank1_odt_read_sel", 9, 1 },
64685		{ "rank1_odt_read_nsel", 8, 1 },
64686		{ "rank0_odt_default", 4, 1 },
64687		{ "rank0_odt_write_sel", 3, 1 },
64688		{ "rank0_odt_write_nsel", 2, 1 },
64689		{ "rank0_odt_read_sel", 1, 1 },
64690		{ "rank0_odt_read_nsel", 0, 1 },
64691	{ "MC_UPCTL_DFIODTCFG1", 0x48248, 0 },
64692		{ "odt_len_b8_r", 24, 3 },
64693		{ "odt_len_bl8_w", 16, 3 },
64694		{ "odt_lat_r", 8, 5 },
64695		{ "odt_lat_w", 0, 5 },
64696	{ "MC_UPCTL_DFIODTRANKMAP", 0x4824c, 0 },
64697		{ "odt_rank_map3", 12, 4 },
64698		{ "odt_rank_map2", 8, 4 },
64699		{ "odt_rank_map1", 4, 4 },
64700		{ "odt_rank_map0", 0, 4 },
64701	{ "MC_UPCTL_DFITPHYWRDATA", 0x48250, 0 },
64702	{ "MC_UPCTL_DFITPHYWRLAT", 0x48254, 0 },
64703	{ "MC_UPCTL_DFITRDDATAEN", 0x48260, 0 },
64704	{ "MC_UPCTL_DFITPHYRDLAT", 0x48264, 0 },
64705	{ "MC_UPCTL_DFITPHYUPDTYPE0", 0x48270, 0 },
64706	{ "MC_UPCTL_DFITPHYUPDTYPE1", 0x48274, 0 },
64707	{ "MC_UPCTL_DFITPHYUPDTYPE2", 0x48278, 0 },
64708	{ "MC_UPCTL_DFITPHYUPDTYPE3", 0x4827c, 0 },
64709	{ "MC_UPCTL_DFITCTRLUPDMIN", 0x48280, 0 },
64710	{ "MC_UPCTL_DFITCTRLUPDMAX", 0x48284, 0 },
64711	{ "MC_UPCTL_DFITCTRLUPDDLY", 0x48288, 0 },
64712	{ "MC_UPCTL_DFIUPDCFG", 0x48290, 0 },
64713		{ "dfi_phyupd_en", 1, 1 },
64714		{ "dfi_ctrlupd_en", 0, 1 },
64715	{ "MC_UPCTL_DFITREFMSKI", 0x48294, 0 },
64716	{ "MC_UPCTL_DFITCTRLUPDI", 0x48298, 0 },
64717	{ "MC_UPCTL_DFITRCFG0", 0x482ac, 0 },
64718		{ "dfi_wrlvl_rank_sel", 16, 4 },
64719		{ "dfi_rdlvl_edge", 4, 9 },
64720		{ "dfi_rdlvl_rank_sel", 0, 4 },
64721	{ "MC_UPCTL_DFITRSTAT0", 0x482b0, 0 },
64722		{ "dfi_wrlvl_mode", 16, 2 },
64723		{ "dfi_rdlvl_gate_mode", 8, 2 },
64724		{ "dfi_rdlvl_mode", 0, 2 },
64725	{ "MC_UPCTL_DFITRWRLVLEN", 0x482b4, 0 },
64726	{ "MC_UPCTL_DFITRRDLVLEN", 0x482b8, 0 },
64727	{ "MC_UPCTL_DFITRRDLVLGATEEN", 0x482bc, 0 },
64728	{ "MC_UPCTL_DFISTSTAT0", 0x482c0, 0 },
64729		{ "dfi_data_byte_disable", 16, 9 },
64730		{ "dfi_freq_ratio", 4, 2 },
64731		{ "dfi_init_start0", 1, 1 },
64732		{ "dfi_init_complete", 0, 1 },
64733	{ "MC_UPCTL_DFISTCFG0", 0x482c4, 0 },
64734		{ "dfi_data_byte_disable_en", 2, 1 },
64735		{ "dfi_freq_ratio_en", 1, 1 },
64736		{ "dfi_init_start", 0, 1 },
64737	{ "MC_UPCTL_DFISTCFG1", 0x482c8, 0 },
64738		{ "dfi_dram_clk_disable_en_dpd", 1, 1 },
64739		{ "dfi_dram_clk_disable_en", 0, 1 },
64740	{ "MC_UPCTL_DFITDRAMCLKEN", 0x482d0, 0 },
64741	{ "MC_UPCTL_DFITDRAMCLKDIS", 0x482d4, 0 },
64742	{ "MC_UPCTL_DFISTCFG2", 0x482d8, 0 },
64743		{ "parity_en", 1, 1 },
64744		{ "parity_intr_en", 0, 1 },
64745	{ "MC_UPCTL_DFISTPARCLR", 0x482dc, 0 },
64746		{ "parity_log_clr", 1, 1 },
64747		{ "parity_intr_clr", 0, 1 },
64748	{ "MC_UPCTL_DFISTPARLOG", 0x482e0, 0 },
64749	{ "MC_UPCTL_DFILPCFG0", 0x482f0, 0 },
64750		{ "dfi_lp_wakeup_dpd", 28, 4 },
64751		{ "dfi_lp_en_dpd", 24, 1 },
64752		{ "dfi_tlp_resp", 16, 4 },
64753		{ "dfi_lp_en_sr", 8, 1 },
64754		{ "dfi_lp_wakeup_pd", 4, 4 },
64755		{ "dfi_lp_en_pd", 0, 1 },
64756	{ "MC_UPCTL_DFITRWRLVLRESP0", 0x48300, 0 },
64757	{ "MC_UPCTL_DFITRWRLVLRESP1", 0x48304, 0 },
64758	{ "MC_UPCTL_DFITRWRLVLRESP2", 0x48308, 0 },
64759	{ "MC_UPCTL_DFITRRDLVLRESP0", 0x4830c, 0 },
64760	{ "MC_UPCTL_DFITRRDLVLRESP1", 0x48310, 0 },
64761	{ "MC_UPCTL_DFITRRDLVLRESP2", 0x48314, 0 },
64762	{ "MC_UPCTL_DFITRWRLVLDELAY0", 0x48318, 0 },
64763	{ "MC_UPCTL_DFITRWRLVLDELAY1", 0x4831c, 0 },
64764	{ "MC_UPCTL_DFITRWRLVLDELAY2", 0x48320, 0 },
64765	{ "MC_UPCTL_DFITRRDLVLDELAY0", 0x48324, 0 },
64766	{ "MC_UPCTL_DFITRRDLVLDELAY1", 0x48328, 0 },
64767	{ "MC_UPCTL_DFITRRDLVLDELAY2", 0x4832c, 0 },
64768	{ "MC_UPCTL_DFITRRDLVLGATEDELAY0", 0x48330, 0 },
64769	{ "MC_UPCTL_DFITRRDLVLGATEDELAY1", 0x48334, 0 },
64770	{ "MC_UPCTL_DFITRRDLVLGATEDELAY2", 0x48338, 0 },
64771	{ "MC_UPCTL_DFITRCMD", 0x4833c, 0 },
64772		{ "dfitrcmd_start", 31, 1 },
64773		{ "dfitrcmd_en", 4, 9 },
64774		{ "dfitrcmd_opcode", 0, 2 },
64775	{ "MC_UPCTL_IPVR", 0x483f8, 0 },
64776	{ "MC_UPCTL_IPTR", 0x483fc, 0 },
64777	{ "MC_P_DDRPHY_RST_CTRL", 0x49300, 0 },
64778		{ "PHY_DRAM_WL", 17, 5 },
64779		{ "PHY_CALIB_DONE", 5, 1 },
64780		{ "CTL_CAL_REQ", 4, 1 },
64781		{ "CTL_CKE", 3, 1 },
64782		{ "CTL_RST_N", 2, 1 },
64783		{ "DDRIO_ENABLE", 1, 1 },
64784		{ "PHY_RST_N", 0, 1 },
64785	{ "MC_P_PERFORMANCE_CTRL", 0x49304, 0 },
64786		{ "STALL_CHK_BIT", 2, 1 },
64787		{ "DDR3_BRC_MODE", 1, 1 },
64788		{ "RMW_PERF_CTRL", 0, 1 },
64789	{ "MC_P_ECC_CTRL", 0x49308, 0 },
64790		{ "ECC_BYPASS_BIST", 1, 1 },
64791		{ "ECC_DISABLE", 0, 1 },
64792	{ "MC_P_PAR_ENABLE", 0x4930c, 0 },
64793		{ "ECC_UE_PAR_ENABLE", 3, 1 },
64794		{ "ECC_CE_PAR_ENABLE", 2, 1 },
64795		{ "PERR_REG_INT_ENABLE", 1, 1 },
64796		{ "PERR_BLK_INT_ENABLE", 0, 1 },
64797	{ "MC_P_PAR_CAUSE", 0x49310, 0 },
64798		{ "ECC_UE_PAR_CAUSE", 3, 1 },
64799		{ "ECC_CE_PAR_CAUSE", 2, 1 },
64800		{ "FIFOR_PAR_CAUSE", 1, 1 },
64801		{ "RDATA_FIFOR_PAR_CAUSE", 0, 1 },
64802	{ "MC_P_INT_ENABLE", 0x49314, 0 },
64803		{ "ECC_UE_INT_ENABLE", 2, 1 },
64804		{ "ECC_CE_INT_ENABLE", 1, 1 },
64805		{ "PERR_INT_ENABLE", 0, 1 },
64806	{ "MC_P_INT_CAUSE", 0x49318, 0 },
64807		{ "ECC_UE_INT_CAUSE", 2, 1 },
64808		{ "ECC_CE_INT_CAUSE", 1, 1 },
64809		{ "PERR_INT_CAUSE", 0, 1 },
64810	{ "MC_P_ECC_STATUS", 0x4931c, 0 },
64811		{ "ECC_CECNT", 16, 16 },
64812		{ "ECC_UECNT", 0, 16 },
64813	{ "MC_P_PHY_CTRL", 0x49320, 0 },
64814	{ "MC_P_STATIC_CFG_STATUS", 0x49324, 0 },
64815		{ "STATIC_AWEN", 23, 1 },
64816		{ "STATIC_SWLAT", 18, 5 },
64817		{ "STATIC_WLAT", 17, 1 },
64818		{ "STATIC_ALIGN", 16, 1 },
64819		{ "STATIC_SLAT", 11, 5 },
64820		{ "STATIC_LAT", 10, 1 },
64821		{ "STATIC_MODE", 9, 1 },
64822		{ "STATIC_DEN", 6, 3 },
64823		{ "STATIC_ORG", 5, 1 },
64824		{ "STATIC_RKS", 4, 1 },
64825		{ "STATIC_WIDTH", 1, 3 },
64826		{ "STATIC_SLOW", 0, 1 },
64827	{ "MC_P_CORE_PCTL_STAT", 0x49328, 0 },
64828	{ "MC_P_DEBUG_CNT", 0x4932c, 0 },
64829		{ "WDATA_OCNT", 8, 5 },
64830		{ "RDATA_OCNT", 0, 5 },
64831	{ "MC_CE_ERR_DATA_RDATA", 0x49330, 0 },
64832	{ "MC_CE_ERR_DATA_RDATA", 0x49334, 0 },
64833	{ "MC_CE_ERR_DATA_RDATA", 0x49338, 0 },
64834	{ "MC_CE_ERR_DATA_RDATA", 0x4933c, 0 },
64835	{ "MC_CE_ERR_DATA_RDATA", 0x49340, 0 },
64836	{ "MC_CE_ERR_DATA_RDATA", 0x49344, 0 },
64837	{ "MC_CE_ERR_DATA_RDATA", 0x49348, 0 },
64838	{ "MC_CE_ERR_DATA_RDATA", 0x4934c, 0 },
64839	{ "MC_CE_COR_DATA_RDATA", 0x49350, 0 },
64840	{ "MC_CE_COR_DATA_RDATA", 0x49354, 0 },
64841	{ "MC_CE_COR_DATA_RDATA", 0x49358, 0 },
64842	{ "MC_CE_COR_DATA_RDATA", 0x4935c, 0 },
64843	{ "MC_CE_COR_DATA_RDATA", 0x49360, 0 },
64844	{ "MC_CE_COR_DATA_RDATA", 0x49364, 0 },
64845	{ "MC_CE_COR_DATA_RDATA", 0x49368, 0 },
64846	{ "MC_CE_COR_DATA_RDATA", 0x4936c, 0 },
64847	{ "MC_UE_ERR_DATA_RDATA", 0x49370, 0 },
64848	{ "MC_UE_ERR_DATA_RDATA", 0x49374, 0 },
64849	{ "MC_UE_ERR_DATA_RDATA", 0x49378, 0 },
64850	{ "MC_UE_ERR_DATA_RDATA", 0x4937c, 0 },
64851	{ "MC_UE_ERR_DATA_RDATA", 0x49380, 0 },
64852	{ "MC_UE_ERR_DATA_RDATA", 0x49384, 0 },
64853	{ "MC_UE_ERR_DATA_RDATA", 0x49388, 0 },
64854	{ "MC_UE_ERR_DATA_RDATA", 0x4938c, 0 },
64855	{ "MC_UE_COR_DATA_RDATA", 0x49390, 0 },
64856	{ "MC_UE_COR_DATA_RDATA", 0x49394, 0 },
64857	{ "MC_UE_COR_DATA_RDATA", 0x49398, 0 },
64858	{ "MC_UE_COR_DATA_RDATA", 0x4939c, 0 },
64859	{ "MC_UE_COR_DATA_RDATA", 0x493a0, 0 },
64860	{ "MC_UE_COR_DATA_RDATA", 0x493a4, 0 },
64861	{ "MC_UE_COR_DATA_RDATA", 0x493a8, 0 },
64862	{ "MC_UE_COR_DATA_RDATA", 0x493ac, 0 },
64863	{ "MC_CE_ADDR", 0x493b0, 0 },
64864	{ "MC_UE_ADDR", 0x493b4, 0 },
64865	{ "MC_P_DEEP_SLEEP", 0x493b8, 0 },
64866		{ "SleepStatus", 1, 1 },
64867		{ "SleepReq", 0, 1 },
64868	{ "MC_P_FPGA_BONUS", 0x493bc, 0 },
64869	{ "MC_P_DEBUG_CFG", 0x493c0, 0 },
64870		{ "DEBUG_OR", 15, 1 },
64871		{ "DEBUG_HI", 14, 1 },
64872		{ "DEBUG_RPT", 13, 1 },
64873		{ "DEBUGPAGE", 10, 3 },
64874		{ "DEBUGSELH", 5, 5 },
64875		{ "DEBUGSELL", 0, 5 },
64876	{ "MC_P_DEBUG_RPT", 0x493c4, 0 },
64877	{ "MC_P_BIST_CMD", 0x49400, 0 },
64878		{ "START_BIST", 31, 1 },
64879		{ "BURST_LEN", 16, 2 },
64880		{ "BIST_CMD_GAP", 8, 8 },
64881		{ "BIST_OPCODE", 0, 2 },
64882	{ "MC_P_BIST_CMD_ADDR", 0x49404, 0 },
64883	{ "MC_P_BIST_CMD_LEN", 0x49408, 0 },
64884	{ "MC_P_BIST_DATA_PATTERN", 0x4940c, 0 },
64885	{ "MC_P_BIST_USER_WDATA0", 0x49414, 0 },
64886	{ "MC_P_BIST_USER_WDATA1", 0x49418, 0 },
64887	{ "MC_P_BIST_USER_WDATA2", 0x4941c, 0 },
64888		{ "USER_DATA_MASK", 8, 9 },
64889		{ "USER_DATA2", 0, 8 },
64890	{ "MC_P_BIST_NUM_ERR", 0x49480, 0 },
64891	{ "MC_P_BIST_ERR_FIRST_ADDR", 0x49484, 0 },
64892	{ "MC_P_BIST_STATUS_RDATA", 0x49488, 0 },
64893	{ "MC_P_BIST_STATUS_RDATA", 0x4948c, 0 },
64894	{ "MC_P_BIST_STATUS_RDATA", 0x49490, 0 },
64895	{ "MC_P_BIST_STATUS_RDATA", 0x49494, 0 },
64896	{ "MC_P_BIST_STATUS_RDATA", 0x49498, 0 },
64897	{ "MC_P_BIST_STATUS_RDATA", 0x4949c, 0 },
64898	{ "MC_P_BIST_STATUS_RDATA", 0x494a0, 0 },
64899	{ "MC_P_BIST_STATUS_RDATA", 0x494a4, 0 },
64900	{ "MC_P_BIST_STATUS_RDATA", 0x494a8, 0 },
64901	{ "MC_P_BIST_STATUS_RDATA", 0x494ac, 0 },
64902	{ "MC_P_BIST_STATUS_RDATA", 0x494b0, 0 },
64903	{ "MC_P_BIST_STATUS_RDATA", 0x494b4, 0 },
64904	{ "MC_P_BIST_STATUS_RDATA", 0x494b8, 0 },
64905	{ "MC_P_BIST_STATUS_RDATA", 0x494bc, 0 },
64906	{ "MC_P_BIST_STATUS_RDATA", 0x494c0, 0 },
64907	{ "MC_P_BIST_STATUS_RDATA", 0x494c4, 0 },
64908	{ "MC_P_BIST_STATUS_RDATA", 0x494c8, 0 },
64909	{ "MC_P_BIST_STATUS_RDATA", 0x494cc, 0 },
64910	{ "MC_P_BIST_CRC_SEED", 0x494d0, 0 },
64911	{ NULL }
64912};
64913
64914struct reg_info t5_edc_t50_regs[] = {
64915	{ "EDC_H_REF", 0x50000, 0 },
64916		{ "SleepStatus", 31, 1 },
64917		{ "SleepReq", 30, 1 },
64918		{ "PING_PONG", 29, 1 },
64919		{ "EDC_INST_NUM", 18, 1 },
64920		{ "ENABLE_PERF", 17, 1 },
64921		{ "ECC_BYPASS", 16, 1 },
64922		{ "RefFreq", 0, 16 },
64923	{ "EDC_H_BIST_CMD", 0x50004, 0 },
64924		{ "START_BIST", 31, 1 },
64925		{ "BURST_LEN", 16, 2 },
64926		{ "BIST_CMD_GAP", 8, 8 },
64927		{ "BIST_OPCODE", 0, 2 },
64928	{ "EDC_H_BIST_CMD_ADDR", 0x50008, 0 },
64929	{ "EDC_H_BIST_CMD_LEN", 0x5000c, 0 },
64930	{ "EDC_H_BIST_DATA_PATTERN", 0x50010, 0 },
64931	{ "EDC_H_BIST_USER_WDATA0", 0x50014, 0 },
64932	{ "EDC_H_BIST_USER_WDATA1", 0x50018, 0 },
64933	{ "EDC_H_BIST_USER_WDATA2", 0x5001c, 0 },
64934		{ "USER_DATA_MASK", 8, 9 },
64935		{ "USER_DATA2", 0, 8 },
64936	{ "EDC_H_BIST_NUM_ERR", 0x50020, 0 },
64937	{ "EDC_H_BIST_ERR_FIRST_ADDR", 0x50024, 0 },
64938	{ "EDC_H_BIST_STATUS_RDATA", 0x50028, 0 },
64939	{ "EDC_H_BIST_STATUS_RDATA", 0x5002c, 0 },
64940	{ "EDC_H_BIST_STATUS_RDATA", 0x50030, 0 },
64941	{ "EDC_H_BIST_STATUS_RDATA", 0x50034, 0 },
64942	{ "EDC_H_BIST_STATUS_RDATA", 0x50038, 0 },
64943	{ "EDC_H_BIST_STATUS_RDATA", 0x5003c, 0 },
64944	{ "EDC_H_BIST_STATUS_RDATA", 0x50040, 0 },
64945	{ "EDC_H_BIST_STATUS_RDATA", 0x50044, 0 },
64946	{ "EDC_H_BIST_STATUS_RDATA", 0x50048, 0 },
64947	{ "EDC_H_BIST_STATUS_RDATA", 0x5004c, 0 },
64948	{ "EDC_H_BIST_STATUS_RDATA", 0x50050, 0 },
64949	{ "EDC_H_BIST_STATUS_RDATA", 0x50054, 0 },
64950	{ "EDC_H_BIST_STATUS_RDATA", 0x50058, 0 },
64951	{ "EDC_H_BIST_STATUS_RDATA", 0x5005c, 0 },
64952	{ "EDC_H_BIST_STATUS_RDATA", 0x50060, 0 },
64953	{ "EDC_H_BIST_STATUS_RDATA", 0x50064, 0 },
64954	{ "EDC_H_BIST_STATUS_RDATA", 0x50068, 0 },
64955	{ "EDC_H_BIST_STATUS_RDATA", 0x5006c, 0 },
64956	{ "EDC_H_PAR_ENABLE", 0x50070, 0 },
64957		{ "ECC_UE_PAR_ENABLE", 2, 1 },
64958		{ "ECC_CE_PAR_ENABLE", 1, 1 },
64959		{ "PERR_PAR_ENABLE", 0, 1 },
64960	{ "EDC_H_INT_ENABLE", 0x50074, 0 },
64961		{ "ECC_UE_INT_ENABLE", 2, 1 },
64962		{ "ECC_CE_INT_ENABLE", 1, 1 },
64963		{ "PERR_INT_ENABLE", 0, 1 },
64964	{ "EDC_H_INT_CAUSE", 0x50078, 0 },
64965		{ "ECC_UE_INT0_CAUSE", 5, 1 },
64966		{ "ECC_CE_INT0_CAUSE", 4, 1 },
64967		{ "PERR_INT0_CAUSE", 3, 1 },
64968		{ "ECC_UE_INT_CAUSE", 2, 1 },
64969		{ "ECC_CE_INT_CAUSE", 1, 1 },
64970		{ "PERR_INT_CAUSE", 0, 1 },
64971	{ "EDC_H_ECC_STATUS", 0x5007c, 0 },
64972		{ "ECC_CECNT", 16, 16 },
64973		{ "ECC_UECNT", 0, 16 },
64974	{ "EDC_H_ECC_ERR_SEL", 0x50080, 0 },
64975	{ "EDC_H_ECC_ERR_ADDR", 0x50084, 0 },
64976	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x50090, 0 },
64977	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x50094, 0 },
64978	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x50098, 0 },
64979	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x5009c, 0 },
64980	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500a0, 0 },
64981	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500a4, 0 },
64982	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500a8, 0 },
64983	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500ac, 0 },
64984	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500b0, 0 },
64985	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500b4, 0 },
64986	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500b8, 0 },
64987	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500bc, 0 },
64988	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500c0, 0 },
64989	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500c4, 0 },
64990	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500c8, 0 },
64991	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x500cc, 0 },
64992	{ "EDC_H_BIST_CRC_SEED", 0x50400, 0 },
64993	{ NULL }
64994};
64995
64996struct reg_info t5_edc_t51_regs[] = {
64997	{ "EDC_H_REF", 0x50800, 0 },
64998		{ "SleepStatus", 31, 1 },
64999		{ "SleepReq", 30, 1 },
65000		{ "PING_PONG", 29, 1 },
65001		{ "EDC_INST_NUM", 18, 1 },
65002		{ "ENABLE_PERF", 17, 1 },
65003		{ "ECC_BYPASS", 16, 1 },
65004		{ "RefFreq", 0, 16 },
65005	{ "EDC_H_BIST_CMD", 0x50804, 0 },
65006		{ "START_BIST", 31, 1 },
65007		{ "BURST_LEN", 16, 2 },
65008		{ "BIST_CMD_GAP", 8, 8 },
65009		{ "BIST_OPCODE", 0, 2 },
65010	{ "EDC_H_BIST_CMD_ADDR", 0x50808, 0 },
65011	{ "EDC_H_BIST_CMD_LEN", 0x5080c, 0 },
65012	{ "EDC_H_BIST_DATA_PATTERN", 0x50810, 0 },
65013	{ "EDC_H_BIST_USER_WDATA0", 0x50814, 0 },
65014	{ "EDC_H_BIST_USER_WDATA1", 0x50818, 0 },
65015	{ "EDC_H_BIST_USER_WDATA2", 0x5081c, 0 },
65016		{ "USER_DATA_MASK", 8, 9 },
65017		{ "USER_DATA2", 0, 8 },
65018	{ "EDC_H_BIST_NUM_ERR", 0x50820, 0 },
65019	{ "EDC_H_BIST_ERR_FIRST_ADDR", 0x50824, 0 },
65020	{ "EDC_H_BIST_STATUS_RDATA", 0x50828, 0 },
65021	{ "EDC_H_BIST_STATUS_RDATA", 0x5082c, 0 },
65022	{ "EDC_H_BIST_STATUS_RDATA", 0x50830, 0 },
65023	{ "EDC_H_BIST_STATUS_RDATA", 0x50834, 0 },
65024	{ "EDC_H_BIST_STATUS_RDATA", 0x50838, 0 },
65025	{ "EDC_H_BIST_STATUS_RDATA", 0x5083c, 0 },
65026	{ "EDC_H_BIST_STATUS_RDATA", 0x50840, 0 },
65027	{ "EDC_H_BIST_STATUS_RDATA", 0x50844, 0 },
65028	{ "EDC_H_BIST_STATUS_RDATA", 0x50848, 0 },
65029	{ "EDC_H_BIST_STATUS_RDATA", 0x5084c, 0 },
65030	{ "EDC_H_BIST_STATUS_RDATA", 0x50850, 0 },
65031	{ "EDC_H_BIST_STATUS_RDATA", 0x50854, 0 },
65032	{ "EDC_H_BIST_STATUS_RDATA", 0x50858, 0 },
65033	{ "EDC_H_BIST_STATUS_RDATA", 0x5085c, 0 },
65034	{ "EDC_H_BIST_STATUS_RDATA", 0x50860, 0 },
65035	{ "EDC_H_BIST_STATUS_RDATA", 0x50864, 0 },
65036	{ "EDC_H_BIST_STATUS_RDATA", 0x50868, 0 },
65037	{ "EDC_H_BIST_STATUS_RDATA", 0x5086c, 0 },
65038	{ "EDC_H_PAR_ENABLE", 0x50870, 0 },
65039		{ "ECC_UE_PAR_ENABLE", 2, 1 },
65040		{ "ECC_CE_PAR_ENABLE", 1, 1 },
65041		{ "PERR_PAR_ENABLE", 0, 1 },
65042	{ "EDC_H_INT_ENABLE", 0x50874, 0 },
65043		{ "ECC_UE_INT_ENABLE", 2, 1 },
65044		{ "ECC_CE_INT_ENABLE", 1, 1 },
65045		{ "PERR_INT_ENABLE", 0, 1 },
65046	{ "EDC_H_INT_CAUSE", 0x50878, 0 },
65047		{ "ECC_UE_INT0_CAUSE", 5, 1 },
65048		{ "ECC_CE_INT0_CAUSE", 4, 1 },
65049		{ "PERR_INT0_CAUSE", 3, 1 },
65050		{ "ECC_UE_INT_CAUSE", 2, 1 },
65051		{ "ECC_CE_INT_CAUSE", 1, 1 },
65052		{ "PERR_INT_CAUSE", 0, 1 },
65053	{ "EDC_H_ECC_STATUS", 0x5087c, 0 },
65054		{ "ECC_CECNT", 16, 16 },
65055		{ "ECC_UECNT", 0, 16 },
65056	{ "EDC_H_ECC_ERR_SEL", 0x50880, 0 },
65057	{ "EDC_H_ECC_ERR_ADDR", 0x50884, 0 },
65058	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x50890, 0 },
65059	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x50894, 0 },
65060	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x50898, 0 },
65061	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x5089c, 0 },
65062	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508a0, 0 },
65063	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508a4, 0 },
65064	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508a8, 0 },
65065	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508ac, 0 },
65066	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508b0, 0 },
65067	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508b4, 0 },
65068	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508b8, 0 },
65069	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508bc, 0 },
65070	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508c0, 0 },
65071	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508c4, 0 },
65072	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508c8, 0 },
65073	{ "EDC_H_ECC_ERR_DATA_RDATA", 0x508cc, 0 },
65074	{ "EDC_H_BIST_CRC_SEED", 0x50c00, 0 },
65075	{ NULL }
65076};
65077
65078struct reg_info t5_hma_t5_regs[] = {
65079	{ "HMA_TABLE_ACCESS", 0x51000, 0 },
65080		{ "TRIG", 31, 1 },
65081		{ "RW", 30, 1 },
65082		{ "L_SEL", 0, 4 },
65083	{ "HMA_TABLE_LINE0", 0x51004, 0 },
65084	{ "HMA_TABLE_LINE1", 0x51008, 0 },
65085	{ "HMA_TABLE_LINE2", 0x5100c, 0 },
65086	{ "HMA_TABLE_LINE3", 0x51010, 0 },
65087	{ "HMA_TABLE_LINE4", 0x51014, 0 },
65088	{ "HMA_TABLE_LINE5", 0x51018, 0 },
65089		{ "FID", 16, 11 },
65090		{ "NOS", 15, 1 },
65091		{ "RO", 14, 1 },
65092	{ "HMA_COOKIE", 0x5101c, 0 },
65093		{ "C_REQ", 31, 1 },
65094		{ "C_FID", 18, 11 },
65095		{ "C_VAL", 8, 10 },
65096		{ "C_SEL", 0, 4 },
65097	{ "HMA_PAR_ENABLE", 0x51300, 0 },
65098	{ "HMA_INT_ENABLE", 0x51304, 0 },
65099	{ "HMA_INT_CAUSE", 0x51308, 0 },
65100	{ NULL }
65101};
65102