Searched refs:slab_size (Results 1 - 5 of 5) sorted by relevance

/netgear-WNDR4500v2-V1.0.0.60_1.0.38/src/linux/linux-2.6/Documentation/vm/
H A Dslabinfo.c31 int sanity_checks, slab_size, store_user, trace; member in struct:slabinfo
306 unsigned long slab_size(struct slabinfo *s) function
416 s->slab_size, s->slabs - s->partial - s->cpu_slabs,
422 s->slab_size - s->object_size, s->cpu_slabs, onoff(s->store_user),
423 (s->slab_size - s->object_size) * s->objects);
426 ((page_size << s->order) - s->objs_per_slab * s->slab_size) *
455 store_size(size_str, slab_size(s));
687 size = slab_size(s);
690 objwaste = s->slab_size - s->object_size;
731 if (s->slab_size < min_memob
[all...]
/netgear-WNDR4500v2-V1.0.0.60_1.0.38/src/linux/linux-2.6/mm/
H A Dslab.c407 unsigned int slab_size; member in struct:kmem_cache
805 size_t slab_size = PAGE_SIZE << gfporder; local
824 nr_objs = slab_size / buffer_size;
837 nr_objs = (slab_size - sizeof(struct slab)) /
845 > slab_size)
854 *left_over = slab_size - nr_objs*buffer_size - mgmt_size;
1460 cache_cache.slab_size = ALIGN(cache_cache.num * sizeof(kmem_bufctl_t) +
2122 size_t left_over, slab_size, ralign; local
2307 slab_size = ALIGN(cachep->num * sizeof(kmem_bufctl_t)
2314 if (flags & CFLGS_OFF_SLAB && left_over >= slab_size) {
[all...]
H A Dslub.c1690 unsigned long slab_size = PAGE_SIZE << order; local
1692 if (slab_size < min_objects * size)
1695 rem = slab_size % size;
1697 if (rem <= slab_size / fract_leftover)
3204 SLAB_ATTR_RO(slab_size); variable
/netgear-WNDR4500v2-V1.0.0.60_1.0.38/src/linux/linux-2.6/fs/jbd/
H A Djournal.c83 static int journal_create_jbd_slab(size_t slab_size);
1650 static int journal_create_jbd_slab(size_t slab_size) argument
1652 int i = JBD_SLAB_INDEX(slab_size);
1668 slab_size, slab_size, 0, NULL, NULL);
/netgear-WNDR4500v2-V1.0.0.60_1.0.38/src/linux/linux-2.6/fs/jbd2/
H A Djournal.c83 static int jbd2_journal_create_jbd_slab(size_t slab_size);
1661 static int jbd2_journal_create_jbd_slab(size_t slab_size) argument
1663 int i = JBD_SLAB_INDEX(slab_size);
1679 slab_size, slab_size, 0, NULL, NULL);

Completed in 66 milliseconds