Searched refs:imm11 (Results 1 - 6 of 6) sorted by relevance

/freebsd-11-stable/sys/riscv/include/
H A Driscv_opcode.h89 unsigned imm11: 1; member in struct:__anon13242::__anon13247
108 unsigned imm11: 1; member in struct:__anon13242::__anon13249
/freebsd-11-stable/contrib/llvm-project/lld/ELF/Arch/
H A DRISCV.cpp291 uint16_t imm11 = extractBits(val, 11, 11) << 12; local
299 insn |= imm11 | imm4 | imm9_8 | imm10 | imm6 | imm7 | imm3_1 | imm5;
325 uint32_t imm11 = extractBits(val, 11, 11) << 20; local
327 insn |= imm20 | imm10_1 | imm11 | imm19_12;
341 uint32_t imm11 = extractBits(val, 11, 11) << 7; local
342 insn |= imm12 | imm10_5 | imm4_1 | imm11;
/freebsd-11-stable/sys/riscv/riscv/
H A Ddb_disasm.c303 imm |= i.UJType.imm11 << 11;
311 imm = i.SBType.imm11 << 11;
/freebsd-11-stable/contrib/llvm-project/lld/lib/ReaderWriter/MachO/
H A DArchHandler_arm.cpp405 uint32_t imm11 = (instruction >> 16) & 0x7FF; local
409 (s << 24) | (i1 << 23) | (i2 << 22) | (imm10 << 12) | (imm11 << 1);
413 // The thumb blx instruction always has low bit of imm11 as zero. The way
453 uint32_t imm11 = (uint32_t)(displacement >> 1) & 0x7FF; local
456 uint32_t nextDisp = (j1 << 13) | (j2 << 11) | imm11;
/freebsd-11-stable/contrib/llvm-project/lldb/source/Plugins/Instruction/ARM/
H A DEmulateInstructionARM.cpp2046 uint32_t imm11 = Bits32(opcode, 10, 0); local
2050 (S << 24) | (I1 << 23) | (I2 << 22) | (imm10 << 12) | (imm11 << 1);
2854 uint32_t imm11 = Bits32(opcode, 10, 0); local
2856 (S << 20) | (J2 << 19) | (J1 << 18) | (imm6 << 12) | (imm11 << 1);
2867 uint32_t imm11 = Bits32(opcode, 10, 0); local
2871 (S << 24) | (I1 << 23) | (I2 << 22) | (imm10 << 12) | (imm11 << 1);
13313 &EmulateInstructionARM::EmulateB, "b<c> #imm11 (outside or last in IT)"},
/freebsd-11-stable/contrib/llvm-project/llvm/lib/Target/ARM/Disassembler/
H A DARMDisassembler.cpp2621 // imm32 = SignExtend(S:I1:I2:imm10:imm11:'0', 32);
2628 unsigned imm11 = fieldFromInstruction(Insn, 0, 11); local
2629 unsigned tmp = (S << 23) | (I1 << 22) | (I2 << 21) | (imm10 << 11) | imm11;
4611 // Val is passed in as S:J1:J2:imm10:imm11
4612 // Note no trailing zero after imm11. Also the J1 and J2 values are from
4617 // imm32 = SignExtend(S:I1:I2:imm10:imm11:'0', 32);

Completed in 380 milliseconds