Searched refs:NextBit (Results 1 - 2 of 2) sorted by relevance

/freebsd-11-stable/contrib/llvm-project/llvm/include/llvm/Bitstream/
H A DBitstreamReader.h242 unsigned NextBit = 0; local
244 Result |= (Piece & ((1U << (NumBits-1))-1)) << NextBit;
249 NextBit += NumBits-1;
269 unsigned NextBit = 0; local
271 Result |= uint64_t(Piece & ((1U << (NumBits-1))-1)) << NextBit;
276 NextBit += NumBits-1;
/freebsd-11-stable/contrib/llvm-project/llvm/utils/TableGen/
H A DCodeGenRegisters.cpp1468 unsigned NextBit = 0; local
1474 unsigned SrcBit = NextBit;
1476 if (NextBit < LaneBitmask::BitWidth-1)
1477 ++NextBit;

Completed in 113 milliseconds