Searched refs:CVMX_SRIOX_TX_STATUS (Results 1 - 1 of 1) sorted by relevance

/freebsd-10-stable/sys/contrib/octeon-sdk/
H A Dcvmx-sriox-defs.h595 static inline uint64_t CVMX_SRIOX_TX_STATUS(unsigned long block_id) function
600 cvmx_warn("CVMX_SRIOX_TX_STATUS(%lu) is invalid on this chip\n", block_id);
604 #define CVMX_SRIOX_TX_STATUS(block_id) (CVMX_ADD_IO_SEG(0x00011800C8000388ull) + ((block_id) & 3) * 0x1000000ull) macro

Completed in 45 milliseconds