Searched refs:sih_modules_twl4030 (Results 1 - 2 of 2) sorted by relevance

/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt-6.x.4708/linux/linux-2.6/drivers/mfd/
H A Dtwl4030-irq.c117 /* sih_modules_twl4030 is used both in twl4030 and twl5030 */
118 static const struct sih sih_modules_twl4030[6] = { variable in typeref:struct:sih
875 sih_modules = sih_modules_twl4030;
876 nr_sih_modules = ARRAY_SIZE(sih_modules_twl4030);
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt-6.x.4708/linux/linux-2.6.36/drivers/mfd/
H A Dtwl4030-irq.c117 /* sih_modules_twl4030 is used both in twl4030 and twl5030 */
118 static const struct sih sih_modules_twl4030[6] = { variable in typeref:struct:sih
875 sih_modules = sih_modules_twl4030;
876 nr_sih_modules = ARRAY_SIZE(sih_modules_twl4030);

Completed in 86 milliseconds