Searched refs:stop (Results 276 - 285 of 285) sorted by relevance

<<1112

/freebsd-current/sys/contrib/dev/athk/ath10k/
H A Dpci.c1578 ath10k_warn(ar, "incorrect ramdump format with start address 0x%x and stop address 0x%x\n",
2162 ath10k_dbg(ar, ATH10K_DBG_BOOT, "boot hif stop\n");
2728 * the point of bringing host system to a complete stop (i.e. hang).
3175 .stop = ath10k_pci_hif_stop,
H A Dmac.c1099 ath10k_warn(ar, "failed to stop monitor vdev %i after start failure: %d\n",
1121 ath10k_warn(ar, "failed to request monitor vdev %i stop: %d\n",
1126 ath10k_warn(ar, "failed to synchronize monitor vdev %i stop: %d\n",
1218 ath10k_warn(ar, "failed to stop monitor vdev: %d\n", ret);
1295 ath10k_warn(ar, "failed to stop disallowed monitor: %d\n",
1463 ath10k_warn(ar, "failed to stop WMI vdev %i: %d\n",
4558 ath10k_warn(ar, "failed to stop wmi scan: %d\n", ret);
4646 ath10k_warn(ar, "failed to stop scan: %d\n", ret);
5930 ath10k_warn(ar, "failed to stop spectral for vdev %i: %d\n",
7979 ath10k_warn(ar, "failed to stop sca
[all...]
/freebsd-current/contrib/llvm-project/lldb/source/Plugins/ObjectFile/ELF/
H A DObjectFileELF.cpp1821 MaxSize = It.stop() - Range.GetRangeBase();
3025 // Set relocated bit so we stop getting called, regardless of whether we
/freebsd-current/sys/dev/axgbe/
H A Dxgbe-phy-v2.c4043 phy_impl->stop = xgbe_phy_stop;
/freebsd-current/sys/dev/ath/
H A Dif_ath.c1410 * o stop the chip so no more interrupts will fire
1808 ath_stoprecv(sc, 1); /* stop recv side */
1810 ath_draintxq(sc, ATH_RESET_DEFAULT); /* stop hw xmit side */
2783 sc->sc_tx99->stop(sc->sc_tx99);
2797 /* XXX we should stop RX regardless of whether it's valid */
2956 /* Try to (stop any further TX/RX from occurring */
2992 * not, stop the PCU and handle what's in the RX queue.
3003 ath_draintxq(sc, reset_type); /* stop xmit side */
3307 DPRINTF(sc, ATH_DEBUG_XMIT, "%s: stop queue\n", __func__);
5128 /* stop th
[all...]
/freebsd-current/sys/contrib/dev/iwlwifi/mvm/
H A Dmac80211.c1302 * don't stop debugfs accesses before canceling that it could be
2960 /* Handle AP stop while in CSA */
3007 * This is fixed in later FW (which will stop beaconing when removing
3150 * us to stop a hw_scan when it's already stopped. This can
4097 * us to stop a sched_scan when it's already stopped. This
4100 * stop sched scan scan before ieee80211_sched_scan_stopped_work()
6235 .stop = iwl_mvm_mac_stop,
/freebsd-current/sys/contrib/dev/athk/ath12k/
H A Dmac.c880 ath12k_warn(ar->ab, "failed to stop monitor vdev %i after start failure: %d\n",
895 ath12k_warn(ar->ab, "failed to request monitor vdev %i stop: %d\n",
900 ath12k_warn(ar->ab, "failed to synchronize monitor vdev %i stop: %d\n",
1070 ath12k_warn(ar->ab, "failed to stop monitor vdev: %d\n", ret);
2826 ath12k_warn(ar->ab, "failed to stop wmi scan: %d\n", ret);
2914 ath12k_warn(ar->ab, "failed to stop scan: %d\n", ret);
5910 ath12k_warn(ar->ab, "failed to stop WMI vdev %i: %d\n",
6279 ath12k_warn(ab, "failed to stop vdev %i: %d\n",
6904 .stop = ath12k_mac_op_stop,
/freebsd-current/sys/contrib/dev/athk/ath11k/
H A Dmac.c987 ath11k_warn(ar->ab, "failed to stop monitor vdev %i after start failure: %d\n",
994 ath11k_warn(ar->ab, "failed to synchronize setup for vdev %i stop: %d\n",
1012 ath11k_warn(ar->ab, "failed to request monitor vdev %i stop: %d\n",
1019 ath11k_warn(ar->ab, "failed to synchronize monitor vdev %i stop: %d\n",
1199 ath11k_warn(ar->ab, "failed to stop monitor vdev: %d\n", ret);
1208 ath11k_warn(ar->ab, "failed to configure htt monitor mode ring during stop: %d",
1333 ath11k_warn(ar->ab, "failed to stop monitor: %d",
3809 ath11k_warn(ar->ab, "failed to stop wmi scan: %d\n", ret);
3908 ath11k_warn(ar->ab, "failed to stop scan: %d\n", ret);
6638 ath11k_dbg(ar->ab, ATH11K_DBG_MAC, "stop 1
[all...]
/freebsd-current/lib/clang/liblldb/
H A DLLDBWrapLua.cpp3772 bool stop = lua_toboolean(L, -1); local
3775 return stop;
3802 bool stop = lua_toboolean(L, -1); local
3805 return stop;
[all...]
/freebsd-current/contrib/llvm-project/llvm/lib/CodeGen/SelectionDAG/
H A DDAGCombiner.cpp1337 // we need to stop reassciate to avoid dead loop
1347 // we need to stop reassciate to avoid dead loop
20259 // Search through DAG. We can stop early if we find a store node.
22118 // but stop it at the same position we did previously.
[all...]

Completed in 810 milliseconds

<<1112