Searched defs:pps_out_num (Results 1 - 3 of 3) sorted by relevance

/linux-master/drivers/net/ethernet/synopsys/
H A Ddwc-xlgmac.h534 unsigned int pps_out_num; /* Number of PPS outputs */ member in struct:xlgmac_hw_features
/linux-master/drivers/net/ethernet/stmicro/stmmac/
H A Dcommon.h443 unsigned int pps_out_num; member in struct:dma_features
/linux-master/drivers/net/ethernet/amd/xgbe/
H A Dxgbe.h1031 unsigned int pps_out_num; /* Number of PPS outputs */ member in struct:xgbe_hw_features

Completed in 226 milliseconds