Searched defs:FPGA_GET_REG (Results 1 - 1 of 1) sorted by relevance

/u-boot/include/
H A Dgdsys_fpga.h32 #define FPGA_GET_REG(ix, fld, val) \ macro

Completed in 161 milliseconds