1# Copyright (C) 2012-2020 Free Software Foundation, Inc.
2#
3# This program is free software; you can redistribute it and/or modify
4# it under the terms of the GNU General Public License as published by
5# the Free Software Foundation; either version 3 of the License, or
6# (at your option) any later version.
7#
8# This program is distributed in the hope that it will be useful,
9# but WITHOUT ANY WARRANTY; without even the implied warranty of
10# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
11# GNU General Public License for more details.
12#
13# You should have received a copy of the GNU General Public License
14# along with this program.  If not, see <http://www.gnu.org/licenses/>.
15
16set opts {}
17standard_testfile amd64-tailcall-cxx1.S amd64-tailcall-cxx2.S
18
19if [info exists COMPILE] {
20    # make check RUNTESTFLAGS="gdb.arch/amd64-tailcall-cxx.exp COMPILE=1"
21    standard_testfile amd64-tailcall-cxx1.cc amd64-tailcall-cxx2.cc
22    lappend opts debug optimize=-O2
23} elseif { ![istarget x86_64-*-* ] || ![is_lp64_target] } {
24    verbose "Skipping ${testfile}."
25    return
26}
27
28if { [prepare_for_testing "failed to prepare" ${testfile} "${srcfile} ${srcfile2}" $opts] } {
29    return -1
30}
31
32if ![runto g] {
33    return -1
34}
35
36gdb_test "bt" "\r\n#0 +g \\(x=x@entry=2\\) at \[^\r\n\]*\r\n#1 +0x\[0-9a-f\]+ in f \\(x=x@entry=1\\) at \[^\r\n\]*\r\n#2 +0x\[0-9a-f\]+ in main .*"
37