1" Vim syntax file 2" Language: SDC - Synopsys Design Constraints 3" Maintainer: Maurizio Tranchero - maurizio.tranchero@gmail.com 4" Last Change: Thu Mar 25 17:35:16 CET 2009 5" Credits: based on TCL Vim syntax file 6" Version: 0.3 7 8" Quit when a syntax file was already loaded 9if exists("b:current_syntax") 10 finish 11endif 12 13" Read the TCL syntax to start with 14runtime! syntax/tcl.vim 15 16" SDC-specific keywords 17syn keyword sdcCollections foreach_in_collection 18syn keyword sdcObjectsQuery get_clocks get_ports 19syn keyword sdcObjectsInfo get_point_info get_node_info get_path_info 20syn keyword sdcObjectsInfo get_timing_paths set_attribute 21syn keyword sdcConstraints set_false_path 22syn keyword sdcNonIdealities set_min_delay set_max_delay 23syn keyword sdcNonIdealities set_input_delay set_output_delay 24syn keyword sdcNonIdealities set_load set_min_capacitance set_max_capacitance 25syn keyword sdcCreateOperations create_clock create_timing_netlist update_timing_netlist 26 27" command flags highlighting 28syn match sdcFlags "[[:space:]]-[[:alpha:]]*\>" 29 30" Define the default highlighting. 31hi def link sdcCollections Repeat 32hi def link sdcObjectsInfo Operator 33hi def link sdcCreateOperations Operator 34hi def link sdcObjectsQuery Operator 35hi def link sdcConstraints Operator 36hi def link sdcNonIdealities Operator 37hi def link sdcFlags Special 38 39let b:current_syntax = "sdc" 40 41" vim: ts=8 42