1! { dg-do compile }
2module bind_c_usage_5
3use, intrinsic :: iso_c_binding
4
5bind(c) c3, c4 
6integer(c_int), bind(c) :: c3 ! { dg-error "Duplicate BIND attribute" }
7integer(c_int) :: c4
8end module bind_c_usage_5
9