ecore_dbg_values.h revision 320164
1316485Sdavidcs/*
2316485Sdavidcs * Copyright (c) 2017-2018 Cavium, Inc.
3316485Sdavidcs * All rights reserved.
4316485Sdavidcs *
5316485Sdavidcs *  Redistribution and use in source and binary forms, with or without
6316485Sdavidcs *  modification, are permitted provided that the following conditions
7316485Sdavidcs *  are met:
8316485Sdavidcs *
9316485Sdavidcs *  1. Redistributions of source code must retain the above copyright
10316485Sdavidcs *     notice, this list of conditions and the following disclaimer.
11316485Sdavidcs *  2. Redistributions in binary form must reproduce the above copyright
12316485Sdavidcs *     notice, this list of conditions and the following disclaimer in the
13316485Sdavidcs *     documentation and/or other materials provided with the distribution.
14316485Sdavidcs *
15316485Sdavidcs *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
16316485Sdavidcs *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
17316485Sdavidcs *  IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
18316485Sdavidcs *  ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE
19316485Sdavidcs *  LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
20316485Sdavidcs *  CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
21316485Sdavidcs *  SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
22316485Sdavidcs *  INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
23316485Sdavidcs *  CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
24316485Sdavidcs *  ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
25316485Sdavidcs *  POSSIBILITY OF SUCH DAMAGE.
26316485Sdavidcs *
27316485Sdavidcs * $FreeBSD: stable/11/sys/dev/qlnx/qlnxe/ecore_dbg_values.h 320164 2017-06-20 19:16:06Z davidcs $
28316485Sdavidcs *
29316485Sdavidcs */
30316485Sdavidcs
31316485Sdavidcs#ifndef __DBG_VALUES_H__
32316485Sdavidcs#define __DBG_VALUES_H__
33316485Sdavidcs
34316485Sdavidcs/* modes tree buffer */
35316485Sdavidcsstatic const u8 dbg_modes_tree_buf[] = {
36316485Sdavidcs	0x02, 0x00, 0x01, 0x04, 0x05, 0x00, 0x01, 0x07, 0x09, 0x02, 0x00, 0x01,
37316485Sdavidcs	0x05, 0x12, 0x00, 0x00, 0x06, 0x02, 0x00, 0x04, 0x00, 0x01, 0x09, 0x00,
38320164Sdavidcs	0x06, 0x00, 0x01, 0x00, 0x06, 0x01, 0x04, 0x05, 0x02, 0x00, 0x12, 0x00,
39320164Sdavidcs	0x01, 0x07, 0x09, 0x02, 0x00, 0x04, 0x00, 0x01, 0x07, 0x09, 0x02, 0x00,
40320164Sdavidcs	0x04, 0x02, 0x00, 0x0b, 0x0e, 0x02, 0x00, 0x04, 0x02, 0x00, 0x0b, 0x0f,
41320164Sdavidcs	0x02, 0x00, 0x04, 0x02, 0x00, 0x0b, 0x10, 0x02, 0x05, 0x00, 0x01, 0x07,
42320164Sdavidcs	0x09, 0x02, 0x00, 0x06, 0x01, 0x04, 0x05, 0x02, 0x04, 0x02, 0x00, 0x11,
43320164Sdavidcs	0x0f, 0x02, 0x04, 0x02, 0x00, 0x11, 0x0e, 0x02, 0x04, 0x00, 0x01, 0x07,
44320164Sdavidcs	0x09, 0x02, 0x00, 0x04, 0x00, 0x00, 0x06, 0x02, 0x00, 0x04, 0x02, 0x0b,
45320164Sdavidcs	0x10, 0x02, 0x00, 0x04, 0x02, 0x0b, 0x0e, 0x02, 0x00, 0x04, 0x02, 0x0b,
46320164Sdavidcs	0x0f, 0x02, 0x00, 0x06, 0x00, 0x04, 0x02, 0x00, 0x04, 0x00, 0x09, 0x02,
47320164Sdavidcs	0x04, 0x00, 0x00, 0x06, 0x01, 0x06, 0x01, 0x08, 0x0a, 0x00, 0x01, 0x04,
48320164Sdavidcs	0x12, 0x02, 0x04, 0x00, 0x11, 0x02, 0x00, 0x06, 0x12, 0x02, 0x00, 0x06,
49320164Sdavidcs	0x04, 0x02, 0x00, 0x06, 0x0e, 0x02, 0x00, 0x04, 0x0c, 0x02, 0x00, 0x04,
50320164Sdavidcs	0x0f, 0x02, 0x00, 0x04, 0x10, 0x02, 0x00, 0x06, 0x0f, 0x02, 0x00, 0x06,
51320164Sdavidcs	0x10, 0x02, 0x05, 0x00, 0x10, 0x02, 0x00, 0x06, 0x05, 0x02, 0x04, 0x0f,
52320164Sdavidcs	0x02, 0x12, 0x10, 0x02, 0x04, 0x11, 0x02, 0x04, 0x06, 0x01, 0x0b, 0x0d,
53320164Sdavidcs	0x02, 0x05, 0x10, 0x00, 0x0d, 0x00, 0x05, 0x03,
54316485Sdavidcs};
55320164Sdavidcs/* Data size: 212 bytes */
56316485Sdavidcs
57316485Sdavidcs/* Array of registers to be dumped */
58316485Sdavidcsstatic const u32 dump_reg[] = {
59320164Sdavidcs	0x00000c74, 	/* split NONE */
60316485Sdavidcs	0x06000000, 	/* block grc */
61316485Sdavidcs	0x02014000, 	/* grc.override_window_mem_self_init_start .. grc.override_window_mem_self_init_done (2 regs) */
62316485Sdavidcs	0x0a014010, 	/* grc.rsv_attn_access_data_0 .. grc.trace_fifo_valid_data (10 regs) */
63316485Sdavidcs	0x1201401c, 	/* grc.trace_fifo_enable .. grc.dbg_force_frame (18 regs) */
64316485Sdavidcs	0x0201403a, 	/* grc.dbgsyn_status .. grc.dbgsyn_almost_full_thr (2 regs) */
65316485Sdavidcs	0x02014060, 	/* grc.INT_STS_0 .. grc.INT_MASK_0 (2 regs) */
66316485Sdavidcs	0x04014100, 	/* grc.timeout_val .. grc.number_valid_override_window (4 regs) */
67320164Sdavidcs	0x0d010000, 	/* block miscs */
68316485Sdavidcs	0x02002410, 	/* miscs.reset_config .. miscs.reset_config_por (2 regs) */
69316485Sdavidcs	0x0500241c, 	/* miscs.clk_100g_mode .. miscs.NVM_WR_EN (5 regs) */
70316485Sdavidcs	0x0100245b, 	/* miscs.memctrl_status (1 regs) */
71316485Sdavidcs	0x02002460, 	/* miscs.INT_STS_0 .. miscs.INT_MASK_0 (2 regs) */
72316485Sdavidcs	0x83002500, 	/* miscs.gpio0_driver .. miscs.gpio_event_en (131 regs) */
73316485Sdavidcs	0x0d0025af, 	/* miscs.LINK_HOLDOFF_STATUS .. miscs.vmain_por (13 regs) */
74316485Sdavidcs	0x040025bd, 	/* miscs.pwr_attn .. miscs.func_hide_pin (4 regs) */
75320164Sdavidcs	0x050025c4, 	/* miscs.sel_vaux .. miscs.isolate_path (5 regs) */
76320164Sdavidcs	0x0c0025cb, 	/* miscs.hot_reset_unprepared .. miscs.unprepared_fw (12 regs) */
77320164Sdavidcs	0x070025d8, 	/* miscs.VAUX_PRESENT .. miscs.CHIP_TEST_REG (7 regs) */
78320164Sdavidcs	0x080025e0, 	/* miscs.PCIE_DIS_IO .. miscs.perst_deassert_cnt (8 regs) */
79316485Sdavidcs	0x010025eb, 	/* miscs.hot_reset_en (1 regs) */
80316485Sdavidcs	0x020025ed, 	/* miscs.eco_reserved .. miscs.mcp_rom_tm (2 regs) */
81316485Sdavidcs	0x09020000, 	/* block misc */
82316485Sdavidcs	0x01002010, 	/* misc.reset_config (1 regs) */
83316485Sdavidcs	0x02002060, 	/* misc.INT_STS .. misc.INT_MASK (2 regs) */
84316485Sdavidcs	0xff002100, 	/* misc.aeu_general_attn_0 .. misc.aeu_after_invert_9_mcp (255 regs) */
85316485Sdavidcs	0x0c0021ff, 	/* misc.aeu_sys_kill_occurred .. misc.aeu_general_mask (12 regs) */
86316485Sdavidcs	0x0100220c, 	/* misc.aeu_mask_attn_igu_msb (1 regs) */
87316485Sdavidcs	0x0200220e, 	/* misc.aeu_vpd_latch_status .. misc.attn_num_st (2 regs) */
88316485Sdavidcs	0x01002300, 	/* misc.port_mode (1 regs) */
89316485Sdavidcs	0x16002303, 	/* misc.opte_mode .. misc.sw_timer_reload_val_8 (22 regs) */
90316485Sdavidcs	0x0f00231a, 	/* misc.sw_timer_event .. misc.eco_reserved (15 regs) */
91316485Sdavidcs	0x1d040000, 	/* block pglue_b */
92316485Sdavidcs	0x010aa001, 	/* pglue_b.init_done_inb_int_mem (1 regs) */
93316485Sdavidcs	0x010aa003, 	/* pglue_b.init_done_ptt_gtt (1 regs) */
94316485Sdavidcs	0x010aa005, 	/* pglue_b.init_done_zone_a (1 regs) */
95316485Sdavidcs	0x020aa060, 	/* pglue_b.INT_STS .. pglue_b.INT_MASK (2 regs) */
96316485Sdavidcs	0x050aa100, 	/* pglue_b.dbg_select .. pglue_b.dbg_force_frame (5 regs) */
97316485Sdavidcs	0x170aa118, 	/* pglue_b.pgl_eco_reserved .. pglue_b.DISABLE_HIGHER_BW (23 regs) */
98316485Sdavidcs	0x040aa132, 	/* pglue_b.memctrl_status .. pglue_b.tc_per_vq (4 regs) */
99316485Sdavidcs	0x020aa148, 	/* pglue_b.pgl_control0 .. pglue_b.cssnoop_almost_full_thr (2 regs) */
100316485Sdavidcs	0x020aa158, 	/* pglue_b.pgl_txr_cdts .. pglue_b.pgl_txw_cdts (2 regs) */
101316485Sdavidcs	0x050aa800, 	/* pglue_b.cfg_space_a_address .. pglue_b.cfg_space_a_request (5 regs) */
102316485Sdavidcs	0x010aa806, 	/* pglue_b.cfg_space_b_request (1 regs) */
103316485Sdavidcs	0x090aa808, 	/* pglue_b.flr_request_vf_31_0 .. pglue_b.flr_request_pf_31_0 (9 regs) */
104316485Sdavidcs	0x020aa81a, 	/* pglue_b.DISABLE_FLR_SRIOV_DISABLED .. pglue_b.sr_iov_disabled_request (2 regs) */
105316485Sdavidcs	0x090aa81d, 	/* pglue_b.shadow_bme_vf_31_0 .. pglue_b.shadow_bme_pf_31_0 (9 regs) */
106316485Sdavidcs	0x0a0aa82f, 	/* pglue_b.shadow_ats_enable_vf_31_0 .. pglue_b.shadow_vf_enable_pf_31_0 (10 regs) */
107316485Sdavidcs	0x0c0aa83a, 	/* pglue_b.shadow_ido_bits .. pglue_b.was_error_pf_31_0 (12 regs) */
108316485Sdavidcs	0x0b0aa84f, 	/* pglue_b.rx_err_details .. pglue_b.tx_err_wr_details_icpl (11 regs) */
109316485Sdavidcs	0x400aa85e, 	/* pglue_b.internal_vfid_enable_31_0_value .. pglue_b.psdm_inb_int_b_vf_1 (64 regs) */
110316485Sdavidcs	0x290aa8c6, 	/* pglue_b.tsdm_zone_a_size_pf .. pglue_b.vf_grc_space_violation_details (41 regs) */
111316485Sdavidcs	0x090aa8f0, 	/* pglue_b.ido_enable_master_rw .. pglue_b.cpu_mbist_memctrl_1_cntrl_cmd (9 regs) */
112316485Sdavidcs	0x030aa8fb, 	/* pglue_b.disable_tcpl_translation_size_check .. pglue_b.cpu_mbist_memctrl_3_cntrl_cmd (3 regs) */
113316485Sdavidcs	0x010aa900, 	/* pglue_b.pgl_tgtwr_mlength (1 regs) */
114316485Sdavidcs	0x070aa905, 	/* pglue_b.pgl_exp_rom_addr .. pglue_b.pgl_tags_limit (7 regs) */
115316485Sdavidcs	0x0e0aa951, 	/* pglue_b.master_zlr_err_add_31_0 .. pglue_b.psdm_queue_zone_size (14 regs) */
116316485Sdavidcs	0x050aa960, 	/* pglue_b.sdm_channel_enable .. pglue_b.MASTER_ATTENTION_SETTING (5 regs) */
117316485Sdavidcs	0x170aab80, 	/* pglue_b.write_fifo_occupancy_level .. pglue_b.pcie_ltr_state (23 regs) */
118316485Sdavidcs	0x070aab9c, 	/* pglue_b.mctp_tc .. pglue_b.expansion_rom_attn (7 regs) */
119316485Sdavidcs	0x010aaba4, 	/* pglue_b.mps_attn (1 regs) */
120316485Sdavidcs	0x060aaba6, 	/* pglue_b.vpd_request_pf_31_0 .. pglue_b.sticky_master_error_en (6 regs) */
121316485Sdavidcs	0x0b060000, 	/* block cpmu */
122316485Sdavidcs	0x0200c088, 	/* cpmu.obff_mode_config .. cpmu.obff_mode_control (2 regs) */
123316485Sdavidcs	0x1300c08b, 	/* cpmu.obff_mem_timer_short_threshold .. cpmu.sw_force_l1 (19 regs) */
124316485Sdavidcs	0x0500c09f, 	/* cpmu.ltr_mode_config .. cpmu.sw_force_ltr (5 regs) */
125316485Sdavidcs	0x0e00c0a5, 	/* cpmu.clk_en_config .. cpmu.sw_force_main_clk_slowdown (14 regs) */
126316485Sdavidcs	0x0300c0b4, 	/* cpmu.storm_clk_slowdown_entry_en .. cpmu.sw_force_storm_clk_slowdown (3 regs) */
127316485Sdavidcs	0x0300c0b8, 	/* cpmu.nw_clk_slowdown_entry_en .. cpmu.sw_force_nw_clk_slowdown (3 regs) */
128316485Sdavidcs	0x0300c0bc, 	/* cpmu.pci_clk_slowdown_entry_en .. cpmu.sw_force_pci_clk_slowdown (3 regs) */
129316485Sdavidcs	0x0900c0c0, 	/* cpmu.pxp_vq_empty_status_e0_0 .. cpmu.cpmu_output_sig_status (9 regs) */
130316485Sdavidcs	0x1000c0cf, 	/* cpmu.obff_stall_mem_stat_ro .. cpmu.pcs_duration_stat_ro (16 regs) */
131316485Sdavidcs	0x1500c0e5, 	/* cpmu.obff_stall_mem_stat .. cpmu.INT_MASK_0 (21 regs) */
132316485Sdavidcs	0x0400c0fc, 	/* cpmu.sdm_sq_counter_e0_p0 .. cpmu.sdm_sq_counter_e1_p1 (4 regs) */
133316485Sdavidcs	0x010a0000, 	/* block pcie */
134316485Sdavidcs	0x04015080, 	/* pcie.eco_reserved .. pcie.pcie_debug_bits (4 regs) */
135316485Sdavidcs	0x180b0000, 	/* block mcp */
136316485Sdavidcs	0x0e380020, 	/* mcp.mcp_control .. mcp.mcp_doorbell (14 regs) */
137316485Sdavidcs	0x09380031, 	/* mcp.mcp_vfid .. mcp.gp_event_vec (9 regs) */
138316485Sdavidcs	0x03381400, 	/* mcp.cpu_mode .. mcp.cpu_event_mask (3 regs) */
139316485Sdavidcs	0x03381407, 	/* mcp.cpu_program_counter .. mcp.cpu_data_access (3 regs) */
140316485Sdavidcs	0x0438140b, 	/* mcp.cpu_interrupt_vector .. mcp.cpu_debug_vect_peek (4 regs) */
141316485Sdavidcs	0x01381412, 	/* mcp.cpu_last_branch_addr (1 regs) */
142316485Sdavidcs	0x0538152a, 	/* mcp.mdio_auto_poll .. mcp.mdio_auto_status (5 regs) */
143316485Sdavidcs	0x0b381640, 	/* mcp.ucint_warp_mode .. mcp.ucint_avs_address (11 regs) */
144316485Sdavidcs	0x02381680, 	/* mcp.imc_command .. mcp.imc_slave_control (2 regs) */
145316485Sdavidcs	0x07381685, 	/* mcp.imc_timing0 .. mcp.imc_datareg3 (7 regs) */
146316485Sdavidcs	0x01381840, 	/* mcp.m2p_m2p_status (1 regs) */
147316485Sdavidcs	0x09381842, 	/* mcp.m2p_m2p_vdm_length .. mcp.m2p_m2p_path_id (9 regs) */
148316485Sdavidcs	0x17381880, 	/* mcp.p2m_p2m_status .. mcp.p2m_p2m_other_hdr_fields (23 regs) */
149316485Sdavidcs	0x023818c0, 	/* mcp.cache_pim_nvram_base .. mcp.cache_paging_enable (2 regs) */
150316485Sdavidcs	0x1b3818c3, 	/* mcp.cache_cache_ctrl_status_0 .. mcp.cache_cache_error_status (27 regs) */
151316485Sdavidcs	0x0d381900, 	/* mcp.nvm_command .. mcp.nvm_reconfig (13 regs) */
152316485Sdavidcs	0x16381a00, 	/* mcp.erngn_exp_rom_ctrl .. mcp.erngn_img_loader2_cfg (22 regs) */
153316485Sdavidcs	0x14382000, 	/* mcp.smbus_config .. mcp.smbus_slave_data_read (20 regs) */
154316485Sdavidcs	0x01382020, 	/* mcp.smbus_arp_state (1 regs) */
155316485Sdavidcs	0x08382024, 	/* mcp.smbus_udid0_3 .. mcp.smbus_udid1_0 (8 regs) */
156316485Sdavidcs	0x013820ff, 	/* mcp.smbus_smb_reg_end (1 regs) */
157316485Sdavidcs	0x02382108, 	/* mcp.frm_bmb_fifo_command .. mcp.frm_bmb_fifo_status (2 regs) */
158316485Sdavidcs	0x0538210b, 	/* mcp.frm_bmb_fifo_rd_data .. mcp.frm_bmb_fifo_sop_dscr3 (5 regs) */
159316485Sdavidcs	0x013821ff, 	/* mcp.bmb_reg_end (1 regs) */
160316485Sdavidcs	0x060d0000, 	/* block pswhst */
161316485Sdavidcs	0x020a8000, 	/* pswhst.zone_perm_table_init .. pswhst.zone_perm_table_init_done (2 regs) */
162316485Sdavidcs	0x1c0a8010, 	/* pswhst.discard_internal_writes .. pswhst.is_in_drain_mode (28 regs) */
163316485Sdavidcs	0x040a802d, 	/* pswhst.timeout_data .. pswhst.source_usdm_credits (4 regs) */
164316485Sdavidcs	0x0d0a8032, 	/* pswhst.host_strict_priority .. pswhst.psdm_swap_mode (13 regs) */
165316485Sdavidcs	0x050a8040, 	/* pswhst.dbg_select .. pswhst.dbg_force_frame (5 regs) */
166316485Sdavidcs	0x020a8060, 	/* pswhst.INT_STS .. pswhst.INT_MASK (2 regs) */
167316485Sdavidcs	0x020e0000, 	/* block pswhst2 */
168316485Sdavidcs	0x0b0a7810, 	/* pswhst2.header_fifo_status .. pswhst2.dbg_force_frame (11 regs) */
169316485Sdavidcs	0x020a7860, 	/* pswhst2.INT_STS .. pswhst2.INT_MASK (2 regs) */
170316485Sdavidcs	0x030f0000, 	/* block pswrd */
171316485Sdavidcs	0x050a7010, 	/* pswrd.dbg_select .. pswrd.dbg_force_frame (5 regs) */
172316485Sdavidcs	0x030a7028, 	/* pswrd.eco_reserved .. pswrd.fifo_full_sticky (3 regs) */
173316485Sdavidcs	0x020a7060, 	/* pswrd.INT_STS .. pswrd.INT_MASK (2 regs) */
174316485Sdavidcs	0x08100000, 	/* block pswrd2 */
175316485Sdavidcs	0x020a7400, 	/* pswrd2.start_init .. pswrd2.init_done (2 regs) */
176316485Sdavidcs	0x040a7418, 	/* pswrd2.mask_error_to_clients .. pswrd2.cpl_err_details2 (4 regs) */
177316485Sdavidcs	0x050a741d, 	/* pswrd2.arb_delay .. pswrd2.eco_reserved (5 regs) */
178316485Sdavidcs	0x060a7430, 	/* pswrd2.pbf_swap_mode .. pswrd2.ptu_swap_mode (6 regs) */
179316485Sdavidcs	0x1e0a7438, 	/* pswrd2.almost_full_0 .. pswrd2.max_fill_level_pbf (30 regs) */
180316485Sdavidcs	0x020a7460, 	/* pswrd2.INT_STS .. pswrd2.INT_MASK (2 regs) */
181316485Sdavidcs	0x050a7500, 	/* pswrd2.dbg_select .. pswrd2.dbg_force_frame (5 regs) */
182316485Sdavidcs	0x140a7518, 	/* pswrd2.disable_inputs .. pswrd2.prm_additional_requests (20 regs) */
183316485Sdavidcs	0x03110000, 	/* block pswwr */
184316485Sdavidcs	0x160a6810, 	/* pswwr.usdm_full_th .. pswwr.dbg_force_frame (22 regs) */
185316485Sdavidcs	0x010a6832, 	/* pswwr.eco_reserved (1 regs) */
186316485Sdavidcs	0x020a6860, 	/* pswwr.INT_STS .. pswwr.INT_MASK (2 regs) */
187316485Sdavidcs	0x03120000, 	/* block pswwr2 */
188316485Sdavidcs	0x030a6c10, 	/* pswwr2.cdu_full_th2 .. pswwr2.pglue_eop_err_details (3 regs) */
189316485Sdavidcs	0x060a6c14, 	/* pswwr2.prm_curr_fill_level .. pswwr2.eco_reserved (6 regs) */
190316485Sdavidcs	0x020a6c60, 	/* pswwr2.INT_STS .. pswwr2.INT_MASK (2 regs) */
191316485Sdavidcs	0x03130000, 	/* block pswrq */
192316485Sdavidcs	0x050a0008, 	/* pswrq.dbg_select .. pswrq.dbg_force_frame (5 regs) */
193316485Sdavidcs	0x010a0018, 	/* pswrq.eco_reserved (1 regs) */
194316485Sdavidcs	0x020a0060, 	/* pswrq.INT_STS .. pswrq.INT_MASK (2 regs) */
195316485Sdavidcs	0x08140000, 	/* block pswrq2 */
196316485Sdavidcs	0x03090000, 	/* pswrq2.rbc_done .. pswrq2.reset_stt (3 regs) */
197316485Sdavidcs	0x0609001e, 	/* pswrq2.endianity_00 .. pswrq2.m2p_endian_m (6 regs) */
198316485Sdavidcs	0x05090040, 	/* pswrq2.dbg_select .. pswrq2.dbg_force_frame (5 regs) */
199316485Sdavidcs	0x02090060, 	/* pswrq2.INT_STS .. pswrq2.INT_MASK (2 regs) */
200316485Sdavidcs	0xfc090100, 	/* pswrq2.wr_mbs0 .. pswrq2.atc_vq_enable (252 regs) */
201316485Sdavidcs	0x250901fd, 	/* pswrq2.atc_internal_ats_enable_all .. pswrq2.sr_cnt_window_value (37 regs) */
202316485Sdavidcs	0x2a090224, 	/* pswrq2.sr_cnt_start_mode .. pswrq2.l2p_err_details2 (42 regs) */
203316485Sdavidcs	0xc609024f, 	/* pswrq2.sr_num_cfg .. pswrq2.l2p_validate_vfid (198 regs) */
204316485Sdavidcs	0x01150000, 	/* block pglcs */
205316485Sdavidcs	0x02000740, 	/* pglcs.INT_STS .. pglcs.INT_MASK (2 regs) */
206316485Sdavidcs	0x05160000, 	/* block dmae */
207316485Sdavidcs	0x01003000, 	/* dmae.init (1 regs) */
208316485Sdavidcs	0x22003010, 	/* dmae.pci_ifen .. dmae.go_c31 (34 regs) */
209316485Sdavidcs	0x02003060, 	/* dmae.INT_STS .. dmae.INT_MASK (2 regs) */
210316485Sdavidcs	0x14003100, 	/* dmae.pxp_req_init_crd .. dmae.fsm_st (20 regs) */
211316485Sdavidcs	0x06003143, 	/* dmae.memctrl_status .. dmae.dbg_force_frame (6 regs) */
212316485Sdavidcs	0x07170000, 	/* block ptu */
213316485Sdavidcs	0x02158000, 	/* ptu.atc_init_array .. ptu.atc_init_done (2 regs) */
214316485Sdavidcs	0x0e158010, 	/* ptu.LOG_TRANSPEND_REUSE_MISS_TID .. ptu.inv_err_ctr (14 regs) */
215316485Sdavidcs	0x14158023, 	/* ptu.inv_halt_on_reuse_cnt_err .. ptu.ptu_b0_disable (20 regs) */
216316485Sdavidcs	0x05158040, 	/* ptu.dbg_select .. ptu.dbg_force_frame (5 regs) */
217316485Sdavidcs	0x02158060, 	/* ptu.INT_STS .. ptu.INT_MASK (2 regs) */
218316485Sdavidcs	0x65158100, 	/* ptu.atc_num_sets .. ptu.atc_during_inv (101 regs) */
219316485Sdavidcs	0x06158173, 	/* ptu.dbgsyn_almost_full_thr .. ptu.atc_ireq_fifo_tm (6 regs) */
220320164Sdavidcs	0x24180000, 	/* block tcm */
221316485Sdavidcs	0x01460000, 	/* tcm.init (1 regs) */
222316485Sdavidcs	0x05460010, 	/* tcm.dbg_select .. tcm.dbg_force_frame (5 regs) */
223316485Sdavidcs	0x02460060, 	/* tcm.INT_STS_0 .. tcm.INT_MASK_0 (2 regs) */
224316485Sdavidcs	0x02460064, 	/* tcm.INT_STS_1 .. tcm.INT_MASK_1 (2 regs) */
225316485Sdavidcs	0x02460068, 	/* tcm.INT_STS_2 .. tcm.INT_MASK_2 (2 regs) */
226316485Sdavidcs	0x01460100, 	/* tcm.ifen (1 regs) */
227316485Sdavidcs	0x08460109, 	/* tcm.qm_task_base_evnt_id_0 .. tcm.qm_task_base_evnt_id_7 (8 regs) */
228316485Sdavidcs	0x10460121, 	/* tcm.qm_agg_task_ctx_part_size_0 .. tcm.qm_sm_task_ctx_ldst_flg_7 (16 regs) */
229316485Sdavidcs	0x08460141, 	/* tcm.qm_task_use_st_flg_0 .. tcm.qm_task_use_st_flg_7 (8 regs) */
230316485Sdavidcs	0x09460151, 	/* tcm.tm_task_evnt_id_0 .. tcm.err_evnt_id (9 regs) */
231316485Sdavidcs	0x02460181, 	/* tcm.storm_weight .. tcm.msem_weight (2 regs) */
232316485Sdavidcs	0x02460184, 	/* tcm.dorq_weight .. tcm.pbf_weight (2 regs) */
233316485Sdavidcs	0x01460187, 	/* tcm.grc_weight (1 regs) */
234320164Sdavidcs	0x0a460189, 	/* tcm.qm_p_weight .. tcm.ia_arb_sp_timeout (10 regs) */
235320164Sdavidcs	0x09460199, 	/* tcm.sdm_err_handle_en .. tcm.ia_trans_part_fill_lvl (9 regs) */
236316485Sdavidcs	0x1b4601c1, 	/* tcm.xx_msg_up_bnd .. tcm.xx_tbyp_tbl_up_bnd (27 regs) */
237316485Sdavidcs	0x054601e4, 	/* tcm.xx_byp_lock_msg_thr .. tcm.unlock_miss (5 regs) */
238316485Sdavidcs	0x04460201, 	/* tcm.prcs_agg_con_curr_st .. tcm.prcs_sm_task_curr_st (4 regs) */
239316485Sdavidcs	0x1b46020d, 	/* tcm.n_sm_task_ctx_ld_0 .. tcm.trans_data_buf_crd_dir (27 regs) */
240316485Sdavidcs	0x0a460230, 	/* tcm.agg_task_ctx_size_0 .. tcm.sm_task_ctx_size (10 regs) */
241316485Sdavidcs	0x06460261, 	/* tcm.agg_task_rule0_q .. tcm.agg_task_rule5_q (6 regs) */
242316485Sdavidcs	0x0d460281, 	/* tcm.in_prcs_tbl_crd_agg .. tcm.xx_byp_task_state_evnt_id_flg (13 regs) */
243316485Sdavidcs	0x054602a1, 	/* tcm.ccfc_init_crd .. tcm.fic_init_crd (5 regs) */
244316485Sdavidcs	0x014602a9, 	/* tcm.dir_byp_msg_cnt (1 regs) */
245316485Sdavidcs	0x024602ab, 	/* tcm.dorq_length_mis .. tcm.pbf_length_mis (2 regs) */
246316485Sdavidcs	0x034602ae, 	/* tcm.grc_buf_empty .. tcm.storm_msg_cntr (3 regs) */
247316485Sdavidcs	0x014602b2, 	/* tcm.msem_msg_cntr (1 regs) */
248316485Sdavidcs	0x024602b4, 	/* tcm.dorq_msg_cntr .. tcm.pbf_msg_cntr (2 regs) */
249316485Sdavidcs	0x034602b7, 	/* tcm.qm_p_msg_cntr .. tcm.tm_msg_cntr (3 regs) */
250316485Sdavidcs	0x044602bb, 	/* tcm.is_qm_p_fill_lvl .. tcm.is_storm_fill_lvl (4 regs) */
251316485Sdavidcs	0x014602c0, 	/* tcm.is_msem_fill_lvl (1 regs) */
252316485Sdavidcs	0x024602c2, 	/* tcm.is_dorq_fill_lvl .. tcm.is_pbf_fill_lvl (2 regs) */
253316485Sdavidcs	0x074602d1, 	/* tcm.fic_msg_cntr .. tcm.tcfc_cntr (7 regs) */
254316485Sdavidcs	0x034602e1, 	/* tcm.eco_reserved .. tcm.is_foc_msem_nxt_inf_unit (3 regs) */
255316485Sdavidcs	0x024602e6, 	/* tcm.is_foc_pbf_nxt_inf_unit .. tcm.is_foc_dorq_nxt_inf_unit (2 regs) */
256316485Sdavidcs	0x05460530, 	/* tcm.ctx_rbc_accs .. tcm.sm_task_ctx (5 regs) */
257320164Sdavidcs	0x21190000, 	/* block mcm */
258316485Sdavidcs	0x01480000, 	/* mcm.init (1 regs) */
259316485Sdavidcs	0x05480010, 	/* mcm.dbg_select .. mcm.dbg_force_frame (5 regs) */
260316485Sdavidcs	0x02480060, 	/* mcm.INT_STS_0 .. mcm.INT_MASK_0 (2 regs) */
261316485Sdavidcs	0x02480064, 	/* mcm.INT_STS_1 .. mcm.INT_MASK_1 (2 regs) */
262316485Sdavidcs	0x02480068, 	/* mcm.INT_STS_2 .. mcm.INT_MASK_2 (2 regs) */
263316485Sdavidcs	0x01480100, 	/* mcm.ifen (1 regs) */
264316485Sdavidcs	0x08480109, 	/* mcm.qm_task_base_evnt_id_0 .. mcm.qm_task_base_evnt_id_7 (8 regs) */
265316485Sdavidcs	0x20480121, 	/* mcm.qm_agg_task_ctx_part_size_0 .. mcm.qm_tcfc_xxlock_cmd_7 (32 regs) */
266316485Sdavidcs	0x09480151, 	/* mcm.qm_task_use_st_flg_0 .. mcm.err_evnt_id (9 regs) */
267316485Sdavidcs	0x02480181, 	/* mcm.storm_weight .. mcm.usem_weight (2 regs) */
268316485Sdavidcs	0x02480184, 	/* mcm.pbf_weight .. mcm.grc_weight (2 regs) */
269320164Sdavidcs	0x0c480187, 	/* mcm.ysdm_weight .. mcm.ia_arb_sp_timeout (12 regs) */
270320164Sdavidcs	0x0948019b, 	/* mcm.sdm_err_handle_en .. mcm.ia_trans_part_fill_lvl (9 regs) */
271316485Sdavidcs	0x1b4801c1, 	/* mcm.xx_msg_up_bnd .. mcm.xx_tbyp_tbl_up_bnd (27 regs) */
272316485Sdavidcs	0x054801e4, 	/* mcm.xx_byp_lock_msg_thr .. mcm.unlock_miss (5 regs) */
273316485Sdavidcs	0x04480201, 	/* mcm.prcs_agg_con_curr_st .. mcm.prcs_sm_task_curr_st (4 regs) */
274316485Sdavidcs	0x1b48020d, 	/* mcm.n_sm_task_ctx_ld_0 .. mcm.trans_data_buf_crd_dir (27 regs) */
275316485Sdavidcs	0x0a480230, 	/* mcm.agg_task_ctx_size_0 .. mcm.sm_task_ctx_size (10 regs) */
276316485Sdavidcs	0x07480250, 	/* mcm.agg_task_rule0_q .. mcm.agg_task_rule6_q (7 regs) */
277316485Sdavidcs	0x0b480281, 	/* mcm.in_prcs_tbl_crd_agg .. mcm.xx_byp_task_state_evnt_id_flg (11 regs) */
278316485Sdavidcs	0x064802a1, 	/* mcm.ccfc_init_crd .. mcm.fic_init_crd (6 regs) */
279316485Sdavidcs	0x014802a9, 	/* mcm.dir_byp_msg_cnt (1 regs) */
280316485Sdavidcs	0x074802ab, 	/* mcm.ysdm_length_mis .. mcm.storm_msg_cntr (7 regs) */
281316485Sdavidcs	0x044802b3, 	/* mcm.ysdm_msg_cntr .. mcm.usem_msg_cntr (4 regs) */
282316485Sdavidcs	0x034802b8, 	/* mcm.pbf_msg_cntr .. mcm.qm_s_msg_cntr (3 regs) */
283316485Sdavidcs	0x034802bc, 	/* mcm.is_qm_p_fill_lvl .. mcm.is_storm_fill_lvl (3 regs) */
284316485Sdavidcs	0x044802c0, 	/* mcm.is_ysdm_fill_lvl .. mcm.is_usem_fill_lvl (4 regs) */
285316485Sdavidcs	0x014802c5, 	/* mcm.is_pbf_fill_lvl (1 regs) */
286316485Sdavidcs	0x074802d1, 	/* mcm.fic_msg_cntr .. mcm.tcfc_cntr (7 regs) */
287316485Sdavidcs	0x034802e1, 	/* mcm.eco_reserved .. mcm.is_foc_usem_nxt_inf_unit (3 regs) */
288316485Sdavidcs	0x014802e5, 	/* mcm.is_foc_pbf_nxt_inf_unit (1 regs) */
289316485Sdavidcs	0x034802e7, 	/* mcm.is_foc_usdm_nxt_inf_unit .. mcm.is_foc_tmld_nxt_inf_unit (3 regs) */
290316485Sdavidcs	0x05480600, 	/* mcm.ctx_rbc_accs .. mcm.sm_task_ctx (5 regs) */
291320164Sdavidcs	0x1d1a0000, 	/* block ucm */
292316485Sdavidcs	0x014a0000, 	/* ucm.init (1 regs) */
293316485Sdavidcs	0x064a0013, 	/* ucm.memctrl_status .. ucm.dbg_force_frame (6 regs) */
294316485Sdavidcs	0x024a0060, 	/* ucm.INT_STS_0 .. ucm.INT_MASK_0 (2 regs) */
295316485Sdavidcs	0x024a0064, 	/* ucm.INT_STS_1 .. ucm.INT_MASK_1 (2 regs) */
296316485Sdavidcs	0x024a0068, 	/* ucm.INT_STS_2 .. ucm.INT_MASK_2 (2 regs) */
297316485Sdavidcs	0x014a0100, 	/* ucm.ifen (1 regs) */
298316485Sdavidcs	0x084a0109, 	/* ucm.qm_task_base_evnt_id_0 .. ucm.qm_task_base_evnt_id_7 (8 regs) */
299316485Sdavidcs	0x104a0121, 	/* ucm.qm_agg_task_ctx_part_size_0 .. ucm.qm_sm_task_ctx_ldst_flg_7 (16 regs) */
300316485Sdavidcs	0x084a0141, 	/* ucm.qm_task_use_st_flg_0 .. ucm.qm_task_use_st_flg_7 (8 regs) */
301316485Sdavidcs	0x094a0151, 	/* ucm.tm_task_evnt_id_0 .. ucm.err_evnt_id (9 regs) */
302316485Sdavidcs	0x0a4a0181, 	/* ucm.storm_weight .. ucm.muld_weight (10 regs) */
303320164Sdavidcs	0x0a4a018c, 	/* ucm.qm_p_weight .. ucm.ia_arb_sp_timeout (10 regs) */
304320164Sdavidcs	0x094a01a1, 	/* ucm.sdm_err_handle_en .. ucm.ia_trans_part_fill_lvl (9 regs) */
305316485Sdavidcs	0x1b4a01c1, 	/* ucm.xx_msg_up_bnd .. ucm.xx_tbyp_tbl_up_bnd (27 regs) */
306316485Sdavidcs	0x054a01e4, 	/* ucm.xx_byp_lock_msg_thr .. ucm.unlock_miss (5 regs) */
307316485Sdavidcs	0x044a0201, 	/* ucm.prcs_agg_con_curr_st .. ucm.prcs_sm_task_curr_st (4 regs) */
308316485Sdavidcs	0x1b4a020d, 	/* ucm.n_sm_task_ctx_ld_0 .. ucm.trans_data_buf_crd_dir (27 regs) */
309316485Sdavidcs	0x0a4a0230, 	/* ucm.agg_task_ctx_size_0 .. ucm.sm_task_ctx_size (10 regs) */
310316485Sdavidcs	0x074a025a, 	/* ucm.agg_task_rule0_q .. ucm.agg_task_rule6_q (7 regs) */
311316485Sdavidcs	0x0d4a0281, 	/* ucm.in_prcs_tbl_crd_agg .. ucm.xx_byp_task_state_evnt_id_flg (13 regs) */
312316485Sdavidcs	0x054a02a1, 	/* ucm.ccfc_init_crd .. ucm.fic_init_crd (5 regs) */
313316485Sdavidcs	0x094a02a9, 	/* ucm.dir_byp_msg_cnt .. ucm.muld_length_mis (9 regs) */
314316485Sdavidcs	0x094a02b3, 	/* ucm.grc_buf_empty .. ucm.muld_msg_cntr (9 regs) */
315316485Sdavidcs	0x054a02bd, 	/* ucm.dorq_msg_cntr .. ucm.tm_msg_cntr (5 regs) */
316316485Sdavidcs	0x0a4a02c3, 	/* ucm.is_qm_p_fill_lvl .. ucm.is_muld_fill_lvl (10 regs) */
317316485Sdavidcs	0x024a02ce, 	/* ucm.is_dorq_fill_lvl .. ucm.is_pbf_fill_lvl (2 regs) */
318316485Sdavidcs	0x094a02d1, 	/* ucm.fic_msg_cntr .. ucm.tcfc_cntr (9 regs) */
319316485Sdavidcs	0x0a4a02e1, 	/* ucm.eco_reserved .. ucm.is_foc_muld_nxt_inf_unit (10 regs) */
320316485Sdavidcs	0x054a05c0, 	/* ucm.ctx_rbc_accs .. ucm.sm_task_ctx (5 regs) */
321320164Sdavidcs	0x1f1b0000, 	/* block xcm */
322316485Sdavidcs	0x01400000, 	/* xcm.init (1 regs) */
323316485Sdavidcs	0x01400002, 	/* xcm.qm_act_st_cnt_init_done (1 regs) */
324316485Sdavidcs	0x05400010, 	/* xcm.dbg_select .. xcm.dbg_force_frame (5 regs) */
325316485Sdavidcs	0x02400060, 	/* xcm.INT_STS_0 .. xcm.INT_MASK_0 (2 regs) */
326316485Sdavidcs	0x02400064, 	/* xcm.INT_STS_1 .. xcm.INT_MASK_1 (2 regs) */
327316485Sdavidcs	0x02400068, 	/* xcm.INT_STS_2 .. xcm.INT_MASK_2 (2 regs) */
328316485Sdavidcs	0x01400100, 	/* xcm.ifen (1 regs) */
329316485Sdavidcs	0x01400131, 	/* xcm.err_evnt_id (1 regs) */
330316485Sdavidcs	0x03400181, 	/* xcm.storm_weight .. xcm.usem_weight (3 regs) */
331316485Sdavidcs	0x03400185, 	/* xcm.dorq_weight .. xcm.grc_weight (3 regs) */
332320164Sdavidcs	0x0d400189, 	/* xcm.xsdm_weight .. xcm.ia_arb_sp_timeout (13 regs) */
333320164Sdavidcs	0x064001a0, 	/* xcm.sdm_err_handle_en .. xcm.ia_trans_part_fill_lvl (6 regs) */
334316485Sdavidcs	0x184001c1, 	/* xcm.xx_msg_up_bnd .. xcm.xx_cbyp_tbl_up_bnd (24 regs) */
335316485Sdavidcs	0x054001e1, 	/* xcm.xx_byp_lock_msg_thr .. xcm.unlock_miss (5 regs) */
336316485Sdavidcs	0x02400201, 	/* xcm.prcs_agg_con_curr_st .. xcm.prcs_sm_con_curr_st (2 regs) */
337316485Sdavidcs	0x0a40020b, 	/* xcm.agg_con_fic_buf_fill_lvl .. xcm.trans_data_buf_crd_dir (10 regs) */
338316485Sdavidcs	0x0240021d, 	/* xcm.cm_con_reg0_sz .. xcm.sm_con_ctx_size (2 regs) */
339316485Sdavidcs	0x09400281, 	/* xcm.in_prcs_tbl_crd_agg .. xcm.xx_byp_con_state_evnt_id_flg (9 regs) */
340316485Sdavidcs	0x054002a1, 	/* xcm.ccfc_init_crd .. xcm.fic_init_crd (5 regs) */
341316485Sdavidcs	0x014002a9, 	/* xcm.dir_byp_msg_cnt (1 regs) */
342316485Sdavidcs	0x084002ab, 	/* xcm.xsdm_length_mis .. xcm.storm_msg_cntr (8 regs) */
343316485Sdavidcs	0x054002b4, 	/* xcm.xsdm_msg_cntr .. xcm.usem_msg_cntr (5 regs) */
344316485Sdavidcs	0x054002ba, 	/* xcm.dorq_msg_cntr .. xcm.tm_msg_cntr (5 regs) */
345316485Sdavidcs	0x044002c0, 	/* xcm.is_qm_p_fill_lvl .. xcm.is_storm_fill_lvl (4 regs) */
346316485Sdavidcs	0x054002c5, 	/* xcm.is_xsdm_fill_lvl .. xcm.is_usem_fill_lvl (5 regs) */
347316485Sdavidcs	0x024002cb, 	/* xcm.is_dorq_fill_lvl .. xcm.is_pbf_fill_lvl (2 regs) */
348316485Sdavidcs	0x0b4002d1, 	/* xcm.qm_act_st_fifo_fill_lvl .. xcm.ccfc_cntr (11 regs) */
349316485Sdavidcs	0x044002e1, 	/* xcm.eco_reserved .. xcm.is_foc_xsem_nxt_inf_unit (4 regs) */
350316485Sdavidcs	0x024002e6, 	/* xcm.is_foc_pbf_nxt_inf_unit .. xcm.is_foc_dorq_nxt_inf_unit (2 regs) */
351316485Sdavidcs	0x034002e9, 	/* xcm.is_foc_usdm_nxt_inf_unit .. xcm.is_foc_ysdm_nxt_inf_unit (3 regs) */
352316485Sdavidcs	0x03400600, 	/* xcm.ctx_rbc_accs .. xcm.sm_con_ctx (3 regs) */
353320164Sdavidcs	0x1d1c0000, 	/* block ycm */
354316485Sdavidcs	0x01420000, 	/* ycm.init (1 regs) */
355316485Sdavidcs	0x05420010, 	/* ycm.dbg_select .. ycm.dbg_force_frame (5 regs) */
356316485Sdavidcs	0x02420060, 	/* ycm.INT_STS_0 .. ycm.INT_MASK_0 (2 regs) */
357316485Sdavidcs	0x02420064, 	/* ycm.INT_STS_1 .. ycm.INT_MASK_1 (2 regs) */
358316485Sdavidcs	0x02420068, 	/* ycm.INT_STS_2 .. ycm.INT_MASK_2 (2 regs) */
359316485Sdavidcs	0x01420100, 	/* ycm.ifen (1 regs) */
360316485Sdavidcs	0x08420109, 	/* ycm.qm_task_base_evnt_id_0 .. ycm.qm_task_base_evnt_id_7 (8 regs) */
361316485Sdavidcs	0x20420121, 	/* ycm.qm_agg_task_ctx_part_size_0 .. ycm.qm_tcfc_xxlock_cmd_7 (32 regs) */
362316485Sdavidcs	0x09420151, 	/* ycm.qm_task_use_st_flg_0 .. ycm.err_evnt_id (9 regs) */
363316485Sdavidcs	0x05420181, 	/* ycm.storm_weight .. ycm.grc_weight (5 regs) */
364320164Sdavidcs	0x0b420187, 	/* ycm.ysdm_weight .. ycm.ia_arb_sp_timeout (11 regs) */
365320164Sdavidcs	0x09420199, 	/* ycm.sdm_err_handle_en .. ycm.ia_trans_part_fill_lvl (9 regs) */
366316485Sdavidcs	0x1b4201c1, 	/* ycm.xx_msg_up_bnd .. ycm.xx_tbyp_tbl_up_bnd (27 regs) */
367316485Sdavidcs	0x054201e4, 	/* ycm.xx_byp_lock_msg_thr .. ycm.unlock_miss (5 regs) */
368316485Sdavidcs	0x04420201, 	/* ycm.prcs_agg_con_curr_st .. ycm.prcs_sm_task_curr_st (4 regs) */
369316485Sdavidcs	0x1b42020d, 	/* ycm.n_sm_task_ctx_ld_0 .. ycm.trans_data_buf_crd_dir (27 regs) */
370316485Sdavidcs	0x0a420230, 	/* ycm.agg_task_ctx_size_0 .. ycm.sm_task_ctx_size (10 regs) */
371316485Sdavidcs	0x0b420281, 	/* ycm.in_prcs_tbl_crd_agg .. ycm.xx_byp_task_state_evnt_id_flg (11 regs) */
372316485Sdavidcs	0x064202a1, 	/* ycm.ccfc_init_crd .. ycm.fic_init_crd (6 regs) */
373316485Sdavidcs	0x014202a9, 	/* ycm.dir_byp_msg_cnt (1 regs) */
374316485Sdavidcs	0x064202ab, 	/* ycm.ysdm_length_mis .. ycm.storm_msg_cntr (6 regs) */
375316485Sdavidcs	0x074202b2, 	/* ycm.ysdm_msg_cntr .. ycm.qm_s_msg_cntr (7 regs) */
376316485Sdavidcs	0x034202ba, 	/* ycm.is_qm_p_fill_lvl .. ycm.is_storm_fill_lvl (3 regs) */
377316485Sdavidcs	0x054202be, 	/* ycm.is_ysdm_fill_lvl .. ycm.is_pbf_fill_lvl (5 regs) */
378316485Sdavidcs	0x084202d1, 	/* ycm.fic_msg_cntr .. ycm.tcfc_cntr (8 regs) */
379316485Sdavidcs	0x034202e1, 	/* ycm.eco_reserved .. ycm.is_foc_usem_nxt_inf_unit (3 regs) */
380316485Sdavidcs	0x014202e5, 	/* ycm.is_foc_pbf_nxt_inf_unit (1 regs) */
381316485Sdavidcs	0x024202e7, 	/* ycm.is_foc_ysdm_nxt_inf_unit .. ycm.is_foc_xyld_nxt_inf_unit (2 regs) */
382316485Sdavidcs	0x05420600, 	/* ycm.ctx_rbc_accs .. ycm.sm_task_ctx (5 regs) */
383316485Sdavidcs	0x151d0000, 	/* block pcm */
384316485Sdavidcs	0x01440000, 	/* pcm.init (1 regs) */
385316485Sdavidcs	0x05440010, 	/* pcm.dbg_select .. pcm.dbg_force_frame (5 regs) */
386316485Sdavidcs	0x02440060, 	/* pcm.INT_STS_0 .. pcm.INT_MASK_0 (2 regs) */
387316485Sdavidcs	0x02440064, 	/* pcm.INT_STS_1 .. pcm.INT_MASK_1 (2 regs) */
388316485Sdavidcs	0x02440068, 	/* pcm.INT_STS_2 .. pcm.INT_MASK_2 (2 regs) */
389316485Sdavidcs	0x02440100, 	/* pcm.ifen .. pcm.err_evnt_id (2 regs) */
390316485Sdavidcs	0x01440181, 	/* pcm.storm_weight (1 regs) */
391316485Sdavidcs	0x01440183, 	/* pcm.grc_weight (1 regs) */
392320164Sdavidcs	0x07440185, 	/* pcm.ia_group_pr0 .. pcm.ia_arb_sp_timeout (7 regs) */
393316485Sdavidcs	0x0544018f, 	/* pcm.sdm_err_handle_en .. pcm.ia_trans_part_fill_lvl (5 regs) */
394316485Sdavidcs	0x184401c1, 	/* pcm.xx_msg_up_bnd .. pcm.unlock_miss (24 regs) */
395316485Sdavidcs	0x01440201, 	/* pcm.prcs_sm_con_curr_st (1 regs) */
396316485Sdavidcs	0x0644020a, 	/* pcm.sm_con_fic_buf_fill_lvl .. pcm.sm_con_ctx_size (6 regs) */
397316485Sdavidcs	0x07440281, 	/* pcm.in_prcs_tbl_crd_agg .. pcm.xx_byp_con_state_evnt_id_flg (7 regs) */
398316485Sdavidcs	0x024402a1, 	/* pcm.ccfc_init_crd .. pcm.fic_init_crd (2 regs) */
399316485Sdavidcs	0x014402a9, 	/* pcm.dir_byp_msg_cnt (1 regs) */
400316485Sdavidcs	0x034402ac, 	/* pcm.grc_buf_empty .. pcm.storm_msg_cntr (3 regs) */
401316485Sdavidcs	0x014402b2, 	/* pcm.is_storm_fill_lvl (1 regs) */
402316485Sdavidcs	0x024402d1, 	/* pcm.fic_msg_cntr .. pcm.ccfc_cntr (2 regs) */
403316485Sdavidcs	0x024402e1, 	/* pcm.eco_reserved .. pcm.is_foc_psem_nxt_inf_unit (2 regs) */
404316485Sdavidcs	0x02440510, 	/* pcm.ctx_rbc_accs .. pcm.sm_con_ctx (2 regs) */
405320164Sdavidcs	0x1c1e0000, 	/* block qm */
406316485Sdavidcs	0x020bc060, 	/* qm.INT_STS .. qm.INT_MASK (2 regs) */
407316485Sdavidcs	0x0d0bc100, 	/* qm.wrc_drop_cnt_0 .. qm.cm_push_int_en (13 regs) */
408316485Sdavidcs	0x380bc110, 	/* qm.MaxPqSizeTxSel_0 .. qm.MaxPqSizeTxSel_55 (56 regs) */
409316485Sdavidcs	0x040bc200, 	/* qm.OutLdReqSizeConnTx .. qm.OutLdReqCrdConnOther (4 regs) */
410316485Sdavidcs	0x0e0bc410, 	/* qm.QstatusTx_0 .. qm.QstatusTx_13 (14 regs) */
411316485Sdavidcs	0x020bc430, 	/* qm.QstatusOther_0 .. qm.QstatusOther_1 (2 regs) */
412316485Sdavidcs	0x280bc488, 	/* qm.CtxRegTcfc_0 .. qm.CtxRegTcfc_39 (40 regs) */
413316485Sdavidcs	0x280bc508, 	/* qm.ActCtrInitValTcfc_0 .. qm.ActCtrInitValTcfc_39 (40 regs) */
414316485Sdavidcs	0x040bc548, 	/* qm.PciReqQId .. qm.QmPageSize (4 regs) */
415316485Sdavidcs	0x050bc54d, 	/* qm.PciReqPadToCacheLine .. qm.OvfErrorOther (5 regs) */
416316485Sdavidcs	0x010bc580, 	/* qm.VoqCrdLineFull (1 regs) */
417316485Sdavidcs	0x010bc5c0, 	/* qm.TaskLineCrdCost (1 regs) */
418316485Sdavidcs	0x010bc600, 	/* qm.VoqCrdByteFull (1 regs) */
419316485Sdavidcs	0x220bc640, 	/* qm.TaskByteCrdCost_0 .. qm.WrrOtherPqGrp_7 (34 regs) */
420316485Sdavidcs	0x040bc67a, 	/* qm.WrrOtherGrpWeight_0 .. qm.WrrOtherGrpWeight_3 (4 regs) */
421316485Sdavidcs	0x170bc682, 	/* qm.WrrTxGrpWeight_0 .. qm.CmIntEn (23 regs) */
422316485Sdavidcs	0x010bc780, 	/* qm.VoqByteCrdEnable (1 regs) */
423316485Sdavidcs	0x040bc900, 	/* qm.MHQTxNumSel .. qm.QOtherLevelMHVal (4 regs) */
424316485Sdavidcs	0x390bcb00, 	/* qm.Soft_Reset .. qm.PqTx2Pf_55 (57 regs) */
425316485Sdavidcs	0x080bcb81, 	/* qm.PqOther2Pf_0 .. qm.PqOther2Pf_7 (8 regs) */
426316485Sdavidcs	0x090bcb99, 	/* qm.arb_tx_en .. qm.dbg_force_frame (9 regs) */
427316485Sdavidcs	0x020bcba6, 	/* qm.eco_reserved .. qm.TxPqMap_MaskAccess (2 regs) */
428316485Sdavidcs	0x0f0bcbaf, 	/* qm.Xsdm_Fifo_Full_Thr .. qm.RlGlblPeriodSel_7 (15 regs) */
429316485Sdavidcs	0x090bd300, 	/* qm.RlGlblEnable .. qm.RlPfPeriodTimer (9 regs) */
430316485Sdavidcs	0x060bd380, 	/* qm.RlPfEnable .. qm.Err_Mask_RlPfCrd (6 regs) */
431316485Sdavidcs	0x130bd700, 	/* qm.WfqPfEnable .. qm.Voq_Arb_Grp0_Weight_7 (19 regs) */
432316485Sdavidcs	0x080bd72b, 	/* qm.Voq_Arb_Grp1_Weight_0 .. qm.Voq_Arb_Grp1_Weight_7 (8 regs) */
433316485Sdavidcs	0x200bd74b, 	/* qm.Voq_Arb_Timeout .. qm.cam_bist_status (32 regs) */
434316485Sdavidcs	0x0a1f0000, 	/* block tm */
435316485Sdavidcs	0x100b0000, 	/* tm.memory_self_init_start .. tm.ac_command_fifo_init (16 regs) */
436316485Sdavidcs	0x040b0018, 	/* tm.pxp_interface_enable .. tm.client_in_interface_enable (4 regs) */
437316485Sdavidcs	0x050b001e, 	/* tm.pxp_request_credit .. tm.load_request_credit (5 regs) */
438316485Sdavidcs	0x020b0060, 	/* tm.INT_STS_0 .. tm.INT_MASK_0 (2 regs) */
439316485Sdavidcs	0x020b0064, 	/* tm.INT_STS_1 .. tm.INT_MASK_1 (2 regs) */
440316485Sdavidcs	0x0b0b0100, 	/* tm.pxp_read_data_fifo_a_f_thr .. tm.ac_command_fifo_a_f_thr (11 regs) */
441316485Sdavidcs	0x2d0b0112, 	/* tm.tick_timer_val .. tm.task_timer_threshold_2 (45 regs) */
442316485Sdavidcs	0x030b0143, 	/* tm.during_scan_conn .. tm.during_scan (3 regs) */
443316485Sdavidcs	0x1f0b0180, 	/* tm.completed_scans .. tm.pxp_read_data_error (31 regs) */
444316485Sdavidcs	0x2f0b01c0, 	/* tm.current_time .. tm.dbg_force_frame (47 regs) */
445316485Sdavidcs	0x18200000, 	/* block dorq */
446316485Sdavidcs	0x01040000, 	/* dorq.INIT (1 regs) */
447316485Sdavidcs	0x01040010, 	/* dorq.ifen (1 regs) */
448316485Sdavidcs	0x02040060, 	/* dorq.INT_STS .. dorq.INT_MASK (2 regs) */
449316485Sdavidcs	0x15040118, 	/* dorq.dems_target_1 .. dorq.dems_agg_cmd_7 (21 regs) */
450316485Sdavidcs	0x0204013d, 	/* dorq.pwm_agg_cmd .. dorq.cm_ac_upd (2 regs) */
451316485Sdavidcs	0x05040180, 	/* dorq.dpm_l2_succ_cflg_cmd .. dorq.dpm_l2_abrt_agg_cmd (5 regs) */
452316485Sdavidcs	0x030401be, 	/* dorq.xcm_agg_type .. dorq.tcm_agg_type (3 regs) */
453316485Sdavidcs	0x010401c2, 	/* dorq.xcm_sm_ctx_ld_st_flg_dpm (1 regs) */
454316485Sdavidcs	0x07040200, 	/* dorq.xcm_ccfc_regn .. dorq.dpm_xcm_db_abrt_th (7 regs) */
455316485Sdavidcs	0x06040208, 	/* dorq.dpm_ent_abrt_th .. dorq.dpm_timeout (6 regs) */
456316485Sdavidcs	0x0304020f, 	/* dorq.dq_pxp_full_en .. dorq.dq_full_cycles (3 regs) */
457316485Sdavidcs	0x04040229, 	/* dorq.grh_nxt_header .. dorq.crc32_bswap (4 regs) */
458316485Sdavidcs	0x01040233, 	/* dorq.rroce_dst_udp_port (1 regs) */
459316485Sdavidcs	0x01040240, 	/* dorq.l2_edpm_num_bd_thr (1 regs) */
460316485Sdavidcs	0x08040243, 	/* dorq.l2_edpm_tunnel_gre_eth_en .. dorq.l2_edpm_pkt_hdr_size (8 regs) */
461316485Sdavidcs	0x04040260, 	/* dorq.xcm_msg_init_crd .. dorq.pbf_cmd_init_crd (4 regs) */
462316485Sdavidcs	0x03040277, 	/* dorq.db_drop_reason_mask .. dorq.auto_freeze_st (3 regs) */
463316485Sdavidcs	0x0204027b, 	/* dorq.auto_drop_en .. dorq.auto_drop_st (2 regs) */
464316485Sdavidcs	0x0c04027e, 	/* dorq.pxp_trans_size .. dorq.db_drop_details (12 regs) */
465316485Sdavidcs	0x0704028b, 	/* dorq.db_drop_reason .. dorq.dpm_abort_details_reason (7 regs) */
466316485Sdavidcs	0x11040293, 	/* dorq.dpm_abort_reason .. dorq.cfc_bypass_cnt (17 regs) */
467316485Sdavidcs	0x048402a4, 	/* dorq.mini_cache_entry .. dorq.cfc_lcres_err_detail (4 regs, WB) */
468316485Sdavidcs	0x030402a8, 	/* dorq.cfc_ld_req_cnt .. dorq.eco_reserved (3 regs) */
469316485Sdavidcs	0x060402b3, 	/* dorq.memctrl_status .. dorq.dbg_force_frame (6 regs) */
470316485Sdavidcs	0x33210000, 	/* block brb */
471316485Sdavidcs	0x030d0001, 	/* brb.hw_init_en .. brb.start_en (3 regs) */
472316485Sdavidcs	0x020d0030, 	/* brb.INT_STS_0 .. brb.INT_MASK_0 (2 regs) */
473316485Sdavidcs	0x020d0036, 	/* brb.INT_STS_1 .. brb.INT_MASK_1 (2 regs) */
474316485Sdavidcs	0x020d003c, 	/* brb.INT_STS_2 .. brb.INT_MASK_2 (2 regs) */
475316485Sdavidcs	0x020d0042, 	/* brb.INT_STS_3 .. brb.INT_MASK_3 (2 regs) */
476316485Sdavidcs	0x020d0048, 	/* brb.INT_STS_4 .. brb.INT_MASK_4 (2 regs) */
477316485Sdavidcs	0x020d004e, 	/* brb.INT_STS_5 .. brb.INT_MASK_5 (2 regs) */
478316485Sdavidcs	0x020d0054, 	/* brb.INT_STS_6 .. brb.INT_MASK_6 (2 regs) */
479316485Sdavidcs	0x020d005a, 	/* brb.INT_STS_7 .. brb.INT_MASK_7 (2 regs) */
480316485Sdavidcs	0x020d0061, 	/* brb.INT_STS_8 .. brb.INT_MASK_8 (2 regs) */
481316485Sdavidcs	0x020d0067, 	/* brb.INT_STS_9 .. brb.INT_MASK_9 (2 regs) */
482316485Sdavidcs	0x020d006d, 	/* brb.INT_STS_10 .. brb.INT_MASK_10 (2 regs) */
483316485Sdavidcs	0x020d0073, 	/* brb.INT_STS_11 .. brb.INT_MASK_11 (2 regs) */
484316485Sdavidcs	0x010d0200, 	/* brb.big_ram_address (1 regs) */
485316485Sdavidcs	0x020d0210, 	/* brb.max_releases .. brb.stop_on_len_err (2 regs) */
486316485Sdavidcs	0x120d0240, 	/* brb.tc_guarantied_0 .. brb.tc_guarantied_17 (18 regs) */
487316485Sdavidcs	0x100d025e, 	/* brb.main_tc_guarantied_hyst_0 .. brb.main_tc_guarantied_hyst_15 (16 regs) */
488316485Sdavidcs	0x120d0276, 	/* brb.lb_tc_guarantied_hyst_0 .. brb.lb_tc_guarantied_hyst_17 (18 regs) */
489316485Sdavidcs	0x100d0294, 	/* brb.main_tc_pause_xoff_threshold_0 .. brb.main_tc_pause_xoff_threshold_15 (16 regs) */
490316485Sdavidcs	0x120d02ac, 	/* brb.lb_tc_pause_xoff_threshold_0 .. brb.lb_tc_pause_xoff_threshold_17 (18 regs) */
491316485Sdavidcs	0x100d02ca, 	/* brb.main_tc_pause_xon_threshold_0 .. brb.main_tc_pause_xon_threshold_15 (16 regs) */
492316485Sdavidcs	0x120d02e2, 	/* brb.lb_tc_pause_xon_threshold_0 .. brb.lb_tc_pause_xon_threshold_17 (18 regs) */
493316485Sdavidcs	0x100d0300, 	/* brb.main_tc_full_xoff_threshold_0 .. brb.main_tc_full_xoff_threshold_15 (16 regs) */
494316485Sdavidcs	0x120d0318, 	/* brb.lb_tc_full_xoff_threshold_0 .. brb.lb_tc_full_xoff_threshold_17 (18 regs) */
495316485Sdavidcs	0x100d0336, 	/* brb.main_tc_full_xon_threshold_0 .. brb.main_tc_full_xon_threshold_15 (16 regs) */
496316485Sdavidcs	0x120d034e, 	/* brb.lb_tc_full_xon_threshold_0 .. brb.lb_tc_full_xon_threshold_17 (18 regs) */
497316485Sdavidcs	0x080d036c, 	/* brb.lossless_threshold .. brb.rc_pkt_priority (8 regs) */
498316485Sdavidcs	0x110d0382, 	/* brb.rc_sop_priority .. brb.pm_tc_latency_sensitive_1 (17 regs) */
499316485Sdavidcs	0x080d03b1, 	/* brb.dbgsyn_almost_full_thr .. brb.dbg_force_frame (8 regs) */
500316485Sdavidcs	0x060d03ca, 	/* brb.inp_if_enable .. brb.wc_empty_3 (6 regs) */
501316485Sdavidcs	0x040d03dc, 	/* brb.wc_full_0 .. brb.wc_full_3 (4 regs) */
502316485Sdavidcs	0x070d03ec, 	/* brb.wc_bandwidth_if_full .. brb.rc_pkt_empty_4 (7 regs) */
503316485Sdavidcs	0x050d03fd, 	/* brb.rc_pkt_full_0 .. brb.rc_pkt_full_4 (5 regs) */
504316485Sdavidcs	0x050d040c, 	/* brb.rc_pkt_status_0 .. brb.rc_pkt_status_4 (5 regs) */
505316485Sdavidcs	0x0b0d041b, 	/* brb.rc_sop_empty .. brb.empty_if_1 (11 regs) */
506316485Sdavidcs	0x050d042a, 	/* brb.rc_sop_inp_sync_fifo_push_status .. brb.rc_inp_sync_fifo_push_status_3 (5 regs) */
507316485Sdavidcs	0x050d043a, 	/* brb.rc_out_sync_fifo_push_status_0 .. brb.rc_out_sync_fifo_push_status_4 (5 regs) */
508316485Sdavidcs	0x010d0449, 	/* brb.rc_eop_inp_sync_fifo_push_status_0 (1 regs) */
509316485Sdavidcs	0x010d0458, 	/* brb.rc_eop_out_sync_fifo_push_status_0 (1 regs) */
510316485Sdavidcs	0x040d0467, 	/* brb.pkt_avail_sync_fifo_push_status .. brb.rc_pkt_state (4 regs) */
511316485Sdavidcs	0x020d046e, 	/* brb.mac_free_shared_hr_0 .. brb.mac_free_shared_hr_1 (2 regs) */
512316485Sdavidcs	0x090d0474, 	/* brb.mac0_tc_occupancy_0 .. brb.mac0_tc_occupancy_8 (9 regs) */
513316485Sdavidcs	0x090d0484, 	/* brb.mac1_tc_occupancy_0 .. brb.mac1_tc_occupancy_8 (9 regs) */
514316485Sdavidcs	0x020d04b4, 	/* brb.available_mac_size_0 .. brb.available_mac_size_1 (2 regs) */
515316485Sdavidcs	0x020d04ba, 	/* brb.main_tc_pause_0 .. brb.main_tc_pause_1 (2 regs) */
516316485Sdavidcs	0x020d04c0, 	/* brb.lb_tc_pause_0 .. brb.lb_tc_pause_1 (2 regs) */
517316485Sdavidcs	0x020d04c6, 	/* brb.main_tc_full_0 .. brb.main_tc_full_1 (2 regs) */
518316485Sdavidcs	0x020d04cc, 	/* brb.lb_tc_full_0 .. brb.lb_tc_full_1 (2 regs) */
519316485Sdavidcs	0x080d04d2, 	/* brb.main0_tc_lossless_cnt_0 .. brb.main0_tc_lossless_cnt_7 (8 regs) */
520316485Sdavidcs	0x080d04e2, 	/* brb.main1_tc_lossless_cnt_0 .. brb.main1_tc_lossless_cnt_7 (8 regs) */
521316485Sdavidcs	0x020d0512, 	/* brb.main_tc_lossless_int_0 .. brb.main_tc_lossless_int_1 (2 regs) */
522316485Sdavidcs	0x08220000, 	/* block src */
523316485Sdavidcs	0x0108e010, 	/* src.CTRL (1 regs) */
524316485Sdavidcs	0x0108e076, 	/* src.INT_STS (1 regs) */
525316485Sdavidcs	0x0108e079, 	/* src.INT_MASK (1 regs) */
526316485Sdavidcs	0x0b08e100, 	/* src.KeySearch_0 .. src.KeySearch_vlan (11 regs) */
527316485Sdavidcs	0x0708e120, 	/* src.IF_Stat_PF_Config .. src.IF_Stat_No_Read_Counter (7 regs) */
528316485Sdavidcs	0x0108e180, 	/* src.PXP_CTRL (1 regs) */
529316485Sdavidcs	0x0508e1c0, 	/* src.dbg_select .. src.dbg_force_frame (5 regs) */
530316485Sdavidcs	0x0208e1d2, 	/* src.eco_reserved .. src.soft_rst (2 regs) */
531316485Sdavidcs	0x25230000, 	/* block prs */
532316485Sdavidcs	0x0107c000, 	/* prs.soft_rst (1 regs) */
533316485Sdavidcs	0x0307c002, 	/* prs.mac_vlan_cache_init_done .. prs.cam_scrub_miss_en (3 regs) */
534316485Sdavidcs	0x0207c010, 	/* prs.INT_STS_0 .. prs.INT_MASK_0 (2 regs) */
535316485Sdavidcs	0x0107c050, 	/* prs.task_inc_value (1 regs) */
536316485Sdavidcs	0x0107c059, 	/* prs.search_resp_initiator_type (1 regs) */
537316485Sdavidcs	0x0607c05e, 	/* prs.task_id_segment .. prs.roce_con_type (6 regs) */
538316485Sdavidcs	0x0107c065, 	/* prs.roce_opcode_req_res (1 regs) */
539316485Sdavidcs	0x0107c067, 	/* prs.cfc_load_mini_cache_en (1 regs) */
540316485Sdavidcs	0x0107c080, 	/* prs.eco_reserved (1 regs) */
541316485Sdavidcs	0x0107c104, 	/* prs.search_tcp_first_frag (1 regs) */
542316485Sdavidcs	0x0107c10a, 	/* prs.roce_spcl_qp_val (1 regs) */
543316485Sdavidcs	0x0907c114, 	/* prs.tenant_id_default_val_enable .. prs.tenant_id_default_val_ttag (9 regs) */
544316485Sdavidcs	0x0407c11e, 	/* prs.tenant_id_mask_eth_nge .. prs.tenant_id_default_val_ip_nge (4 regs) */
545316485Sdavidcs	0x0407c140, 	/* prs.ports_arb_scheme .. prs.max_packet_size (4 regs) */
546316485Sdavidcs	0x0b07c1c1, 	/* prs.llc_type_threshold .. prs.icmpv4_protocol (11 regs) */
547316485Sdavidcs	0x0107c1cd, 	/* prs.gre_protocol (1 regs) */
548316485Sdavidcs	0x0e07c1d1, 	/* prs.fcoe_type .. prs.tag_len_5 (14 regs) */
549316485Sdavidcs	0x0407c1ef, 	/* prs.dst_mac_global_0 .. prs.dst_mac_global_mask_1 (4 regs) */
550316485Sdavidcs	0x0107c21a, 	/* prs.nge_eth_type (1 regs) */
551316485Sdavidcs	0x0107c21c, 	/* prs.rroce_port (1 regs) */
552316485Sdavidcs	0x0507c240, 	/* prs.l2_irreg_cases .. prs.light_l2 (5 regs) */
553316485Sdavidcs	0x0307c24d, 	/* prs.l2_regular_pkt .. prs.def_l2_con_type (3 regs) */
554316485Sdavidcs	0x0607c254, 	/* prs.light_l2_ethertype_0 .. prs.light_l2_ethertype_5 (6 regs) */
555316485Sdavidcs	0x0307c25c, 	/* prs.dst_mac_select .. prs.vlan_tag_select (3 regs) */
556316485Sdavidcs	0x0407c270, 	/* prs.mac_vlan_flex_upper .. prs.mac_vlan_flex_bitmask_1 (4 regs) */
557316485Sdavidcs	0x0107c275, 	/* prs.sack_blk_override (1 regs) */
558316485Sdavidcs	0x0807c277, 	/* prs.rdma_syn_seed_0 .. prs.rdma_syn_seed_7 (8 regs) */
559316485Sdavidcs	0x0207c2c0, 	/* prs.num_of_cfc_flush_messages .. prs.num_of_transparent_flush_messages (2 regs) */
560316485Sdavidcs	0x0887c2cc, 	/* prs.fifo_empty_flags .. prs.fifo_full_flags (8 regs, WB) */
561316485Sdavidcs	0x0107c2d7, 	/* prs.stop_parsing_status (1 regs) */
562316485Sdavidcs	0x0287c2d8, 	/* prs.mini_cache_entry (2 regs, WB) */
563316485Sdavidcs	0x0407c2da, 	/* prs.mini_cache_failed_response .. prs.dbg_shift (4 regs) */
564316485Sdavidcs	0x0207c2e8, 	/* prs.dbg_force_valid .. prs.dbg_force_frame (2 regs) */
565316485Sdavidcs	0x0207c3c1, 	/* prs.ccfc_search_initial_credit .. prs.tcfc_search_initial_credit (2 regs) */
566316485Sdavidcs	0x0907c3c4, 	/* prs.ccfc_search_current_credit .. prs.sop_req_ct (9 regs) */
567316485Sdavidcs	0x0f07c460, 	/* prs.gft_hash_key_0 .. prs.gft_tunnel_vlan_select (15 regs) */
568316485Sdavidcs	0x0407c471, 	/* prs.gft_connection_type .. prs.gft_cam_scrub_miss_en (4 regs) */
569316485Sdavidcs	0x0a240000, 	/* block tsdm */
570316485Sdavidcs	0x053ec001, 	/* tsdm.enable_in1 .. tsdm.disable_engine (5 regs) */
571316485Sdavidcs	0x023ec010, 	/* tsdm.INT_STS .. tsdm.INT_MASK (2 regs) */
572316485Sdavidcs	0x023ec100, 	/* tsdm.timer_tick .. tsdm.timers_tick_enable (2 regs) */
573316485Sdavidcs	0x093ec103, 	/* tsdm.grc_privilege_level .. tsdm.eco_reserved (9 regs) */
574316485Sdavidcs	0x053ec140, 	/* tsdm.init_credit_pxp .. tsdm.init_credit_cm (5 regs) */
575316485Sdavidcs	0x0c3ec180, 	/* tsdm.num_of_dma_cmd .. tsdm.num_of_dpm_req (12 regs) */
576316485Sdavidcs	0x033ec1c0, 	/* tsdm.brb_almost_full .. tsdm.dorq_almost_full (3 regs) */
577316485Sdavidcs	0x203ec300, 	/* tsdm.queue_full .. tsdm.prm_fifo_full (32 regs) */
578316485Sdavidcs	0x1a3ec340, 	/* tsdm.int_cmpl_pend_empty .. tsdm.prm_fifo_empty (26 regs) */
579316485Sdavidcs	0x053ec38a, 	/* tsdm.dbg_select .. tsdm.dbg_force_frame (5 regs) */
580316485Sdavidcs	0x0a250000, 	/* block msdm */
581316485Sdavidcs	0x053f0001, 	/* msdm.enable_in1 .. msdm.disable_engine (5 regs) */
582316485Sdavidcs	0x023f0010, 	/* msdm.INT_STS .. msdm.INT_MASK (2 regs) */
583316485Sdavidcs	0x023f0100, 	/* msdm.timer_tick .. msdm.timers_tick_enable (2 regs) */
584316485Sdavidcs	0x093f0103, 	/* msdm.grc_privilege_level .. msdm.eco_reserved (9 regs) */
585316485Sdavidcs	0x053f0140, 	/* msdm.init_credit_pxp .. msdm.init_credit_cm (5 regs) */
586316485Sdavidcs	0x0c3f0180, 	/* msdm.num_of_dma_cmd .. msdm.num_of_dpm_req (12 regs) */
587316485Sdavidcs	0x033f01c0, 	/* msdm.brb_almost_full .. msdm.dorq_almost_full (3 regs) */
588316485Sdavidcs	0x203f0300, 	/* msdm.queue_full .. msdm.prm_fifo_full (32 regs) */
589316485Sdavidcs	0x1a3f0340, 	/* msdm.int_cmpl_pend_empty .. msdm.prm_fifo_empty (26 regs) */
590316485Sdavidcs	0x053f038a, 	/* msdm.dbg_select .. msdm.dbg_force_frame (5 regs) */
591316485Sdavidcs	0x0a260000, 	/* block usdm */
592316485Sdavidcs	0x053f4001, 	/* usdm.enable_in1 .. usdm.disable_engine (5 regs) */
593316485Sdavidcs	0x023f4010, 	/* usdm.INT_STS .. usdm.INT_MASK (2 regs) */
594316485Sdavidcs	0x023f4100, 	/* usdm.timer_tick .. usdm.timers_tick_enable (2 regs) */
595316485Sdavidcs	0x093f4103, 	/* usdm.grc_privilege_level .. usdm.eco_reserved (9 regs) */
596316485Sdavidcs	0x053f4140, 	/* usdm.init_credit_pxp .. usdm.init_credit_cm (5 regs) */
597316485Sdavidcs	0x0c3f4180, 	/* usdm.num_of_dma_cmd .. usdm.num_of_dpm_req (12 regs) */
598316485Sdavidcs	0x033f41c0, 	/* usdm.brb_almost_full .. usdm.dorq_almost_full (3 regs) */
599316485Sdavidcs	0x203f4300, 	/* usdm.queue_full .. usdm.prm_fifo_full (32 regs) */
600316485Sdavidcs	0x1a3f4340, 	/* usdm.int_cmpl_pend_empty .. usdm.prm_fifo_empty (26 regs) */
601316485Sdavidcs	0x053f438a, 	/* usdm.dbg_select .. usdm.dbg_force_frame (5 regs) */
602316485Sdavidcs	0x0b270000, 	/* block xsdm */
603316485Sdavidcs	0x053e0001, 	/* xsdm.enable_in1 .. xsdm.disable_engine (5 regs) */
604316485Sdavidcs	0x023e0010, 	/* xsdm.INT_STS .. xsdm.INT_MASK (2 regs) */
605316485Sdavidcs	0x023e0100, 	/* xsdm.timer_tick .. xsdm.timers_tick_enable (2 regs) */
606316485Sdavidcs	0x093e0103, 	/* xsdm.grc_privilege_level .. xsdm.eco_reserved (9 regs) */
607316485Sdavidcs	0x053e0140, 	/* xsdm.init_credit_pxp .. xsdm.init_credit_cm (5 regs) */
608316485Sdavidcs	0x013e0148, 	/* xsdm.init_credit_cm_rmt (1 regs) */
609316485Sdavidcs	0x0c3e0180, 	/* xsdm.num_of_dma_cmd .. xsdm.num_of_dpm_req (12 regs) */
610316485Sdavidcs	0x033e01c0, 	/* xsdm.brb_almost_full .. xsdm.dorq_almost_full (3 regs) */
611316485Sdavidcs	0x203e0300, 	/* xsdm.queue_full .. xsdm.prm_fifo_full (32 regs) */
612316485Sdavidcs	0x1a3e0340, 	/* xsdm.int_cmpl_pend_empty .. xsdm.prm_fifo_empty (26 regs) */
613316485Sdavidcs	0x053e038a, 	/* xsdm.dbg_select .. xsdm.dbg_force_frame (5 regs) */
614316485Sdavidcs	0x0a280000, 	/* block ysdm */
615316485Sdavidcs	0x053e4001, 	/* ysdm.enable_in1 .. ysdm.disable_engine (5 regs) */
616316485Sdavidcs	0x023e4010, 	/* ysdm.INT_STS .. ysdm.INT_MASK (2 regs) */
617316485Sdavidcs	0x023e4100, 	/* ysdm.timer_tick .. ysdm.timers_tick_enable (2 regs) */
618316485Sdavidcs	0x093e4103, 	/* ysdm.grc_privilege_level .. ysdm.eco_reserved (9 regs) */
619316485Sdavidcs	0x053e4140, 	/* ysdm.init_credit_pxp .. ysdm.init_credit_cm (5 regs) */
620316485Sdavidcs	0x0c3e4180, 	/* ysdm.num_of_dma_cmd .. ysdm.num_of_dpm_req (12 regs) */
621316485Sdavidcs	0x033e41c0, 	/* ysdm.brb_almost_full .. ysdm.dorq_almost_full (3 regs) */
622316485Sdavidcs	0x203e4300, 	/* ysdm.queue_full .. ysdm.prm_fifo_full (32 regs) */
623316485Sdavidcs	0x1a3e4340, 	/* ysdm.int_cmpl_pend_empty .. ysdm.prm_fifo_empty (26 regs) */
624316485Sdavidcs	0x053e438a, 	/* ysdm.dbg_select .. ysdm.dbg_force_frame (5 regs) */
625316485Sdavidcs	0x0a290000, 	/* block psdm */
626316485Sdavidcs	0x053e8001, 	/* psdm.enable_in1 .. psdm.disable_engine (5 regs) */
627316485Sdavidcs	0x023e8010, 	/* psdm.INT_STS .. psdm.INT_MASK (2 regs) */
628316485Sdavidcs	0x023e8100, 	/* psdm.timer_tick .. psdm.timers_tick_enable (2 regs) */
629316485Sdavidcs	0x093e8103, 	/* psdm.grc_privilege_level .. psdm.eco_reserved (9 regs) */
630316485Sdavidcs	0x053e8140, 	/* psdm.init_credit_pxp .. psdm.init_credit_cm (5 regs) */
631316485Sdavidcs	0x0c3e8180, 	/* psdm.num_of_dma_cmd .. psdm.num_of_dpm_req (12 regs) */
632316485Sdavidcs	0x033e81c0, 	/* psdm.brb_almost_full .. psdm.dorq_almost_full (3 regs) */
633316485Sdavidcs	0x203e8300, 	/* psdm.queue_full .. psdm.prm_fifo_full (32 regs) */
634316485Sdavidcs	0x1a3e8340, 	/* psdm.int_cmpl_pend_empty .. psdm.prm_fifo_empty (26 regs) */
635316485Sdavidcs	0x053e838a, 	/* psdm.dbg_select .. psdm.dbg_force_frame (5 regs) */
636320164Sdavidcs	0x232a0000, 	/* block tsem */
637316485Sdavidcs	0x025c0010, 	/* tsem.INT_STS_0 .. tsem.INT_MASK_0 (2 regs) */
638316485Sdavidcs	0x025c0014, 	/* tsem.INT_STS_1 .. tsem.INT_MASK_1 (2 regs) */
639316485Sdavidcs	0x035c0110, 	/* tsem.pf_err_vector .. tsem.exception_int (3 regs) */
640316485Sdavidcs	0x025c0116, 	/* tsem.allow_lp_sleep_thrd .. tsem.eco_reserved (2 regs) */
641316485Sdavidcs	0x025c01a0, 	/* tsem.foc_credit (2 regs) */
642316485Sdavidcs	0x015c02c0, 	/* tsem.num_of_threads (1 regs) */
643316485Sdavidcs	0x025c0400, 	/* tsem.dbg_alm_full .. tsem.passive_alm_full (2 regs) */
644316485Sdavidcs	0x015c0403, 	/* tsem.sync_ram_wr_alm_full (1 regs) */
645316485Sdavidcs	0x015c0441, 	/* tsem.ext_pas_empty (1 regs) */
646316485Sdavidcs	0x015c0448, 	/* tsem.fic_empty (1 regs) */
647320164Sdavidcs	0x025c0454, 	/* tsem.slow_ext_store_empty .. tsem.slow_ext_load_empty (2 regs) */
648320164Sdavidcs	0x025c0457, 	/* tsem.slow_ram_wr_empty .. tsem.sync_dbg_empty (2 regs) */
649316485Sdavidcs	0x025c0480, 	/* tsem.ext_pas_full .. tsem.ext_store_if_full (2 regs) */
650316485Sdavidcs	0x015c0488, 	/* tsem.fic_full (1 regs) */
651316485Sdavidcs	0x015c0491, 	/* tsem.ram_if_full (1 regs) */
652316485Sdavidcs	0x065c0497, 	/* tsem.slow_ext_store_full .. tsem.sync_dbg_full (6 regs) */
653316485Sdavidcs	0x055c054a, 	/* tsem.dbg_select .. tsem.dbg_force_frame (5 regs) */
654316485Sdavidcs	0x025d0010, 	/* tsem.fast_memory.INT_STS .. tsem.fast_memory.INT_MASK (2 regs) */
655316485Sdavidcs	0x025d0120, 	/* tsem.fast_memory.gpre0 .. tsem.fast_memory.stall_mask (2 regs) */
656316485Sdavidcs	0x045d0128, 	/* tsem.fast_memory.storm_stack_size .. tsem.fast_memory.pram_prty_addr_high (4 regs) */
657316485Sdavidcs	0x025d012e, 	/* tsem.fast_memory.port_id_width .. tsem.fast_memory.port_id_offset (2 regs) */
658316485Sdavidcs	0x015d0131, 	/* tsem.fast_memory.state_machine (1 regs) */
659316485Sdavidcs	0x035d0133, 	/* tsem.fast_memory.iram_ecc_error_inj .. tsem.fast_memory.storm_pc (3 regs) */
660316485Sdavidcs	0x0e5d018a, 	/* tsem.fast_memory.rt_clk_enable .. tsem.fast_memory.cam_init_in_process (14 regs) */
661316485Sdavidcs	0x0d5d01d0, 	/* tsem.fast_memory.debug_active .. tsem.fast_memory.dbg_store_addr_value (13 regs) */
662316485Sdavidcs	0x045d0210, 	/* tsem.fast_memory.sync_dra_rd_alm_full .. tsem.fast_memory.dbg_alm_full (4 regs) */
663316485Sdavidcs	0x035d0250, 	/* tsem.fast_memory.full .. tsem.fast_memory.alm_full (3 regs) */
664316485Sdavidcs	0x015d0290, 	/* tsem.fast_memory.active_filter_enable (1 regs) */
665316485Sdavidcs	0x015d0292, 	/* tsem.fast_memory.stall_cycles_mask (1 regs) */
666316485Sdavidcs	0x015d02d3, 	/* tsem.fast_memory.vfc_status (1 regs) */
667316485Sdavidcs	0x045d0310, 	/* tsem.fast_memory.cam_bist_en .. tsem.fast_memory.cam_bist_status (4 regs) */
668316485Sdavidcs	0x0e5d2800, 	/* tsem.fast_memory.vfc_config.mask_lsb_0_low .. tsem.fast_memory.vfc_config.indications2 (14 regs) */
669320164Sdavidcs	0x135d280f, 	/* tsem.fast_memory.vfc_config.memories_rst .. tsem.fast_memory.vfc_config.cpu_mbist_memctrl_1_cntrl_cmd (19 regs) */
670316485Sdavidcs	0x125d2824, 	/* tsem.fast_memory.vfc_config.debug_data .. tsem.fast_memory.vfc_config.mask_lsb_7_high (18 regs) */
671316485Sdavidcs	0x0c5d283e, 	/* tsem.fast_memory.vfc_config.offset_alu_vector_0 .. tsem.fast_memory.vfc_config.cam_bist_skip_error_cnt (12 regs) */
672316485Sdavidcs	0x1f2b0000, 	/* block msem */
673316485Sdavidcs	0x02600010, 	/* msem.INT_STS_0 .. msem.INT_MASK_0 (2 regs) */
674316485Sdavidcs	0x02600014, 	/* msem.INT_STS_1 .. msem.INT_MASK_1 (2 regs) */
675316485Sdavidcs	0x03600110, 	/* msem.pf_err_vector .. msem.exception_int (3 regs) */
676316485Sdavidcs	0x02600116, 	/* msem.allow_lp_sleep_thrd .. msem.eco_reserved (2 regs) */
677316485Sdavidcs	0x066001a0, 	/* msem.foc_credit (6 regs) */
678316485Sdavidcs	0x016002c0, 	/* msem.num_of_threads (1 regs) */
679316485Sdavidcs	0x02600400, 	/* msem.dbg_alm_full .. msem.passive_alm_full (2 regs) */
680316485Sdavidcs	0x01600403, 	/* msem.sync_ram_wr_alm_full (1 regs) */
681316485Sdavidcs	0x01600441, 	/* msem.ext_pas_empty (1 regs) */
682316485Sdavidcs	0x01600448, 	/* msem.fic_empty (1 regs) */
683320164Sdavidcs	0x02600454, 	/* msem.slow_ext_store_empty .. msem.slow_ext_load_empty (2 regs) */
684320164Sdavidcs	0x02600457, 	/* msem.slow_ram_wr_empty .. msem.sync_dbg_empty (2 regs) */
685316485Sdavidcs	0x02600480, 	/* msem.ext_pas_full .. msem.ext_store_if_full (2 regs) */
686316485Sdavidcs	0x01600488, 	/* msem.fic_full (1 regs) */
687316485Sdavidcs	0x01600491, 	/* msem.ram_if_full (1 regs) */
688316485Sdavidcs	0x06600497, 	/* msem.slow_ext_store_full .. msem.sync_dbg_full (6 regs) */
689316485Sdavidcs	0x0560054a, 	/* msem.dbg_select .. msem.dbg_force_frame (5 regs) */
690316485Sdavidcs	0x02610010, 	/* msem.fast_memory.INT_STS .. msem.fast_memory.INT_MASK (2 regs) */
691316485Sdavidcs	0x02610120, 	/* msem.fast_memory.gpre0 .. msem.fast_memory.stall_mask (2 regs) */
692316485Sdavidcs	0x04610128, 	/* msem.fast_memory.storm_stack_size .. msem.fast_memory.pram_prty_addr_high (4 regs) */
693316485Sdavidcs	0x0261012e, 	/* msem.fast_memory.port_id_width .. msem.fast_memory.port_id_offset (2 regs) */
694316485Sdavidcs	0x01610131, 	/* msem.fast_memory.state_machine (1 regs) */
695316485Sdavidcs	0x03610133, 	/* msem.fast_memory.iram_ecc_error_inj .. msem.fast_memory.storm_pc (3 regs) */
696316485Sdavidcs	0x0e61018a, 	/* msem.fast_memory.rt_clk_enable .. msem.fast_memory.cam_init_in_process (14 regs) */
697316485Sdavidcs	0x0d6101d0, 	/* msem.fast_memory.debug_active .. msem.fast_memory.dbg_store_addr_value (13 regs) */
698316485Sdavidcs	0x04610210, 	/* msem.fast_memory.sync_dra_rd_alm_full .. msem.fast_memory.dbg_alm_full (4 regs) */
699316485Sdavidcs	0x03610250, 	/* msem.fast_memory.full .. msem.fast_memory.alm_full (3 regs) */
700316485Sdavidcs	0x01610290, 	/* msem.fast_memory.active_filter_enable (1 regs) */
701316485Sdavidcs	0x01610292, 	/* msem.fast_memory.stall_cycles_mask (1 regs) */
702316485Sdavidcs	0x016102d3, 	/* msem.fast_memory.vfc_status (1 regs) */
703316485Sdavidcs	0x04610310, 	/* msem.fast_memory.cam_bist_en .. msem.fast_memory.cam_bist_status (4 regs) */
704316485Sdavidcs	0x1f2c0000, 	/* block usem */
705316485Sdavidcs	0x02640010, 	/* usem.INT_STS_0 .. usem.INT_MASK_0 (2 regs) */
706316485Sdavidcs	0x02640014, 	/* usem.INT_STS_1 .. usem.INT_MASK_1 (2 regs) */
707316485Sdavidcs	0x03640110, 	/* usem.pf_err_vector .. usem.exception_int (3 regs) */
708316485Sdavidcs	0x02640116, 	/* usem.allow_lp_sleep_thrd .. usem.eco_reserved (2 regs) */
709316485Sdavidcs	0x056401a0, 	/* usem.foc_credit (5 regs) */
710316485Sdavidcs	0x016402c0, 	/* usem.num_of_threads (1 regs) */
711316485Sdavidcs	0x02640400, 	/* usem.dbg_alm_full .. usem.passive_alm_full (2 regs) */
712316485Sdavidcs	0x01640403, 	/* usem.sync_ram_wr_alm_full (1 regs) */
713316485Sdavidcs	0x01640441, 	/* usem.ext_pas_empty (1 regs) */
714316485Sdavidcs	0x01640448, 	/* usem.fic_empty (1 regs) */
715320164Sdavidcs	0x02640454, 	/* usem.slow_ext_store_empty .. usem.slow_ext_load_empty (2 regs) */
716320164Sdavidcs	0x02640457, 	/* usem.slow_ram_wr_empty .. usem.sync_dbg_empty (2 regs) */
717316485Sdavidcs	0x02640480, 	/* usem.ext_pas_full .. usem.ext_store_if_full (2 regs) */
718316485Sdavidcs	0x01640488, 	/* usem.fic_full (1 regs) */
719316485Sdavidcs	0x01640491, 	/* usem.ram_if_full (1 regs) */
720316485Sdavidcs	0x06640497, 	/* usem.slow_ext_store_full .. usem.sync_dbg_full (6 regs) */
721316485Sdavidcs	0x0564054a, 	/* usem.dbg_select .. usem.dbg_force_frame (5 regs) */
722316485Sdavidcs	0x02650010, 	/* usem.fast_memory.INT_STS .. usem.fast_memory.INT_MASK (2 regs) */
723316485Sdavidcs	0x02650120, 	/* usem.fast_memory.gpre0 .. usem.fast_memory.stall_mask (2 regs) */
724316485Sdavidcs	0x04650128, 	/* usem.fast_memory.storm_stack_size .. usem.fast_memory.pram_prty_addr_high (4 regs) */
725316485Sdavidcs	0x0265012e, 	/* usem.fast_memory.port_id_width .. usem.fast_memory.port_id_offset (2 regs) */
726316485Sdavidcs	0x01650131, 	/* usem.fast_memory.state_machine (1 regs) */
727316485Sdavidcs	0x03650133, 	/* usem.fast_memory.iram_ecc_error_inj .. usem.fast_memory.storm_pc (3 regs) */
728316485Sdavidcs	0x0e65018a, 	/* usem.fast_memory.rt_clk_enable .. usem.fast_memory.cam_init_in_process (14 regs) */
729316485Sdavidcs	0x0d6501d0, 	/* usem.fast_memory.debug_active .. usem.fast_memory.dbg_store_addr_value (13 regs) */
730316485Sdavidcs	0x04650210, 	/* usem.fast_memory.sync_dra_rd_alm_full .. usem.fast_memory.dbg_alm_full (4 regs) */
731316485Sdavidcs	0x03650250, 	/* usem.fast_memory.full .. usem.fast_memory.alm_full (3 regs) */
732316485Sdavidcs	0x01650290, 	/* usem.fast_memory.active_filter_enable (1 regs) */
733316485Sdavidcs	0x01650292, 	/* usem.fast_memory.stall_cycles_mask (1 regs) */
734316485Sdavidcs	0x016502d3, 	/* usem.fast_memory.vfc_status (1 regs) */
735316485Sdavidcs	0x04650310, 	/* usem.fast_memory.cam_bist_en .. usem.fast_memory.cam_bist_status (4 regs) */
736316485Sdavidcs	0x1d2d0000, 	/* block xsem */
737316485Sdavidcs	0x02500010, 	/* xsem.INT_STS_0 .. xsem.INT_MASK_0 (2 regs) */
738316485Sdavidcs	0x02500014, 	/* xsem.INT_STS_1 .. xsem.INT_MASK_1 (2 regs) */
739316485Sdavidcs	0x03500110, 	/* xsem.pf_err_vector .. xsem.exception_int (3 regs) */
740316485Sdavidcs	0x02500116, 	/* xsem.allow_lp_sleep_thrd .. xsem.eco_reserved (2 regs) */
741316485Sdavidcs	0x025001a0, 	/* xsem.foc_credit (2 regs) */
742316485Sdavidcs	0x015002c0, 	/* xsem.num_of_threads (1 regs) */
743316485Sdavidcs	0x02500400, 	/* xsem.dbg_alm_full .. xsem.passive_alm_full (2 regs) */
744316485Sdavidcs	0x01500403, 	/* xsem.sync_ram_wr_alm_full (1 regs) */
745316485Sdavidcs	0x01500441, 	/* xsem.ext_pas_empty (1 regs) */
746320164Sdavidcs	0x02500454, 	/* xsem.slow_ext_store_empty .. xsem.slow_ext_load_empty (2 regs) */
747320164Sdavidcs	0x02500457, 	/* xsem.slow_ram_wr_empty .. xsem.sync_dbg_empty (2 regs) */
748316485Sdavidcs	0x02500480, 	/* xsem.ext_pas_full .. xsem.ext_store_if_full (2 regs) */
749316485Sdavidcs	0x01500491, 	/* xsem.ram_if_full (1 regs) */
750316485Sdavidcs	0x06500497, 	/* xsem.slow_ext_store_full .. xsem.sync_dbg_full (6 regs) */
751316485Sdavidcs	0x0550054a, 	/* xsem.dbg_select .. xsem.dbg_force_frame (5 regs) */
752316485Sdavidcs	0x02510010, 	/* xsem.fast_memory.INT_STS .. xsem.fast_memory.INT_MASK (2 regs) */
753316485Sdavidcs	0x02510120, 	/* xsem.fast_memory.gpre0 .. xsem.fast_memory.stall_mask (2 regs) */
754316485Sdavidcs	0x04510128, 	/* xsem.fast_memory.storm_stack_size .. xsem.fast_memory.pram_prty_addr_high (4 regs) */
755316485Sdavidcs	0x0251012e, 	/* xsem.fast_memory.port_id_width .. xsem.fast_memory.port_id_offset (2 regs) */
756316485Sdavidcs	0x01510131, 	/* xsem.fast_memory.state_machine (1 regs) */
757316485Sdavidcs	0x03510133, 	/* xsem.fast_memory.iram_ecc_error_inj .. xsem.fast_memory.storm_pc (3 regs) */
758316485Sdavidcs	0x0e51018a, 	/* xsem.fast_memory.rt_clk_enable .. xsem.fast_memory.cam_init_in_process (14 regs) */
759316485Sdavidcs	0x0d5101d0, 	/* xsem.fast_memory.debug_active .. xsem.fast_memory.dbg_store_addr_value (13 regs) */
760316485Sdavidcs	0x04510210, 	/* xsem.fast_memory.sync_dra_rd_alm_full .. xsem.fast_memory.dbg_alm_full (4 regs) */
761316485Sdavidcs	0x03510250, 	/* xsem.fast_memory.full .. xsem.fast_memory.alm_full (3 regs) */
762316485Sdavidcs	0x01510290, 	/* xsem.fast_memory.active_filter_enable (1 regs) */
763316485Sdavidcs	0x01510292, 	/* xsem.fast_memory.stall_cycles_mask (1 regs) */
764316485Sdavidcs	0x015102d3, 	/* xsem.fast_memory.vfc_status (1 regs) */
765316485Sdavidcs	0x04510310, 	/* xsem.fast_memory.cam_bist_en .. xsem.fast_memory.cam_bist_status (4 regs) */
766316485Sdavidcs	0x1d2e0000, 	/* block ysem */
767316485Sdavidcs	0x02540010, 	/* ysem.INT_STS_0 .. ysem.INT_MASK_0 (2 regs) */
768316485Sdavidcs	0x02540014, 	/* ysem.INT_STS_1 .. ysem.INT_MASK_1 (2 regs) */
769316485Sdavidcs	0x03540110, 	/* ysem.pf_err_vector .. ysem.exception_int (3 regs) */
770316485Sdavidcs	0x02540116, 	/* ysem.allow_lp_sleep_thrd .. ysem.eco_reserved (2 regs) */
771316485Sdavidcs	0x065401a0, 	/* ysem.foc_credit (6 regs) */
772316485Sdavidcs	0x015402c0, 	/* ysem.num_of_threads (1 regs) */
773316485Sdavidcs	0x02540400, 	/* ysem.dbg_alm_full .. ysem.passive_alm_full (2 regs) */
774316485Sdavidcs	0x01540403, 	/* ysem.sync_ram_wr_alm_full (1 regs) */
775316485Sdavidcs	0x01540441, 	/* ysem.ext_pas_empty (1 regs) */
776320164Sdavidcs	0x02540454, 	/* ysem.slow_ext_store_empty .. ysem.slow_ext_load_empty (2 regs) */
777320164Sdavidcs	0x02540457, 	/* ysem.slow_ram_wr_empty .. ysem.sync_dbg_empty (2 regs) */
778316485Sdavidcs	0x02540480, 	/* ysem.ext_pas_full .. ysem.ext_store_if_full (2 regs) */
779316485Sdavidcs	0x01540491, 	/* ysem.ram_if_full (1 regs) */
780316485Sdavidcs	0x06540497, 	/* ysem.slow_ext_store_full .. ysem.sync_dbg_full (6 regs) */
781316485Sdavidcs	0x0554054a, 	/* ysem.dbg_select .. ysem.dbg_force_frame (5 regs) */
782316485Sdavidcs	0x02550010, 	/* ysem.fast_memory.INT_STS .. ysem.fast_memory.INT_MASK (2 regs) */
783316485Sdavidcs	0x02550120, 	/* ysem.fast_memory.gpre0 .. ysem.fast_memory.stall_mask (2 regs) */
784316485Sdavidcs	0x04550128, 	/* ysem.fast_memory.storm_stack_size .. ysem.fast_memory.pram_prty_addr_high (4 regs) */
785316485Sdavidcs	0x0255012e, 	/* ysem.fast_memory.port_id_width .. ysem.fast_memory.port_id_offset (2 regs) */
786316485Sdavidcs	0x01550131, 	/* ysem.fast_memory.state_machine (1 regs) */
787316485Sdavidcs	0x03550133, 	/* ysem.fast_memory.iram_ecc_error_inj .. ysem.fast_memory.storm_pc (3 regs) */
788316485Sdavidcs	0x0e55018a, 	/* ysem.fast_memory.rt_clk_enable .. ysem.fast_memory.cam_init_in_process (14 regs) */
789316485Sdavidcs	0x0d5501d0, 	/* ysem.fast_memory.debug_active .. ysem.fast_memory.dbg_store_addr_value (13 regs) */
790316485Sdavidcs	0x04550210, 	/* ysem.fast_memory.sync_dra_rd_alm_full .. ysem.fast_memory.dbg_alm_full (4 regs) */
791316485Sdavidcs	0x03550250, 	/* ysem.fast_memory.full .. ysem.fast_memory.alm_full (3 regs) */
792316485Sdavidcs	0x01550290, 	/* ysem.fast_memory.active_filter_enable (1 regs) */
793316485Sdavidcs	0x01550292, 	/* ysem.fast_memory.stall_cycles_mask (1 regs) */
794316485Sdavidcs	0x015502d3, 	/* ysem.fast_memory.vfc_status (1 regs) */
795316485Sdavidcs	0x04550310, 	/* ysem.fast_memory.cam_bist_en .. ysem.fast_memory.cam_bist_status (4 regs) */
796316485Sdavidcs	0x1f2f0000, 	/* block psem */
797316485Sdavidcs	0x02580010, 	/* psem.INT_STS_0 .. psem.INT_MASK_0 (2 regs) */
798316485Sdavidcs	0x02580014, 	/* psem.INT_STS_1 .. psem.INT_MASK_1 (2 regs) */
799316485Sdavidcs	0x03580110, 	/* psem.pf_err_vector .. psem.exception_int (3 regs) */
800316485Sdavidcs	0x02580116, 	/* psem.allow_lp_sleep_thrd .. psem.eco_reserved (2 regs) */
801316485Sdavidcs	0x025801a0, 	/* psem.foc_credit (2 regs) */
802316485Sdavidcs	0x015802c0, 	/* psem.num_of_threads (1 regs) */
803316485Sdavidcs	0x02580400, 	/* psem.dbg_alm_full .. psem.passive_alm_full (2 regs) */
804316485Sdavidcs	0x01580403, 	/* psem.sync_ram_wr_alm_full (1 regs) */
805316485Sdavidcs	0x01580441, 	/* psem.ext_pas_empty (1 regs) */
806316485Sdavidcs	0x01580448, 	/* psem.fic_empty (1 regs) */
807320164Sdavidcs	0x02580454, 	/* psem.slow_ext_store_empty .. psem.slow_ext_load_empty (2 regs) */
808320164Sdavidcs	0x02580457, 	/* psem.slow_ram_wr_empty .. psem.sync_dbg_empty (2 regs) */
809316485Sdavidcs	0x02580480, 	/* psem.ext_pas_full .. psem.ext_store_if_full (2 regs) */
810316485Sdavidcs	0x01580488, 	/* psem.fic_full (1 regs) */
811316485Sdavidcs	0x01580491, 	/* psem.ram_if_full (1 regs) */
812316485Sdavidcs	0x06580497, 	/* psem.slow_ext_store_full .. psem.sync_dbg_full (6 regs) */
813316485Sdavidcs	0x0558054a, 	/* psem.dbg_select .. psem.dbg_force_frame (5 regs) */
814316485Sdavidcs	0x02590010, 	/* psem.fast_memory.INT_STS .. psem.fast_memory.INT_MASK (2 regs) */
815316485Sdavidcs	0x02590120, 	/* psem.fast_memory.gpre0 .. psem.fast_memory.stall_mask (2 regs) */
816316485Sdavidcs	0x04590128, 	/* psem.fast_memory.storm_stack_size .. psem.fast_memory.pram_prty_addr_high (4 regs) */
817316485Sdavidcs	0x0259012e, 	/* psem.fast_memory.port_id_width .. psem.fast_memory.port_id_offset (2 regs) */
818316485Sdavidcs	0x01590131, 	/* psem.fast_memory.state_machine (1 regs) */
819316485Sdavidcs	0x03590133, 	/* psem.fast_memory.iram_ecc_error_inj .. psem.fast_memory.storm_pc (3 regs) */
820316485Sdavidcs	0x0e59018a, 	/* psem.fast_memory.rt_clk_enable .. psem.fast_memory.cam_init_in_process (14 regs) */
821316485Sdavidcs	0x0d5901d0, 	/* psem.fast_memory.debug_active .. psem.fast_memory.dbg_store_addr_value (13 regs) */
822316485Sdavidcs	0x04590210, 	/* psem.fast_memory.sync_dra_rd_alm_full .. psem.fast_memory.dbg_alm_full (4 regs) */
823316485Sdavidcs	0x03590250, 	/* psem.fast_memory.full .. psem.fast_memory.alm_full (3 regs) */
824316485Sdavidcs	0x01590290, 	/* psem.fast_memory.active_filter_enable (1 regs) */
825316485Sdavidcs	0x01590292, 	/* psem.fast_memory.stall_cycles_mask (1 regs) */
826316485Sdavidcs	0x015902d3, 	/* psem.fast_memory.vfc_status (1 regs) */
827316485Sdavidcs	0x04590310, 	/* psem.fast_memory.cam_bist_en .. psem.fast_memory.cam_bist_status (4 regs) */
828320164Sdavidcs	0x04300000, 	/* block rss */
829316485Sdavidcs	0x0308e201, 	/* rss.rss_init_en .. rss.if_enable (3 regs) */
830316485Sdavidcs	0x0208e260, 	/* rss.INT_STS .. rss.INT_MASK (2 regs) */
831316485Sdavidcs	0x0208e300, 	/* rss.key_rss_ext5 .. rss.tmld_credit (2 regs) */
832316485Sdavidcs	0x0608e312, 	/* rss.eco_reserved .. rss.dbg_force_frame (6 regs) */
833320164Sdavidcs	0x06310000, 	/* block tmld */
834320164Sdavidcs	0x01134000, 	/* tmld.scbd_strict_prio (1 regs) */
835320164Sdavidcs	0x2c134003, 	/* tmld.foci_foc_credits .. tmld.cm_hdr_127_96 (44 regs) */
836316485Sdavidcs	0x05134030, 	/* tmld.stat_fic_msg .. tmld.len_err_log_2 (5 regs) */
837316485Sdavidcs	0x01134036, 	/* tmld.len_err_log_v (1 regs) */
838316485Sdavidcs	0x02134060, 	/* tmld.INT_STS .. tmld.INT_MASK (2 regs) */
839316485Sdavidcs	0x05134580, 	/* tmld.dbg_select .. tmld.dbg_force_frame (5 regs) */
840316485Sdavidcs	0x05320000, 	/* block muld */
841316485Sdavidcs	0x38138000, 	/* muld.scbd_strict_prio .. muld.cm_hdr_127_96 (56 regs) */
842316485Sdavidcs	0x05138039, 	/* muld.stat_fic_msg .. muld.len_err_log_2 (5 regs) */
843316485Sdavidcs	0x0113803f, 	/* muld.len_err_log_v (1 regs) */
844316485Sdavidcs	0x02138060, 	/* muld.INT_STS .. muld.INT_MASK (2 regs) */
845316485Sdavidcs	0x05138580, 	/* muld.dbg_select .. muld.dbg_force_frame (5 regs) */
846320164Sdavidcs	0x07340000, 	/* block xyld */
847320164Sdavidcs	0x01130000, 	/* xyld.scbd_strict_prio (1 regs) */
848320164Sdavidcs	0x2e130003, 	/* xyld.foci_foc_credits .. xyld.cm_hdr_127_96 (46 regs) */
849316485Sdavidcs	0x04130032, 	/* xyld.seg_msg_log .. xyld.seg_msg_log_len_arr_95_64 (4 regs) */
850316485Sdavidcs	0x06130037, 	/* xyld.seg_msg_log_v .. xyld.len_err_log_2 (6 regs) */
851316485Sdavidcs	0x0113003e, 	/* xyld.len_err_log_v (1 regs) */
852316485Sdavidcs	0x02130060, 	/* xyld.INT_STS .. xyld.INT_MASK (2 regs) */
853316485Sdavidcs	0x05130580, 	/* xyld.dbg_select .. xyld.dbg_force_frame (5 regs) */
854316485Sdavidcs	0x06370000, 	/* block prm */
855316485Sdavidcs	0x0608c000, 	/* prm.disable_prm .. prm.disable_outputs (6 regs) */
856316485Sdavidcs	0x0208c010, 	/* prm.INT_STS .. prm.INT_MASK (2 regs) */
857316485Sdavidcs	0x0508c108, 	/* prm.pad_data .. prm.init_credit_rdif_pth (5 regs) */
858316485Sdavidcs	0x0508c140, 	/* prm.rpb_db_full_thr .. prm.pxp_resp_full_thr (5 regs) */
859316485Sdavidcs	0x0208c180, 	/* prm.num_of_mstorm_cmd .. prm.num_of_ustorm_cmd (2 regs) */
860316485Sdavidcs	0x0508c1aa, 	/* prm.dbg_select .. prm.dbg_force_frame (5 regs) */
861316485Sdavidcs	0x05380000, 	/* block pbf_pb1 */
862316485Sdavidcs	0x02368010, 	/* pbf_pb1.INT_STS .. pbf_pb1.INT_MASK (2 regs) */
863316485Sdavidcs	0x0d368100, 	/* pbf_pb1.control .. pbf_pb1.crc_mask_3_3 (13 regs) */
864316485Sdavidcs	0x09368140, 	/* pbf_pb1.db_empty .. pbf_pb1.tq_th_empty (9 regs) */
865316485Sdavidcs	0x06368180, 	/* pbf_pb1.errored_crc .. pbf_pb1.eco_reserved (6 regs) */
866316485Sdavidcs	0x053681ca, 	/* pbf_pb1.dbg_select .. pbf_pb1.dbg_force_frame (5 regs) */
867316485Sdavidcs	0x05390000, 	/* block pbf_pb2 */
868316485Sdavidcs	0x02369010, 	/* pbf_pb2.INT_STS .. pbf_pb2.INT_MASK (2 regs) */
869316485Sdavidcs	0x0d369100, 	/* pbf_pb2.control .. pbf_pb2.crc_mask_3_3 (13 regs) */
870316485Sdavidcs	0x09369140, 	/* pbf_pb2.db_empty .. pbf_pb2.tq_th_empty (9 regs) */
871316485Sdavidcs	0x06369180, 	/* pbf_pb2.errored_crc .. pbf_pb2.eco_reserved (6 regs) */
872316485Sdavidcs	0x053691ca, 	/* pbf_pb2.dbg_select .. pbf_pb2.dbg_force_frame (5 regs) */
873316485Sdavidcs	0x053a0000, 	/* block rpb */
874316485Sdavidcs	0x0208f010, 	/* rpb.INT_STS .. rpb.INT_MASK (2 regs) */
875316485Sdavidcs	0x0d08f100, 	/* rpb.control .. rpb.crc_mask_3_3 (13 regs) */
876316485Sdavidcs	0x0908f140, 	/* rpb.db_empty .. rpb.tq_th_empty (9 regs) */
877316485Sdavidcs	0x0608f180, 	/* rpb.errored_crc .. rpb.eco_reserved (6 regs) */
878316485Sdavidcs	0x0508f1ca, 	/* rpb.dbg_select .. rpb.dbg_force_frame (5 regs) */
879316485Sdavidcs	0x173b0000, 	/* block btb */
880316485Sdavidcs	0x0336c001, 	/* btb.hw_init_en .. btb.start_en (3 regs) */
881316485Sdavidcs	0x0236c030, 	/* btb.INT_STS_0 .. btb.INT_MASK_0 (2 regs) */
882316485Sdavidcs	0x0236c036, 	/* btb.INT_STS_1 .. btb.INT_MASK_1 (2 regs) */
883316485Sdavidcs	0x0236c03c, 	/* btb.INT_STS_2 .. btb.INT_MASK_2 (2 regs) */
884316485Sdavidcs	0x0236c042, 	/* btb.INT_STS_3 .. btb.INT_MASK_3 (2 regs) */
885316485Sdavidcs	0x0236c048, 	/* btb.INT_STS_4 .. btb.INT_MASK_4 (2 regs) */
886316485Sdavidcs	0x0236c04e, 	/* btb.INT_STS_5 .. btb.INT_MASK_5 (2 regs) */
887316485Sdavidcs	0x0236c054, 	/* btb.INT_STS_6 .. btb.INT_MASK_6 (2 regs) */
888316485Sdavidcs	0x0236c061, 	/* btb.INT_STS_8 .. btb.INT_MASK_8 (2 regs) */
889316485Sdavidcs	0x0236c067, 	/* btb.INT_STS_9 .. btb.INT_MASK_9 (2 regs) */
890316485Sdavidcs	0x0236c06d, 	/* btb.INT_STS_10 .. btb.INT_MASK_10 (2 regs) */
891316485Sdavidcs	0x0236c073, 	/* btb.INT_STS_11 .. btb.INT_MASK_11 (2 regs) */
892316485Sdavidcs	0x0236c200, 	/* btb.big_ram_address .. btb.header_size (2 regs) */
893316485Sdavidcs	0x0536c210, 	/* btb.max_releases .. btb.rc_pkt_priority (5 regs) */
894316485Sdavidcs	0x1436c223, 	/* btb.rc_sop_priority .. btb.dbg_force_frame (20 regs) */
895316485Sdavidcs	0x0636c242, 	/* btb.inp_if_enable .. btb.wc_empty_0 (6 regs) */
896316485Sdavidcs	0x0136c257, 	/* btb.wc_full_0 (1 regs) */
897316485Sdavidcs	0x0636c267, 	/* btb.wc_bandwidth_if_full .. btb.rc_pkt_empty_3 (6 regs) */
898316485Sdavidcs	0x0436c278, 	/* btb.rc_pkt_full_0 .. btb.rc_pkt_full_3 (4 regs) */
899316485Sdavidcs	0x0436c287, 	/* btb.rc_pkt_status_0 .. btb.rc_pkt_status_3 (4 regs) */
900316485Sdavidcs	0x0936c296, 	/* btb.rc_sop_empty .. btb.wc_sync_fifo_push_status_0 (9 regs) */
901316485Sdavidcs	0x0236c2ad, 	/* btb.rls_sync_fifo_push_status .. btb.rc_pkt_state (2 regs) */
902316485Sdavidcs	0x0136c2b2, 	/* btb.clocks_ratio (1 regs) */
903316485Sdavidcs	0x293c0000, 	/* block pbf */
904316485Sdavidcs	0x01360000, 	/* pbf.init (1 regs) */
905316485Sdavidcs	0x01360010, 	/* pbf.if_enable_reg (1 regs) */
906316485Sdavidcs	0x05360018, 	/* pbf.dbg_select .. pbf.dbg_force_frame (5 regs) */
907316485Sdavidcs	0x0336002a, 	/* pbf.fc_dbg_select .. pbf.fc_dbg_shift (3 regs) */
908316485Sdavidcs	0x08b60030, 	/* pbf.fc_dbg_out_data (8 regs, WB) */
909316485Sdavidcs	0x04360038, 	/* pbf.fc_dbg_force_valid .. pbf.fc_dbg_out_frame (4 regs) */
910316485Sdavidcs	0x01360043, 	/* pbf.memctrl_status (1 regs) */
911316485Sdavidcs	0x02360060, 	/* pbf.INT_STS .. pbf.INT_MASK (2 regs) */
912316485Sdavidcs	0x06360100, 	/* pbf.pxp_req_if_init_crd .. pbf.tm_if_init_crd (6 regs) */
913316485Sdavidcs	0x05360107, 	/* pbf.tcm_if_init_crd .. pbf.ycm_if_init_crd (5 regs) */
914316485Sdavidcs	0x08360110, 	/* pbf.pb1_db_almost_full_thrsh .. pbf.mrku_almost_full_thrsh (8 regs) */
915320164Sdavidcs	0x04360120, 	/* pbf.tag_ethertype_0 .. pbf.tag_ethertype_3 (4 regs) */
916320164Sdavidcs	0x04360126, 	/* pbf.tag_len_0 .. pbf.tag_len_3 (4 regs) */
917316485Sdavidcs	0x0836013e, 	/* pbf.llc_type_threshold .. pbf.gre_protocol (8 regs) */
918316485Sdavidcs	0x01360148, 	/* pbf.nge_eth_type (1 regs) */
919316485Sdavidcs	0x01360161, 	/* pbf.regular_inband_tag_order (1 regs) */
920316485Sdavidcs	0x07360163, 	/* pbf.dst_mac_global_0 .. pbf.udp_dst_port_cfg_2 (7 regs) */
921316485Sdavidcs	0x02360175, 	/* pbf.l2_edpm_thrsh .. pbf.cpmu_thrsh (2 regs) */
922316485Sdavidcs	0x0e360180, 	/* pbf.ip_id_mask_0 .. pbf.tcm_snd_nxt_reg_offset (14 regs) */
923316485Sdavidcs	0x02360190, 	/* pbf.pci_vq_id .. pbf.drop_pkt_upon_err (2 regs) */
924316485Sdavidcs	0x07360196, 	/* pbf.per_voq_stat_mask .. pbf.num_pkts_sent_with_drop_to_btb (7 regs) */
925316485Sdavidcs	0x0c3601a8, 	/* pbf.ycmd_qs_num_lines_voq0 .. pbf.num_blocks_allocated_cons_voq0 (12 regs) */
926316485Sdavidcs	0x0c3601b8, 	/* pbf.ycmd_qs_num_lines_voq1 .. pbf.num_blocks_allocated_cons_voq1 (12 regs) */
927316485Sdavidcs	0x0c3601c8, 	/* pbf.ycmd_qs_num_lines_voq2 .. pbf.num_blocks_allocated_cons_voq2 (12 regs) */
928316485Sdavidcs	0x0c3601d8, 	/* pbf.ycmd_qs_num_lines_voq3 .. pbf.num_blocks_allocated_cons_voq3 (12 regs) */
929316485Sdavidcs	0x0c3601e8, 	/* pbf.ycmd_qs_num_lines_voq4 .. pbf.num_blocks_allocated_cons_voq4 (12 regs) */
930316485Sdavidcs	0x0c3601f8, 	/* pbf.ycmd_qs_num_lines_voq5 .. pbf.num_blocks_allocated_cons_voq5 (12 regs) */
931316485Sdavidcs	0x0c360208, 	/* pbf.ycmd_qs_num_lines_voq6 .. pbf.num_blocks_allocated_cons_voq6 (12 regs) */
932316485Sdavidcs	0x0c360218, 	/* pbf.ycmd_qs_num_lines_voq7 .. pbf.num_blocks_allocated_cons_voq7 (12 regs) */
933316485Sdavidcs	0x0c360228, 	/* pbf.ycmd_qs_num_lines_voq8 .. pbf.num_blocks_allocated_cons_voq8 (12 regs) */
934316485Sdavidcs	0x0c360238, 	/* pbf.ycmd_qs_num_lines_voq9 .. pbf.num_blocks_allocated_cons_voq9 (12 regs) */
935316485Sdavidcs	0x0c360248, 	/* pbf.ycmd_qs_num_lines_voq10 .. pbf.num_blocks_allocated_cons_voq10 (12 regs) */
936316485Sdavidcs	0x0c360258, 	/* pbf.ycmd_qs_num_lines_voq11 .. pbf.num_blocks_allocated_cons_voq11 (12 regs) */
937316485Sdavidcs	0x0c360268, 	/* pbf.ycmd_qs_num_lines_voq12 .. pbf.num_blocks_allocated_cons_voq12 (12 regs) */
938316485Sdavidcs	0x0c360278, 	/* pbf.ycmd_qs_num_lines_voq13 .. pbf.num_blocks_allocated_cons_voq13 (12 regs) */
939316485Sdavidcs	0x0c360288, 	/* pbf.ycmd_qs_num_lines_voq14 .. pbf.num_blocks_allocated_cons_voq14 (12 regs) */
940316485Sdavidcs	0x0c360298, 	/* pbf.ycmd_qs_num_lines_voq15 .. pbf.num_blocks_allocated_cons_voq15 (12 regs) */
941316485Sdavidcs	0x0c3602a8, 	/* pbf.ycmd_qs_num_lines_voq16 .. pbf.num_blocks_allocated_cons_voq16 (12 regs) */
942316485Sdavidcs	0x0c3602b8, 	/* pbf.ycmd_qs_num_lines_voq17 .. pbf.num_blocks_allocated_cons_voq17 (12 regs) */
943316485Sdavidcs	0x0c3602c8, 	/* pbf.ycmd_qs_num_lines_voq18 .. pbf.num_blocks_allocated_cons_voq18 (12 regs) */
944316485Sdavidcs	0x0c3602d8, 	/* pbf.ycmd_qs_num_lines_voq19 .. pbf.num_blocks_allocated_cons_voq19 (12 regs) */
945316485Sdavidcs	0x053d0000, 	/* block rdif */
946316485Sdavidcs	0x040c0010, 	/* rdif.stop_on_error .. rdif.min_eob2wf_l1_rd_del (4 regs) */
947316485Sdavidcs	0x010c0015, 	/* rdif.dirty_l1 (1 regs) */
948316485Sdavidcs	0x1c0c001c, 	/* rdif.debug_command_fifo_empty .. rdif.stat_num_err_interval_0 (28 regs) */
949316485Sdavidcs	0x020c0060, 	/* rdif.INT_STS .. rdif.INT_MASK (2 regs) */
950316485Sdavidcs	0x050c0140, 	/* rdif.dbg_select .. rdif.dbg_force_frame (5 regs) */
951316485Sdavidcs	0x043e0000, 	/* block tdif */
952316485Sdavidcs	0x060c4010, 	/* tdif.stop_on_error .. tdif.dirty_l1 (6 regs) */
953316485Sdavidcs	0x200c401c, 	/* tdif.debug_command_fifo_empty .. tdif.stat_num_err_interval_3 (32 regs) */
954316485Sdavidcs	0x020c4060, 	/* tdif.INT_STS .. tdif.INT_MASK (2 regs) */
955316485Sdavidcs	0x050c4140, 	/* tdif.dbg_select .. tdif.dbg_force_frame (5 regs) */
956316485Sdavidcs	0x0a3f0000, 	/* block cdu */
957316485Sdavidcs	0x01160010, 	/* cdu.control0 (1 regs) */
958316485Sdavidcs	0x01160070, 	/* cdu.INT_STS (1 regs) */
959316485Sdavidcs	0x01160073, 	/* cdu.INT_MASK (1 regs) */
960316485Sdavidcs	0x04160100, 	/* cdu.ccfc_ctx_valid0 .. cdu.tcfc_ctx_valid1 (4 regs) */
961316485Sdavidcs	0x02160140, 	/* cdu.ldbuf_af_thresh .. cdu.wbbuf_af_thresh (2 regs) */
962316485Sdavidcs	0x04160180, 	/* cdu.ccfc_pxp .. cdu.wb_vqid (4 regs) */
963316485Sdavidcs	0x061601c0, 	/* cdu.debug .. cdu.dbg_force_frame (6 regs) */
964316485Sdavidcs	0x011601d2, 	/* cdu.eco_reserved (1 regs) */
965316485Sdavidcs	0x06160200, 	/* cdu.ccfc_cvld_error_data .. cdu.tcfc_wb_l1_num_error_data (6 regs) */
966316485Sdavidcs	0x03160240, 	/* cdu.cid_addr_params .. cdu.segment1_params (3 regs) */
967316485Sdavidcs	0x10400000, 	/* block ccfc */
968316485Sdavidcs	0x050b8000, 	/* ccfc.init_reg .. ccfc.tidram_init_done (5 regs) */
969316485Sdavidcs	0x020b8060, 	/* ccfc.INT_STS_0 .. ccfc.INT_MASK_0 (2 regs) */
970316485Sdavidcs	0x0c0b8100, 	/* ccfc.lc_blocked .. ccfc.cdu_write_backs (12 regs) */
971316485Sdavidcs	0x050b8140, 	/* ccfc.dbg_select .. ccfc.dbg_force_frame (5 regs) */
972316485Sdavidcs	0x090b8152, 	/* ccfc.eco_reserved .. ccfc.arbiters_reg (9 regs) */
973316485Sdavidcs	0x060b8170, 	/* ccfc.debug0 .. ccfc.cdu_pcie_err_mask (6 regs) */
974316485Sdavidcs	0x020b8177, 	/* ccfc.sreq_full_sticky .. ccfc.prsresp_full_sticky (2 regs) */
975316485Sdavidcs	0x0b0b8180, 	/* ccfc.num_lcids_empty .. ccfc.max_inside (11 regs) */
976316485Sdavidcs	0x020b81c4, 	/* ccfc.LoadRetry_Types .. ccfc.MiniCache_Control (2 regs) */
977316485Sdavidcs	0x010b81c7, 	/* ccfc.control0 (1 regs) */
978316485Sdavidcs	0x040b81e0, 	/* ccfc.prsresp_credit .. ccfc.cduwb_credit (4 regs) */
979316485Sdavidcs	0x040b8200, 	/* ccfc.ll_policy_cfg .. ccfc.empty_size (4 regs) */
980316485Sdavidcs	0x1f0b8240, 	/* ccfc.lc_client_0_lcid_threshold .. ccfc.wave_sm_2_one_count (31 regs) */
981316485Sdavidcs	0x120b8280, 	/* ccfc.cache_string_type .. ccfc.include_vlan_in_hash (18 regs) */
982316485Sdavidcs	0x080b82c0, 	/* ccfc.cid_cam_bist_en .. ccfc.string_cam_bist_status (8 regs) */
983316485Sdavidcs	0x020bb400, 	/* ccfc.vpf1_lstate_sel .. ccfc.vpf2_lstate_sel (2 regs) */
984316485Sdavidcs	0x10410000, 	/* block tcfc */
985316485Sdavidcs	0x050b4000, 	/* tcfc.init_reg .. tcfc.tidram_init_done (5 regs) */
986316485Sdavidcs	0x020b4060, 	/* tcfc.INT_STS_0 .. tcfc.INT_MASK_0 (2 regs) */
987316485Sdavidcs	0x0c0b4100, 	/* tcfc.lc_blocked .. tcfc.cdu_write_backs (12 regs) */
988316485Sdavidcs	0x050b4140, 	/* tcfc.dbg_select .. tcfc.dbg_force_frame (5 regs) */
989316485Sdavidcs	0x090b4152, 	/* tcfc.eco_reserved .. tcfc.arbiters_reg (9 regs) */
990316485Sdavidcs	0x060b4170, 	/* tcfc.debug0 .. tcfc.cdu_pcie_err_mask (6 regs) */
991316485Sdavidcs	0x020b4177, 	/* tcfc.sreq_full_sticky .. tcfc.prsresp_full_sticky (2 regs) */
992316485Sdavidcs	0x0b0b4180, 	/* tcfc.num_lcids_empty .. tcfc.max_inside (11 regs) */
993316485Sdavidcs	0x020b41c4, 	/* tcfc.LoadRetry_Types .. tcfc.MiniCache_Control (2 regs) */
994316485Sdavidcs	0x010b41c7, 	/* tcfc.control0 (1 regs) */
995316485Sdavidcs	0x040b41e0, 	/* tcfc.prsresp_credit .. tcfc.cduwb_credit (4 regs) */
996316485Sdavidcs	0x040b4200, 	/* tcfc.ll_policy_cfg .. tcfc.empty_size (4 regs) */
997316485Sdavidcs	0x1f0b4240, 	/* tcfc.lc_client_0_lcid_threshold .. tcfc.wave_sm_2_one_count (31 regs) */
998316485Sdavidcs	0x120b4280, 	/* tcfc.cache_string_type .. tcfc.include_vlan_in_hash (18 regs) */
999316485Sdavidcs	0x080b42c0, 	/* tcfc.cid_cam_bist_en .. tcfc.string_cam_bist_status (8 regs) */
1000316485Sdavidcs	0x020b7400, 	/* tcfc.vpf1_lstate_sel .. tcfc.vpf2_lstate_sel (2 regs) */
1001316485Sdavidcs	0x0e420000, 	/* block igu */
1002316485Sdavidcs	0x01060000, 	/* igu.reset_memories (1 regs) */
1003316485Sdavidcs	0x01060010, 	/* igu.block_configuration (1 regs) */
1004316485Sdavidcs	0x01060014, 	/* igu.pxp_requester_initial_credit (1 regs) */
1005316485Sdavidcs	0x02060060, 	/* igu.INT_STS .. igu.INT_MASK (2 regs) */
1006316485Sdavidcs	0x08060103, 	/* igu.pxp_request_counter .. igu.cons_upd_counter (8 regs) */
1007316485Sdavidcs	0x01060202, 	/* igu.message_fields (1 regs) */
1008316485Sdavidcs	0x01060213, 	/* igu.statistic_en (1 regs) */
1009316485Sdavidcs	0x03060218, 	/* igu.cam_parity_scrubbing .. igu.eco_reserved (3 regs) */
1010316485Sdavidcs	0x10060300, 	/* igu.vf_with_more_16sb_0 .. igu.vf_with_more_16sb_15 (16 regs) */
1011316485Sdavidcs	0x03060480, 	/* igu.global_rate_limiter_vari0 .. igu.global_rate_tick_rate_counter (3 regs) */
1012316485Sdavidcs	0x01060485, 	/* igu.clk25_counter_sensitivity (1 regs) */
1013316485Sdavidcs	0x06060487, 	/* igu.group_rl_en_0 .. igu.group_rl_pending_1 (6 regs) */
1014316485Sdavidcs	0x08060540, 	/* igu.attention_signal_p0_status .. igu.Interrupt_status (8 regs) */
1015316485Sdavidcs	0x1706054c, 	/* igu.error_handling_data_valid .. igu.dbg_force_frame (23 regs) */
1016320164Sdavidcs	0x0f430000, 	/* block cau */
1017316485Sdavidcs	0x01070035, 	/* cau.INT_STS (1 regs) */
1018316485Sdavidcs	0x01070038, 	/* cau.INT_MASK (1 regs) */
1019316485Sdavidcs	0x05070100, 	/* cau.num_pi_per_sb .. cau.reset_memories (5 regs) */
1020316485Sdavidcs	0x02070140, 	/* cau.in_arb_priority .. cau.in_arb_timeout (2 regs) */
1021316485Sdavidcs	0x040701c0, 	/* cau.tick_size .. cau.stop_scan (4 regs) */
1022320164Sdavidcs	0x01070220, 	/* cau.wdata_fifo_afull_thr (1 regs) */
1023316485Sdavidcs	0x02070260, 	/* cau.igu_req_credit_status .. cau.igu_cmd_credit_status (2 regs) */
1024316485Sdavidcs	0x060702a0, 	/* cau.stat_ctrl_sb_select .. cau.stat_ctrl_timer_cmd_type (6 regs) */
1025320164Sdavidcs	0x0a0702e0, 	/* cau.stat_counter_sb_gen .. cau.stat_counter_fsm1_line (10 regs) */
1026316485Sdavidcs	0x06070320, 	/* cau.debug_fifo_status .. cau.error_cleanup_cmd_reg (6 regs) */
1027320164Sdavidcs	0x0107032b, 	/* cau.eco_reserved (1 regs) */
1028316485Sdavidcs	0x05070360, 	/* cau.debug_record_mask_min_sb .. cau.debug_record_mask_cmd_type (5 regs) */
1029316485Sdavidcs	0x03070380, 	/* cau.req_counter .. cau.wdone_counter (3 regs) */
1030316485Sdavidcs	0x050703aa, 	/* cau.dbg_select .. cau.dbg_force_frame (5 regs) */
1031320164Sdavidcs	0x030703c0, 	/* cau.main_fsm_status .. cau.igu_dma_fsm_status (3 regs) */
1032316485Sdavidcs	0x0b4a0000, 	/* block dbg */
1033316485Sdavidcs	0x01004001, 	/* dbg.client_enable (1 regs) */
1034316485Sdavidcs	0x01004003, 	/* dbg.output_enable (1 regs) */
1035316485Sdavidcs	0x14004005, 	/* dbg.calendar_slot0 .. dbg.full_mode (20 regs) */
1036316485Sdavidcs	0x02004060, 	/* dbg.INT_STS .. dbg.INT_MASK (2 regs) */
1037316485Sdavidcs	0x02004100, 	/* dbg.intr_buffer_rd_ptr .. dbg.intr_buffer_wr_ptr (2 regs) */
1038316485Sdavidcs	0x04804102, 	/* dbg.ext_buffer_rd_ptr .. dbg.ext_buffer_wr_ptr (4 regs, WB) */
1039316485Sdavidcs	0x13004106, 	/* dbg.wrap_on_int_buffer .. dbg.pci_logic_addr (19 regs) */
1040316485Sdavidcs	0xff004150, 	/* dbg.pattern_recognition_disable .. dbg.trigger_state_set_cnstr_cyclic_15 (255 regs) */
1041316485Sdavidcs	0x6100424f, 	/* dbg.trigger_state_set_cnstr_cyclic_16 .. dbg.dbg_driver_trigger (97 regs) */
1042316485Sdavidcs	0x210042c4, 	/* dbg.hw_id_num .. dbg.filter_status_match_cnstr (33 regs) */
1043316485Sdavidcs	0x020042e8, 	/* dbg.memctrl_status .. dbg.num_of_empty_lines_in_int_buffer (2 regs) */
1044316485Sdavidcs	0x264b0000, 	/* block nig */
1045316485Sdavidcs	0x02140010, 	/* nig.INT_STS_0 .. nig.INT_MASK_0 (2 regs) */
1046316485Sdavidcs	0x02140014, 	/* nig.INT_STS_1 .. nig.INT_MASK_1 (2 regs) */
1047316485Sdavidcs	0x02140018, 	/* nig.INT_STS_2 .. nig.INT_MASK_2 (2 regs) */
1048316485Sdavidcs	0x0214001c, 	/* nig.INT_STS_3 .. nig.INT_MASK_3 (2 regs) */
1049316485Sdavidcs	0x02140020, 	/* nig.INT_STS_4 .. nig.INT_MASK_4 (2 regs) */
1050316485Sdavidcs	0x02140024, 	/* nig.INT_STS_5 .. nig.INT_MASK_5 (2 regs) */
1051316485Sdavidcs	0x12140200, 	/* nig.close_gate_disable .. nig.tx_lb_drop_fwderr (18 regs) */
1052316485Sdavidcs	0x04140301, 	/* nig.lb_sopq_empty .. nig.tx_sopq_full (4 regs) */
1053316485Sdavidcs	0x04140380, 	/* nig.dorq_in_en .. nig.ppp_out_en (4 regs) */
1054316485Sdavidcs	0x01140401, 	/* nig.initial_header_size (1 regs) */
1055316485Sdavidcs	0x01140403, 	/* nig.llh_arp_type (1 regs) */
1056316485Sdavidcs	0x0214041b, 	/* nig.roce_type .. nig.gre_eth_type (2 regs) */
1057316485Sdavidcs	0x01140421, 	/* nig.gre_protocol (1 regs) */
1058316485Sdavidcs	0x0114044e, 	/* nig.rx_llh_svol_mcp_fwd_allpf (1 regs) */
1059316485Sdavidcs	0x01140454, 	/* nig.rx_llh_svol_brb_dntfwd_allpf (1 regs) */
1060316485Sdavidcs	0x0114047c, 	/* nig.rx_llh_brb_gate_dntfwd_clsfailed (1 regs) */
1061316485Sdavidcs	0x01140598, 	/* nig.lb_llh_brb_gate_dntfwd_clsfailed (1 regs) */
1062316485Sdavidcs	0x041406e0, 	/* nig.llh_eng_cls_type .. nig.llh_eng_cls_crc8_init_val (4 regs) */
1063316485Sdavidcs	0x029406e4, 	/* nig.llh_eng_cls_eng_id_tbl (2 regs, WB) */
1064316485Sdavidcs	0x011406e6, 	/* nig.llh_eng_cls_roce_qp_sel (1 regs) */
1065316485Sdavidcs	0x04140715, 	/* nig.ppp_address .. nig.ppp_trig (4 regs) */
1066316485Sdavidcs	0x0114074a, 	/* nig.stat_rx_storm_packet_sent (1 regs) */
1067316485Sdavidcs	0x0114082b, 	/* nig.bmb_fifo_alm_full_thr (1 regs) */
1068316485Sdavidcs	0x05140830, 	/* nig.dorq_fifo_alm_full_thr .. nig.debug_port (5 regs) */
1069316485Sdavidcs	0x04140836, 	/* nig.debug_pkt_wait_size .. nig.debug_fifo_full (4 regs) */
1070316485Sdavidcs	0x10140871, 	/* nig.rx_fc_dbg_select_pllh .. nig.eco_reserved (16 regs) */
1071316485Sdavidcs	0x02142216, 	/* nig.pm_timer_select .. nig.ts_for_semi_select (2 regs) */
1072316485Sdavidcs	0x0214221c, 	/* nig.ts_output_enable_pda .. nig.ts_output_enable_hv (2 regs) */
1073316485Sdavidcs	0x0214222a, 	/* nig.tsgen_free_cnt_value_lsb .. nig.tsgen_free_cnt_value_msb (2 regs) */
1074316485Sdavidcs	0x0214222e, 	/* nig.tsgen_freecnt_lsb .. nig.tsgen_freecnt_msb (2 regs) */
1075316485Sdavidcs	0x02142234, 	/* nig.tsgen_pps_high_time .. nig.tsgen_pps_low_time (2 regs) */
1076316485Sdavidcs	0x03142238, 	/* nig.tsgen_tsio_oeb .. nig.edpm_fifo_full_thresh (3 regs) */
1077316485Sdavidcs	0x011422c3, 	/* nig.rroce_port (1 regs) */
1078316485Sdavidcs	0x011422cd, 	/* nig.nge_eth_type (1 regs) */
1079316485Sdavidcs	0x021422d0, 	/* nig.bth_hdr_flow_ctrl_opcode_1 .. nig.bth_hdr_flow_ctrl_opcode_2 (2 regs) */
1080316485Sdavidcs	0x011422d7, 	/* nig.dbgmux_ovflw_ind_en (1 regs) */
1081316485Sdavidcs	0x011422df, 	/* nig.tx_parity_error_timer (1 regs) */
1082316485Sdavidcs	0x021422e3, 	/* nig.tx_inhibit_bmb_arb_en .. nig.lb_inhibit_bmb_arb_en (2 regs) */
1083316485Sdavidcs	0x02050007, 	/* mode bb, block cnig */
1084316485Sdavidcs	0x0108608e, 	/* cnig.eco_reserved (1 regs) */
1085316485Sdavidcs	0x020860ba, 	/* cnig.INT_STS .. cnig.INT_MASK (2 regs) */
1086320164Sdavidcs	0x11180000, 	/* block tcm */
1087316485Sdavidcs	0x08460101, 	/* tcm.qm_con_base_evnt_id_0 .. tcm.qm_con_base_evnt_id_7 (8 regs) */
1088316485Sdavidcs	0x10460111, 	/* tcm.qm_agg_con_ctx_part_size_0 .. tcm.qm_sm_con_ctx_ldst_flg_7 (16 regs) */
1089316485Sdavidcs	0x10460131, 	/* tcm.qm_xxlock_cmd_0 .. tcm.qm_con_use_st_flg_7 (16 regs) */
1090316485Sdavidcs	0x08460149, 	/* tcm.tm_con_evnt_id_0 .. tcm.tm_con_evnt_id_7 (8 regs) */
1091316485Sdavidcs	0x01460183, 	/* tcm.ysem_weight (1 regs) */
1092316485Sdavidcs	0x01460188, 	/* tcm.tsdm_weight (1 regs) */
1093316485Sdavidcs	0x084601dc, 	/* tcm.xx_byp_msg_up_bnd_0 .. tcm.xx_byp_msg_up_bnd_7 (8 regs) */
1094316485Sdavidcs	0x08460205, 	/* tcm.n_sm_con_ctx_ld_0 .. tcm.n_sm_con_ctx_ld_7 (8 regs) */
1095316485Sdavidcs	0x08460228, 	/* tcm.agg_con_ctx_size_0 .. tcm.agg_con_ctx_size_7 (8 regs) */
1096316485Sdavidcs	0x1c460245, 	/* tcm.agg_con_cf0_q .. tcm.agg_task_cf7_q (28 regs) */
1097316485Sdavidcs	0x014602aa, 	/* tcm.tsdm_length_mis (1 regs) */
1098316485Sdavidcs	0x014602b1, 	/* tcm.tsdm_msg_cntr (1 regs) */
1099316485Sdavidcs	0x014602b3, 	/* tcm.ysem_msg_cntr (1 regs) */
1100316485Sdavidcs	0x014602bf, 	/* tcm.is_tsdm_fill_lvl (1 regs) */
1101316485Sdavidcs	0x014602c1, 	/* tcm.is_ysem_fill_lvl (1 regs) */
1102316485Sdavidcs	0x014602e4, 	/* tcm.is_foc_ysem_nxt_inf_unit (1 regs) */
1103316485Sdavidcs	0x014602e8, 	/* tcm.is_foc_tsdm_nxt_inf_unit (1 regs) */
1104320164Sdavidcs	0x10190000, 	/* block mcm */
1105316485Sdavidcs	0x08480101, 	/* mcm.qm_con_base_evnt_id_0 .. mcm.qm_con_base_evnt_id_7 (8 regs) */
1106316485Sdavidcs	0x10480111, 	/* mcm.qm_agg_con_ctx_part_size_0 .. mcm.qm_sm_con_ctx_ldst_flg_7 (16 regs) */
1107316485Sdavidcs	0x10480141, 	/* mcm.qm_xxlock_cmd_0 .. mcm.qm_con_use_st_flg_7 (16 regs) */
1108316485Sdavidcs	0x01480183, 	/* mcm.ysem_weight (1 regs) */
1109316485Sdavidcs	0x01480186, 	/* mcm.msdm_weight (1 regs) */
1110316485Sdavidcs	0x084801dc, 	/* mcm.xx_byp_msg_up_bnd_0 .. mcm.xx_byp_msg_up_bnd_7 (8 regs) */
1111316485Sdavidcs	0x08480205, 	/* mcm.n_sm_con_ctx_ld_0 .. mcm.n_sm_con_ctx_ld_7 (8 regs) */
1112316485Sdavidcs	0x08480228, 	/* mcm.agg_con_ctx_size_0 .. mcm.agg_con_ctx_size_7 (8 regs) */
1113316485Sdavidcs	0x0b480245, 	/* mcm.agg_con_cf0_q .. mcm.agg_task_cf2_q (11 regs) */
1114316485Sdavidcs	0x014802aa, 	/* mcm.msdm_length_mis (1 regs) */
1115316485Sdavidcs	0x014802b2, 	/* mcm.msdm_msg_cntr (1 regs) */
1116316485Sdavidcs	0x014802b7, 	/* mcm.ysem_msg_cntr (1 regs) */
1117316485Sdavidcs	0x014802bf, 	/* mcm.is_msdm_fill_lvl (1 regs) */
1118316485Sdavidcs	0x014802c4, 	/* mcm.is_ysem_fill_lvl (1 regs) */
1119316485Sdavidcs	0x014802e4, 	/* mcm.is_foc_ysem_nxt_inf_unit (1 regs) */
1120316485Sdavidcs	0x014802e6, 	/* mcm.is_foc_msdm_nxt_inf_unit (1 regs) */
1121320164Sdavidcs	0x081a0000, 	/* block ucm */
1122316485Sdavidcs	0x084a0101, 	/* ucm.qm_con_base_evnt_id_0 .. ucm.qm_con_base_evnt_id_7 (8 regs) */
1123316485Sdavidcs	0x104a0111, 	/* ucm.qm_agg_con_ctx_part_size_0 .. ucm.qm_sm_con_ctx_ldst_flg_7 (16 regs) */
1124316485Sdavidcs	0x104a0131, 	/* ucm.qm_xxlock_cmd_0 .. ucm.qm_con_use_st_flg_7 (16 regs) */
1125316485Sdavidcs	0x084a0149, 	/* ucm.tm_con_evnt_id_0 .. ucm.tm_con_evnt_id_7 (8 regs) */
1126316485Sdavidcs	0x084a01dc, 	/* ucm.xx_byp_msg_up_bnd_0 .. ucm.xx_byp_msg_up_bnd_7 (8 regs) */
1127316485Sdavidcs	0x084a0205, 	/* ucm.n_sm_con_ctx_ld_0 .. ucm.n_sm_con_ctx_ld_7 (8 regs) */
1128316485Sdavidcs	0x084a0228, 	/* ucm.agg_con_ctx_size_0 .. ucm.agg_con_ctx_size_7 (8 regs) */
1129320164Sdavidcs	0x154a0245, 	/* ucm.agg_con_cf0_q .. ucm.agg_task_cf4_q (21 regs) */
1130320164Sdavidcs	0x0e1b0000, 	/* block xcm */
1131316485Sdavidcs	0x30400101, 	/* xcm.qm_con_base_evnt_id_0 .. xcm.tm_con_evnt_id_7 (48 regs) */
1132316485Sdavidcs	0x01400184, 	/* xcm.ysem_weight (1 regs) */
1133316485Sdavidcs	0x01400188, 	/* xcm.msdm_weight (1 regs) */
1134316485Sdavidcs	0x084001d9, 	/* xcm.xx_byp_msg_up_bnd_0 .. xcm.xx_byp_msg_up_bnd_7 (8 regs) */
1135316485Sdavidcs	0x08400203, 	/* xcm.n_sm_con_ctx_ld_0 .. xcm.n_sm_con_ctx_ld_7 (8 regs) */
1136316485Sdavidcs	0x08400215, 	/* xcm.agg_con_ctx_size_0 .. xcm.agg_con_ctx_size_7 (8 regs) */
1137316485Sdavidcs	0x32400242, 	/* xcm.agg_con_cf0_q .. xcm.agg_con_rule25_q (50 regs) */
1138316485Sdavidcs	0x014002aa, 	/* xcm.msdm_length_mis (1 regs) */
1139316485Sdavidcs	0x014002b3, 	/* xcm.msdm_msg_cntr (1 regs) */
1140316485Sdavidcs	0x014002b9, 	/* xcm.ysem_msg_cntr (1 regs) */
1141316485Sdavidcs	0x014002c4, 	/* xcm.is_msdm_fill_lvl (1 regs) */
1142316485Sdavidcs	0x014002ca, 	/* xcm.is_ysem_fill_lvl (1 regs) */
1143316485Sdavidcs	0x014002e5, 	/* xcm.is_foc_ysem_nxt_inf_unit (1 regs) */
1144316485Sdavidcs	0x014002e8, 	/* xcm.is_foc_msdm_nxt_inf_unit (1 regs) */
1145320164Sdavidcs	0x0d1c0000, 	/* block ycm */
1146316485Sdavidcs	0x08420101, 	/* ycm.qm_con_base_evnt_id_0 .. ycm.qm_con_base_evnt_id_7 (8 regs) */
1147316485Sdavidcs	0x10420111, 	/* ycm.qm_agg_con_ctx_part_size_0 .. ycm.qm_sm_con_ctx_ldst_flg_7 (16 regs) */
1148316485Sdavidcs	0x10420141, 	/* ycm.qm_xxlock_cmd_0 .. ycm.qm_con_use_st_flg_7 (16 regs) */
1149316485Sdavidcs	0x01420186, 	/* ycm.msdm_weight (1 regs) */
1150316485Sdavidcs	0x084201dc, 	/* ycm.xx_byp_msg_up_bnd_0 .. ycm.xx_byp_msg_up_bnd_7 (8 regs) */
1151316485Sdavidcs	0x08420205, 	/* ycm.n_sm_con_ctx_ld_0 .. ycm.n_sm_con_ctx_ld_7 (8 regs) */
1152316485Sdavidcs	0x08420228, 	/* ycm.agg_con_ctx_size_0 .. ycm.agg_con_ctx_size_7 (8 regs) */
1153320164Sdavidcs	0x11420245, 	/* ycm.agg_con_cf0_q .. ycm.agg_task_rule6_q (17 regs) */
1154316485Sdavidcs	0x014202aa, 	/* ycm.msdm_length_mis (1 regs) */
1155316485Sdavidcs	0x014202b1, 	/* ycm.msdm_msg_cntr (1 regs) */
1156316485Sdavidcs	0x014202bd, 	/* ycm.is_msdm_fill_lvl (1 regs) */
1157316485Sdavidcs	0x014202e4, 	/* ycm.is_foc_ysem_nxt_inf_unit (1 regs) */
1158316485Sdavidcs	0x014202e6, 	/* ycm.is_foc_msdm_nxt_inf_unit (1 regs) */
1159320164Sdavidcs	0x061d0000, 	/* block pcm */
1160316485Sdavidcs	0x01440184, 	/* pcm.psdm_weight (1 regs) */
1161316485Sdavidcs	0x08440202, 	/* pcm.n_sm_con_ctx_ld_0 .. pcm.n_sm_con_ctx_ld_7 (8 regs) */
1162316485Sdavidcs	0x014402aa, 	/* pcm.psdm_length_mis (1 regs) */
1163316485Sdavidcs	0x014402af, 	/* pcm.psdm_msg_cntr (1 regs) */
1164316485Sdavidcs	0x014402b3, 	/* pcm.is_psdm_fill_lvl (1 regs) */
1165316485Sdavidcs	0x014402e4, 	/* pcm.is_foc_psdm_nxt_inf_unit (1 regs) */
1166320164Sdavidcs	0x021e0000, 	/* block qm */
1167320164Sdavidcs	0x280bc448, 	/* qm.CtxRegCcfc_0 .. qm.CtxRegCcfc_39 (40 regs) */
1168320164Sdavidcs	0x280bc4c8, 	/* qm.ActCtrInitValCcfc_0 .. qm.ActCtrInitValCcfc_39 (40 regs) */
1169316485Sdavidcs	0x03200000, 	/* block dorq */
1170316485Sdavidcs	0x1004012d, 	/* dorq.qm_en_byp_mask_0 .. dorq.dpi_val_sup_7 (16 regs) */
1171316485Sdavidcs	0x38040185, 	/* dorq.xcm_agg_flg_mask_conn_0 .. dorq.dpm_xcm_event_id_7 (56 regs) */
1172316485Sdavidcs	0x080401c3, 	/* dorq.qm_byp_agg_ctx_size_0 .. dorq.qm_byp_agg_ctx_size_7 (8 regs) */
1173316485Sdavidcs	0x02210000, 	/* block brb */
1174316485Sdavidcs	0x020d0220, 	/* brb.shared_hr_area (2 regs) */
1175316485Sdavidcs	0x020d0230, 	/* brb.total_mac_size (2 regs) */
1176316485Sdavidcs	0x04230000, 	/* block prs */
1177316485Sdavidcs	0x1007c040, 	/* prs.packet_region_0 .. prs.pure_region_7 (16 regs) */
1178316485Sdavidcs	0x0807c051, 	/* prs.con_inc_value_0 .. prs.con_inc_value_7 (8 regs) */
1179316485Sdavidcs	0x0807c245, 	/* prs.cm_hdr_event_id_0 .. prs.cm_hdr_event_id_7 (8 regs) */
1180316485Sdavidcs	0x1007c25f, 	/* prs.output_format_0_0 .. prs.output_format_7_1 (16 regs) */
1181316485Sdavidcs	0x012a0000, 	/* block tsem */
1182316485Sdavidcs	0x04dc0108, 	/* tsem.vf_err_vector (4 regs, WB) */
1183316485Sdavidcs	0x012b0000, 	/* block msem */
1184316485Sdavidcs	0x04e00108, 	/* msem.vf_err_vector (4 regs, WB) */
1185316485Sdavidcs	0x012c0000, 	/* block usem */
1186316485Sdavidcs	0x04e40108, 	/* usem.vf_err_vector (4 regs, WB) */
1187316485Sdavidcs	0x012d0000, 	/* block xsem */
1188316485Sdavidcs	0x04d00108, 	/* xsem.vf_err_vector (4 regs, WB) */
1189316485Sdavidcs	0x012e0000, 	/* block ysem */
1190316485Sdavidcs	0x04d40108, 	/* ysem.vf_err_vector (4 regs, WB) */
1191316485Sdavidcs	0x012f0000, 	/* block psem */
1192316485Sdavidcs	0x04d80108, 	/* psem.vf_err_vector (4 regs, WB) */
1193320164Sdavidcs	0x01310000, 	/* block tmld */
1194320164Sdavidcs	0x02134001, 	/* tmld.scbd_wrr_weight_q0 .. tmld.scbd_wrr_weight_q1 (2 regs) */
1195320164Sdavidcs	0x01340000, 	/* block xyld */
1196320164Sdavidcs	0x02130001, 	/* xyld.scbd_wrr_weight_q0 .. xyld.scbd_wrr_weight_q1 (2 regs) */
1197320164Sdavidcs	0x013c0000, 	/* block pbf */
1198320164Sdavidcs	0x013603a8, 	/* pbf.eco_reserved (1 regs) */
1199320164Sdavidcs	0x11180009, 	/* mode k2, block tcm */
1200316485Sdavidcs	0x08460101, 	/* tcm.qm_con_base_evnt_id_0 .. tcm.qm_con_base_evnt_id_7 (8 regs) */
1201316485Sdavidcs	0x10460111, 	/* tcm.qm_agg_con_ctx_part_size_0 .. tcm.qm_sm_con_ctx_ldst_flg_7 (16 regs) */
1202316485Sdavidcs	0x10460131, 	/* tcm.qm_xxlock_cmd_0 .. tcm.qm_con_use_st_flg_7 (16 regs) */
1203316485Sdavidcs	0x08460149, 	/* tcm.tm_con_evnt_id_0 .. tcm.tm_con_evnt_id_7 (8 regs) */
1204316485Sdavidcs	0x01460183, 	/* tcm.ysem_weight (1 regs) */
1205316485Sdavidcs	0x01460188, 	/* tcm.tsdm_weight (1 regs) */
1206316485Sdavidcs	0x084601dc, 	/* tcm.xx_byp_msg_up_bnd_0 .. tcm.xx_byp_msg_up_bnd_7 (8 regs) */
1207316485Sdavidcs	0x08460205, 	/* tcm.n_sm_con_ctx_ld_0 .. tcm.n_sm_con_ctx_ld_7 (8 regs) */
1208316485Sdavidcs	0x08460228, 	/* tcm.agg_con_ctx_size_0 .. tcm.agg_con_ctx_size_7 (8 regs) */
1209316485Sdavidcs	0x1c460245, 	/* tcm.agg_con_cf0_q .. tcm.agg_task_cf7_q (28 regs) */
1210316485Sdavidcs	0x014602aa, 	/* tcm.tsdm_length_mis (1 regs) */
1211316485Sdavidcs	0x014602b1, 	/* tcm.tsdm_msg_cntr (1 regs) */
1212316485Sdavidcs	0x014602b3, 	/* tcm.ysem_msg_cntr (1 regs) */
1213316485Sdavidcs	0x014602bf, 	/* tcm.is_tsdm_fill_lvl (1 regs) */
1214316485Sdavidcs	0x014602c1, 	/* tcm.is_ysem_fill_lvl (1 regs) */
1215316485Sdavidcs	0x014602e4, 	/* tcm.is_foc_ysem_nxt_inf_unit (1 regs) */
1216316485Sdavidcs	0x014602e8, 	/* tcm.is_foc_tsdm_nxt_inf_unit (1 regs) */
1217320164Sdavidcs	0x10190000, 	/* block mcm */
1218316485Sdavidcs	0x08480101, 	/* mcm.qm_con_base_evnt_id_0 .. mcm.qm_con_base_evnt_id_7 (8 regs) */
1219316485Sdavidcs	0x10480111, 	/* mcm.qm_agg_con_ctx_part_size_0 .. mcm.qm_sm_con_ctx_ldst_flg_7 (16 regs) */
1220316485Sdavidcs	0x10480141, 	/* mcm.qm_xxlock_cmd_0 .. mcm.qm_con_use_st_flg_7 (16 regs) */
1221316485Sdavidcs	0x01480183, 	/* mcm.ysem_weight (1 regs) */
1222316485Sdavidcs	0x01480186, 	/* mcm.msdm_weight (1 regs) */
1223316485Sdavidcs	0x084801dc, 	/* mcm.xx_byp_msg_up_bnd_0 .. mcm.xx_byp_msg_up_bnd_7 (8 regs) */
1224316485Sdavidcs	0x08480205, 	/* mcm.n_sm_con_ctx_ld_0 .. mcm.n_sm_con_ctx_ld_7 (8 regs) */
1225316485Sdavidcs	0x08480228, 	/* mcm.agg_con_ctx_size_0 .. mcm.agg_con_ctx_size_7 (8 regs) */
1226316485Sdavidcs	0x0b480245, 	/* mcm.agg_con_cf0_q .. mcm.agg_task_cf2_q (11 regs) */
1227316485Sdavidcs	0x014802aa, 	/* mcm.msdm_length_mis (1 regs) */
1228316485Sdavidcs	0x014802b2, 	/* mcm.msdm_msg_cntr (1 regs) */
1229316485Sdavidcs	0x014802b7, 	/* mcm.ysem_msg_cntr (1 regs) */
1230316485Sdavidcs	0x014802bf, 	/* mcm.is_msdm_fill_lvl (1 regs) */
1231316485Sdavidcs	0x014802c4, 	/* mcm.is_ysem_fill_lvl (1 regs) */
1232316485Sdavidcs	0x014802e4, 	/* mcm.is_foc_ysem_nxt_inf_unit (1 regs) */
1233316485Sdavidcs	0x014802e6, 	/* mcm.is_foc_msdm_nxt_inf_unit (1 regs) */
1234320164Sdavidcs	0x081a0000, 	/* block ucm */
1235316485Sdavidcs	0x084a0101, 	/* ucm.qm_con_base_evnt_id_0 .. ucm.qm_con_base_evnt_id_7 (8 regs) */
1236316485Sdavidcs	0x104a0111, 	/* ucm.qm_agg_con_ctx_part_size_0 .. ucm.qm_sm_con_ctx_ldst_flg_7 (16 regs) */
1237316485Sdavidcs	0x104a0131, 	/* ucm.qm_xxlock_cmd_0 .. ucm.qm_con_use_st_flg_7 (16 regs) */
1238316485Sdavidcs	0x084a0149, 	/* ucm.tm_con_evnt_id_0 .. ucm.tm_con_evnt_id_7 (8 regs) */
1239316485Sdavidcs	0x084a01dc, 	/* ucm.xx_byp_msg_up_bnd_0 .. ucm.xx_byp_msg_up_bnd_7 (8 regs) */
1240316485Sdavidcs	0x084a0205, 	/* ucm.n_sm_con_ctx_ld_0 .. ucm.n_sm_con_ctx_ld_7 (8 regs) */
1241316485Sdavidcs	0x084a0228, 	/* ucm.agg_con_ctx_size_0 .. ucm.agg_con_ctx_size_7 (8 regs) */
1242320164Sdavidcs	0x154a0245, 	/* ucm.agg_con_cf0_q .. ucm.agg_task_cf4_q (21 regs) */
1243320164Sdavidcs	0x0e1b0000, 	/* block xcm */
1244316485Sdavidcs	0x30400101, 	/* xcm.qm_con_base_evnt_id_0 .. xcm.tm_con_evnt_id_7 (48 regs) */
1245316485Sdavidcs	0x01400184, 	/* xcm.ysem_weight (1 regs) */
1246316485Sdavidcs	0x01400188, 	/* xcm.msdm_weight (1 regs) */
1247316485Sdavidcs	0x084001d9, 	/* xcm.xx_byp_msg_up_bnd_0 .. xcm.xx_byp_msg_up_bnd_7 (8 regs) */
1248316485Sdavidcs	0x08400203, 	/* xcm.n_sm_con_ctx_ld_0 .. xcm.n_sm_con_ctx_ld_7 (8 regs) */
1249316485Sdavidcs	0x08400215, 	/* xcm.agg_con_ctx_size_0 .. xcm.agg_con_ctx_size_7 (8 regs) */
1250316485Sdavidcs	0x32400242, 	/* xcm.agg_con_cf0_q .. xcm.agg_con_rule25_q (50 regs) */
1251316485Sdavidcs	0x014002aa, 	/* xcm.msdm_length_mis (1 regs) */
1252316485Sdavidcs	0x014002b3, 	/* xcm.msdm_msg_cntr (1 regs) */
1253316485Sdavidcs	0x014002b9, 	/* xcm.ysem_msg_cntr (1 regs) */
1254316485Sdavidcs	0x014002c4, 	/* xcm.is_msdm_fill_lvl (1 regs) */
1255316485Sdavidcs	0x014002ca, 	/* xcm.is_ysem_fill_lvl (1 regs) */
1256316485Sdavidcs	0x014002e5, 	/* xcm.is_foc_ysem_nxt_inf_unit (1 regs) */
1257316485Sdavidcs	0x014002e8, 	/* xcm.is_foc_msdm_nxt_inf_unit (1 regs) */
1258320164Sdavidcs	0x0d1c0000, 	/* block ycm */
1259316485Sdavidcs	0x08420101, 	/* ycm.qm_con_base_evnt_id_0 .. ycm.qm_con_base_evnt_id_7 (8 regs) */
1260316485Sdavidcs	0x10420111, 	/* ycm.qm_agg_con_ctx_part_size_0 .. ycm.qm_sm_con_ctx_ldst_flg_7 (16 regs) */
1261316485Sdavidcs	0x10420141, 	/* ycm.qm_xxlock_cmd_0 .. ycm.qm_con_use_st_flg_7 (16 regs) */
1262316485Sdavidcs	0x01420186, 	/* ycm.msdm_weight (1 regs) */
1263316485Sdavidcs	0x084201dc, 	/* ycm.xx_byp_msg_up_bnd_0 .. ycm.xx_byp_msg_up_bnd_7 (8 regs) */
1264316485Sdavidcs	0x08420205, 	/* ycm.n_sm_con_ctx_ld_0 .. ycm.n_sm_con_ctx_ld_7 (8 regs) */
1265316485Sdavidcs	0x08420228, 	/* ycm.agg_con_ctx_size_0 .. ycm.agg_con_ctx_size_7 (8 regs) */
1266320164Sdavidcs	0x11420245, 	/* ycm.agg_con_cf0_q .. ycm.agg_task_rule6_q (17 regs) */
1267316485Sdavidcs	0x014202aa, 	/* ycm.msdm_length_mis (1 regs) */
1268316485Sdavidcs	0x014202b1, 	/* ycm.msdm_msg_cntr (1 regs) */
1269316485Sdavidcs	0x014202bd, 	/* ycm.is_msdm_fill_lvl (1 regs) */
1270316485Sdavidcs	0x014202e4, 	/* ycm.is_foc_ysem_nxt_inf_unit (1 regs) */
1271316485Sdavidcs	0x014202e6, 	/* ycm.is_foc_msdm_nxt_inf_unit (1 regs) */
1272320164Sdavidcs	0x061d0000, 	/* block pcm */
1273316485Sdavidcs	0x01440184, 	/* pcm.psdm_weight (1 regs) */
1274316485Sdavidcs	0x08440202, 	/* pcm.n_sm_con_ctx_ld_0 .. pcm.n_sm_con_ctx_ld_7 (8 regs) */
1275316485Sdavidcs	0x014402aa, 	/* pcm.psdm_length_mis (1 regs) */
1276316485Sdavidcs	0x014402af, 	/* pcm.psdm_msg_cntr (1 regs) */
1277316485Sdavidcs	0x014402b3, 	/* pcm.is_psdm_fill_lvl (1 regs) */
1278316485Sdavidcs	0x014402e4, 	/* pcm.is_foc_psdm_nxt_inf_unit (1 regs) */
1279320164Sdavidcs	0x021e0000, 	/* block qm */
1280320164Sdavidcs	0x280bc448, 	/* qm.CtxRegCcfc_0 .. qm.CtxRegCcfc_39 (40 regs) */
1281320164Sdavidcs	0x280bc4c8, 	/* qm.ActCtrInitValCcfc_0 .. qm.ActCtrInitValCcfc_39 (40 regs) */
1282316485Sdavidcs	0x03200000, 	/* block dorq */
1283316485Sdavidcs	0x1004012d, 	/* dorq.qm_en_byp_mask_0 .. dorq.dpi_val_sup_7 (16 regs) */
1284316485Sdavidcs	0x38040185, 	/* dorq.xcm_agg_flg_mask_conn_0 .. dorq.dpm_xcm_event_id_7 (56 regs) */
1285316485Sdavidcs	0x080401c3, 	/* dorq.qm_byp_agg_ctx_size_0 .. dorq.qm_byp_agg_ctx_size_7 (8 regs) */
1286316485Sdavidcs	0x04230000, 	/* block prs */
1287316485Sdavidcs	0x1007c040, 	/* prs.packet_region_0 .. prs.pure_region_7 (16 regs) */
1288316485Sdavidcs	0x0807c051, 	/* prs.con_inc_value_0 .. prs.con_inc_value_7 (8 regs) */
1289316485Sdavidcs	0x0807c245, 	/* prs.cm_hdr_event_id_0 .. prs.cm_hdr_event_id_7 (8 regs) */
1290316485Sdavidcs	0x1007c25f, 	/* prs.output_format_0_0 .. prs.output_format_7_1 (16 regs) */
1291320164Sdavidcs	0x01310000, 	/* block tmld */
1292320164Sdavidcs	0x02134001, 	/* tmld.scbd_wrr_weight_q0 .. tmld.scbd_wrr_weight_q1 (2 regs) */
1293320164Sdavidcs	0x01340000, 	/* block xyld */
1294320164Sdavidcs	0x02130001, 	/* xyld.scbd_wrr_weight_q0 .. xyld.scbd_wrr_weight_q1 (2 regs) */
1295320164Sdavidcs	0x013c0000, 	/* block pbf */
1296320164Sdavidcs	0x013603a8, 	/* pbf.eco_reserved (1 regs) */
1297316485Sdavidcs	0x04010025, 	/* mode !bb, block miscs */
1298316485Sdavidcs	0x0100245c, 	/* miscs.bsc_smbio_enable_glitch_filter (1 regs) */
1299316485Sdavidcs	0x060024f0, 	/* miscs.pcie_link_up_state .. miscs.main_pll_status (6 regs) */
1300316485Sdavidcs	0x05002594, 	/* miscs.bsc_sda_sel .. miscs.pcie_phy_rst_n_status (5 regs) */
1301316485Sdavidcs	0x010025ae, 	/* miscs.core_rst_n_status (1 regs) */
1302316485Sdavidcs	0x07040000, 	/* block pglue_b */
1303316485Sdavidcs	0x030aa14a, 	/* pglue_b.txw_h_syncfifo_almostfull_th .. pglue_b.txr_h_syncfifo_almostfull_th (3 regs) */
1304316485Sdavidcs	0x010aabac, 	/* pglue_b.cfg_no_l1_on_int (1 regs) */
1305316485Sdavidcs	0x020aabaf, 	/* pglue_b.mctp_max_length .. pglue_b.mctp_reqid (2 regs) */
1306316485Sdavidcs	0x090aabb2, 	/* pglue_b.pbus_num .. pglue_b.mrrs_attn (9 regs) */
1307316485Sdavidcs	0x090aabbc, 	/* pglue_b.txw_b2b_disable .. pglue_b.pgl_pm_dstate_47_32 (9 regs) */
1308316485Sdavidcs	0x0f0aabd7, 	/* pglue_b.check_tc_on_err .. pglue_b.mctp_venderid_chk_disable (15 regs) */
1309316485Sdavidcs	0x0b0aabea, 	/* pglue_b.ext_tag_en_pf_31_0 .. pglue_b.rxd_syncfifo_pop_status (11 regs) */
1310316485Sdavidcs	0x03050000, 	/* block cnig */
1311316485Sdavidcs	0x08086080, 	/* cnig.nig_port0_conf .. cnig.INT_MASK (8 regs) */
1312316485Sdavidcs	0x0108608a, 	/* cnig.nwm_lpi_defualt_value (1 regs) */
1313316485Sdavidcs	0x06086094, 	/* cnig.eco_reserved .. cnig.dbg_force_frame (6 regs) */
1314316485Sdavidcs	0x01060000, 	/* block cpmu */
1315316485Sdavidcs	0x0200c100, 	/* cpmu.sdm_sq_counter_e0_p2 .. cpmu.sdm_sq_counter_e0_p3 (2 regs) */
1316316485Sdavidcs	0x040a0000, 	/* block pcie */
1317316485Sdavidcs	0x6a015084, 	/* pcie.soft_reset_control .. pcie.msix_synch_sticky (106 regs) */
1318316485Sdavidcs	0x020151e8, 	/* pcie.INT_STS .. pcie.INT_MASK (2 regs) */
1319316485Sdavidcs	0x050151fa, 	/* pcie.dbg_select .. pcie.dbg_force_frame (5 regs) */
1320316485Sdavidcs	0x02015200, 	/* pcie.reset_status_2 .. pcie.reset_status_3 (2 regs) */
1321316485Sdavidcs	0x10150000, 	/* block pglcs */
1322316485Sdavidcs	0x06000744, 	/* pglcs.rasdp_error_mode_en_off .. pglcs.dbg_force_frame (6 regs) */
1323316485Sdavidcs	0x07000852, 	/* pglcs.pgl_cs.VC_BASE .. pglcs.pgl_cs.RESOURCE_STATUS_REG_VC0 (7 regs) */
1324316485Sdavidcs	0x07000866, 	/* pglcs.pgl_cs.SPCIE_CAP_HEADER_REG .. pglcs.pgl_cs.SPCIE_CAP_OFF_18H_REG (7 regs) */
1325316485Sdavidcs	0x020008a1, 	/* pglcs.pgl_cs.LTR_CAP_HDR_REG .. pglcs.pgl_cs.LTR_LATENCY_REG (2 regs) */
1326316485Sdavidcs	0x030008f1, 	/* pglcs.pgl_cs.PTM_EXT_CAP_HDR_OFF .. pglcs.pgl_cs.PTM_CONTROL_OFF (3 regs) */
1327316485Sdavidcs	0x090009c0, 	/* pglcs.pgl_cs.ACK_LATENCY_TIMER_OFF .. pglcs.pgl_cs.FILTER_MASK_2_OFF (9 regs) */
1328316485Sdavidcs	0x0b0009ca, 	/* pglcs.pgl_cs.PL_DEBUG0_OFF .. pglcs.pgl_cs.VC0_CPL_RX_Q_CTRL_OFF (11 regs) */
1329316485Sdavidcs	0x03000a03, 	/* pglcs.pgl_cs.GEN2_CTRL_OFF .. pglcs.pgl_cs.PHY_CONTROL_OFF (3 regs) */
1330316485Sdavidcs	0x01000a24, 	/* pglcs.pgl_cs.GEN3_RELATED_OFF (1 regs) */
1331316485Sdavidcs	0x01000a28, 	/* pglcs.pgl_cs.PF_HIDE_CONTROL (1 regs) */
1332316485Sdavidcs	0x02000a2a, 	/* pglcs.pgl_cs.GEN3_EQ_CONTROL_OFF .. pglcs.pgl_cs.GEN3_EQ_FB_MODE_DIR_CHANGE_OFF (2 regs) */
1333316485Sdavidcs	0x06000a2d, 	/* pglcs.pgl_cs.ORDER_RULE_CTRL_OFF .. pglcs.pgl_cs.TRGT_CPL_LUT_DELETE_ENTRY_OFF (6 regs) */
1334316485Sdavidcs	0x01000a3f, 	/* pglcs.pgl_cs.PL_LAST_OFF (1 regs) */
1335316485Sdavidcs	0x01000acc, 	/* pglcs.pgl_cs.PL_LTR_LATENCY_OFF (1 regs) */
1336316485Sdavidcs	0x01000ad0, 	/* pglcs.pgl_cs.AUX_CLK_FREQ_OFF (1 regs) */
1337316485Sdavidcs	0x0e000e01, 	/* pglcs.discard_poisoned_mctp_tgtwr .. pglcs.tx_syncfifo_pop_status (14 regs) */
1338316485Sdavidcs	0x01170000, 	/* block ptu */
1339316485Sdavidcs	0x01158037, 	/* ptu.atc_otb_overrun_fix_chicken_bit (1 regs) */
1340316485Sdavidcs	0x061e0000, 	/* block qm */
1341316485Sdavidcs	0x080bc148, 	/* qm.MaxPqSizeTxSel_56 .. qm.MaxPqSizeTxSel_63 (8 regs) */
1342316485Sdavidcs	0x020bc41e, 	/* qm.QstatusTx_14 .. qm.QstatusTx_15 (2 regs) */
1343316485Sdavidcs	0x020bc432, 	/* qm.QstatusOther_2 .. qm.QstatusOther_3 (2 regs) */
1344316485Sdavidcs	0x080bc662, 	/* qm.WrrOtherPqGrp_8 .. qm.WrrOtherPqGrp_15 (8 regs) */
1345316485Sdavidcs	0x080bcb39, 	/* qm.PqTx2Pf_56 .. qm.PqTx2Pf_63 (8 regs) */
1346316485Sdavidcs	0x080bcb89, 	/* qm.PqOther2Pf_8 .. qm.PqOther2Pf_15 (8 regs) */
1347316485Sdavidcs	0x01200000, 	/* block dorq */
1348316485Sdavidcs	0x0204024b, 	/* dorq.l2_edpm_tunnel_nge_ip_en .. dorq.l2_edpm_tunnel_nge_eth_en (2 regs) */
1349316485Sdavidcs	0x18210000, 	/* block brb */
1350316485Sdavidcs	0x040d0220, 	/* brb.shared_hr_area (4 regs) */
1351316485Sdavidcs	0x040d0230, 	/* brb.total_mac_size (4 regs) */
1352316485Sdavidcs	0x020d0252, 	/* brb.tc_guarantied_18 .. brb.tc_guarantied_19 (2 regs) */
1353316485Sdavidcs	0x020d0288, 	/* brb.lb_tc_guarantied_hyst_18 .. brb.lb_tc_guarantied_hyst_19 (2 regs) */
1354316485Sdavidcs	0x020d02be, 	/* brb.lb_tc_pause_xoff_threshold_18 .. brb.lb_tc_pause_xoff_threshold_19 (2 regs) */
1355316485Sdavidcs	0x020d02f4, 	/* brb.lb_tc_pause_xon_threshold_18 .. brb.lb_tc_pause_xon_threshold_19 (2 regs) */
1356316485Sdavidcs	0x020d032a, 	/* brb.lb_tc_full_xoff_threshold_18 .. brb.lb_tc_full_xoff_threshold_19 (2 regs) */
1357316485Sdavidcs	0x020d0360, 	/* brb.lb_tc_full_xon_threshold_18 .. brb.lb_tc_full_xon_threshold_19 (2 regs) */
1358316485Sdavidcs	0x020d0374, 	/* brb.wc_no_dead_cycles_en .. brb.wc_highest_pri_en (2 regs) */
1359316485Sdavidcs	0x020d0393, 	/* brb.pm_tc_latency_sensitive_2 .. brb.pm_tc_latency_sensitive_3 (2 regs) */
1360316485Sdavidcs	0x040d03d0, 	/* brb.wc_empty_4 .. brb.wc_empty_7 (4 regs) */
1361316485Sdavidcs	0x040d03e0, 	/* brb.wc_full_4 .. brb.wc_full_7 (4 regs) */
1362316485Sdavidcs	0x020d0426, 	/* brb.empty_if_2 .. brb.empty_if_3 (2 regs) */
1363316485Sdavidcs	0x020d0470, 	/* brb.mac_free_shared_hr_2 .. brb.mac_free_shared_hr_3 (2 regs) */
1364316485Sdavidcs	0x050d0494, 	/* brb.mac2_tc_occupancy_0 .. brb.mac2_tc_occupancy_4 (5 regs) */
1365316485Sdavidcs	0x050d04a4, 	/* brb.mac3_tc_occupancy_0 .. brb.mac3_tc_occupancy_4 (5 regs) */
1366316485Sdavidcs	0x020d04b6, 	/* brb.available_mac_size_2 .. brb.available_mac_size_3 (2 regs) */
1367316485Sdavidcs	0x020d04bc, 	/* brb.main_tc_pause_2 .. brb.main_tc_pause_3 (2 regs) */
1368316485Sdavidcs	0x020d04c2, 	/* brb.lb_tc_pause_2 .. brb.lb_tc_pause_3 (2 regs) */
1369316485Sdavidcs	0x020d04c8, 	/* brb.main_tc_full_2 .. brb.main_tc_full_3 (2 regs) */
1370316485Sdavidcs	0x020d04ce, 	/* brb.lb_tc_full_2 .. brb.lb_tc_full_3 (2 regs) */
1371316485Sdavidcs	0x040d04f2, 	/* brb.main2_tc_lossless_cnt_0 .. brb.main2_tc_lossless_cnt_3 (4 regs) */
1372316485Sdavidcs	0x040d0502, 	/* brb.main3_tc_lossless_cnt_0 .. brb.main3_tc_lossless_cnt_3 (4 regs) */
1373316485Sdavidcs	0x020d0514, 	/* brb.main_tc_lossless_int_2 .. brb.main_tc_lossless_int_3 (2 regs) */
1374316485Sdavidcs	0x02240000, 	/* block tsdm */
1375316485Sdavidcs	0x023ec320, 	/* tsdm.rmt_xcm_fifo_full .. tsdm.rmt_ycm_fifo_full (2 regs) */
1376316485Sdavidcs	0x023ec35a, 	/* tsdm.rmt_xcm_fifo_empty .. tsdm.rmt_ycm_fifo_empty (2 regs) */
1377316485Sdavidcs	0x02250000, 	/* block msdm */
1378316485Sdavidcs	0x023f0320, 	/* msdm.rmt_xcm_fifo_full .. msdm.rmt_ycm_fifo_full (2 regs) */
1379316485Sdavidcs	0x023f035a, 	/* msdm.rmt_xcm_fifo_empty .. msdm.rmt_ycm_fifo_empty (2 regs) */
1380316485Sdavidcs	0x02260000, 	/* block usdm */
1381316485Sdavidcs	0x023f4320, 	/* usdm.rmt_xcm_fifo_full .. usdm.rmt_ycm_fifo_full (2 regs) */
1382316485Sdavidcs	0x023f435a, 	/* usdm.rmt_xcm_fifo_empty .. usdm.rmt_ycm_fifo_empty (2 regs) */
1383316485Sdavidcs	0x02270000, 	/* block xsdm */
1384316485Sdavidcs	0x023e0320, 	/* xsdm.rmt_xcm_fifo_full .. xsdm.rmt_ycm_fifo_full (2 regs) */
1385316485Sdavidcs	0x023e035a, 	/* xsdm.rmt_xcm_fifo_empty .. xsdm.rmt_ycm_fifo_empty (2 regs) */
1386316485Sdavidcs	0x02280000, 	/* block ysdm */
1387316485Sdavidcs	0x023e4320, 	/* ysdm.rmt_xcm_fifo_full .. ysdm.rmt_ycm_fifo_full (2 regs) */
1388316485Sdavidcs	0x023e435a, 	/* ysdm.rmt_xcm_fifo_empty .. ysdm.rmt_ycm_fifo_empty (2 regs) */
1389316485Sdavidcs	0x02290000, 	/* block psdm */
1390316485Sdavidcs	0x023e8320, 	/* psdm.rmt_xcm_fifo_full .. psdm.rmt_ycm_fifo_full (2 regs) */
1391316485Sdavidcs	0x023e835a, 	/* psdm.rmt_xcm_fifo_empty .. psdm.rmt_ycm_fifo_empty (2 regs) */
1392316485Sdavidcs	0x012a0000, 	/* block tsem */
1393316485Sdavidcs	0x08dc0108, 	/* tsem.vf_err_vector (8 regs, WB) */
1394316485Sdavidcs	0x012b0000, 	/* block msem */
1395316485Sdavidcs	0x08e00108, 	/* msem.vf_err_vector (8 regs, WB) */
1396316485Sdavidcs	0x012c0000, 	/* block usem */
1397316485Sdavidcs	0x08e40108, 	/* usem.vf_err_vector (8 regs, WB) */
1398316485Sdavidcs	0x012d0000, 	/* block xsem */
1399316485Sdavidcs	0x08d00108, 	/* xsem.vf_err_vector (8 regs, WB) */
1400316485Sdavidcs	0x012e0000, 	/* block ysem */
1401316485Sdavidcs	0x08d40108, 	/* ysem.vf_err_vector (8 regs, WB) */
1402316485Sdavidcs	0x012f0000, 	/* block psem */
1403316485Sdavidcs	0x08d80108, 	/* psem.vf_err_vector (8 regs, WB) */
1404316485Sdavidcs	0x01370000, 	/* block prm */
1405316485Sdavidcs	0x0108d800, 	/* prm.nop_without_completion_fix_disable (1 regs) */
1406316485Sdavidcs	0x043b0000, 	/* block btb */
1407316485Sdavidcs	0x0236c215, 	/* btb.wc_no_dead_cycles_en .. btb.wc_highest_pri_en (2 regs) */
1408316485Sdavidcs	0x0436c26d, 	/* btb.rc_pkt_empty_4 .. btb.rc_pkt_empty_7 (4 regs) */
1409316485Sdavidcs	0x0436c27c, 	/* btb.rc_pkt_full_4 .. btb.rc_pkt_full_7 (4 regs) */
1410316485Sdavidcs	0x0436c28b, 	/* btb.rc_pkt_status_4 .. btb.rc_pkt_status_7 (4 regs) */
1411316485Sdavidcs	0x013e0000, 	/* block tdif */
1412316485Sdavidcs	0x040c403c, 	/* tdif.stat_num_err_interval_4 .. tdif.stat_num_err_interval_7 (4 regs) */
1413316485Sdavidcs	0x01420000, 	/* block igu */
1414316485Sdavidcs	0x05060310, 	/* igu.vf_with_more_16sb_16 .. igu.vf_with_more_16sb_20 (5 regs) */
1415316485Sdavidcs	0x014a0000, 	/* block dbg */
1416316485Sdavidcs	0x01004119, 	/* dbg.ifmux_select (1 regs) */
1417316485Sdavidcs	0x094b0000, 	/* block nig */
1418316485Sdavidcs	0x02140028, 	/* nig.INT_STS_6 .. nig.INT_MASK_6 (2 regs) */
1419316485Sdavidcs	0x0214002c, 	/* nig.INT_STS_7 .. nig.INT_MASK_7 (2 regs) */
1420316485Sdavidcs	0x02140030, 	/* nig.INT_STS_8 .. nig.INT_MASK_8 (2 regs) */
1421316485Sdavidcs	0x02140034, 	/* nig.INT_STS_9 .. nig.INT_MASK_9 (2 regs) */
1422316485Sdavidcs	0x07142400, 	/* nig.tx_tdm_0_enable .. nig.tsgen_pps_out_sel_mask_3 (7 regs) */
1423316485Sdavidcs	0x01142409, 	/* nig.tsgen_tsio_in_val (1 regs) */
1424316485Sdavidcs	0x01142413, 	/* nig.ts_for_pxp_select (1 regs) */
1425316485Sdavidcs	0x02942414, 	/* nig.ptm_time_latch (2 regs, WB) */
1426316485Sdavidcs	0x0114241a, 	/* nig.mpa_mul_pdu_crc_calc_en (1 regs) */
1427316485Sdavidcs	0x034d0000, 	/* block bmbn */
1428316485Sdavidcs	0x02184010, 	/* bmbn.INT_STS_0 .. bmbn.INT_MASK_0 (2 regs) */
1429316485Sdavidcs	0x05184050, 	/* bmbn.dbg_select .. bmbn.dbg_force_frame (5 regs) */
1430316485Sdavidcs	0x0318407e, 	/* bmbn.tag_len_0 .. bmbn.eco_reserved (3 regs) */
1431316485Sdavidcs	0x644f0000, 	/* block nwm */
1432316485Sdavidcs	0x02200001, 	/* nwm.INT_STS .. nwm.INT_MASK (2 regs) */
1433316485Sdavidcs	0x3b200005, 	/* nwm.mac0_peer_delay .. nwm.dbg_force_frame (59 regs) */
1434316485Sdavidcs	0x06200100, 	/* nwm.mac0.REVISION .. nwm.mac0.FRM_LENGTH (6 regs) */
1435316485Sdavidcs	0x08200107, 	/* nwm.mac0.RX_FIFO_SECTIONS .. nwm.mac0.MDIO_DATA (8 regs) */
1436316485Sdavidcs	0x0e200110, 	/* nwm.mac0.STATUS .. nwm.mac0.RX_PAUSE_STATUS (14 regs) */
1437316485Sdavidcs	0x0220011f, 	/* nwm.mac0.TS_TIMESTAMP .. nwm.mac0.XIF_MODE (2 regs) */
1438316485Sdavidcs	0x03200138, 	/* nwm.mac0.STATN_CONFIG .. nwm.mac0.STATN_CLEARVALUE_HI (3 regs) */
1439316485Sdavidcs	0x36200140, 	/* nwm.mac0.etherStatsOctets .. nwm.mac0.aInRangeLengthError_h (54 regs) */
1440316485Sdavidcs	0x04200180, 	/* nwm.mac0.TXetherStatsOctets .. nwm.mac0.TXOctetsOK_h (4 regs) */
1441316485Sdavidcs	0x24200186, 	/* nwm.mac0.TXaPauseMacCtrlFrames .. nwm.mac0.TXetherStatsPkts1519toTX_MTU_h (36 regs) */
1442316485Sdavidcs	0x022001b0, 	/* nwm.mac0.TXaMACControlFrames .. nwm.mac0.TXaMACControlFrames_h (2 regs) */
1443316485Sdavidcs	0x262001e0, 	/* nwm.mac0.aCBFCPAUSEFramesReceived_0 .. nwm.mac1.FRM_LENGTH (38 regs) */
1444316485Sdavidcs	0x08200207, 	/* nwm.mac1.RX_FIFO_SECTIONS .. nwm.mac1.MDIO_DATA (8 regs) */
1445316485Sdavidcs	0x0e200210, 	/* nwm.mac1.STATUS .. nwm.mac1.RX_PAUSE_STATUS (14 regs) */
1446316485Sdavidcs	0x0220021f, 	/* nwm.mac1.TS_TIMESTAMP .. nwm.mac1.XIF_MODE (2 regs) */
1447316485Sdavidcs	0x03200238, 	/* nwm.mac1.STATN_CONFIG .. nwm.mac1.STATN_CLEARVALUE_HI (3 regs) */
1448316485Sdavidcs	0x36200240, 	/* nwm.mac1.etherStatsOctets .. nwm.mac1.aInRangeLengthError_h (54 regs) */
1449316485Sdavidcs	0x04200280, 	/* nwm.mac1.TXetherStatsOctets .. nwm.mac1.TXOctetsOK_h (4 regs) */
1450316485Sdavidcs	0x24200286, 	/* nwm.mac1.TXaPauseMacCtrlFrames .. nwm.mac1.TXetherStatsPkts1519toTX_MTU_h (36 regs) */
1451316485Sdavidcs	0x022002b0, 	/* nwm.mac1.TXaMACControlFrames .. nwm.mac1.TXaMACControlFrames_h (2 regs) */
1452316485Sdavidcs	0x262002e0, 	/* nwm.mac1.aCBFCPAUSEFramesReceived_0 .. nwm.mac2.FRM_LENGTH (38 regs) */
1453316485Sdavidcs	0x08200307, 	/* nwm.mac2.RX_FIFO_SECTIONS .. nwm.mac2.MDIO_DATA (8 regs) */
1454316485Sdavidcs	0x0e200310, 	/* nwm.mac2.STATUS .. nwm.mac2.RX_PAUSE_STATUS (14 regs) */
1455316485Sdavidcs	0x0220031f, 	/* nwm.mac2.TS_TIMESTAMP .. nwm.mac2.XIF_MODE (2 regs) */
1456316485Sdavidcs	0x03200338, 	/* nwm.mac2.STATN_CONFIG .. nwm.mac2.STATN_CLEARVALUE_HI (3 regs) */
1457316485Sdavidcs	0x36200340, 	/* nwm.mac2.etherStatsOctets .. nwm.mac2.aInRangeLengthError_h (54 regs) */
1458316485Sdavidcs	0x04200380, 	/* nwm.mac2.TXetherStatsOctets .. nwm.mac2.TXOctetsOK_h (4 regs) */
1459316485Sdavidcs	0x24200386, 	/* nwm.mac2.TXaPauseMacCtrlFrames .. nwm.mac2.TXetherStatsPkts1519toTX_MTU_h (36 regs) */
1460316485Sdavidcs	0x022003b0, 	/* nwm.mac2.TXaMACControlFrames .. nwm.mac2.TXaMACControlFrames_h (2 regs) */
1461316485Sdavidcs	0x262003e0, 	/* nwm.mac2.aCBFCPAUSEFramesReceived_0 .. nwm.mac3.FRM_LENGTH (38 regs) */
1462316485Sdavidcs	0x08200407, 	/* nwm.mac3.RX_FIFO_SECTIONS .. nwm.mac3.MDIO_DATA (8 regs) */
1463316485Sdavidcs	0x0e200410, 	/* nwm.mac3.STATUS .. nwm.mac3.RX_PAUSE_STATUS (14 regs) */
1464316485Sdavidcs	0x0220041f, 	/* nwm.mac3.TS_TIMESTAMP .. nwm.mac3.XIF_MODE (2 regs) */
1465316485Sdavidcs	0x03200438, 	/* nwm.mac3.STATN_CONFIG .. nwm.mac3.STATN_CLEARVALUE_HI (3 regs) */
1466316485Sdavidcs	0x36200440, 	/* nwm.mac3.etherStatsOctets .. nwm.mac3.aInRangeLengthError_h (54 regs) */
1467316485Sdavidcs	0x04200480, 	/* nwm.mac3.TXetherStatsOctets .. nwm.mac3.TXOctetsOK_h (4 regs) */
1468316485Sdavidcs	0x24200486, 	/* nwm.mac3.TXaPauseMacCtrlFrames .. nwm.mac3.TXetherStatsPkts1519toTX_MTU_h (36 regs) */
1469316485Sdavidcs	0x022004b0, 	/* nwm.mac3.TXaMACControlFrames .. nwm.mac3.TXaMACControlFrames_h (2 regs) */
1470316485Sdavidcs	0x272004e0, 	/* nwm.mac3.aCBFCPAUSEFramesReceived_0 .. nwm.pcs_reg91_0.RS_FEC_LANEMAP (39 regs) */
1471316485Sdavidcs	0x0820050a, 	/* nwm.pcs_reg91_0.RS_FEC_SYMBLERR0_LO .. nwm.pcs_reg91_0.RS_FEC_SYMBLERR3_HI (8 regs) */
1472316485Sdavidcs	0x08200580, 	/* nwm.pcs_reg91_0.RS_FEC_VENDOR_CONTROL .. nwm.pcs_reg91_0.RS_FEC_VENDOR_TX_TESTTRIGGER (8 regs) */
1473316485Sdavidcs	0x07200600, 	/* nwm.pcs_reg91_1.RS_FEC_CONTROL .. nwm.pcs_reg91_1.RS_FEC_LANEMAP (7 regs) */
1474316485Sdavidcs	0x0820060a, 	/* nwm.pcs_reg91_1.RS_FEC_SYMBLERR0_LO .. nwm.pcs_reg91_1.RS_FEC_SYMBLERR3_HI (8 regs) */
1475316485Sdavidcs	0x08200680, 	/* nwm.pcs_reg91_1.RS_FEC_VENDOR_CONTROL .. nwm.pcs_reg91_1.RS_FEC_VENDOR_TX_TESTTRIGGER (8 regs) */
1476316485Sdavidcs	0x07200700, 	/* nwm.pcs_reg91_2.RS_FEC_CONTROL .. nwm.pcs_reg91_2.RS_FEC_LANEMAP (7 regs) */
1477316485Sdavidcs	0x0820070a, 	/* nwm.pcs_reg91_2.RS_FEC_SYMBLERR0_LO .. nwm.pcs_reg91_2.RS_FEC_SYMBLERR3_HI (8 regs) */
1478316485Sdavidcs	0x08200780, 	/* nwm.pcs_reg91_2.RS_FEC_VENDOR_CONTROL .. nwm.pcs_reg91_2.RS_FEC_VENDOR_TX_TESTTRIGGER (8 regs) */
1479316485Sdavidcs	0x07200800, 	/* nwm.pcs_reg91_3.RS_FEC_CONTROL .. nwm.pcs_reg91_3.RS_FEC_LANEMAP (7 regs) */
1480316485Sdavidcs	0x0820080a, 	/* nwm.pcs_reg91_3.RS_FEC_SYMBLERR0_LO .. nwm.pcs_reg91_3.RS_FEC_SYMBLERR3_HI (8 regs) */
1481316485Sdavidcs	0x08200880, 	/* nwm.pcs_reg91_3.RS_FEC_VENDOR_CONTROL .. nwm.pcs_reg91_3.RS_FEC_VENDOR_TX_TESTTRIGGER (8 regs) */
1482316485Sdavidcs	0x09200900, 	/* nwm.pcs_ls0.CONTROL .. nwm.pcs_ls0.LP_NP_RX (9 regs) */
1483316485Sdavidcs	0x06200910, 	/* nwm.pcs_ls0.SCRATCH .. nwm.pcs_ls0.DECODE_ERRORS (6 regs) */
1484316485Sdavidcs	0x09200920, 	/* nwm.pcs_ls1.CONTROL .. nwm.pcs_ls1.LP_NP_RX (9 regs) */
1485316485Sdavidcs	0x06200930, 	/* nwm.pcs_ls1.SCRATCH .. nwm.pcs_ls1.DECODE_ERRORS (6 regs) */
1486316485Sdavidcs	0x09200940, 	/* nwm.pcs_ls2.CONTROL .. nwm.pcs_ls2.LP_NP_RX (9 regs) */
1487316485Sdavidcs	0x06200950, 	/* nwm.pcs_ls2.SCRATCH .. nwm.pcs_ls2.DECODE_ERRORS (6 regs) */
1488316485Sdavidcs	0x09200960, 	/* nwm.pcs_ls3.CONTROL .. nwm.pcs_ls3.LP_NP_RX (9 regs) */
1489316485Sdavidcs	0x06200970, 	/* nwm.pcs_ls3.SCRATCH .. nwm.pcs_ls3.DECODE_ERRORS (6 regs) */
1490316485Sdavidcs	0x09210000, 	/* nwm.pcs_hs0.CONTROL1 .. nwm.pcs_hs0.STATUS2 (9 regs) */
1491316485Sdavidcs	0x0221000e, 	/* nwm.pcs_hs0.PKG_ID0 .. nwm.pcs_hs0.PKG_ID1 (2 regs) */
1492316485Sdavidcs	0x01210014, 	/* nwm.pcs_hs0.EEE_CTRL_CAPABILITY (1 regs) */
1493316485Sdavidcs	0x01210016, 	/* nwm.pcs_hs0.WAKE_ERR_COUNTER (1 regs) */
1494316485Sdavidcs	0x0e210020, 	/* nwm.pcs_hs0.BASER_STATUS1 .. nwm.pcs_hs0.ERR_BLK_HIGH_ORDER_CNT (14 regs) */
1495316485Sdavidcs	0x01210032, 	/* nwm.pcs_hs0.MULTILANE_ALIGN_STAT1 (1 regs) */
1496316485Sdavidcs	0x01210034, 	/* nwm.pcs_hs0.MULTILANE_ALIGN_STAT3 (1 regs) */
1497316485Sdavidcs	0x042100c8, 	/* nwm.pcs_hs0.BIP_ERR_CNT_LANE0 .. nwm.pcs_hs0.BIP_ERR_CNT_LANE3 (4 regs) */
1498316485Sdavidcs	0x04210190, 	/* nwm.pcs_hs0.LANE0_MAPPING .. nwm.pcs_hs0.LANE3_MAPPING (4 regs) */
1499316485Sdavidcs	0x05218000, 	/* nwm.pcs_hs0.VENDOR_SCRATCH .. nwm.pcs_hs0.VENDOR_RXLAUI_CONFIG (5 regs) */
1500316485Sdavidcs	0x09218008, 	/* nwm.pcs_hs0.VENDOR_VL0_0 .. nwm.pcs_hs0.VENDOR_PCS_MODE (9 regs) */
1501316485Sdavidcs	0x09220000, 	/* nwm.pcs_hs1.CONTROL1 .. nwm.pcs_hs1.STATUS2 (9 regs) */
1502316485Sdavidcs	0x0222000e, 	/* nwm.pcs_hs1.PKG_ID0 .. nwm.pcs_hs1.PKG_ID1 (2 regs) */
1503316485Sdavidcs	0x01220014, 	/* nwm.pcs_hs1.EEE_CTRL_CAPABILITY (1 regs) */
1504316485Sdavidcs	0x01220016, 	/* nwm.pcs_hs1.WAKE_ERR_COUNTER (1 regs) */
1505316485Sdavidcs	0x0e220020, 	/* nwm.pcs_hs1.BASER_STATUS1 .. nwm.pcs_hs1.ERR_BLK_HIGH_ORDER_CNT (14 regs) */
1506316485Sdavidcs	0x01220032, 	/* nwm.pcs_hs1.MULTILANE_ALIGN_STAT1 (1 regs) */
1507316485Sdavidcs	0x01220034, 	/* nwm.pcs_hs1.MULTILANE_ALIGN_STAT3 (1 regs) */
1508316485Sdavidcs	0x042200c8, 	/* nwm.pcs_hs1.BIP_ERR_CNT_LANE0 .. nwm.pcs_hs1.BIP_ERR_CNT_LANE3 (4 regs) */
1509316485Sdavidcs	0x04220190, 	/* nwm.pcs_hs1.LANE0_MAPPING .. nwm.pcs_hs1.LANE3_MAPPING (4 regs) */
1510316485Sdavidcs	0x05228000, 	/* nwm.pcs_hs1.VENDOR_SCRATCH .. nwm.pcs_hs1.VENDOR_RXLAUI_CONFIG (5 regs) */
1511316485Sdavidcs	0x09228008, 	/* nwm.pcs_hs1.VENDOR_VL0_0 .. nwm.pcs_hs1.VENDOR_PCS_MODE (9 regs) */
1512316485Sdavidcs	0x09230000, 	/* nwm.pcs_hs2.CONTROL1 .. nwm.pcs_hs2.STATUS2 (9 regs) */
1513316485Sdavidcs	0x0223000e, 	/* nwm.pcs_hs2.PKG_ID0 .. nwm.pcs_hs2.PKG_ID1 (2 regs) */
1514316485Sdavidcs	0x01230014, 	/* nwm.pcs_hs2.EEE_CTRL_CAPABILITY (1 regs) */
1515316485Sdavidcs	0x01230016, 	/* nwm.pcs_hs2.WAKE_ERR_COUNTER (1 regs) */
1516316485Sdavidcs	0x0e230020, 	/* nwm.pcs_hs2.BASER_STATUS1 .. nwm.pcs_hs2.ERR_BLK_HIGH_ORDER_CNT (14 regs) */
1517316485Sdavidcs	0x01230032, 	/* nwm.pcs_hs2.MULTILANE_ALIGN_STAT1 (1 regs) */
1518316485Sdavidcs	0x01230034, 	/* nwm.pcs_hs2.MULTILANE_ALIGN_STAT3 (1 regs) */
1519316485Sdavidcs	0x042300c8, 	/* nwm.pcs_hs2.BIP_ERR_CNT_LANE0 .. nwm.pcs_hs2.BIP_ERR_CNT_LANE3 (4 regs) */
1520316485Sdavidcs	0x04238000, 	/* nwm.pcs_hs2.VENDOR_SCRATCH .. nwm.pcs_hs2.VENDOR_TXLANE_THRESH (4 regs) */
1521316485Sdavidcs	0x09238008, 	/* nwm.pcs_hs2.VENDOR_VL0_0 .. nwm.pcs_hs2.VENDOR_PCS_MODE (9 regs) */
1522316485Sdavidcs	0x09240000, 	/* nwm.pcs_hs3.CONTROL1 .. nwm.pcs_hs3.STATUS2 (9 regs) */
1523316485Sdavidcs	0x0224000e, 	/* nwm.pcs_hs3.PKG_ID0 .. nwm.pcs_hs3.PKG_ID1 (2 regs) */
1524316485Sdavidcs	0x01240014, 	/* nwm.pcs_hs3.EEE_CTRL_CAPABILITY (1 regs) */
1525316485Sdavidcs	0x01240016, 	/* nwm.pcs_hs3.WAKE_ERR_COUNTER (1 regs) */
1526316485Sdavidcs	0x0e240020, 	/* nwm.pcs_hs3.BASER_STATUS1 .. nwm.pcs_hs3.ERR_BLK_HIGH_ORDER_CNT (14 regs) */
1527316485Sdavidcs	0x01240032, 	/* nwm.pcs_hs3.MULTILANE_ALIGN_STAT1 (1 regs) */
1528316485Sdavidcs	0x01240034, 	/* nwm.pcs_hs3.MULTILANE_ALIGN_STAT3 (1 regs) */
1529316485Sdavidcs	0x042400c8, 	/* nwm.pcs_hs3.BIP_ERR_CNT_LANE0 .. nwm.pcs_hs3.BIP_ERR_CNT_LANE3 (4 regs) */
1530316485Sdavidcs	0x04248000, 	/* nwm.pcs_hs3.VENDOR_SCRATCH .. nwm.pcs_hs3.VENDOR_TXLANE_THRESH (4 regs) */
1531316485Sdavidcs	0x09248008, 	/* nwm.pcs_hs3.VENDOR_VL0_0 .. nwm.pcs_hs3.VENDOR_PCS_MODE (9 regs) */
1532316485Sdavidcs	0x06500000, 	/* block nws */
1533316485Sdavidcs	0x171c0000, 	/* nws.common_control .. nws.eco_reserved (23 regs) */
1534316485Sdavidcs	0x0b1c004a, 	/* nws.dbg_select .. nws.dbg_fw_trigger_enable (11 regs) */
1535316485Sdavidcs	0x021c0060, 	/* nws.INT_STS_0 .. nws.INT_MASK_0 (2 regs) */
1536316485Sdavidcs	0x021c0064, 	/* nws.INT_STS_1 .. nws.INT_MASK_1 (2 regs) */
1537316485Sdavidcs	0x021c0068, 	/* nws.INT_STS_2 .. nws.INT_MASK_2 (2 regs) */
1538316485Sdavidcs	0x021c006c, 	/* nws.INT_STS_3 .. nws.INT_MASK_3 (2 regs) */
1539316485Sdavidcs	0x02530000, 	/* block led */
1540316485Sdavidcs	0x061ae006, 	/* led.mac_led_swap .. led.eco_reserved (6 regs) */
1541316485Sdavidcs	0x021ae060, 	/* led.INT_STS_0 .. led.INT_MASK_0 (2 regs) */
1542316485Sdavidcs	0x01040003, 	/* mode !(bb|k2), block pglue_b */
1543316485Sdavidcs	0x020aa13a, 	/* pglue_b.dorq_access_via_bar0 .. pglue_b.vsc_en (2 regs) */
1544316485Sdavidcs	0x010d0000, 	/* block pswhst */
1545316485Sdavidcs	0x010a8031, 	/* pswhst.dest_dorq_credits (1 regs) */
1546316485Sdavidcs	0x01110000, 	/* block pswwr */
1547320164Sdavidcs	0x030a6833, 	/* pswwr.prms_full_th .. pswwr.tgsrc_full_th (3 regs) */
1548320164Sdavidcs	0x01120000, 	/* block pswwr2 */
1549320164Sdavidcs	0x030a6c1a, 	/* pswwr2.prms_full_th2 .. pswwr2.prms_max_fill_level (3 regs) */
1550320164Sdavidcs	0x01160000, 	/* block dmae */
1551320164Sdavidcs	0x01003032, 	/* dmae.go_sticky (1 regs) */
1552316485Sdavidcs	0x01170000, 	/* block ptu */
1553320164Sdavidcs	0x0515817b, 	/* ptu.LOG_INV_HALT_RSC_TYPE .. ptu.index2_rsc_type_mask (5 regs) */
1554316485Sdavidcs	0x0c180000, 	/* block tcm */
1555316485Sdavidcs	0x2c460022, 	/* tcm.affinity_type_0 .. tcm.agg_con_cf11_q (44 regs) */
1556316485Sdavidcs	0x0b46015a, 	/* tcm.agg_con_rule0_q .. tcm.agg_con_rule10_q (11 regs) */
1557316485Sdavidcs	0x014601a2, 	/* tcm.ext_rd_fill_lvl (1 regs) */
1558316485Sdavidcs	0x134601e9, 	/* tcm.err_affinity_type .. tcm.xx_byp_msg_up_bnd_15 (19 regs) */
1559316485Sdavidcs	0x08460267, 	/* tcm.cm_task_event_id_bwidth_0 .. tcm.cm_task_event_id_bwidth_7 (8 regs) */
1560316485Sdavidcs	0x1046028e, 	/* tcm.cm_con_event_id_bwidth_0 .. tcm.cm_con_event_id_bwidth_15 (16 regs) */
1561320164Sdavidcs	0x85460640, 	/* tcm.tm_con_evnt_id_0 .. tcm.is_foc_tsdm_nxt_inf_unit (133 regs) */
1562320164Sdavidcs	0x054606e0, 	/* tcm.psdm_weight .. tcm.is_foc_psdm_nxt_inf_unit (5 regs) */
1563320164Sdavidcs	0x05460700, 	/* tcm.msdm_weight .. tcm.is_foc_msdm_nxt_inf_unit (5 regs) */
1564320164Sdavidcs	0x04460720, 	/* tcm.ysem_weight .. tcm.is_foc_ysem_nxt_inf_unit (4 regs) */
1565316485Sdavidcs	0x05460780, 	/* tcm.ptld_weight .. tcm.is_foc_ptld_nxt_inf_unit (5 regs) */
1566316485Sdavidcs	0x08460a00, 	/* tcm.agg_task_cf0_q .. tcm.agg_task_cf7_q (8 regs) */
1567316485Sdavidcs	0x0c190000, 	/* block mcm */
1568316485Sdavidcs	0x23480022, 	/* mcm.affinity_type_0 .. mcm.agg_con_cf2_q (35 regs) */
1569316485Sdavidcs	0x0548015a, 	/* mcm.agg_con_rule0_q .. mcm.agg_con_rule4_q (5 regs) */
1570316485Sdavidcs	0x014801a4, 	/* mcm.ext_rd_fill_lvl (1 regs) */
1571316485Sdavidcs	0x134801e9, 	/* mcm.err_affinity_type .. mcm.xx_byp_msg_up_bnd_15 (19 regs) */
1572316485Sdavidcs	0x09480257, 	/* mcm.agg_task_rule7_q .. mcm.cm_task_event_id_bwidth_7 (9 regs) */
1573316485Sdavidcs	0x1048028c, 	/* mcm.cm_con_event_id_bwidth_0 .. mcm.cm_con_event_id_bwidth_15 (16 regs) */
1574316485Sdavidcs	0x70480740, 	/* mcm.n_sm_con_ctx_ld_0 .. mcm.qm_sm_con_ctx_ldst_flg_15 (112 regs) */
1575320164Sdavidcs	0x05480a00, 	/* mcm.tsdm_weight .. mcm.is_foc_tsdm_nxt_inf_unit (5 regs) */
1576320164Sdavidcs	0x05480a20, 	/* mcm.psdm_weight .. mcm.is_foc_psdm_nxt_inf_unit (5 regs) */
1577320164Sdavidcs	0x05480a40, 	/* mcm.msdm_weight .. mcm.is_foc_msdm_nxt_inf_unit (5 regs) */
1578320164Sdavidcs	0x04480a80, 	/* mcm.ysem_weight .. mcm.is_foc_ysem_nxt_inf_unit (4 regs) */
1579316485Sdavidcs	0x05480b80, 	/* mcm.agg_task_cf0_q .. mcm.agg_task_cf4_q (5 regs) */
1580320164Sdavidcs	0x091a0000, 	/* block ucm */
1581316485Sdavidcs	0x294a002a, 	/* ucm.affinity_type_0 .. ucm.agg_con_cf8_q (41 regs) */
1582320164Sdavidcs	0x094a015a, 	/* ucm.agg_con_rule0_q .. ucm.agg_con_rule8_q (9 regs) */
1583316485Sdavidcs	0x014a01aa, 	/* ucm.ext_rd_fill_lvl (1 regs) */
1584316485Sdavidcs	0x134a01e9, 	/* ucm.err_affinity_type .. ucm.xx_byp_msg_up_bnd_15 (19 regs) */
1585316485Sdavidcs	0x0a4a0261, 	/* ucm.agg_task_rule7_q .. ucm.cm_task_event_id_bwidth_7 (10 regs) */
1586316485Sdavidcs	0x104a028e, 	/* ucm.cm_con_event_id_bwidth_0 .. ucm.cm_con_event_id_bwidth_15 (16 regs) */
1587316485Sdavidcs	0x804a0700, 	/* ucm.tm_con_evnt_id_0 .. ucm.qm_sm_con_ctx_ldst_flg_15 (128 regs) */
1588320164Sdavidcs	0x064a0a00, 	/* ucm.ring_base .. ucm.is_foc_ysem_nxt_inf_unit (6 regs) */
1589316485Sdavidcs	0x064a0a80, 	/* ucm.agg_task_cf0_q .. ucm.agg_task_cf5_q (6 regs) */
1590316485Sdavidcs	0x071b0000, 	/* block xcm */
1591316485Sdavidcs	0x39400022, 	/* xcm.affinity_type_0 .. xcm.agg_con_cf24_q (57 regs) */
1592316485Sdavidcs	0x1c400132, 	/* xcm.agg_con_rule0_q .. xcm.agg_con_rule27_q (28 regs) */
1593316485Sdavidcs	0x014001a6, 	/* xcm.ext_rd_fill_lvl (1 regs) */
1594316485Sdavidcs	0x134001e6, 	/* xcm.err_affinity_type .. xcm.xx_byp_msg_up_bnd_15 (19 regs) */
1595316485Sdavidcs	0x1040028a, 	/* xcm.cm_con_event_id_bwidth_0 .. xcm.cm_con_event_id_bwidth_15 (16 regs) */
1596320164Sdavidcs	0x95400700, 	/* xcm.tm_con_evnt_id_0 .. xcm.is_foc_msdm_nxt_inf_unit (149 regs) */
1597320164Sdavidcs	0x044007c0, 	/* xcm.ysem_weight .. xcm.is_foc_ysem_nxt_inf_unit (4 regs) */
1598320164Sdavidcs	0x091c0000, 	/* block ycm */
1599316485Sdavidcs	0x23420022, 	/* ycm.affinity_type_0 .. ycm.agg_con_cf2_q (35 regs) */
1600320164Sdavidcs	0x0542015a, 	/* ycm.agg_con_rule0_q .. ycm.agg_con_rule4_q (5 regs) */
1601316485Sdavidcs	0x014201a2, 	/* ycm.ext_rd_fill_lvl (1 regs) */
1602316485Sdavidcs	0x134201e9, 	/* ycm.err_affinity_type .. ycm.xx_byp_msg_up_bnd_15 (19 regs) */
1603316485Sdavidcs	0x10420251, 	/* ycm.agg_task_rule0_q .. ycm.cm_task_event_id_bwidth_7 (16 regs) */
1604316485Sdavidcs	0x1042028c, 	/* ycm.cm_con_event_id_bwidth_0 .. ycm.cm_con_event_id_bwidth_15 (16 regs) */
1605320164Sdavidcs	0x75420740, 	/* ycm.n_sm_con_ctx_ld_0 .. ycm.is_foc_msdm_nxt_inf_unit (117 regs) */
1606316485Sdavidcs	0x01420900, 	/* ycm.is_foc_ysem_nxt_inf_unit (1 regs) */
1607316485Sdavidcs	0x04420b00, 	/* ycm.agg_task_cf0_q .. ycm.agg_task_cf4_q (4 regs) */
1608316485Sdavidcs	0x041d0000, 	/* block pcm */
1609316485Sdavidcs	0x01440194, 	/* pcm.ext_rd_fill_lvl (1 regs) */
1610316485Sdavidcs	0x034401d9, 	/* pcm.err_affinity_type .. pcm.err_src_affinity (3 regs) */
1611320164Sdavidcs	0x154405c4, 	/* pcm.n_sm_con_ctx_ld_0 .. pcm.is_foc_psdm_nxt_inf_unit (21 regs) */
1612316485Sdavidcs	0x054405f0, 	/* pcm.ypld_weight .. pcm.is_foc_ypld_nxt_inf_unit (5 regs) */
1613320164Sdavidcs	0x031e0000, 	/* block qm */
1614316485Sdavidcs	0x080bd733, 	/* qm.Voq_Arb_Grp2_Weight_0 .. qm.Voq_Arb_Grp2_Weight_7 (8 regs) */
1615320164Sdavidcs	0xa00bda00, 	/* qm.CtxRegCcfc_0 .. qm.ActCtrInitValCcfc_79 (160 regs) */
1616316485Sdavidcs	0x040bff80, 	/* qm.AFullQmBypThrLineVoqMask_msb .. qm.VoqCrdByteFull_msb (4 regs) */
1617316485Sdavidcs	0x08200000, 	/* block dorq */
1618316485Sdavidcs	0x010402ab, 	/* dorq.iedpm_payload_endianity (1 regs) */
1619316485Sdavidcs	0x04040a00, 	/* dorq.glb_max_icid_0 .. dorq.glb_range2conn_type_1 (4 regs) */
1620316485Sdavidcs	0x02040a14, 	/* dorq.iedpm_exist_in_qm_en .. dorq.iedpm_agg_type (2 regs) */
1621316485Sdavidcs	0xc3040a1a, 	/* dorq.edpm_agg_type_sel_0 .. dorq.rtc_en (195 regs) */
1622316485Sdavidcs	0x02040adf, 	/* dorq.crc32c_bswap .. dorq.iwarp_opcode_en (2 regs) */
1623316485Sdavidcs	0x0c040ae9, 	/* dorq.rdma_en_pbf_spc_roce .. dorq.iedpm_abort_details_reason (12 regs) */
1624316485Sdavidcs	0x06040af6, 	/* dorq.iedpm_abort_reason .. dorq.iedpm_drop_details_db_icid (6 regs) */
1625316485Sdavidcs	0x41040afd, 	/* dorq.iedpm_drop_reason .. dorq.dpm_iedpm_success_cnt (65 regs) */
1626316485Sdavidcs	0x01210000, 	/* block brb */
1627320164Sdavidcs	0x090d1000, 	/* brb.wc_ll_high_pri .. brb.limit_oversubscrition_pause_lb (9 regs) */
1628316485Sdavidcs	0x0b230000, 	/* block prs */
1629316485Sdavidcs	0x0307c2ec, 	/* prs.fc_dbg_select_a .. prs.fc_dbg_shift_a (3 regs) */
1630316485Sdavidcs	0x0887c2f0, 	/* prs.fc_dbg_out_data_a (8 regs, WB) */
1631316485Sdavidcs	0x0407c2f8, 	/* prs.fc_dbg_force_valid_a .. prs.fc_dbg_out_frame_a (4 regs) */
1632316485Sdavidcs	0x0307c380, 	/* prs.fc_dbg_select_b .. prs.fc_dbg_shift_b (3 regs) */
1633316485Sdavidcs	0x0887c388, 	/* prs.fc_dbg_out_data_b (8 regs, WB) */
1634316485Sdavidcs	0x0407c390, 	/* prs.fc_dbg_force_valid_b .. prs.fc_dbg_out_frame_b (4 regs) */
1635316485Sdavidcs	0x0107c3c0, 	/* prs.ptld_initial_credit (1 regs) */
1636316485Sdavidcs	0x0107c3c3, 	/* prs.ptld_current_credit (1 regs) */
1637320164Sdavidcs	0x0707c3ce, 	/* prs.rgfs_initial_credit .. prs.fce_use_single_fc_chicken_bit (7 regs) */
1638316485Sdavidcs	0xb907c500, 	/* prs.compare_gre_version .. prs.xrc_opcodes (185 regs) */
1639316485Sdavidcs	0x0407c5ba, 	/* prs.new_entry_exclusive_classify_failed .. prs.en_ipv6_ext_event_id (4 regs) */
1640316485Sdavidcs	0x01240000, 	/* block tsdm */
1641316485Sdavidcs	0x013ec148, 	/* tsdm.init_credit_cm_rmt (1 regs) */
1642316485Sdavidcs	0x112a0000, 	/* block tsem */
1643316485Sdavidcs	0x085c0005, 	/* tsem.passive_buffer_write_wrr_arbiter .. tsem.passive_buffer_dra_wr (8 regs) */
1644316485Sdavidcs	0x025c0018, 	/* tsem.INT_STS_2 .. tsem.INT_MASK_2 (2 regs) */
1645316485Sdavidcs	0x015c0114, 	/* tsem.gpi_data_a (1 regs) */
1646316485Sdavidcs	0x035c0118, 	/* tsem.pb_wr_sdm_dma_mode .. tsem.gpi_data_b (3 regs) */
1647316485Sdavidcs	0x015c02c1, 	/* tsem.thread_error_low (1 regs) */
1648316485Sdavidcs	0x025c02c6, 	/* tsem.thread_number .. tsem.thread_error_high (2 regs) */
1649320164Sdavidcs	0x095c0404, 	/* tsem.sync_foc_fifo_wr_alm_full .. tsem.stall_on_breakpoint (9 regs) */
1650320164Sdavidcs	0x055c045b, 	/* tsem.pb_queue_empty .. tsem.ext_store_pre_fetch_fifo_empty (5 regs) */
1651316485Sdavidcs	0x035c049f, 	/* tsem.sync_ready_fifo_full .. tsem.sync_foc_fifo_full (3 regs) */
1652316485Sdavidcs	0x105c050a, 	/* tsem.dbg_queue_peformance_mon_stat .. tsem.dbg_queue_max_sleep_value (16 regs) */
1653316485Sdavidcs	0x015d0122, 	/* tsem.fast_memory.stall_common (1 regs) */
1654316485Sdavidcs	0x015d0132, 	/* tsem.fast_memory.pram_last_addr_a (1 regs) */
1655316485Sdavidcs	0x075d0136, 	/* tsem.fast_memory.data_breakpoint_address_start .. tsem.fast_memory.stall_storm_b (7 regs) */
1656316485Sdavidcs	0x015d01dd, 	/* tsem.fast_memory.dbg_gpre_vect (1 regs) */
1657316485Sdavidcs	0x015d0214, 	/* tsem.fast_memory.sync_dra_wr_alm_full (1 regs) */
1658316485Sdavidcs	0x015d0291, 	/* tsem.fast_memory.storm_active_cycles_a (1 regs) */
1659316485Sdavidcs	0x085d0293, 	/* tsem.fast_memory.storm_stall_cycles_a .. tsem.fast_memory.lock_max_cycle_stall (8 regs) */
1660316485Sdavidcs	0x112b0000, 	/* block msem */
1661316485Sdavidcs	0x08600005, 	/* msem.passive_buffer_write_wrr_arbiter .. msem.passive_buffer_dra_wr (8 regs) */
1662316485Sdavidcs	0x02600018, 	/* msem.INT_STS_2 .. msem.INT_MASK_2 (2 regs) */
1663316485Sdavidcs	0x01600114, 	/* msem.gpi_data_a (1 regs) */
1664316485Sdavidcs	0x03600118, 	/* msem.pb_wr_sdm_dma_mode .. msem.gpi_data_b (3 regs) */
1665316485Sdavidcs	0x016002c1, 	/* msem.thread_error_low (1 regs) */
1666316485Sdavidcs	0x026002c6, 	/* msem.thread_number .. msem.thread_error_high (2 regs) */
1667320164Sdavidcs	0x09600404, 	/* msem.sync_foc_fifo_wr_alm_full .. msem.stall_on_breakpoint (9 regs) */
1668320164Sdavidcs	0x0560045b, 	/* msem.pb_queue_empty .. msem.ext_store_pre_fetch_fifo_empty (5 regs) */
1669316485Sdavidcs	0x0360049f, 	/* msem.sync_ready_fifo_full .. msem.sync_foc_fifo_full (3 regs) */
1670316485Sdavidcs	0x1060050a, 	/* msem.dbg_queue_peformance_mon_stat .. msem.dbg_queue_max_sleep_value (16 regs) */
1671316485Sdavidcs	0x01610122, 	/* msem.fast_memory.stall_common (1 regs) */
1672316485Sdavidcs	0x01610132, 	/* msem.fast_memory.pram_last_addr_a (1 regs) */
1673316485Sdavidcs	0x07610136, 	/* msem.fast_memory.data_breakpoint_address_start .. msem.fast_memory.stall_storm_b (7 regs) */
1674316485Sdavidcs	0x016101dd, 	/* msem.fast_memory.dbg_gpre_vect (1 regs) */
1675316485Sdavidcs	0x01610214, 	/* msem.fast_memory.sync_dra_wr_alm_full (1 regs) */
1676316485Sdavidcs	0x01610291, 	/* msem.fast_memory.storm_active_cycles_a (1 regs) */
1677316485Sdavidcs	0x08610293, 	/* msem.fast_memory.storm_stall_cycles_a .. msem.fast_memory.lock_max_cycle_stall (8 regs) */
1678316485Sdavidcs	0x112c0000, 	/* block usem */
1679316485Sdavidcs	0x08640005, 	/* usem.passive_buffer_write_wrr_arbiter .. usem.passive_buffer_dra_wr (8 regs) */
1680316485Sdavidcs	0x02640018, 	/* usem.INT_STS_2 .. usem.INT_MASK_2 (2 regs) */
1681316485Sdavidcs	0x01640114, 	/* usem.gpi_data_a (1 regs) */
1682316485Sdavidcs	0x03640118, 	/* usem.pb_wr_sdm_dma_mode .. usem.gpi_data_b (3 regs) */
1683316485Sdavidcs	0x016402c1, 	/* usem.thread_error_low (1 regs) */
1684316485Sdavidcs	0x026402c6, 	/* usem.thread_number .. usem.thread_error_high (2 regs) */
1685320164Sdavidcs	0x09640404, 	/* usem.sync_foc_fifo_wr_alm_full .. usem.stall_on_breakpoint (9 regs) */
1686320164Sdavidcs	0x0564045b, 	/* usem.pb_queue_empty .. usem.ext_store_pre_fetch_fifo_empty (5 regs) */
1687316485Sdavidcs	0x0364049f, 	/* usem.sync_ready_fifo_full .. usem.sync_foc_fifo_full (3 regs) */
1688316485Sdavidcs	0x1064050a, 	/* usem.dbg_queue_peformance_mon_stat .. usem.dbg_queue_max_sleep_value (16 regs) */
1689316485Sdavidcs	0x01650122, 	/* usem.fast_memory.stall_common (1 regs) */
1690316485Sdavidcs	0x01650132, 	/* usem.fast_memory.pram_last_addr_a (1 regs) */
1691316485Sdavidcs	0x07650136, 	/* usem.fast_memory.data_breakpoint_address_start .. usem.fast_memory.stall_storm_b (7 regs) */
1692316485Sdavidcs	0x016501dd, 	/* usem.fast_memory.dbg_gpre_vect (1 regs) */
1693316485Sdavidcs	0x01650214, 	/* usem.fast_memory.sync_dra_wr_alm_full (1 regs) */
1694316485Sdavidcs	0x01650291, 	/* usem.fast_memory.storm_active_cycles_a (1 regs) */
1695316485Sdavidcs	0x08650293, 	/* usem.fast_memory.storm_stall_cycles_a .. usem.fast_memory.lock_max_cycle_stall (8 regs) */
1696316485Sdavidcs	0x112d0000, 	/* block xsem */
1697316485Sdavidcs	0x08500005, 	/* xsem.passive_buffer_write_wrr_arbiter .. xsem.passive_buffer_dra_wr (8 regs) */
1698316485Sdavidcs	0x02500018, 	/* xsem.INT_STS_2 .. xsem.INT_MASK_2 (2 regs) */
1699316485Sdavidcs	0x01500114, 	/* xsem.gpi_data_a (1 regs) */
1700316485Sdavidcs	0x03500118, 	/* xsem.pb_wr_sdm_dma_mode .. xsem.gpi_data_b (3 regs) */
1701316485Sdavidcs	0x015002c1, 	/* xsem.thread_error_low (1 regs) */
1702316485Sdavidcs	0x025002c6, 	/* xsem.thread_number .. xsem.thread_error_high (2 regs) */
1703320164Sdavidcs	0x09500404, 	/* xsem.sync_foc_fifo_wr_alm_full .. xsem.stall_on_breakpoint (9 regs) */
1704320164Sdavidcs	0x0550045b, 	/* xsem.pb_queue_empty .. xsem.ext_store_pre_fetch_fifo_empty (5 regs) */
1705316485Sdavidcs	0x0350049f, 	/* xsem.sync_ready_fifo_full .. xsem.sync_foc_fifo_full (3 regs) */
1706316485Sdavidcs	0x1050050a, 	/* xsem.dbg_queue_peformance_mon_stat .. xsem.dbg_queue_max_sleep_value (16 regs) */
1707316485Sdavidcs	0x01510122, 	/* xsem.fast_memory.stall_common (1 regs) */
1708316485Sdavidcs	0x01510132, 	/* xsem.fast_memory.pram_last_addr_a (1 regs) */
1709316485Sdavidcs	0x07510136, 	/* xsem.fast_memory.data_breakpoint_address_start .. xsem.fast_memory.stall_storm_b (7 regs) */
1710316485Sdavidcs	0x015101dd, 	/* xsem.fast_memory.dbg_gpre_vect (1 regs) */
1711316485Sdavidcs	0x01510214, 	/* xsem.fast_memory.sync_dra_wr_alm_full (1 regs) */
1712316485Sdavidcs	0x01510291, 	/* xsem.fast_memory.storm_active_cycles_a (1 regs) */
1713316485Sdavidcs	0x08510293, 	/* xsem.fast_memory.storm_stall_cycles_a .. xsem.fast_memory.lock_max_cycle_stall (8 regs) */
1714316485Sdavidcs	0x112e0000, 	/* block ysem */
1715316485Sdavidcs	0x08540005, 	/* ysem.passive_buffer_write_wrr_arbiter .. ysem.passive_buffer_dra_wr (8 regs) */
1716316485Sdavidcs	0x02540018, 	/* ysem.INT_STS_2 .. ysem.INT_MASK_2 (2 regs) */
1717316485Sdavidcs	0x01540114, 	/* ysem.gpi_data_a (1 regs) */
1718316485Sdavidcs	0x03540118, 	/* ysem.pb_wr_sdm_dma_mode .. ysem.gpi_data_b (3 regs) */
1719316485Sdavidcs	0x015402c1, 	/* ysem.thread_error_low (1 regs) */
1720316485Sdavidcs	0x025402c6, 	/* ysem.thread_number .. ysem.thread_error_high (2 regs) */
1721320164Sdavidcs	0x09540404, 	/* ysem.sync_foc_fifo_wr_alm_full .. ysem.stall_on_breakpoint (9 regs) */
1722320164Sdavidcs	0x0554045b, 	/* ysem.pb_queue_empty .. ysem.ext_store_pre_fetch_fifo_empty (5 regs) */
1723316485Sdavidcs	0x0354049f, 	/* ysem.sync_ready_fifo_full .. ysem.sync_foc_fifo_full (3 regs) */
1724316485Sdavidcs	0x1054050a, 	/* ysem.dbg_queue_peformance_mon_stat .. ysem.dbg_queue_max_sleep_value (16 regs) */
1725316485Sdavidcs	0x01550122, 	/* ysem.fast_memory.stall_common (1 regs) */
1726316485Sdavidcs	0x01550132, 	/* ysem.fast_memory.pram_last_addr_a (1 regs) */
1727316485Sdavidcs	0x07550136, 	/* ysem.fast_memory.data_breakpoint_address_start .. ysem.fast_memory.stall_storm_b (7 regs) */
1728316485Sdavidcs	0x015501dd, 	/* ysem.fast_memory.dbg_gpre_vect (1 regs) */
1729316485Sdavidcs	0x01550214, 	/* ysem.fast_memory.sync_dra_wr_alm_full (1 regs) */
1730316485Sdavidcs	0x01550291, 	/* ysem.fast_memory.storm_active_cycles_a (1 regs) */
1731316485Sdavidcs	0x08550293, 	/* ysem.fast_memory.storm_stall_cycles_a .. ysem.fast_memory.lock_max_cycle_stall (8 regs) */
1732316485Sdavidcs	0x112f0000, 	/* block psem */
1733316485Sdavidcs	0x08580005, 	/* psem.passive_buffer_write_wrr_arbiter .. psem.passive_buffer_dra_wr (8 regs) */
1734316485Sdavidcs	0x02580018, 	/* psem.INT_STS_2 .. psem.INT_MASK_2 (2 regs) */
1735316485Sdavidcs	0x01580114, 	/* psem.gpi_data_a (1 regs) */
1736316485Sdavidcs	0x03580118, 	/* psem.pb_wr_sdm_dma_mode .. psem.gpi_data_b (3 regs) */
1737316485Sdavidcs	0x015802c1, 	/* psem.thread_error_low (1 regs) */
1738316485Sdavidcs	0x025802c6, 	/* psem.thread_number .. psem.thread_error_high (2 regs) */
1739320164Sdavidcs	0x09580404, 	/* psem.sync_foc_fifo_wr_alm_full .. psem.stall_on_breakpoint (9 regs) */
1740320164Sdavidcs	0x0558045b, 	/* psem.pb_queue_empty .. psem.ext_store_pre_fetch_fifo_empty (5 regs) */
1741316485Sdavidcs	0x0358049f, 	/* psem.sync_ready_fifo_full .. psem.sync_foc_fifo_full (3 regs) */
1742316485Sdavidcs	0x1058050a, 	/* psem.dbg_queue_peformance_mon_stat .. psem.dbg_queue_max_sleep_value (16 regs) */
1743316485Sdavidcs	0x01590122, 	/* psem.fast_memory.stall_common (1 regs) */
1744316485Sdavidcs	0x01590132, 	/* psem.fast_memory.pram_last_addr_a (1 regs) */
1745316485Sdavidcs	0x07590136, 	/* psem.fast_memory.data_breakpoint_address_start .. psem.fast_memory.stall_storm_b (7 regs) */
1746316485Sdavidcs	0x015901dd, 	/* psem.fast_memory.dbg_gpre_vect (1 regs) */
1747316485Sdavidcs	0x01590214, 	/* psem.fast_memory.sync_dra_wr_alm_full (1 regs) */
1748316485Sdavidcs	0x01590291, 	/* psem.fast_memory.storm_active_cycles_a (1 regs) */
1749316485Sdavidcs	0x08590293, 	/* psem.fast_memory.storm_stall_cycles_a .. psem.fast_memory.lock_max_cycle_stall (8 regs) */
1750316485Sdavidcs	0x01300000, 	/* block rss */
1751316485Sdavidcs	0x0408e326, 	/* rss.fifo_full_status1 .. rss.state_machines1 (4 regs) */
1752316485Sdavidcs	0x01310000, 	/* block tmld */
1753320164Sdavidcs	0x3a134240, 	/* tmld.l2ma_aggr_config1 .. tmld.scbd_wrr_weight_q3 (58 regs) */
1754316485Sdavidcs	0x01320000, 	/* block muld */
1755320164Sdavidcs	0x37138500, 	/* muld.l2ma_aggr_config1 .. muld.page_size (55 regs) */
1756316485Sdavidcs	0x01340000, 	/* block xyld */
1757320164Sdavidcs	0x3a130240, 	/* xyld.l2ma_aggr_config1 .. xyld.scbd_wrr_weight_q3 (58 regs) */
1758316485Sdavidcs	0x06350000, 	/* block ptld */
1759320164Sdavidcs	0x10168000, 	/* ptld.foci_foc_credits .. ptld.cm_hdr_127_96 (16 regs) */
1760320164Sdavidcs	0x03168011, 	/* ptld.stat_fic_msg .. ptld.len_err_log_2 (3 regs) */
1761320164Sdavidcs	0x01168015, 	/* ptld.len_err_log_v (1 regs) */
1762320164Sdavidcs	0x02168060, 	/* ptld.INT_STS .. ptld.INT_MASK (2 regs) */
1763320164Sdavidcs	0x36168300, 	/* ptld.l2ma_aggr_config1 .. ptld.ld_max_msg_size (54 regs) */
1764320164Sdavidcs	0x05168580, 	/* ptld.dbg_select .. ptld.dbg_force_frame (5 regs) */
1765316485Sdavidcs	0x06360000, 	/* block ypld */
1766320164Sdavidcs	0x10170000, 	/* ypld.foci_foc_credits .. ypld.cm_hdr_127_96 (16 regs) */
1767320164Sdavidcs	0x03170011, 	/* ypld.stat_fic_msg .. ypld.len_err_log_2 (3 regs) */
1768320164Sdavidcs	0x01170015, 	/* ypld.len_err_log_v (1 regs) */
1769320164Sdavidcs	0x02170060, 	/* ypld.INT_STS .. ypld.INT_MASK (2 regs) */
1770320164Sdavidcs	0x36170300, 	/* ypld.l2ma_aggr_config1 .. ypld.ld_max_msg_size (54 regs) */
1771320164Sdavidcs	0x05170580, 	/* ypld.dbg_select .. ypld.dbg_force_frame (5 regs) */
1772320164Sdavidcs	0x01370000, 	/* block prm */
1773320164Sdavidcs	0x1308c182, 	/* prm.num_of_wdone .. prm.num_of_xcm_done (19 regs) */
1774316485Sdavidcs	0x013b0000, 	/* block btb */
1775320164Sdavidcs	0x0236d000, 	/* btb.wc_ll_high_pri .. btb.br_fix_high_pri_collision (2 regs) */
1776320164Sdavidcs	0x163c0000, 	/* block pbf */
1777320164Sdavidcs	0x03360002, 	/* pbf.sal_cache_init_done .. pbf.sal_cam_scrub_miss_en (3 regs) */
1778316485Sdavidcs	0x0236010c, 	/* pbf.tgfs_main_if_init_crd .. pbf.tgfs_side_if_init_crd (2 regs) */
1779316485Sdavidcs	0x0836014a, 	/* pbf.same_as_last_config .. pbf.num_lookups_in_sal (8 regs) */
1780320164Sdavidcs	0x0236016a, 	/* pbf.event_id_mask_config .. pbf.event_id_l2_tags_exist_mask_config (2 regs) */
1781320164Sdavidcs	0x0136019d, 	/* pbf.per_voq_stat_mask_loopback (1 regs) */
1782320164Sdavidcs	0x0c3602e8, 	/* pbf.ycmd_qs_num_lines_voq20 .. pbf.num_blocks_allocated_cons_voq20 (12 regs) */
1783320164Sdavidcs	0x0c3602f8, 	/* pbf.ycmd_qs_num_lines_voq21 .. pbf.num_blocks_allocated_cons_voq21 (12 regs) */
1784320164Sdavidcs	0x0c360308, 	/* pbf.ycmd_qs_num_lines_voq22 .. pbf.num_blocks_allocated_cons_voq22 (12 regs) */
1785320164Sdavidcs	0x0c360318, 	/* pbf.ycmd_qs_num_lines_voq23 .. pbf.num_blocks_allocated_cons_voq23 (12 regs) */
1786320164Sdavidcs	0x0c360328, 	/* pbf.ycmd_qs_num_lines_voq24 .. pbf.num_blocks_allocated_cons_voq24 (12 regs) */
1787320164Sdavidcs	0x0c360338, 	/* pbf.ycmd_qs_num_lines_voq25 .. pbf.num_blocks_allocated_cons_voq25 (12 regs) */
1788320164Sdavidcs	0x0c360348, 	/* pbf.ycmd_qs_num_lines_voq26 .. pbf.num_blocks_allocated_cons_voq26 (12 regs) */
1789320164Sdavidcs	0x0c360358, 	/* pbf.ycmd_qs_num_lines_voq27 .. pbf.num_blocks_allocated_cons_voq27 (12 regs) */
1790320164Sdavidcs	0x0c360368, 	/* pbf.ycmd_qs_num_lines_voq28 .. pbf.num_blocks_allocated_cons_voq28 (12 regs) */
1791320164Sdavidcs	0x0c360378, 	/* pbf.ycmd_qs_num_lines_voq29 .. pbf.num_blocks_allocated_cons_voq29 (12 regs) */
1792320164Sdavidcs	0x0c360388, 	/* pbf.ycmd_qs_num_lines_voq30 .. pbf.num_blocks_allocated_cons_voq30 (12 regs) */
1793320164Sdavidcs	0x0c360398, 	/* pbf.ycmd_qs_num_lines_voq31 .. pbf.num_blocks_allocated_cons_voq31 (12 regs) */
1794320164Sdavidcs	0x0c3603a8, 	/* pbf.ycmd_qs_num_lines_voq32 .. pbf.num_blocks_allocated_cons_voq32 (12 regs) */
1795320164Sdavidcs	0x0c3603b8, 	/* pbf.ycmd_qs_num_lines_voq33 .. pbf.num_blocks_allocated_cons_voq33 (12 regs) */
1796320164Sdavidcs	0x0c3603c8, 	/* pbf.ycmd_qs_num_lines_voq34 .. pbf.num_blocks_allocated_cons_voq34 (12 regs) */
1797320164Sdavidcs	0x0c3603d8, 	/* pbf.ycmd_qs_num_lines_voq35 .. pbf.num_blocks_allocated_cons_voq35 (12 regs) */
1798320164Sdavidcs	0x013603e8, 	/* pbf.eco_reserved (1 regs) */
1799320164Sdavidcs	0x013d0000, 	/* block rdif */
1800320164Sdavidcs	0x010c0038, 	/* rdif.e4_backward_compatible_mode (1 regs) */
1801320164Sdavidcs	0x013e0000, 	/* block tdif */
1802320164Sdavidcs	0x090c4040, 	/* tdif.stat_num_err_interval_8 .. tdif.e4_backward_compatible_mode (9 regs) */
1803316485Sdavidcs	0x01400000, 	/* block ccfc */
1804316485Sdavidcs	0x010b8204, 	/* ccfc.eio_threshold (1 regs) */
1805316485Sdavidcs	0x01410000, 	/* block tcfc */
1806316485Sdavidcs	0x010b4204, 	/* tcfc.eio_threshold (1 regs) */
1807316485Sdavidcs	0x01420000, 	/* block igu */
1808316485Sdavidcs	0x09060315, 	/* igu.vf_with_more_16sb_21 .. igu.vf_with_more_16sb_29 (9 regs) */
1809316485Sdavidcs	0x06450000, 	/* block rgsrc */
1810316485Sdavidcs	0x050c8010, 	/* rgsrc.dbg_select .. rgsrc.dbg_force_frame (5 regs) */
1811316485Sdavidcs	0x020c8060, 	/* rgsrc.INT_STS .. rgsrc.INT_MASK (2 regs) */
1812316485Sdavidcs	0x010c8080, 	/* rgsrc.eco_reserved (1 regs) */
1813316485Sdavidcs	0x040c8100, 	/* rgsrc.cache_en .. rgsrc.max_hops (4 regs) */
1814320164Sdavidcs	0x040c8114, 	/* rgsrc.pxp_ctrl .. rgsrc.num_inhouse_cmd (4 regs) */
1815320164Sdavidcs	0x090c8119, 	/* rgsrc.num_src_cmd .. rgsrc.num_src_cmd_hit_hop_3_or_more (9 regs) */
1816316485Sdavidcs	0x06470000, 	/* block tgsrc */
1817316485Sdavidcs	0x050c8810, 	/* tgsrc.dbg_select .. tgsrc.dbg_force_frame (5 regs) */
1818316485Sdavidcs	0x020c8860, 	/* tgsrc.INT_STS .. tgsrc.INT_MASK (2 regs) */
1819316485Sdavidcs	0x010c8880, 	/* tgsrc.eco_reserved (1 regs) */
1820316485Sdavidcs	0x040c8900, 	/* tgsrc.cache_en .. tgsrc.max_hops (4 regs) */
1821320164Sdavidcs	0x040c8914, 	/* tgsrc.pxp_ctrl .. tgsrc.num_inhouse_cmd (4 regs) */
1822320164Sdavidcs	0x090c8919, 	/* tgsrc.num_src_cmd .. tgsrc.num_src_cmd_hit_hop_3_or_more (9 regs) */
1823316485Sdavidcs	0x014a0000, 	/* block dbg */
1824320164Sdavidcs	0x020042ea, 	/* dbg.filter_mode .. dbg.trigger_semi_core (2 regs) */
1825316485Sdavidcs	0x034b0000, 	/* block nig */
1826316485Sdavidcs	0x0214003c, 	/* nig.INT_STS_10 .. nig.INT_MASK_10 (2 regs) */
1827316485Sdavidcs	0x01143600, 	/* nig.tx_bmb_fifo_alm_full_thr (1 regs) */
1828316485Sdavidcs	0x29143603, 	/* nig.tx_ooo_rfifo_full .. nig.ipv6_ext_authentication_hdr_type_valid (41 regs) */
1829320164Sdavidcs	0x08010015, 	/* mode !(k2|e5), block miscs */
1830320164Sdavidcs	0x03002458, 	/* miscs.memctrl_wr_rd_n .. miscs.memctrl_address (3 regs) */
1831320164Sdavidcs	0x02002464, 	/* miscs.INT_STS_1 .. miscs.INT_MASK_1 (2 regs) */
1832320164Sdavidcs	0x010025c1, 	/* miscs.nig_dbg_vector (1 regs) */
1833320164Sdavidcs	0x030025e8, 	/* miscs.pcie_rst_prepared_assert_cnt .. miscs.pcie_rst_deassert_cnt (3 regs) */
1834320164Sdavidcs	0x010025ec, 	/* miscs.pcie_rst_n (1 regs) */
1835320164Sdavidcs	0x030025ef, 	/* miscs.avs_otp_sram_ctrl .. miscs.avs_otp_ctrl_vmgmt (3 regs) */
1836320164Sdavidcs	0x060025f4, 	/* miscs.avs_pvtmon_daccode .. miscs.opte_almfull_thr (6 regs) */
1837320164Sdavidcs	0x040025fb, 	/* miscs.avs_clock_observe .. miscs.avs_tp_out (4 regs) */
1838320164Sdavidcs	0x01020000, 	/* block misc */
1839320164Sdavidcs	0x02002301, 	/* misc.xmac_phy_port_mode .. misc.xmac_core_port_mode (2 regs) */
1840320164Sdavidcs	0x01040000, 	/* block pglue_b */
1841320164Sdavidcs	0x030aa12f, 	/* pglue_b.memctrl_wr_rd_n .. pglue_b.memctrl_address (3 regs) */
1842320164Sdavidcs	0x04050000, 	/* block cnig */
1843320164Sdavidcs	0x02086080, 	/* cnig.nw_port_mode .. cnig.nw_serdes_swap (2 regs) */
1844320164Sdavidcs	0x25086095, 	/* cnig.mac_led_swap .. cnig.cnig_dbg_ifmux_phy_lasi_b (37 regs) */
1845320164Sdavidcs	0x010860be, 	/* cnig.cnig_dbg_nigtx_fifo_afull_thresh_large (1 regs) */
1846320164Sdavidcs	0x0a0860c8, 	/* cnig.pmeg_sign_ext .. cnig.pmfc_crc_tx_corrupt_on_error (10 regs) */
1847320164Sdavidcs	0x26150000, 	/* block pglcs */
1848320164Sdavidcs	0x05000902, 	/* pglcs.pgl_cs.config_2 .. pglcs.pgl_cs.pci_extended_bar_siz (5 regs) */
1849320164Sdavidcs	0x0800090a, 	/* pglcs.pgl_cs.reg_vpd_intf .. pglcs.pgl_cs.reg_id_val5 (8 regs) */
1850320164Sdavidcs	0x04000913, 	/* pglcs.pgl_cs.reg_id_val6 .. pglcs.pgl_cs.reg_msi_addr_l (4 regs) */
1851320164Sdavidcs	0x03000919, 	/* pglcs.pgl_cs.reg_msi_mask .. pglcs.pgl_cs.reg_pm_data_c (3 regs) */
1852320164Sdavidcs	0x03000930, 	/* pglcs.pgl_cs.reg_msix_control .. pglcs.pgl_cs.reg_msix_pba_off_bir (3 regs) */
1853320164Sdavidcs	0x1a000934, 	/* pglcs.pgl_cs.reg_pcie_capability .. pglcs.pgl_cs.reg_pwr_bdgt_data_8 (26 regs) */
1854320164Sdavidcs	0x02000950, 	/* pglcs.pgl_cs.reg_l1sub_cap .. pglcs.pgl_cs.reg_l1sub_ext_cap (2 regs) */
1855320164Sdavidcs	0x06000954, 	/* pglcs.pgl_cs.reg_pwr_bdgt_capability .. pglcs.pgl_cs.reg_rc_user_mem_hi2 (6 regs) */
1856320164Sdavidcs	0x1000097b, 	/* pglcs.pgl_cs.reg_PCIER_MC_WINDOW_SIZE_REQ .. pglcs.pgl_cs.reg_vf_nsp (16 regs) */
1857320164Sdavidcs	0x0200098c, 	/* pglcs.pgl_cs.reg_ats_inld_queue_depth .. pglcs.pgl_cs.reg_VFTPH_CAP (2 regs) */
1858320164Sdavidcs	0x0e000a00, 	/* pglcs.pgl_cs.tl_control_0 .. pglcs.pgl_cs.user_control_8 (14 regs) */
1859320164Sdavidcs	0x0c000a0f, 	/* pglcs.pgl_cs.tl_control_6 .. pglcs.pgl_cs.tl_rst_ctrl (12 regs) */
1860320164Sdavidcs	0x0a000a1c, 	/* pglcs.pgl_cs.tl_obff_ctrl .. pglcs.pgl_cs.tl_func14to15_stat (10 regs) */
1861320164Sdavidcs	0x29000a40, 	/* pglcs.pgl_cs.tl_status_0 .. pglcs.pgl_cs.tl_rst_debug (41 regs) */
1862320164Sdavidcs	0x01000a81, 	/* pglcs.pgl_cs.tl_iov_vfctl_0 (1 regs) */
1863320164Sdavidcs	0x02000a84, 	/* pglcs.pgl_cs.tl_fcimm_np_limit .. pglcs.pgl_cs.tl_fcimm_p_limit (2 regs) */
1864320164Sdavidcs	0x0a000a87, 	/* pglcs.pgl_cs.reg_capena_fn0_mask .. pglcs.pgl_cs.ptm_mstr_prop_dly (10 regs) */
1865320164Sdavidcs	0x0a000a94, 	/* pglcs.pgl_cs.PCIER_TL_STAT_TX_CTL .. pglcs.pgl_cs.PCIER_TL_STAT_RX_CTR_HI (10 regs) */
1866320164Sdavidcs	0x05000b00, 	/* pglcs.pgl_cs.PCIER_DBG_FIFO_CTLSTAT .. pglcs.pgl_cs.PCIER_TLPL_DBG_FIFO_CTL (5 regs) */
1867320164Sdavidcs	0x1a000b06, 	/* pglcs.pgl_cs.PCIER_DBG_FIFO_RD_9 .. pglcs.pgl_cs.PCIER_TLDA1_RDFIFO_0 (26 regs) */
1868320164Sdavidcs	0x0f000c00, 	/* pglcs.pgl_cs.pdl_control_0 .. pglcs.pgl_cs.pdl_control_14 (15 regs) */
1869320164Sdavidcs	0x08000c10, 	/* pglcs.pgl_cs.DLATTN_VEC .. pglcs.pgl_cs.dl_spare0 (8 regs) */
1870320164Sdavidcs	0x09000c40, 	/* pglcs.pgl_cs.mdio_addr .. pglcs.pgl_cs.ate_tlp_ctl (9 regs) */
1871320164Sdavidcs	0x03000c4c, 	/* pglcs.pgl_cs.serdes_pmi_addr .. pglcs.pgl_cs.serdes_pmi_rdata (3 regs) */
1872320164Sdavidcs	0x14000d00, 	/* pglcs.pgl_cs.dl_dbg_0 .. pglcs.pgl_cs.dl_dbg_19 (20 regs) */
1873320164Sdavidcs	0x0a000e00, 	/* pglcs.pgl_cs.reg_phy_ctl_0 .. pglcs.pgl_cs.phy_err_attn_mask (10 regs) */
1874320164Sdavidcs	0x08000e0c, 	/* pglcs.pgl_cs.reg_phy_ctl_8 .. pglcs.pgl_cs.reg_phy_ctl_15 (8 regs) */
1875320164Sdavidcs	0x04000e15, 	/* pglcs.pgl_cs.reg_phy_ctl_16 .. pglcs.pgl_cs.pl_gen3_ena_frmerr (4 regs) */
1876320164Sdavidcs	0x05000e40, 	/* pglcs.pgl_cs.pl_lpbk_master_ctl0 .. pglcs.pgl_cs.pl_lpbk_master_tx_setting (5 regs) */
1877320164Sdavidcs	0x01000e4c, 	/* pglcs.pgl_cs.pl_sw_ltssm_ctl (1 regs) */
1878320164Sdavidcs	0x10000e50, 	/* pglcs.pgl_cs.pcie_statis_ctl .. pglcs.pgl_cs.pcie_rxtlperr_statis (16 regs) */
1879320164Sdavidcs	0x06000e68, 	/* pglcs.pgl_cs.ltssm_statis_ctl .. pglcs.pgl_cs.ltssm_statis_cnt (6 regs) */
1880320164Sdavidcs	0x09000f00, 	/* pglcs.pgl_cs.Received_MCP_Errors_1512 .. pglcs.pgl_cs.rx_fts_limit (9 regs) */
1881320164Sdavidcs	0x06000f34, 	/* pglcs.pgl_cs.fts_hist .. pglcs.pgl_cs.recovery_hist_1 (6 regs) */
1882320164Sdavidcs	0x28000f3b, 	/* pglcs.pgl_cs.phy_ltssm_hist_0 .. pglcs.pgl_cs.phy_dbg_sed_extcfg_74 (40 regs) */
1883320164Sdavidcs	0x01000f64, 	/* pglcs.pgl_cs.phy_dbg_preset_lut (1 regs) */
1884320164Sdavidcs	0x01000f80, 	/* pglcs.pgl_cs.phy_dbg_muxed_sigs (1 regs) */
1885320164Sdavidcs	0x05000f84, 	/* pglcs.pgl_cs.phy_dbg_clkreq_0 .. pglcs.pgl_cs.misc_dbg_status (5 regs) */
1886320164Sdavidcs	0x01160000, 	/* block dmae */
1887320164Sdavidcs	0x03003140, 	/* dmae.memctrl_wr_rd_n .. dmae.memctrl_address (3 regs) */
1888320164Sdavidcs	0x011a0000, 	/* block ucm */
1889320164Sdavidcs	0x034a0010, 	/* ucm.memctrl_wr_rd_n .. ucm.memctrl_address (3 regs) */
1890320164Sdavidcs	0x01200000, 	/* block dorq */
1891320164Sdavidcs	0x030402b0, 	/* dorq.memctrl_wr_rd_n .. dorq.memctrl_address (3 regs) */
1892320164Sdavidcs	0x01210000, 	/* block brb */
1893320164Sdavidcs	0x040d0700, 	/* brb.memctrl_wr_rd_n .. brb.memctrl_status (4 regs) */
1894320164Sdavidcs	0x01230000, 	/* block prs */
1895320164Sdavidcs	0x0707c3e0, 	/* prs.cam_bist_en .. prs.cam_bist_dbg_compare_en (7 regs) */
1896320164Sdavidcs	0x012a0000, 	/* block tsem */
1897320164Sdavidcs	0x045d0330, 	/* tsem.fast_memory.memctrl_wr_rd_n .. tsem.fast_memory.memctrl_status (4 regs) */
1898320164Sdavidcs	0x012b0000, 	/* block msem */
1899320164Sdavidcs	0x04610330, 	/* msem.fast_memory.memctrl_wr_rd_n .. msem.fast_memory.memctrl_status (4 regs) */
1900320164Sdavidcs	0x012c0000, 	/* block usem */
1901320164Sdavidcs	0x04650330, 	/* usem.fast_memory.memctrl_wr_rd_n .. usem.fast_memory.memctrl_status (4 regs) */
1902320164Sdavidcs	0x012d0000, 	/* block xsem */
1903320164Sdavidcs	0x04510330, 	/* xsem.fast_memory.memctrl_wr_rd_n .. xsem.fast_memory.memctrl_status (4 regs) */
1904320164Sdavidcs	0x012e0000, 	/* block ysem */
1905320164Sdavidcs	0x04550330, 	/* ysem.fast_memory.memctrl_wr_rd_n .. ysem.fast_memory.memctrl_status (4 regs) */
1906320164Sdavidcs	0x012f0000, 	/* block psem */
1907320164Sdavidcs	0x04590330, 	/* psem.fast_memory.memctrl_wr_rd_n .. psem.fast_memory.memctrl_status (4 regs) */
1908320164Sdavidcs	0x01300000, 	/* block rss */
1909320164Sdavidcs	0x0408e322, 	/* rss.memctrl_wr_rd_n .. rss.memctrl_status (4 regs) */
1910320164Sdavidcs	0x013c0000, 	/* block pbf */
1911320164Sdavidcs	0x03360040, 	/* pbf.memctrl_wr_rd_n .. pbf.memctrl_address (3 regs) */
1912320164Sdavidcs	0x013f0000, 	/* block cdu */
1913320164Sdavidcs	0x041601d3, 	/* cdu.memctrl_wr_rd_n .. cdu.memctrl_status (4 regs) */
1914320164Sdavidcs	0x01420000, 	/* block igu */
1915320164Sdavidcs	0x07060018, 	/* igu.cam_bist_en .. igu.cam_bist_dbg_compare_en (7 regs) */
1916320164Sdavidcs	0x024a0000, 	/* block dbg */
1917320164Sdavidcs	0x0a0042b0, 	/* dbg.cpu_mbist_memctrl_0_cntrl_cmd .. dbg.cpu_mbist_memctrl_9_cntrl_cmd (10 regs) */
1918320164Sdavidcs	0x030042e5, 	/* dbg.memctrl_wr_rd_n .. dbg.memctrl_address (3 regs) */
1919320164Sdavidcs	0x024b0000, 	/* block nig */
1920320164Sdavidcs	0x04142218, 	/* nig.user_one_step_type .. nig.ts_shift (4 regs) */
1921320164Sdavidcs	0x0114221e, 	/* nig.user_one_step_32 (1 regs) */
1922320164Sdavidcs	0x04010043, 	/* mode !e5, block miscs */
1923316485Sdavidcs	0x010025bc, 	/* miscs.function_hide (1 regs) */
1924320164Sdavidcs	0x020025c2, 	/* miscs.four_port_shared_mdio_en .. miscs.sel_dbg_ifmux_test (2 regs) */
1925320164Sdavidcs	0x020025c9, 	/* miscs.mdio_override .. miscs.mdio_subscription (2 regs) */
1926320164Sdavidcs	0x010025df, 	/* miscs.LINK_IN_L23 (1 regs) */
1927316485Sdavidcs	0x01170000, 	/* block ptu */
1928316485Sdavidcs	0x0e158165, 	/* ptu.atc_fli_done_vf_31_0 .. ptu.atc_fli_done_clr_pf_15_0 (14 regs) */
1929320164Sdavidcs	0x06180000, 	/* block tcm */
1930316485Sdavidcs	0x01460186, 	/* tcm.prs_weight (1 regs) */
1931320164Sdavidcs	0x06460193, 	/* tcm.storm_frwrd_mode .. tcm.pbf_frwrd_mode (6 regs) */
1932316485Sdavidcs	0x014602ad, 	/* tcm.prs_length_mis (1 regs) */
1933316485Sdavidcs	0x014602b6, 	/* tcm.prs_msg_cntr (1 regs) */
1934316485Sdavidcs	0x014602c4, 	/* tcm.is_prs_fill_lvl (1 regs) */
1935316485Sdavidcs	0x014602e5, 	/* tcm.is_foc_prs_nxt_inf_unit (1 regs) */
1936320164Sdavidcs	0x01190000, 	/* block mcm */
1937320164Sdavidcs	0x08480193, 	/* mcm.storm_frwrd_mode .. mcm.pbf_frwrd_mode (8 regs) */
1938320164Sdavidcs	0x061a0000, 	/* block ucm */
1939316485Sdavidcs	0x014a018b, 	/* ucm.yuld_weight (1 regs) */
1940320164Sdavidcs	0x0b4a0196, 	/* ucm.storm_frwrd_mode .. ucm.pbf_frwrd_mode (11 regs) */
1941316485Sdavidcs	0x014a02b2, 	/* ucm.yuld_length_mis (1 regs) */
1942316485Sdavidcs	0x014a02bc, 	/* ucm.yuld_msg_cntr (1 regs) */
1943316485Sdavidcs	0x014a02cd, 	/* ucm.is_yuld_fill_lvl (1 regs) */
1944316485Sdavidcs	0x014a02eb, 	/* ucm.is_foc_yuld_nxt_inf_unit (1 regs) */
1945320164Sdavidcs	0x011b0000, 	/* block xcm */
1946320164Sdavidcs	0x0a400196, 	/* xcm.storm_frwrd_mode .. xcm.pbf_frwrd_mode (10 regs) */
1947320164Sdavidcs	0x011c0000, 	/* block ycm */
1948320164Sdavidcs	0x07420192, 	/* ycm.storm_frwrd_mode .. ycm.pbf_frwrd_mode (7 regs) */
1949316485Sdavidcs	0x061d0000, 	/* block pcm */
1950316485Sdavidcs	0x01440182, 	/* pcm.pbf_weight (1 regs) */
1951320164Sdavidcs	0x0344018c, 	/* pcm.storm_frwrd_mode .. pcm.pbf_frwrd_mode (3 regs) */
1952316485Sdavidcs	0x014402ab, 	/* pcm.pbf_length_mis (1 regs) */
1953316485Sdavidcs	0x014402b0, 	/* pcm.pbf_msg_cntr (1 regs) */
1954316485Sdavidcs	0x014402b4, 	/* pcm.is_pbf_fill_lvl (1 regs) */
1955316485Sdavidcs	0x014402e3, 	/* pcm.is_foc_pbf_nxt_inf_unit (1 regs) */
1956316485Sdavidcs	0x02200000, 	/* block dorq */
1957316485Sdavidcs	0x0104020e, 	/* dorq.edpm_exist_in_qm_en (1 regs) */
1958316485Sdavidcs	0x02040241, 	/* dorq.l2_edpm_ext_hdr_size .. dorq.l2_edpm_ext_hdr_offs (2 regs) */
1959316485Sdavidcs	0x02210000, 	/* block brb */
1960316485Sdavidcs	0x010d044a, 	/* brb.rc_eop_inp_sync_fifo_push_status_1 (1 regs) */
1961316485Sdavidcs	0x010d0459, 	/* brb.rc_eop_out_sync_fifo_push_status_1 (1 regs) */
1962316485Sdavidcs	0x06230000, 	/* block prs */
1963316485Sdavidcs	0x0107c2d4, 	/* prs.prs_pkt_ct (1 regs) */
1964316485Sdavidcs	0x0307c2ec, 	/* prs.fc_dbg_select .. prs.fc_dbg_shift (3 regs) */
1965316485Sdavidcs	0x0887c2f0, 	/* prs.fc_dbg_out_data (8 regs, WB) */
1966316485Sdavidcs	0x0407c2f8, 	/* prs.fc_dbg_force_valid .. prs.fc_dbg_out_frame (4 regs) */
1967316485Sdavidcs	0x0107c3c0, 	/* prs.tcm_initial_credit (1 regs) */
1968316485Sdavidcs	0x0107c3c3, 	/* prs.tcm_current_credit (1 regs) */
1969316485Sdavidcs	0x1d2a0000, 	/* block tsem */
1970316485Sdavidcs	0x045c0001, 	/* tsem.enable_in .. tsem.pas_disable (4 regs) */
1971316485Sdavidcs	0x015c0100, 	/* tsem.arb_cycle_size (1 regs) */
1972316485Sdavidcs	0x035c0113, 	/* tsem.ext_store_free_entries .. tsem.gpre_samp_period (3 regs) */
1973316485Sdavidcs	0x015c0180, 	/* tsem.fic_min_msg (1 regs) */
1974316485Sdavidcs	0x025c0188, 	/* tsem.fic_empty_ct_mode .. tsem.fic_empty_ct_cnt (2 regs) */
1975316485Sdavidcs	0x015c01b0, 	/* tsem.full_foc_dra_strt_en (1 regs) */
1976316485Sdavidcs	0x10dc01c0, 	/* tsem.fin_command (16 regs, WB) */
1977316485Sdavidcs	0x015c0240, 	/* tsem.invld_pas_wr_en (1 regs) */
1978316485Sdavidcs	0x035c0260, 	/* tsem.arbiter_request .. tsem.arbiter_slot (3 regs) */
1979316485Sdavidcs	0x025c02c1, 	/* tsem.thread_error .. tsem.thread_rdy (2 regs) */
1980316485Sdavidcs	0x015c02c5, 	/* tsem.threads_list (1 regs) */
1981316485Sdavidcs	0x015c0380, 	/* tsem.order_pop_en (1 regs) */
1982316485Sdavidcs	0x015c0382, 	/* tsem.order_wake_en (1 regs) */
1983316485Sdavidcs	0x015c0384, 	/* tsem.pf_num_order_base (1 regs) */
1984316485Sdavidcs	0x015c0402, 	/* tsem.sync_dra_wr_alm_full (1 regs) */
1985316485Sdavidcs	0x015c0440, 	/* tsem.dra_empty (1 regs) */
1986316485Sdavidcs	0x045c0450, 	/* tsem.slow_dbg_empty .. tsem.slow_dra_wr_empty (4 regs) */
1987320164Sdavidcs	0x015c0456, 	/* tsem.slow_ram_rd_empty (1 regs) */
1988316485Sdavidcs	0x025c0459, 	/* tsem.thread_fifo_empty .. tsem.ord_id_fifo_empty (2 regs) */
1989316485Sdavidcs	0x015c0490, 	/* tsem.pas_if_full (1 regs) */
1990316485Sdavidcs	0x055c0492, 	/* tsem.slow_dbg_alm_full .. tsem.slow_dra_wr_full (5 regs) */
1991316485Sdavidcs	0x025c049d, 	/* tsem.thread_fifo_full .. tsem.ord_id_fifo_full (2 regs) */
1992316485Sdavidcs	0x035c04c0, 	/* tsem.thread_inter_cnt .. tsem.thread_orun_num (3 regs) */
1993316485Sdavidcs	0x0a5c0500, 	/* tsem.slow_dbg_active .. tsem.dbg_msg_src (10 regs) */
1994320164Sdavidcs	0x015d0001, 	/* tsem.fast_memory.ram_ext_disable (1 regs) */
1995316485Sdavidcs	0x035d0122, 	/* tsem.fast_memory.stall_0 .. tsem.fast_memory.stall_2 (3 regs) */
1996316485Sdavidcs	0x015d0132, 	/* tsem.fast_memory.pram_last_addr (1 regs) */
1997316485Sdavidcs	0x015d0291, 	/* tsem.fast_memory.storm_active_cycles (1 regs) */
1998316485Sdavidcs	0x035d0293, 	/* tsem.fast_memory.storm_stall_cycles .. tsem.fast_memory.idle_inactive_cycles (3 regs) */
1999320164Sdavidcs	0x1d2b0000, 	/* block msem */
2000316485Sdavidcs	0x04600001, 	/* msem.enable_in .. msem.pas_disable (4 regs) */
2001316485Sdavidcs	0x01600100, 	/* msem.arb_cycle_size (1 regs) */
2002316485Sdavidcs	0x03600113, 	/* msem.ext_store_free_entries .. msem.gpre_samp_period (3 regs) */
2003316485Sdavidcs	0x01600180, 	/* msem.fic_min_msg (1 regs) */
2004316485Sdavidcs	0x02600188, 	/* msem.fic_empty_ct_mode .. msem.fic_empty_ct_cnt (2 regs) */
2005316485Sdavidcs	0x016001b0, 	/* msem.full_foc_dra_strt_en (1 regs) */
2006316485Sdavidcs	0x10e001c0, 	/* msem.fin_command (16 regs, WB) */
2007316485Sdavidcs	0x01600240, 	/* msem.invld_pas_wr_en (1 regs) */
2008316485Sdavidcs	0x03600260, 	/* msem.arbiter_request .. msem.arbiter_slot (3 regs) */
2009316485Sdavidcs	0x026002c1, 	/* msem.thread_error .. msem.thread_rdy (2 regs) */
2010316485Sdavidcs	0x016002c5, 	/* msem.threads_list (1 regs) */
2011316485Sdavidcs	0x01600380, 	/* msem.order_pop_en (1 regs) */
2012316485Sdavidcs	0x01600382, 	/* msem.order_wake_en (1 regs) */
2013316485Sdavidcs	0x01600384, 	/* msem.pf_num_order_base (1 regs) */
2014316485Sdavidcs	0x01600402, 	/* msem.sync_dra_wr_alm_full (1 regs) */
2015316485Sdavidcs	0x01600440, 	/* msem.dra_empty (1 regs) */
2016316485Sdavidcs	0x04600450, 	/* msem.slow_dbg_empty .. msem.slow_dra_wr_empty (4 regs) */
2017320164Sdavidcs	0x01600456, 	/* msem.slow_ram_rd_empty (1 regs) */
2018316485Sdavidcs	0x02600459, 	/* msem.thread_fifo_empty .. msem.ord_id_fifo_empty (2 regs) */
2019316485Sdavidcs	0x01600490, 	/* msem.pas_if_full (1 regs) */
2020316485Sdavidcs	0x05600492, 	/* msem.slow_dbg_alm_full .. msem.slow_dra_wr_full (5 regs) */
2021316485Sdavidcs	0x0260049d, 	/* msem.thread_fifo_full .. msem.ord_id_fifo_full (2 regs) */
2022316485Sdavidcs	0x036004c0, 	/* msem.thread_inter_cnt .. msem.thread_orun_num (3 regs) */
2023316485Sdavidcs	0x0a600500, 	/* msem.slow_dbg_active .. msem.dbg_msg_src (10 regs) */
2024320164Sdavidcs	0x01610001, 	/* msem.fast_memory.ram_ext_disable (1 regs) */
2025316485Sdavidcs	0x03610122, 	/* msem.fast_memory.stall_0 .. msem.fast_memory.stall_2 (3 regs) */
2026316485Sdavidcs	0x01610132, 	/* msem.fast_memory.pram_last_addr (1 regs) */
2027316485Sdavidcs	0x01610291, 	/* msem.fast_memory.storm_active_cycles (1 regs) */
2028316485Sdavidcs	0x03610293, 	/* msem.fast_memory.storm_stall_cycles .. msem.fast_memory.idle_inactive_cycles (3 regs) */
2029320164Sdavidcs	0x1d2c0000, 	/* block usem */
2030316485Sdavidcs	0x04640001, 	/* usem.enable_in .. usem.pas_disable (4 regs) */
2031316485Sdavidcs	0x01640100, 	/* usem.arb_cycle_size (1 regs) */
2032316485Sdavidcs	0x03640113, 	/* usem.ext_store_free_entries .. usem.gpre_samp_period (3 regs) */
2033316485Sdavidcs	0x01640180, 	/* usem.fic_min_msg (1 regs) */
2034316485Sdavidcs	0x02640188, 	/* usem.fic_empty_ct_mode .. usem.fic_empty_ct_cnt (2 regs) */
2035316485Sdavidcs	0x016401b0, 	/* usem.full_foc_dra_strt_en (1 regs) */
2036316485Sdavidcs	0x10e401c0, 	/* usem.fin_command (16 regs, WB) */
2037316485Sdavidcs	0x01640240, 	/* usem.invld_pas_wr_en (1 regs) */
2038316485Sdavidcs	0x03640260, 	/* usem.arbiter_request .. usem.arbiter_slot (3 regs) */
2039316485Sdavidcs	0x026402c1, 	/* usem.thread_error .. usem.thread_rdy (2 regs) */
2040316485Sdavidcs	0x016402c5, 	/* usem.threads_list (1 regs) */
2041316485Sdavidcs	0x01640380, 	/* usem.order_pop_en (1 regs) */
2042316485Sdavidcs	0x01640382, 	/* usem.order_wake_en (1 regs) */
2043316485Sdavidcs	0x01640384, 	/* usem.pf_num_order_base (1 regs) */
2044316485Sdavidcs	0x01640402, 	/* usem.sync_dra_wr_alm_full (1 regs) */
2045316485Sdavidcs	0x01640440, 	/* usem.dra_empty (1 regs) */
2046316485Sdavidcs	0x04640450, 	/* usem.slow_dbg_empty .. usem.slow_dra_wr_empty (4 regs) */
2047320164Sdavidcs	0x01640456, 	/* usem.slow_ram_rd_empty (1 regs) */
2048316485Sdavidcs	0x02640459, 	/* usem.thread_fifo_empty .. usem.ord_id_fifo_empty (2 regs) */
2049316485Sdavidcs	0x01640490, 	/* usem.pas_if_full (1 regs) */
2050316485Sdavidcs	0x05640492, 	/* usem.slow_dbg_alm_full .. usem.slow_dra_wr_full (5 regs) */
2051316485Sdavidcs	0x0264049d, 	/* usem.thread_fifo_full .. usem.ord_id_fifo_full (2 regs) */
2052316485Sdavidcs	0x036404c0, 	/* usem.thread_inter_cnt .. usem.thread_orun_num (3 regs) */
2053316485Sdavidcs	0x0a640500, 	/* usem.slow_dbg_active .. usem.dbg_msg_src (10 regs) */
2054320164Sdavidcs	0x01650001, 	/* usem.fast_memory.ram_ext_disable (1 regs) */
2055316485Sdavidcs	0x03650122, 	/* usem.fast_memory.stall_0 .. usem.fast_memory.stall_2 (3 regs) */
2056316485Sdavidcs	0x01650132, 	/* usem.fast_memory.pram_last_addr (1 regs) */
2057316485Sdavidcs	0x01650291, 	/* usem.fast_memory.storm_active_cycles (1 regs) */
2058316485Sdavidcs	0x03650293, 	/* usem.fast_memory.storm_stall_cycles .. usem.fast_memory.idle_inactive_cycles (3 regs) */
2059320164Sdavidcs	0x1c2d0000, 	/* block xsem */
2060316485Sdavidcs	0x04500001, 	/* xsem.enable_in .. xsem.pas_disable (4 regs) */
2061316485Sdavidcs	0x01500100, 	/* xsem.arb_cycle_size (1 regs) */
2062316485Sdavidcs	0x03500113, 	/* xsem.ext_store_free_entries .. xsem.gpre_samp_period (3 regs) */
2063316485Sdavidcs	0x02500188, 	/* xsem.fic_empty_ct_mode .. xsem.fic_empty_ct_cnt (2 regs) */
2064316485Sdavidcs	0x015001b0, 	/* xsem.full_foc_dra_strt_en (1 regs) */
2065316485Sdavidcs	0x10d001c0, 	/* xsem.fin_command (16 regs, WB) */
2066316485Sdavidcs	0x01500240, 	/* xsem.invld_pas_wr_en (1 regs) */
2067316485Sdavidcs	0x03500260, 	/* xsem.arbiter_request .. xsem.arbiter_slot (3 regs) */
2068316485Sdavidcs	0x025002c1, 	/* xsem.thread_error .. xsem.thread_rdy (2 regs) */
2069316485Sdavidcs	0x015002c5, 	/* xsem.threads_list (1 regs) */
2070316485Sdavidcs	0x01500380, 	/* xsem.order_pop_en (1 regs) */
2071316485Sdavidcs	0x01500382, 	/* xsem.order_wake_en (1 regs) */
2072316485Sdavidcs	0x01500384, 	/* xsem.pf_num_order_base (1 regs) */
2073316485Sdavidcs	0x01500402, 	/* xsem.sync_dra_wr_alm_full (1 regs) */
2074316485Sdavidcs	0x01500440, 	/* xsem.dra_empty (1 regs) */
2075316485Sdavidcs	0x04500450, 	/* xsem.slow_dbg_empty .. xsem.slow_dra_wr_empty (4 regs) */
2076320164Sdavidcs	0x01500456, 	/* xsem.slow_ram_rd_empty (1 regs) */
2077316485Sdavidcs	0x02500459, 	/* xsem.thread_fifo_empty .. xsem.ord_id_fifo_empty (2 regs) */
2078316485Sdavidcs	0x01500490, 	/* xsem.pas_if_full (1 regs) */
2079316485Sdavidcs	0x05500492, 	/* xsem.slow_dbg_alm_full .. xsem.slow_dra_wr_full (5 regs) */
2080316485Sdavidcs	0x0250049d, 	/* xsem.thread_fifo_full .. xsem.ord_id_fifo_full (2 regs) */
2081316485Sdavidcs	0x035004c0, 	/* xsem.thread_inter_cnt .. xsem.thread_orun_num (3 regs) */
2082316485Sdavidcs	0x0a500500, 	/* xsem.slow_dbg_active .. xsem.dbg_msg_src (10 regs) */
2083320164Sdavidcs	0x01510001, 	/* xsem.fast_memory.ram_ext_disable (1 regs) */
2084316485Sdavidcs	0x03510122, 	/* xsem.fast_memory.stall_0 .. xsem.fast_memory.stall_2 (3 regs) */
2085316485Sdavidcs	0x01510132, 	/* xsem.fast_memory.pram_last_addr (1 regs) */
2086316485Sdavidcs	0x01510291, 	/* xsem.fast_memory.storm_active_cycles (1 regs) */
2087316485Sdavidcs	0x03510293, 	/* xsem.fast_memory.storm_stall_cycles .. xsem.fast_memory.idle_inactive_cycles (3 regs) */
2088320164Sdavidcs	0x1c2e0000, 	/* block ysem */
2089316485Sdavidcs	0x04540001, 	/* ysem.enable_in .. ysem.pas_disable (4 regs) */
2090316485Sdavidcs	0x01540100, 	/* ysem.arb_cycle_size (1 regs) */
2091316485Sdavidcs	0x03540113, 	/* ysem.ext_store_free_entries .. ysem.gpre_samp_period (3 regs) */
2092316485Sdavidcs	0x02540188, 	/* ysem.fic_empty_ct_mode .. ysem.fic_empty_ct_cnt (2 regs) */
2093316485Sdavidcs	0x015401b0, 	/* ysem.full_foc_dra_strt_en (1 regs) */
2094316485Sdavidcs	0x10d401c0, 	/* ysem.fin_command (16 regs, WB) */
2095316485Sdavidcs	0x01540240, 	/* ysem.invld_pas_wr_en (1 regs) */
2096316485Sdavidcs	0x03540260, 	/* ysem.arbiter_request .. ysem.arbiter_slot (3 regs) */
2097316485Sdavidcs	0x025402c1, 	/* ysem.thread_error .. ysem.thread_rdy (2 regs) */
2098316485Sdavidcs	0x015402c5, 	/* ysem.threads_list (1 regs) */
2099316485Sdavidcs	0x01540380, 	/* ysem.order_pop_en (1 regs) */
2100316485Sdavidcs	0x01540382, 	/* ysem.order_wake_en (1 regs) */
2101316485Sdavidcs	0x01540384, 	/* ysem.pf_num_order_base (1 regs) */
2102316485Sdavidcs	0x01540402, 	/* ysem.sync_dra_wr_alm_full (1 regs) */
2103316485Sdavidcs	0x01540440, 	/* ysem.dra_empty (1 regs) */
2104316485Sdavidcs	0x04540450, 	/* ysem.slow_dbg_empty .. ysem.slow_dra_wr_empty (4 regs) */
2105320164Sdavidcs	0x01540456, 	/* ysem.slow_ram_rd_empty (1 regs) */
2106316485Sdavidcs	0x02540459, 	/* ysem.thread_fifo_empty .. ysem.ord_id_fifo_empty (2 regs) */
2107316485Sdavidcs	0x01540490, 	/* ysem.pas_if_full (1 regs) */
2108316485Sdavidcs	0x05540492, 	/* ysem.slow_dbg_alm_full .. ysem.slow_dra_wr_full (5 regs) */
2109316485Sdavidcs	0x0254049d, 	/* ysem.thread_fifo_full .. ysem.ord_id_fifo_full (2 regs) */
2110316485Sdavidcs	0x035404c0, 	/* ysem.thread_inter_cnt .. ysem.thread_orun_num (3 regs) */
2111316485Sdavidcs	0x0a540500, 	/* ysem.slow_dbg_active .. ysem.dbg_msg_src (10 regs) */
2112320164Sdavidcs	0x01550001, 	/* ysem.fast_memory.ram_ext_disable (1 regs) */
2113316485Sdavidcs	0x03550122, 	/* ysem.fast_memory.stall_0 .. ysem.fast_memory.stall_2 (3 regs) */
2114316485Sdavidcs	0x01550132, 	/* ysem.fast_memory.pram_last_addr (1 regs) */
2115316485Sdavidcs	0x01550291, 	/* ysem.fast_memory.storm_active_cycles (1 regs) */
2116316485Sdavidcs	0x03550293, 	/* ysem.fast_memory.storm_stall_cycles .. ysem.fast_memory.idle_inactive_cycles (3 regs) */
2117320164Sdavidcs	0x1d2f0000, 	/* block psem */
2118316485Sdavidcs	0x04580001, 	/* psem.enable_in .. psem.pas_disable (4 regs) */
2119316485Sdavidcs	0x01580100, 	/* psem.arb_cycle_size (1 regs) */
2120316485Sdavidcs	0x03580113, 	/* psem.ext_store_free_entries .. psem.gpre_samp_period (3 regs) */
2121316485Sdavidcs	0x01580180, 	/* psem.fic_min_msg (1 regs) */
2122316485Sdavidcs	0x02580188, 	/* psem.fic_empty_ct_mode .. psem.fic_empty_ct_cnt (2 regs) */
2123316485Sdavidcs	0x015801b0, 	/* psem.full_foc_dra_strt_en (1 regs) */
2124316485Sdavidcs	0x10d801c0, 	/* psem.fin_command (16 regs, WB) */
2125316485Sdavidcs	0x01580240, 	/* psem.invld_pas_wr_en (1 regs) */
2126316485Sdavidcs	0x03580260, 	/* psem.arbiter_request .. psem.arbiter_slot (3 regs) */
2127316485Sdavidcs	0x025802c1, 	/* psem.thread_error .. psem.thread_rdy (2 regs) */
2128316485Sdavidcs	0x015802c5, 	/* psem.threads_list (1 regs) */
2129316485Sdavidcs	0x01580380, 	/* psem.order_pop_en (1 regs) */
2130316485Sdavidcs	0x01580382, 	/* psem.order_wake_en (1 regs) */
2131316485Sdavidcs	0x01580384, 	/* psem.pf_num_order_base (1 regs) */
2132316485Sdavidcs	0x01580402, 	/* psem.sync_dra_wr_alm_full (1 regs) */
2133316485Sdavidcs	0x01580440, 	/* psem.dra_empty (1 regs) */
2134316485Sdavidcs	0x04580450, 	/* psem.slow_dbg_empty .. psem.slow_dra_wr_empty (4 regs) */
2135320164Sdavidcs	0x01580456, 	/* psem.slow_ram_rd_empty (1 regs) */
2136316485Sdavidcs	0x02580459, 	/* psem.thread_fifo_empty .. psem.ord_id_fifo_empty (2 regs) */
2137316485Sdavidcs	0x01580490, 	/* psem.pas_if_full (1 regs) */
2138316485Sdavidcs	0x05580492, 	/* psem.slow_dbg_alm_full .. psem.slow_dra_wr_full (5 regs) */
2139316485Sdavidcs	0x0258049d, 	/* psem.thread_fifo_full .. psem.ord_id_fifo_full (2 regs) */
2140316485Sdavidcs	0x035804c0, 	/* psem.thread_inter_cnt .. psem.thread_orun_num (3 regs) */
2141316485Sdavidcs	0x0a580500, 	/* psem.slow_dbg_active .. psem.dbg_msg_src (10 regs) */
2142320164Sdavidcs	0x01590001, 	/* psem.fast_memory.ram_ext_disable (1 regs) */
2143316485Sdavidcs	0x03590122, 	/* psem.fast_memory.stall_0 .. psem.fast_memory.stall_2 (3 regs) */
2144316485Sdavidcs	0x01590132, 	/* psem.fast_memory.pram_last_addr (1 regs) */
2145316485Sdavidcs	0x01590291, 	/* psem.fast_memory.storm_active_cycles (1 regs) */
2146316485Sdavidcs	0x03590293, 	/* psem.fast_memory.storm_stall_cycles .. psem.fast_memory.idle_inactive_cycles (3 regs) */
2147316485Sdavidcs	0x01300000, 	/* block rss */
2148320164Sdavidcs	0x0508e30d, 	/* rss.rbc_status .. rss.state_machines (5 regs) */
2149316485Sdavidcs	0x05330000, 	/* block yuld */
2150316485Sdavidcs	0x2a132000, 	/* yuld.scbd_strict_prio .. yuld.cm_hdr_127_96 (42 regs) */
2151316485Sdavidcs	0x0513202b, 	/* yuld.stat_fic_msg .. yuld.len_err_log_2 (5 regs) */
2152316485Sdavidcs	0x01132031, 	/* yuld.len_err_log_v (1 regs) */
2153316485Sdavidcs	0x02132060, 	/* yuld.INT_STS .. yuld.INT_MASK (2 regs) */
2154316485Sdavidcs	0x05132580, 	/* yuld.dbg_select .. yuld.dbg_force_frame (5 regs) */
2155320164Sdavidcs	0x033c0000, 	/* block pbf */
2156316485Sdavidcs	0x01360106, 	/* pbf.pcm_if_init_crd (1 regs) */
2157320164Sdavidcs	0x02360124, 	/* pbf.tag_ethertype_4 .. pbf.tag_ethertype_5 (2 regs) */
2158320164Sdavidcs	0x0236012a, 	/* pbf.tag_len_4 .. pbf.tag_len_5 (2 regs) */
2159320164Sdavidcs	0x05430000, 	/* block cau */
2160320164Sdavidcs	0x05070180, 	/* cau.cqe_size .. cau.agg_release_timer (5 regs) */
2161320164Sdavidcs	0x01070221, 	/* cau.cqe_fifo_afull_thr (1 regs) */
2162320164Sdavidcs	0x070702ea, 	/* cau.stat_counter_cqe_msg_sent .. cau.stat_counter_cqe_partial_cache (7 regs) */
2163320164Sdavidcs	0x04070327, 	/* cau.agg_units_0to15_state .. cau.agg_units_48to63_state (4 regs) */
2164320164Sdavidcs	0x020703c3, 	/* cau.igu_cqe_cmd_fsm_status .. cau.igu_cqe_agg_fsm_status (2 regs) */
2165316485Sdavidcs	0x024a0000, 	/* block dbg */
2166316485Sdavidcs	0x01004002, 	/* dbg.other_client_enable (1 regs) */
2167316485Sdavidcs	0x01004004, 	/* dbg.other_engine_mode (1 regs) */
2168316485Sdavidcs	0x014b0000, 	/* block nig */
2169316485Sdavidcs	0xa0140212, 	/* nig.tx_lb_vport_drop_0 .. nig.tx_lb_vport_drop_159 (160 regs) */
2170320164Sdavidcs	0x0221011b, 	/* mode !(bb|e5), block brb */
2171320164Sdavidcs	0x020d044b, 	/* brb.rc_eop_inp_sync_fifo_push_status_2 .. brb.rc_eop_inp_sync_fifo_push_status_3 (2 regs) */
2172320164Sdavidcs	0x020d045a, 	/* brb.rc_eop_out_sync_fifo_push_status_2 .. brb.rc_eop_out_sync_fifo_push_status_3 (2 regs) */
2173320164Sdavidcs	0x0e2a0000, 	/* block tsem */
2174320164Sdavidcs	0x015d0087, 	/* tsem.fast_memory.reserved_21C (1 regs) */
2175320164Sdavidcs	0x015d008a, 	/* tsem.fast_memory.reserved_228 (1 regs) */
2176320164Sdavidcs	0x015d008d, 	/* tsem.fast_memory.reserved_234 (1 regs) */
2177320164Sdavidcs	0x015d008f, 	/* tsem.fast_memory.reserved_23C (1 regs) */
2178320164Sdavidcs	0x015d0091, 	/* tsem.fast_memory.reserved_244 (1 regs) */
2179320164Sdavidcs	0x015d0094, 	/* tsem.fast_memory.reserved_250 (1 regs) */
2180320164Sdavidcs	0x015d0096, 	/* tsem.fast_memory.reserved_258 (1 regs) */
2181320164Sdavidcs	0x015d0098, 	/* tsem.fast_memory.reserved_260 (1 regs) */
2182320164Sdavidcs	0x015d009a, 	/* tsem.fast_memory.reserved_268 (1 regs) */
2183320164Sdavidcs	0x015d009c, 	/* tsem.fast_memory.reserved_270 (1 regs) */
2184320164Sdavidcs	0x015d009e, 	/* tsem.fast_memory.reserved_278 (1 regs) */
2185320164Sdavidcs	0x015d00a0, 	/* tsem.fast_memory.reserved_280 (1 regs) */
2186320164Sdavidcs	0x0f5d00a2, 	/* tsem.fast_memory.reserved_288 .. tsem.fast_memory.reserved_2C0 (15 regs) */
2187320164Sdavidcs	0x025d00b3, 	/* tsem.fast_memory.reserved_2CC .. tsem.fast_memory.reserved_2D0 (2 regs) */
2188320164Sdavidcs	0x0e2b0000, 	/* block msem */
2189320164Sdavidcs	0x01610087, 	/* msem.fast_memory.reserved_21C (1 regs) */
2190320164Sdavidcs	0x0161008a, 	/* msem.fast_memory.reserved_228 (1 regs) */
2191320164Sdavidcs	0x0161008d, 	/* msem.fast_memory.reserved_234 (1 regs) */
2192320164Sdavidcs	0x0161008f, 	/* msem.fast_memory.reserved_23C (1 regs) */
2193320164Sdavidcs	0x01610091, 	/* msem.fast_memory.reserved_244 (1 regs) */
2194320164Sdavidcs	0x01610094, 	/* msem.fast_memory.reserved_250 (1 regs) */
2195320164Sdavidcs	0x01610096, 	/* msem.fast_memory.reserved_258 (1 regs) */
2196320164Sdavidcs	0x01610098, 	/* msem.fast_memory.reserved_260 (1 regs) */
2197320164Sdavidcs	0x0161009a, 	/* msem.fast_memory.reserved_268 (1 regs) */
2198320164Sdavidcs	0x0161009c, 	/* msem.fast_memory.reserved_270 (1 regs) */
2199320164Sdavidcs	0x0161009e, 	/* msem.fast_memory.reserved_278 (1 regs) */
2200320164Sdavidcs	0x016100a0, 	/* msem.fast_memory.reserved_280 (1 regs) */
2201320164Sdavidcs	0x0f6100a2, 	/* msem.fast_memory.reserved_288 .. msem.fast_memory.reserved_2C0 (15 regs) */
2202320164Sdavidcs	0x026100b3, 	/* msem.fast_memory.reserved_2CC .. msem.fast_memory.reserved_2D0 (2 regs) */
2203320164Sdavidcs	0x0e2c0000, 	/* block usem */
2204320164Sdavidcs	0x01650087, 	/* usem.fast_memory.reserved_21C (1 regs) */
2205320164Sdavidcs	0x0165008a, 	/* usem.fast_memory.reserved_228 (1 regs) */
2206320164Sdavidcs	0x0165008d, 	/* usem.fast_memory.reserved_234 (1 regs) */
2207320164Sdavidcs	0x0165008f, 	/* usem.fast_memory.reserved_23C (1 regs) */
2208320164Sdavidcs	0x01650091, 	/* usem.fast_memory.reserved_244 (1 regs) */
2209320164Sdavidcs	0x01650094, 	/* usem.fast_memory.reserved_250 (1 regs) */
2210320164Sdavidcs	0x01650096, 	/* usem.fast_memory.reserved_258 (1 regs) */
2211320164Sdavidcs	0x01650098, 	/* usem.fast_memory.reserved_260 (1 regs) */
2212320164Sdavidcs	0x0165009a, 	/* usem.fast_memory.reserved_268 (1 regs) */
2213320164Sdavidcs	0x0165009c, 	/* usem.fast_memory.reserved_270 (1 regs) */
2214320164Sdavidcs	0x0165009e, 	/* usem.fast_memory.reserved_278 (1 regs) */
2215320164Sdavidcs	0x016500a0, 	/* usem.fast_memory.reserved_280 (1 regs) */
2216320164Sdavidcs	0x0f6500a2, 	/* usem.fast_memory.reserved_288 .. usem.fast_memory.reserved_2C0 (15 regs) */
2217320164Sdavidcs	0x026500b3, 	/* usem.fast_memory.reserved_2CC .. usem.fast_memory.reserved_2D0 (2 regs) */
2218320164Sdavidcs	0x0e2d0000, 	/* block xsem */
2219320164Sdavidcs	0x01510087, 	/* xsem.fast_memory.reserved_21C (1 regs) */
2220320164Sdavidcs	0x0151008a, 	/* xsem.fast_memory.reserved_228 (1 regs) */
2221320164Sdavidcs	0x0151008d, 	/* xsem.fast_memory.reserved_234 (1 regs) */
2222320164Sdavidcs	0x0151008f, 	/* xsem.fast_memory.reserved_23C (1 regs) */
2223320164Sdavidcs	0x01510091, 	/* xsem.fast_memory.reserved_244 (1 regs) */
2224320164Sdavidcs	0x01510094, 	/* xsem.fast_memory.reserved_250 (1 regs) */
2225320164Sdavidcs	0x01510096, 	/* xsem.fast_memory.reserved_258 (1 regs) */
2226320164Sdavidcs	0x01510098, 	/* xsem.fast_memory.reserved_260 (1 regs) */
2227320164Sdavidcs	0x0151009a, 	/* xsem.fast_memory.reserved_268 (1 regs) */
2228320164Sdavidcs	0x0151009c, 	/* xsem.fast_memory.reserved_270 (1 regs) */
2229320164Sdavidcs	0x0151009e, 	/* xsem.fast_memory.reserved_278 (1 regs) */
2230320164Sdavidcs	0x015100a0, 	/* xsem.fast_memory.reserved_280 (1 regs) */
2231320164Sdavidcs	0x0f5100a2, 	/* xsem.fast_memory.reserved_288 .. xsem.fast_memory.reserved_2C0 (15 regs) */
2232320164Sdavidcs	0x025100b3, 	/* xsem.fast_memory.reserved_2CC .. xsem.fast_memory.reserved_2D0 (2 regs) */
2233320164Sdavidcs	0x0e2e0000, 	/* block ysem */
2234320164Sdavidcs	0x01550087, 	/* ysem.fast_memory.reserved_21C (1 regs) */
2235320164Sdavidcs	0x0155008a, 	/* ysem.fast_memory.reserved_228 (1 regs) */
2236320164Sdavidcs	0x0155008d, 	/* ysem.fast_memory.reserved_234 (1 regs) */
2237320164Sdavidcs	0x0155008f, 	/* ysem.fast_memory.reserved_23C (1 regs) */
2238320164Sdavidcs	0x01550091, 	/* ysem.fast_memory.reserved_244 (1 regs) */
2239320164Sdavidcs	0x01550094, 	/* ysem.fast_memory.reserved_250 (1 regs) */
2240320164Sdavidcs	0x01550096, 	/* ysem.fast_memory.reserved_258 (1 regs) */
2241320164Sdavidcs	0x01550098, 	/* ysem.fast_memory.reserved_260 (1 regs) */
2242320164Sdavidcs	0x0155009a, 	/* ysem.fast_memory.reserved_268 (1 regs) */
2243320164Sdavidcs	0x0155009c, 	/* ysem.fast_memory.reserved_270 (1 regs) */
2244320164Sdavidcs	0x0155009e, 	/* ysem.fast_memory.reserved_278 (1 regs) */
2245320164Sdavidcs	0x015500a0, 	/* ysem.fast_memory.reserved_280 (1 regs) */
2246320164Sdavidcs	0x0f5500a2, 	/* ysem.fast_memory.reserved_288 .. ysem.fast_memory.reserved_2C0 (15 regs) */
2247320164Sdavidcs	0x025500b3, 	/* ysem.fast_memory.reserved_2CC .. ysem.fast_memory.reserved_2D0 (2 regs) */
2248320164Sdavidcs	0x0e2f0000, 	/* block psem */
2249320164Sdavidcs	0x01590087, 	/* psem.fast_memory.reserved_21C (1 regs) */
2250320164Sdavidcs	0x0159008a, 	/* psem.fast_memory.reserved_228 (1 regs) */
2251320164Sdavidcs	0x0159008d, 	/* psem.fast_memory.reserved_234 (1 regs) */
2252320164Sdavidcs	0x0159008f, 	/* psem.fast_memory.reserved_23C (1 regs) */
2253320164Sdavidcs	0x01590091, 	/* psem.fast_memory.reserved_244 (1 regs) */
2254320164Sdavidcs	0x01590094, 	/* psem.fast_memory.reserved_250 (1 regs) */
2255320164Sdavidcs	0x01590096, 	/* psem.fast_memory.reserved_258 (1 regs) */
2256320164Sdavidcs	0x01590098, 	/* psem.fast_memory.reserved_260 (1 regs) */
2257320164Sdavidcs	0x0159009a, 	/* psem.fast_memory.reserved_268 (1 regs) */
2258320164Sdavidcs	0x0159009c, 	/* psem.fast_memory.reserved_270 (1 regs) */
2259320164Sdavidcs	0x0159009e, 	/* psem.fast_memory.reserved_278 (1 regs) */
2260320164Sdavidcs	0x015900a0, 	/* psem.fast_memory.reserved_280 (1 regs) */
2261320164Sdavidcs	0x0f5900a2, 	/* psem.fast_memory.reserved_288 .. psem.fast_memory.reserved_2C0 (15 regs) */
2262320164Sdavidcs	0x025900b3, 	/* psem.fast_memory.reserved_2CC .. psem.fast_memory.reserved_2D0 (2 regs) */
2263320164Sdavidcs	0x014b0000, 	/* block nig */
2264320164Sdavidcs	0x301402b2, 	/* nig.tx_lb_vport_drop_160 .. nig.tx_lb_vport_drop_207 (48 regs) */
2265320164Sdavidcs	0x02540000, 	/* block avs_wrap */
2266320164Sdavidcs	0x041ad000, 	/* avs_wrap.avs_control .. avs_wrap.INT_MASK (4 regs) */
2267320164Sdavidcs	0x091ad00a, 	/* avs_wrap.eco_reserved .. avs_wrap.efuse_data_word_23 (9 regs) */
2268316485Sdavidcs	0x01030033, 	/* mode !((!asic)|(bb|k2)), block dbu */
2269316485Sdavidcs	0x01002800, 	/* dbu.cmd (1 regs) */
2270316485Sdavidcs	0x0203001d, 	/* mode !(!asic), block dbu */
2271316485Sdavidcs	0x02002802, 	/* dbu.config .. dbu.timing (2 regs) */
2272316485Sdavidcs	0x02002805, 	/* dbu.txdata .. dbu.vfid_cfg (2 regs) */
2273316485Sdavidcs	0x030c0000, 	/* block mcp2 */
2274316485Sdavidcs	0x01014880, 	/* mcp2.eco_reserved (1 regs) */
2275316485Sdavidcs	0x03014900, 	/* mcp2.dbg_select .. mcp2.dbg_shift (3 regs) */
2276316485Sdavidcs	0x02014910, 	/* mcp2.dbg_force_valid .. mcp2.dbg_force_frame (2 regs) */
2277316485Sdavidcs	0x06480000, 	/* block umac */
2278316485Sdavidcs	0x02014401, 	/* umac.ipg_hd_bkp_cntl .. umac.command_config (2 regs) */
2279316485Sdavidcs	0x01014405, 	/* umac.frm_length (1 regs) */
2280316485Sdavidcs	0x01014411, 	/* umac.mac_mode (1 regs) */
2281316485Sdavidcs	0x01014417, 	/* umac.tx_ipg_length (1 regs) */
2282316485Sdavidcs	0x010144c0, 	/* umac.mac_pfc_type (1 regs) */
2283316485Sdavidcs	0x010144cc, 	/* umac.pause_control (1 regs) */
2284316485Sdavidcs	0x0207000b, 	/* mode !(emul_reduced|fpga), block ncsi */
2285316485Sdavidcs	0xa2010080, 	/* ncsi.config .. ncsi.dbg_force_frame (162 regs) */
2286316485Sdavidcs	0x03010132, 	/* ncsi.eco_reserved .. ncsi.INT_MASK_0 (3 regs) */
2287316485Sdavidcs	0x26090000, 	/* block bmb */
2288316485Sdavidcs	0x03150001, 	/* bmb.hw_init_en .. bmb.start_en (3 regs) */
2289316485Sdavidcs	0x02150030, 	/* bmb.INT_STS_0 .. bmb.INT_MASK_0 (2 regs) */
2290316485Sdavidcs	0x02150036, 	/* bmb.INT_STS_1 .. bmb.INT_MASK_1 (2 regs) */
2291316485Sdavidcs	0x0215003c, 	/* bmb.INT_STS_2 .. bmb.INT_MASK_2 (2 regs) */
2292316485Sdavidcs	0x02150042, 	/* bmb.INT_STS_3 .. bmb.INT_MASK_3 (2 regs) */
2293316485Sdavidcs	0x02150048, 	/* bmb.INT_STS_4 .. bmb.INT_MASK_4 (2 regs) */
2294316485Sdavidcs	0x0215004e, 	/* bmb.INT_STS_5 .. bmb.INT_MASK_5 (2 regs) */
2295316485Sdavidcs	0x02150054, 	/* bmb.INT_STS_6 .. bmb.INT_MASK_6 (2 regs) */
2296316485Sdavidcs	0x0215005a, 	/* bmb.INT_STS_7 .. bmb.INT_MASK_7 (2 regs) */
2297316485Sdavidcs	0x02150061, 	/* bmb.INT_STS_8 .. bmb.INT_MASK_8 (2 regs) */
2298316485Sdavidcs	0x02150067, 	/* bmb.INT_STS_9 .. bmb.INT_MASK_9 (2 regs) */
2299316485Sdavidcs	0x0215006d, 	/* bmb.INT_STS_10 .. bmb.INT_MASK_10 (2 regs) */
2300316485Sdavidcs	0x02150073, 	/* bmb.INT_STS_11 .. bmb.INT_MASK_11 (2 regs) */
2301316485Sdavidcs	0x02150200, 	/* bmb.big_ram_address .. bmb.header_size (2 regs) */
2302316485Sdavidcs	0x0a150210, 	/* bmb.max_releases .. bmb.tc_guarantied_5 (10 regs) */
2303316485Sdavidcs	0x0615021e, 	/* bmb.tc_guarantied_hyst_0 .. bmb.tc_guarantied_hyst_5 (6 regs) */
2304316485Sdavidcs	0x06150228, 	/* bmb.tc_pause_xoff_threshold_0 .. bmb.tc_pause_xoff_threshold_5 (6 regs) */
2305316485Sdavidcs	0x06150232, 	/* bmb.tc_pause_xon_threshold_0 .. bmb.tc_pause_xon_threshold_5 (6 regs) */
2306316485Sdavidcs	0x0615023c, 	/* bmb.tc_full_xoff_threshold_0 .. bmb.tc_full_xoff_threshold_5 (6 regs) */
2307316485Sdavidcs	0x06150246, 	/* bmb.tc_full_xon_threshold_0 .. bmb.tc_full_xon_threshold_5 (6 regs) */
2308316485Sdavidcs	0x02150250, 	/* bmb.no_dead_cycles_en .. bmb.rc_pkt_priority (2 regs) */
2309316485Sdavidcs	0x0d150260, 	/* bmb.rc_sop_priority .. bmb.pm_tc_latency_sensitive_0 (13 regs) */
2310316485Sdavidcs	0x0615028c, 	/* bmb.pm_cos_threshold_0 .. bmb.pm_cos_threshold_5 (6 regs) */
2311316485Sdavidcs	0x0815029c, 	/* bmb.dbgsyn_almost_full_thr .. bmb.dbg_force_frame (8 regs) */
2312316485Sdavidcs	0x0c1502b2, 	/* bmb.inp_if_enable .. bmb.wc_empty_9 (12 regs) */
2313316485Sdavidcs	0x0a1502c4, 	/* bmb.wc_full_0 .. bmb.wc_full_9 (10 regs) */
2314316485Sdavidcs	0x051502d4, 	/* bmb.wc_bandwidth_if_full .. bmb.rc_pkt_empty_2 (5 regs) */
2315316485Sdavidcs	0x031502e5, 	/* bmb.rc_pkt_full_0 .. bmb.rc_pkt_full_2 (3 regs) */
2316316485Sdavidcs	0x031502f4, 	/* bmb.rc_pkt_status_0 .. bmb.rc_pkt_status_2 (3 regs) */
2317316485Sdavidcs	0x36150303, 	/* bmb.rc_sop_empty .. bmb.rc_dscr_pend_fifo_cnt_7 (54 regs) */
2318316485Sdavidcs	0x03150341, 	/* bmb.rc_gnt_pend_fifo_empty .. bmb.rc_gnt_pend_fifo_cnt (3 regs) */
2319316485Sdavidcs	0x02150353, 	/* bmb.wc_sync_fifo_push_status_8 .. bmb.wc_sync_fifo_push_status_9 (2 regs) */
2320316485Sdavidcs	0x02150362, 	/* bmb.pkt_avail_sync_fifo_push_status .. bmb.rc_pkt_state (2 regs) */
2321316485Sdavidcs	0x01150367, 	/* bmb.mac_free_shared_hr_0 (1 regs) */
2322316485Sdavidcs	0x0615036d, 	/* bmb.tc_occupancy_0 .. bmb.tc_occupancy_5 (6 regs) */
2323316485Sdavidcs	0x0115037d, 	/* bmb.available_mac_size_0 (1 regs) */
2324316485Sdavidcs	0x01150383, 	/* bmb.tc_pause_0 (1 regs) */
2325316485Sdavidcs	0x01150389, 	/* bmb.tc_full_0 (1 regs) */
2326320164Sdavidcs	0x042f0000, 	/* block psem */
2327316485Sdavidcs	0x0e592800, 	/* psem.fast_memory.vfc_config.mask_lsb_0_low .. psem.fast_memory.vfc_config.indications2 (14 regs) */
2328320164Sdavidcs	0x1359280f, 	/* psem.fast_memory.vfc_config.memories_rst .. psem.fast_memory.vfc_config.cpu_mbist_memctrl_1_cntrl_cmd (19 regs) */
2329316485Sdavidcs	0x12592824, 	/* psem.fast_memory.vfc_config.debug_data .. psem.fast_memory.vfc_config.mask_lsb_7_high (18 regs) */
2330316485Sdavidcs	0x0c59283e, 	/* psem.fast_memory.vfc_config.offset_alu_vector_0 .. psem.fast_memory.vfc_config.cam_bist_skip_error_cnt (12 regs) */
2331320164Sdavidcs	0x0109004f, 	/* mode (!bb)&(!(emul_reduced|fpga)), block bmb */
2332316485Sdavidcs	0x02150252, 	/* bmb.wc_no_dead_cycles_en .. bmb.wc_highest_pri_en (2 regs) */
2333320164Sdavidcs	0x06090041, 	/* mode (!e5)&(!(emul_reduced|fpga)), block bmb */
2334316485Sdavidcs	0x071502d9, 	/* bmb.rc_pkt_empty_3 .. bmb.rc_pkt_empty_9 (7 regs) */
2335316485Sdavidcs	0x071502e8, 	/* bmb.rc_pkt_full_3 .. bmb.rc_pkt_full_9 (7 regs) */
2336316485Sdavidcs	0x071502f7, 	/* bmb.rc_pkt_status_3 .. bmb.rc_pkt_status_9 (7 regs) */
2337316485Sdavidcs	0x08150339, 	/* bmb.rc_sop_inp_sync_fifo_pop_empty_8 .. bmb.rc_sop_out_sync_fifo_push_status_9 (8 regs) */
2338316485Sdavidcs	0x02150344, 	/* bmb.rc_out_sync_fifo_push_status_8 .. bmb.rc_out_sync_fifo_push_status_9 (2 regs) */
2339316485Sdavidcs	0x01150364, 	/* bmb.rc_pkt_state_1 (1 regs) */
2340320164Sdavidcs	0x03090001, 	/* mode (!(bb|k2))&(!(emul_reduced|fpga)), block bmb */
2341320164Sdavidcs	0x08150339, 	/* bmb.rc_sop_inp_sync_fifo_pop_empty_1 .. bmb.rc_sop_out_sync_fifo_push_status_2 (8 regs) */
2342320164Sdavidcs	0x02150344, 	/* bmb.rc_out_sync_fifo_push_status_1 .. bmb.rc_out_sync_fifo_push_status_2 (2 regs) */
2343320164Sdavidcs	0x02151000, 	/* bmb.wc_ll_high_pri .. bmb.br_fix_high_pri_collision (2 regs) */
2344316485Sdavidcs	0x08480013, 	/* mode (!(k2|e5))&(!(!asic)), block umac */
2345316485Sdavidcs	0x02014403, 	/* umac.mac_0 .. umac.mac_1 (2 regs) */
2346316485Sdavidcs	0x01014406, 	/* umac.pause_quant (1 regs) */
2347316485Sdavidcs	0x01014410, 	/* umac.sfd_offset (1 regs) */
2348316485Sdavidcs	0x04014412, 	/* umac.tag_0 .. umac.tx_preamble (4 regs) */
2349316485Sdavidcs	0x05014418, 	/* umac.pfc_xoff_timer .. umac.umac_eee_ref_count (5 regs) */
2350316485Sdavidcs	0x0501441e, 	/* umac.umac_rx_pkt_drop_status .. umac.umac_rev_id (5 regs) */
2351316485Sdavidcs	0x070144c1, 	/* umac.mac_pfc_opcode .. umac.tx_ts_data (7 regs) */
2352316485Sdavidcs	0x050144cd, 	/* umac.flush_control .. umac.mac_pfc_refresh_ctrl (5 regs) */
2353316485Sdavidcs	0x054e0000, 	/* block ipc */
2354316485Sdavidcs	0xa3008080, 	/* ipc.mdio_voltage_sel .. ipc.swreg_sync_clk_en (163 regs) */
2355316485Sdavidcs	0x03008126, 	/* ipc.nw_serdes_mdio_comm .. ipc.nw_serdes_mdio_mode (3 regs) */
2356316485Sdavidcs	0x0100812c, 	/* ipc.freq_nw (1 regs) */
2357316485Sdavidcs	0x0800813a, 	/* ipc.otp_config_0 .. ipc.otp_config_7 (8 regs) */
2358316485Sdavidcs	0x0500814b, 	/* ipc.lcpll_refclk_sel .. ipc.cpu_otp_rd_syndrome (5 regs) */
2359320164Sdavidcs	0x044800c3, 	/* mode (!bb)&(!(!asic)), block umac */
2360316485Sdavidcs	0x07014423, 	/* umac.tx_ipg_length1 .. umac.dbg_force_frame (7 regs) */
2361316485Sdavidcs	0x02014460, 	/* umac.INT_STS .. umac.INT_MASK (2 regs) */
2362316485Sdavidcs	0x070144c1, 	/* umac.pause_opcode .. umac.mac_pause_sa_1 (7 regs) */
2363316485Sdavidcs	0x030144cd, 	/* umac.rsv_err_mask .. umac.probe_data (3 regs) */
2364316485Sdavidcs	0x034e0000, 	/* block ipc */
2365316485Sdavidcs	0x26008080, 	/* ipc.pll_main_divr .. ipc.sgmii_rstb_mdioregs (38 regs) */
2366316485Sdavidcs	0x120080a7, 	/* ipc.freq_main .. ipc.INT_MASK_0 (18 regs) */
2367316485Sdavidcs	0x230080bb, 	/* ipc.jtag_compliance .. ipc.eco_reserved (35 regs) */
2368316485Sdavidcs	0xff500000, 	/* block nws */
2369316485Sdavidcs	0x031c8000, 	/* nws.nws_cmu.phy0_top_ReservedRegister0 .. nws.nws_cmu.phy0_top_ReservedRegister2 (3 regs) */
2370316485Sdavidcs	0x031c8004, 	/* nws.nws_cmu.phy0_top_ReservedRegister3 .. nws.nws_cmu.phy0_top_ReservedRegister5 (3 regs) */
2371316485Sdavidcs	0x011c8009, 	/* nws.nws_cmu.phy0_top_ReservedRegister6 (1 regs) */
2372316485Sdavidcs	0x041c8030, 	/* nws.nws_cmu.phy0_top_ReservedRegister7 .. nws.nws_cmu.phy0_top_ReservedRegister10 (4 regs) */
2373316485Sdavidcs	0x021c8038, 	/* nws.nws_cmu.phy0_top_afe_atest_ctrl0 .. nws.nws_cmu.phy0_top_afe_atest_ctrl1 (2 regs) */
2374316485Sdavidcs	0x011c8040, 	/* nws.nws_cmu.phy0_top_ReservedRegister11 (1 regs) */
2375316485Sdavidcs	0x011c8050, 	/* nws.nws_cmu.phy0_top_ReservedRegister12 (1 regs) */
2376316485Sdavidcs	0x041c8054, 	/* nws.nws_cmu.phy0_top_ReservedRegister13 .. nws.nws_cmu.phy0_top_ReservedRegister16 (4 regs) */
2377316485Sdavidcs	0x0b1c80f0, 	/* nws.nws_cmu.phy0_top_ReservedRegister17 .. nws.nws_cmu.phy0_top_clock_cm_lc0_clk_cmudiv_ctrl1 (11 regs) */
2378316485Sdavidcs	0x0a1c8100, 	/* nws.nws_cmu.phy0_top_ReservedRegister26 .. nws.nws_cmu.phy0_top_clock_cm_r0_clk_pll3div_ctrl1 (10 regs) */
2379316485Sdavidcs	0x061c8110, 	/* nws.nws_cmu.phy0_top_clock_ln0_clk_tx .. nws.nws_cmu.phy0_top_ReservedRegister35 (6 regs) */
2380316485Sdavidcs	0x061c8118, 	/* nws.nws_cmu.phy0_top_clock_ln1_clk_tx .. nws.nws_cmu.phy0_top_ReservedRegister39 (6 regs) */
2381316485Sdavidcs	0x061c8120, 	/* nws.nws_cmu.phy0_top_clock_ln2_clk_tx .. nws.nws_cmu.phy0_top_ReservedRegister43 (6 regs) */
2382316485Sdavidcs	0x061c8128, 	/* nws.nws_cmu.phy0_top_clock_ln3_clk_tx .. nws.nws_cmu.phy0_top_ReservedRegister47 (6 regs) */
2383316485Sdavidcs	0x021c8130, 	/* nws.nws_cmu.phy0_top_ReservedRegister48 .. nws.nws_cmu.phy0_top_ReservedRegister49 (2 regs) */
2384316485Sdavidcs	0x011c8170, 	/* nws.nws_cmu.phy0_top_ReservedRegister50 (1 regs) */
2385316485Sdavidcs	0x031c8180, 	/* nws.nws_cmu.phy0_top_err_ctrl0 .. nws.nws_cmu.phy0_top_err_ctrl2 (3 regs) */
2386316485Sdavidcs	0x061c8187, 	/* nws.nws_cmu.phy0_top_regbus_err_info_ctrl .. nws.nws_cmu.phy0_top_regbus_err_info_status4 (6 regs) */
2387316485Sdavidcs	0x051c81a0, 	/* nws.nws_cmu.phy0_top_tbus_addr_7_0 .. nws.nws_cmu.phy0_top_ReservedRegister53 (5 regs) */
2388316485Sdavidcs	0x021c81b0, 	/* nws.nws_cmu.phy0_top_tbus_data_7_0 .. nws.nws_cmu.phy0_top_tbus_data_11_8 (2 regs) */
2389316485Sdavidcs	0x021c81c0, 	/* nws.nws_cmu.phy0_top_sim_ctrl .. nws.nws_cmu.phy0_top_fw_ctrl (2 regs) */
2390316485Sdavidcs	0x011c8200, 	/* nws.nws_cmu.phy0_mb_cmd (1 regs) */
2391316485Sdavidcs	0x081c8203, 	/* nws.nws_cmu.phy0_mb_cmd_data0 .. nws.nws_cmu.phy0_mb_cmd_data7 (8 regs) */
2392316485Sdavidcs	0x011c8210, 	/* nws.nws_cmu.phy0_mb_rsp (1 regs) */
2393316485Sdavidcs	0x101c8213, 	/* nws.nws_cmu.phy0_mb_rsp_data0 .. nws.nws_cmu.phy0_mb_rsp_data15 (16 regs) */
2394316485Sdavidcs	0x221c8300, 	/* nws.nws_cmu.phy0_ovr_cmu_lc_ReservedRegister54 .. nws.nws_cmu.phy0_ovr_cmu_lc_ReservedRegister87 (34 regs) */
2395316485Sdavidcs	0x0a1c8380, 	/* nws.nws_cmu.phy0_ovr_cmu_r_ReservedRegister88 .. nws.nws_cmu.phy0_ovr_cmu_r_ReservedRegister97 (10 regs) */
2396316485Sdavidcs	0x931c8400, 	/* nws.nws_cmu.phy0_ovr_ln0_ReservedRegister98 .. nws.nws_cmu.phy0_ovr_ln0_ReservedRegister244 (147 regs) */
2397316485Sdavidcs	0x931c8500, 	/* nws.nws_cmu.phy0_ovr_ln1_ReservedRegister245 .. nws.nws_cmu.phy0_ovr_ln1_ReservedRegister391 (147 regs) */
2398316485Sdavidcs	0x931c8600, 	/* nws.nws_cmu.phy0_ovr_ln2_ReservedRegister392 .. nws.nws_cmu.phy0_ovr_ln2_ReservedRegister538 (147 regs) */
2399316485Sdavidcs	0x931c8700, 	/* nws.nws_cmu.phy0_ovr_ln3_ReservedRegister539 .. nws.nws_cmu.phy0_ovr_ln3_ReservedRegister685 (147 regs) */
2400316485Sdavidcs	0x021c8800, 	/* nws.nws_cmu.cmu_lc0_top_ReservedRegister686 .. nws.nws_cmu.cmu_lc0_top_ReservedRegister687 (2 regs) */
2401316485Sdavidcs	0x011c8803, 	/* nws.nws_cmu.cmu_lc0_top_ReservedRegister688 (1 regs) */
2402316485Sdavidcs	0x061c8805, 	/* nws.nws_cmu.cmu_lc0_top_ReservedRegister689 .. nws.nws_cmu.cmu_lc0_top_ReservedRegister694 (6 regs) */
2403316485Sdavidcs	0x011c880c, 	/* nws.nws_cmu.cmu_lc0_top_ReservedRegister695 (1 regs) */
2404316485Sdavidcs	0x021c881a, 	/* nws.nws_cmu.cmu_lc0_top_ReservedRegister696 .. nws.nws_cmu.cmu_lc0_top_ReservedRegister697 (2 regs) */
2405316485Sdavidcs	0x081c881f, 	/* nws.nws_cmu.cmu_lc0_top_ReservedRegister698 .. nws.nws_cmu.cmu_lc0_top_ReservedRegister704 (8 regs) */
2406316485Sdavidcs	0x011c8828, 	/* nws.nws_cmu.cmu_lc0_top_afe_tstclk_ctrl0 (1 regs) */
2407316485Sdavidcs	0x051c8830, 	/* nws.nws_cmu.cmu_lc0_top_ReservedRegister705 .. nws.nws_cmu.cmu_lc0_top_ReservedRegister709 (5 regs) */
2408316485Sdavidcs	0x031c8850, 	/* nws.nws_cmu.cmu_lc0_top_ReservedRegister710 .. nws.nws_cmu.cmu_lc0_top_phy_if_status (3 regs) */
2409316485Sdavidcs	0x021c8858, 	/* nws.nws_cmu.cmu_lc0_top_ReservedRegister712 .. nws.nws_cmu.cmu_lc0_top_ReservedRegister713 (2 regs) */
2410316485Sdavidcs	0x031c8880, 	/* nws.nws_cmu.cmu_lc0_top_err_ctrl1 .. nws.nws_cmu.cmu_lc0_top_err_ctrl3 (3 regs) */
2411316485Sdavidcs	0x031c888a, 	/* nws.nws_cmu.cmu_lc0_top_ReservedRegister714 .. nws.nws_cmu.cmu_lc0_top_ReservedRegister716 (3 regs) */
2412316485Sdavidcs	0x041c8900, 	/* nws.nws_cmu.cmu_lc0_pll_ReservedRegister717 .. nws.nws_cmu.cmu_lc0_pll_afe_reg_ctrl1 (4 regs) */
2413316485Sdavidcs	0x021c8905, 	/* nws.nws_cmu.cmu_lc0_pll_ReservedRegister720 .. nws.nws_cmu.cmu_lc0_pll_ReservedRegister721 (2 regs) */
2414316485Sdavidcs	0x031c8908, 	/* nws.nws_cmu.cmu_lc0_pll_ReservedRegister722 .. nws.nws_cmu.cmu_lc0_pll_ReservedRegister724 (3 regs) */
2415316485Sdavidcs	0x021c8910, 	/* nws.nws_cmu.cmu_lc0_pll_ReservedRegister725 .. nws.nws_cmu.cmu_lc0_pll_ReservedRegister726 (2 regs) */
2416316485Sdavidcs	0x041c8913, 	/* nws.nws_cmu.cmu_lc0_pll_ReservedRegister727 .. nws.nws_cmu.cmu_lc0_pll_ReservedRegister730 (4 regs) */
2417316485Sdavidcs	0x051c8918, 	/* nws.nws_cmu.cmu_lc0_pll_ReservedRegister731 .. nws.nws_cmu.cmu_lc0_pll_ReservedRegister735 (5 regs) */
2418316485Sdavidcs	0x1b1c8920, 	/* nws.nws_cmu.cmu_lc0_pll_ReservedRegister736 .. nws.nws_cmu.cmu_lc0_pll_ReservedRegister762 (27 regs) */
2419316485Sdavidcs	0x011c893c, 	/* nws.nws_cmu.cmu_lc0_pll_ReservedRegister763 (1 regs) */
2420316485Sdavidcs	0x031c8944, 	/* nws.nws_cmu.cmu_lc0_pll_ReservedRegister764 .. nws.nws_cmu.cmu_lc0_pll_lockdet_status (3 regs) */
2421316485Sdavidcs	0x091c8949, 	/* nws.nws_cmu.cmu_lc0_pll_ReservedRegister766 .. nws.nws_cmu.cmu_lc0_pll_ReservedRegister774 (9 regs) */
2422316485Sdavidcs	0x021c8954, 	/* nws.nws_cmu.cmu_lc0_pll_ReservedRegister775 .. nws.nws_cmu.cmu_lc0_pll_ReservedRegister776 (2 regs) */
2423316485Sdavidcs	0x021c8958, 	/* nws.nws_cmu.cmu_lc0_pll_ReservedRegister777 .. nws.nws_cmu.cmu_lc0_pll_ReservedRegister778 (2 regs) */
2424316485Sdavidcs	0x041c8a00, 	/* nws.nws_cmu.cmu_lc0_gcfsm2_ReservedRegister779 .. nws.nws_cmu.cmu_lc0_gcfsm2_ReservedRegister782 (4 regs) */
2425316485Sdavidcs	0x041c8a10, 	/* nws.nws_cmu.cmu_lc0_gcfsm2_ReservedRegister783 .. nws.nws_cmu.cmu_lc0_gcfsm2_ReservedRegister786 (4 regs) */
2426316485Sdavidcs	0x071c8a20, 	/* nws.nws_cmu.cmu_lc0_gcfsm2_ReservedRegister787 .. nws.nws_cmu.cmu_lc0_gcfsm2_ReservedRegister793 (7 regs) */
2427316485Sdavidcs	0x031c8a30, 	/* nws.nws_cmu.cmu_lc0_gcfsm2_ReservedRegister794 .. nws.nws_cmu.cmu_lc0_gcfsm2_ReservedRegister796 (3 regs) */
2428316485Sdavidcs	0x091c8a40, 	/* nws.nws_cmu.cmu_lc0_gcfsm2_ReservedRegister797 .. nws.nws_cmu.cmu_lc0_gcfsm2_ReservedRegister805 (9 regs) */
2429316485Sdavidcs	0x021c8a50, 	/* nws.nws_cmu.cmu_lc0_gcfsm2_ReservedRegister806 .. nws.nws_cmu.cmu_lc0_gcfsm2_ReservedRegister807 (2 regs) */
2430316485Sdavidcs	0x061c8b00, 	/* nws.nws_cmu.cmu_lc0_feature_ReservedRegister808 .. nws.nws_cmu.cmu_lc0_feature_ReservedRegister813 (6 regs) */
2431316485Sdavidcs	0x011c8b08, 	/* nws.nws_cmu.cmu_lc0_feature_ReservedRegister814 (1 regs) */
2432316485Sdavidcs	0x081c8b10, 	/* nws.nws_cmu.cmu_lc0_feature_ReservedRegister815 .. nws.nws_cmu.cmu_lc0_feature_ReservedRegister822 (8 regs) */
2433316485Sdavidcs	0x021c8c00, 	/* nws.nws_cmu.cmu_r0_top_ReservedRegister823 .. nws.nws_cmu.cmu_r0_top_ReservedRegister824 (2 regs) */
2434316485Sdavidcs	0x011c8c03, 	/* nws.nws_cmu.cmu_r0_top_ReservedRegister825 (1 regs) */
2435316485Sdavidcs	0x041c8c10, 	/* nws.nws_cmu.cmu_r0_top_ReservedRegister826 .. nws.nws_cmu.cmu_r0_top_ReservedRegister829 (4 regs) */
2436316485Sdavidcs	0x041c8c20, 	/* nws.nws_cmu.cmu_r0_top_ReservedRegister830 .. nws.nws_cmu.cmu_r0_top_ReservedRegister833 (4 regs) */
2437316485Sdavidcs	0x011c8c30, 	/* nws.nws_cmu.cmu_r0_top_ReservedRegister834 (1 regs) */
2438316485Sdavidcs	0x031c8c50, 	/* nws.nws_cmu.cmu_r0_top_ReservedRegister835 .. nws.nws_cmu.cmu_r0_top_phy_if_status (3 regs) */
2439316485Sdavidcs	0x021c8c58, 	/* nws.nws_cmu.cmu_r0_top_ReservedRegister837 .. nws.nws_cmu.cmu_r0_top_ReservedRegister838 (2 regs) */
2440316485Sdavidcs	0x031c8c80, 	/* nws.nws_cmu.cmu_r0_top_err_ctrl1 .. nws.nws_cmu.cmu_r0_top_err_ctrl3 (3 regs) */
2441316485Sdavidcs	0x031c8c8a, 	/* nws.nws_cmu.cmu_r0_top_ReservedRegister839 .. nws.nws_cmu.cmu_r0_top_ReservedRegister841 (3 regs) */
2442316485Sdavidcs	0x051c8d00, 	/* nws.nws_cmu.cmu_r0_rpll_afe_pd_ctrl0 .. nws.nws_cmu.cmu_r0_rpll_afe_vco_ctrl0 (5 regs) */
2443316485Sdavidcs	0x011c8d06, 	/* nws.nws_cmu.cmu_r0_rpll_afe_clkdiv_ctrl0 (1 regs) */
2444316485Sdavidcs	0x061c8d08, 	/* nws.nws_cmu.cmu_r0_rpll_ReservedRegister843 .. nws.nws_cmu.cmu_r0_rpll_afe_int_ctrl0 (6 regs) */
2445316485Sdavidcs	0x041c8d0f, 	/* nws.nws_cmu.cmu_r0_rpll_afe_int_ctrl1 .. nws.nws_cmu.cmu_r0_rpll_afe_fracn_ctrl0 (4 regs) */
2446316485Sdavidcs	0x021c8d16, 	/* nws.nws_cmu.cmu_r0_rpll_afe_misc_ctrl0 .. nws.nws_cmu.cmu_r0_rpll_afe_misc_ctrl1 (2 regs) */
2447316485Sdavidcs	0x131c8d20, 	/* nws.nws_cmu.cmu_r0_rpll_ReservedRegister844 .. nws.nws_cmu.cmu_r0_rpll_ReservedRegister862 (19 regs) */
2448316485Sdavidcs	0x071c8d34, 	/* nws.nws_cmu.cmu_r0_rpll_ReservedRegister863 .. nws.nws_cmu.cmu_r0_rpll_ReservedRegister869 (7 regs) */
2449316485Sdavidcs	0x031c8d44, 	/* nws.nws_cmu.cmu_r0_rpll_ReservedRegister870 .. nws.nws_cmu.cmu_r0_rpll_lockdet_status (3 regs) */
2450316485Sdavidcs	0x091c8d49, 	/* nws.nws_cmu.cmu_r0_rpll_ssc_gen_ctrl0 .. nws.nws_cmu.cmu_r0_rpll_fracn_ctrl2 (9 regs) */
2451316485Sdavidcs	0x021c8d54, 	/* nws.nws_cmu.cmu_r0_rpll_fracn_ctrl3 .. nws.nws_cmu.cmu_r0_rpll_fracn_ctrl4 (2 regs) */
2452316485Sdavidcs	0x021c8d58, 	/* nws.nws_cmu.cmu_r0_rpll_ReservedRegister872 .. nws.nws_cmu.cmu_r0_rpll_ReservedRegister873 (2 regs) */
2453316485Sdavidcs	0x041c8e00, 	/* nws.nws_cmu.cmu_r0_gcfsm2_ReservedRegister874 .. nws.nws_cmu.cmu_r0_gcfsm2_ReservedRegister877 (4 regs) */
2454316485Sdavidcs	0x041c8e10, 	/* nws.nws_cmu.cmu_r0_gcfsm2_ReservedRegister878 .. nws.nws_cmu.cmu_r0_gcfsm2_ReservedRegister881 (4 regs) */
2455316485Sdavidcs	0x071c8e20, 	/* nws.nws_cmu.cmu_r0_gcfsm2_ReservedRegister882 .. nws.nws_cmu.cmu_r0_gcfsm2_ReservedRegister888 (7 regs) */
2456316485Sdavidcs	0x031c8e30, 	/* nws.nws_cmu.cmu_r0_gcfsm2_ReservedRegister889 .. nws.nws_cmu.cmu_r0_gcfsm2_ReservedRegister891 (3 regs) */
2457316485Sdavidcs	0x091c8e40, 	/* nws.nws_cmu.cmu_r0_gcfsm2_ReservedRegister892 .. nws.nws_cmu.cmu_r0_gcfsm2_ReservedRegister900 (9 regs) */
2458316485Sdavidcs	0x021c8e50, 	/* nws.nws_cmu.cmu_r0_gcfsm2_ReservedRegister901 .. nws.nws_cmu.cmu_r0_gcfsm2_ReservedRegister902 (2 regs) */
2459316485Sdavidcs	0x011c8f00, 	/* nws.nws_cmu.cmu_r0_feature_ReservedRegister903 (1 regs) */
2460316485Sdavidcs	0x021c8f04, 	/* nws.nws_cmu.cmu_r0_feature_ReservedRegister904 .. nws.nws_cmu.cmu_r0_feature_ReservedRegister905 (2 regs) */
2461316485Sdavidcs	0x011c8f08, 	/* nws.nws_cmu.cmu_r0_feature_ReservedRegister906 (1 regs) */
2462316485Sdavidcs	0x081c8f10, 	/* nws.nws_cmu.cmu_r0_feature_ReservedRegister907 .. nws.nws_cmu.cmu_r0_feature_ReservedRegister914 (8 regs) */
2463316485Sdavidcs	0x071c9800, 	/* nws.nws_cmu.ln0_top_afe_loopback_ctrl .. nws.nws_cmu.ln0_top_ReservedRegister920 (7 regs) */
2464316485Sdavidcs	0x011c9810, 	/* nws.nws_cmu.ln0_top_ReservedRegister921 (1 regs) */
2465316485Sdavidcs	0x031c9812, 	/* nws.nws_cmu.ln0_top_ReservedRegister922 .. nws.nws_cmu.ln0_top_ReservedRegister924 (3 regs) */
2466316485Sdavidcs	0x011c9816, 	/* nws.nws_cmu.ln0_top_ReservedRegister925 (1 regs) */
2467316485Sdavidcs	0x011c9819, 	/* nws.nws_cmu.ln0_top_ReservedRegister926 (1 regs) */
2468316485Sdavidcs	0x021c981b, 	/* nws.nws_cmu.ln0_top_ReservedRegister927 .. nws.nws_cmu.ln0_top_ReservedRegister928 (2 regs) */
2469316485Sdavidcs	0x011c981e, 	/* nws.nws_cmu.ln0_top_ReservedRegister929 (1 regs) */
2470316485Sdavidcs	0x011c9822, 	/* nws.nws_cmu.ln0_top_dpl_txdp_ctrl1 (1 regs) */
2471316485Sdavidcs	0x041c9824, 	/* nws.nws_cmu.ln0_top_dpl_rxdp_ctrl1 .. nws.nws_cmu.ln0_top_phy_if_status (4 regs) */
2472316485Sdavidcs	0x021c9830, 	/* nws.nws_cmu.ln0_top_ReservedRegister932 .. nws.nws_cmu.ln0_top_ReservedRegister933 (2 regs) */
2473316485Sdavidcs	0x091c9838, 	/* nws.nws_cmu.ln0_top_ln_stat_ctrl0 .. nws.nws_cmu.ln0_top_ReservedRegister940 (9 regs) */
2474316485Sdavidcs	0x021c9842, 	/* nws.nws_cmu.ln0_top_ReservedRegister941 .. nws.nws_cmu.ln0_top_ReservedRegister942 (2 regs) */
2475316485Sdavidcs	0x051c9848, 	/* nws.nws_cmu.ln0_top_ReservedRegister943 .. nws.nws_cmu.ln0_top_ReservedRegister947 (5 regs) */
2476316485Sdavidcs	0x031c9850, 	/* nws.nws_cmu.ln0_top_err_ctrl1 .. nws.nws_cmu.ln0_top_err_ctrl3 (3 regs) */
2477316485Sdavidcs	0x021c9890, 	/* nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister948 .. nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister949 (2 regs) */
2478316485Sdavidcs	0x021c98a1, 	/* nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister950 .. nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister951 (2 regs) */
2479316485Sdavidcs	0x061c98a6, 	/* nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister952 .. nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister957 (6 regs) */
2480316485Sdavidcs	0x011c98ad, 	/* nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister958 (1 regs) */
2481316485Sdavidcs	0x031c98b0, 	/* nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister959 .. nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister961 (3 regs) */
2482316485Sdavidcs	0x011c98b4, 	/* nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister962 (1 regs) */
2483316485Sdavidcs	0x021c98b6, 	/* nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister963 .. nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister964 (2 regs) */
2484316485Sdavidcs	0x091c98b9, 	/* nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister965 .. nws.nws_cmu.ln0_cdr_rxclk_dlpf_status4 (9 regs) */
2485316485Sdavidcs	0x031c98c4, 	/* nws.nws_cmu.ln0_cdr_rxclk_dlpf_status5 .. nws.nws_cmu.ln0_cdr_rxclk_integral_status1 (3 regs) */
2486316485Sdavidcs	0x081c98c8, 	/* nws.nws_cmu.ln0_cdr_rxclk_integral_status2 .. nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister977 (8 regs) */
2487316485Sdavidcs	0x041c98e0, 	/* nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister978 .. nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister981 (4 regs) */
2488316485Sdavidcs	0x091c98e8, 	/* nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister982 .. nws.nws_cmu.ln0_cdr_rxclk_ReservedRegister990 (9 regs) */
2489316485Sdavidcs	0x021c9900, 	/* nws.nws_cmu.ln0_cdr_refclk_ReservedRegister991 .. nws.nws_cmu.ln0_cdr_refclk_ReservedRegister992 (2 regs) */
2490316485Sdavidcs	0x011c9904, 	/* nws.nws_cmu.ln0_cdr_refclk_ReservedRegister993 (1 regs) */
2491316485Sdavidcs	0x011c9906, 	/* nws.nws_cmu.ln0_cdr_refclk_ReservedRegister994 (1 regs) */
2492316485Sdavidcs	0x031c990a, 	/* nws.nws_cmu.ln0_cdr_refclk_ReservedRegister995 .. nws.nws_cmu.ln0_cdr_refclk_ReservedRegister997 (3 regs) */
2493316485Sdavidcs	0x021c9910, 	/* nws.nws_cmu.ln0_cdr_refclk_ReservedRegister998 .. nws.nws_cmu.ln0_cdr_refclk_ReservedRegister999 (2 regs) */
2494316485Sdavidcs	0x041c9918, 	/* nws.nws_cmu.ln0_cdr_refclk_ReservedRegister1000 .. nws.nws_cmu.ln0_cdr_refclk_ReservedRegister1003 (4 regs) */
2495316485Sdavidcs	0x061c9920, 	/* nws.nws_cmu.ln0_cdr_refclk_ReservedRegister1004 .. nws.nws_cmu.ln0_cdr_refclk_ReservedRegister1009 (6 regs) */
2496316485Sdavidcs	0x011c9930, 	/* nws.nws_cmu.ln0_cdr_refclk_ReservedRegister1010 (1 regs) */
2497316485Sdavidcs	0x0f1c9980, 	/* nws.nws_cmu.ln0_aneg_ReservedRegister1011 .. nws.nws_cmu.ln0_aneg_ReservedRegister1022 (15 regs) */
2498316485Sdavidcs	0x011c9990, 	/* nws.nws_cmu.ln0_aneg_status0 (1 regs) */
2499316485Sdavidcs	0x021c9994, 	/* nws.nws_cmu.ln0_aneg_status_dbg0 .. nws.nws_cmu.ln0_aneg_status_dbg1 (2 regs) */
2500316485Sdavidcs	0x251c9998, 	/* nws.nws_cmu.ln0_aneg_base_page0 .. nws.nws_cmu.ln0_aneg_resolution_eee (37 regs) */
2501316485Sdavidcs	0x021c99be, 	/* nws.nws_cmu.ln0_aneg_link_status0 .. nws.nws_cmu.ln0_aneg_link_status1 (2 regs) */
2502316485Sdavidcs	0x031c99c1, 	/* nws.nws_cmu.ln0_eee_ReservedRegister1039 .. nws.nws_cmu.ln0_eee_ReservedRegister1041 (3 regs) */
2503316485Sdavidcs	0x041c99c5, 	/* nws.nws_cmu.ln0_eee_ReservedRegister1042 .. nws.nws_cmu.ln0_eee_ReservedRegister1045 (4 regs) */
2504316485Sdavidcs	0x011c9a00, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1046 (1 regs) */
2505316485Sdavidcs	0x021c9a02, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1047 .. nws.nws_cmu.ln0_leq_refclk_ReservedRegister1048 (2 regs) */
2506316485Sdavidcs	0x011c9a05, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1049 (1 regs) */
2507316485Sdavidcs	0x011c9a07, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1050 (1 regs) */
2508316485Sdavidcs	0x041c9a09, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1051 .. nws.nws_cmu.ln0_leq_refclk_ReservedRegister1054 (4 regs) */
2509316485Sdavidcs	0x041c9a0e, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1055 .. nws.nws_cmu.ln0_leq_refclk_ReservedRegister1058 (4 regs) */
2510316485Sdavidcs	0x0d1c9a20, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1059 .. nws.nws_cmu.ln0_leq_refclk_ReservedRegister1071 (13 regs) */
2511316485Sdavidcs	0x011c9a2e, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1072 (1 regs) */
2512316485Sdavidcs	0x0b1c9a30, 	/* nws.nws_cmu.ln0_leq_refclk_agclos_ctrl0 .. nws.nws_cmu.ln0_leq_refclk_ReservedRegister1082 (11 regs) */
2513316485Sdavidcs	0x021c9a3d, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1083 .. nws.nws_cmu.ln0_leq_refclk_ple_att_ctrl1 (2 regs) */
2514316485Sdavidcs	0x071c9a40, 	/* nws.nws_cmu.ln0_leq_refclk_eq_hfg_sql_ctrl0 .. nws.nws_cmu.ln0_leq_refclk_ReservedRegister1089 (7 regs) */
2515316485Sdavidcs	0x191c9a50, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1090 .. nws.nws_cmu.ln0_leq_refclk_ReservedRegister1114 (25 regs) */
2516316485Sdavidcs	0x071c9a70, 	/* nws.nws_cmu.ln0_leq_refclk_gn_apg_ctrl0 .. nws.nws_cmu.ln0_leq_refclk_ReservedRegister1120 (7 regs) */
2517316485Sdavidcs	0x091c9a80, 	/* nws.nws_cmu.ln0_leq_refclk_eq_lfg_ctrl0 .. nws.nws_cmu.ln0_leq_refclk_ReservedRegister1126 (9 regs) */
2518316485Sdavidcs	0x071c9a90, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1127 .. nws.nws_cmu.ln0_leq_refclk_ReservedRegister1133 (7 regs) */
2519316485Sdavidcs	0x061c9a98, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1134 .. nws.nws_cmu.ln0_leq_refclk_ReservedRegister1138 (6 regs) */
2520316485Sdavidcs	0x041c9aa0, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1139 .. nws.nws_cmu.ln0_leq_refclk_ReservedRegister1142 (4 regs) */
2521316485Sdavidcs	0x041c9aa6, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1143 .. nws.nws_cmu.ln0_leq_refclk_ReservedRegister1146 (4 regs) */
2522316485Sdavidcs	0x021c9aab, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1147 .. nws.nws_cmu.ln0_leq_refclk_ReservedRegister1148 (2 regs) */
2523316485Sdavidcs	0x021c9aae, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1149 .. nws.nws_cmu.ln0_leq_refclk_ReservedRegister1150 (2 regs) */
2524316485Sdavidcs	0x021c9ab8, 	/* nws.nws_cmu.ln0_leq_refclk_ReservedRegister1151 .. nws.nws_cmu.ln0_leq_refclk_ReservedRegister1152 (2 regs) */
2525316485Sdavidcs	0x061c9b00, 	/* nws.nws_cmu.ln0_leq_rxclk_ReservedRegister1153 .. nws.nws_cmu.ln0_leq_rxclk_ReservedRegister1158 (6 regs) */
2526316485Sdavidcs	0x021c9b08, 	/* nws.nws_cmu.ln0_leq_rxclk_ReservedRegister1159 .. nws.nws_cmu.ln0_leq_rxclk_ReservedRegister1160 (2 regs) */
2527316485Sdavidcs	0x021c9b0c, 	/* nws.nws_cmu.ln0_leq_rxclk_ReservedRegister1161 .. nws.nws_cmu.ln0_leq_rxclk_ReservedRegister1162 (2 regs) */
2528316485Sdavidcs	0x021c9b10, 	/* nws.nws_cmu.ln0_leq_rxclk_ReservedRegister1163 .. nws.nws_cmu.ln0_leq_rxclk_ReservedRegister1164 (2 regs) */
2529316485Sdavidcs	0x021c9b13, 	/* nws.nws_cmu.ln0_leq_rxclk_ReservedRegister1165 .. nws.nws_cmu.ln0_leq_rxclk_ReservedRegister1166 (2 regs) */
2530316485Sdavidcs	0x021c9b16, 	/* nws.nws_cmu.ln0_leq_rxclk_ReservedRegister1167 .. nws.nws_cmu.ln0_leq_rxclk_ReservedRegister1168 (2 regs) */
2531316485Sdavidcs	0x021c9b20, 	/* nws.nws_cmu.ln0_leq_rxclk_ReservedRegister1169 .. nws.nws_cmu.ln0_leq_rxclk_ReservedRegister1170 (2 regs) */
2532316485Sdavidcs	0x071c9b80, 	/* nws.nws_cmu.ln0_drv_refclk_afe_pd_ctrl0 .. nws.nws_cmu.ln0_drv_refclk_ReservedRegister1175 (7 regs) */
2533316485Sdavidcs	0x061c9b88, 	/* nws.nws_cmu.ln0_drv_refclk_ReservedRegister1176 .. nws.nws_cmu.ln0_drv_refclk_ReservedRegister1181 (6 regs) */
2534316485Sdavidcs	0x0a1c9b90, 	/* nws.nws_cmu.ln0_drv_refclk_txeq_ctrl0 .. nws.nws_cmu.ln0_drv_refclk_ReservedRegister1186 (10 regs) */
2535316485Sdavidcs	0x011c9b9b, 	/* nws.nws_cmu.ln0_drv_refclk_ReservedRegister1187 (1 regs) */
2536316485Sdavidcs	0x051c9c00, 	/* nws.nws_cmu.ln0_dfe_refclk_ReservedRegister1188 .. nws.nws_cmu.ln0_dfe_refclk_ReservedRegister1192 (5 regs) */
2537316485Sdavidcs	0x011c9c06, 	/* nws.nws_cmu.ln0_dfe_refclk_ReservedRegister1193 (1 regs) */
2538316485Sdavidcs	0x011c9c0a, 	/* nws.nws_cmu.ln0_dfe_refclk_ReservedRegister1194 (1 regs) */
2539316485Sdavidcs	0x011c9c0c, 	/* nws.nws_cmu.ln0_dfe_refclk_ReservedRegister1195 (1 regs) */
2540316485Sdavidcs	0x011c9c0e, 	/* nws.nws_cmu.ln0_dfe_refclk_ReservedRegister1196 (1 regs) */
2541316485Sdavidcs	0x011c9c10, 	/* nws.nws_cmu.ln0_dfe_refclk_ReservedRegister1197 (1 regs) */
2542316485Sdavidcs	0x011c9c12, 	/* nws.nws_cmu.ln0_dfe_refclk_ReservedRegister1198 (1 regs) */
2543316485Sdavidcs	0x011c9c14, 	/* nws.nws_cmu.ln0_dfe_refclk_ReservedRegister1199 (1 regs) */
2544316485Sdavidcs	0x011c9c16, 	/* nws.nws_cmu.ln0_dfe_refclk_ReservedRegister1200 (1 regs) */
2545316485Sdavidcs	0x021c9c18, 	/* nws.nws_cmu.ln0_dfe_refclk_ReservedRegister1201 .. nws.nws_cmu.ln0_dfe_refclk_ReservedRegister1202 (2 regs) */
2546316485Sdavidcs	0x011c9c1b, 	/* nws.nws_cmu.ln0_dfe_refclk_ReservedRegister1203 (1 regs) */
2547316485Sdavidcs	0x091c9c20, 	/* nws.nws_cmu.ln0_dfe_refclk_fsm_ctrl0 .. nws.nws_cmu.ln0_dfe_refclk_fsm_status0 (9 regs) */
2548316485Sdavidcs	0x191c9c2a, 	/* nws.nws_cmu.ln0_dfe_refclk_tap_ctrl0 .. nws.nws_cmu.ln0_dfe_refclk_tap_val_status7 (25 regs) */
2549316485Sdavidcs	0x161c9c50, 	/* nws.nws_cmu.ln0_dfe_refclk_ReservedRegister1211 .. nws.nws_cmu.ln0_dfe_refclk_ReservedRegister1232 (22 regs) */
2550316485Sdavidcs	0x031c9c80, 	/* nws.nws_cmu.ln0_dfe_rxclk_ReservedRegister1233 .. nws.nws_cmu.ln0_dfe_rxclk_ReservedRegister1235 (3 regs) */
2551316485Sdavidcs	0x081c9c86, 	/* nws.nws_cmu.ln0_dfe_rxclk_ReservedRegister1236 .. nws.nws_cmu.ln0_dfe_rxclk_ReservedRegister1243 (8 regs) */
2552316485Sdavidcs	0x031c9c90, 	/* nws.nws_cmu.ln0_dfe_rxclk_ReservedRegister1244 .. nws.nws_cmu.ln0_dfe_rxclk_ReservedRegister1246 (3 regs) */
2553316485Sdavidcs	0x091c9c96, 	/* nws.nws_cmu.ln0_dfe_rxclk_ReservedRegister1247 .. nws.nws_cmu.ln0_dfe_rxclk_ReservedRegister1255 (9 regs) */
2554316485Sdavidcs	0x161c9ca4, 	/* nws.nws_cmu.ln0_dfe_rxclk_ReservedRegister1256 .. nws.nws_cmu.ln0_dfe_rxclk_ReservedRegister1277 (22 regs) */
2555316485Sdavidcs	0x121c9cc0, 	/* nws.nws_cmu.ln0_dfe_rxclk_ReservedRegister1278 .. nws.nws_cmu.ln0_dfe_rxclk_ReservedRegister1295 (18 regs) */
2556316485Sdavidcs	0x031c9cd6, 	/* nws.nws_cmu.ln0_dfe_rxclk_ReservedRegister1296 .. nws.nws_cmu.ln0_dfe_rxclk_ReservedRegister1298 (3 regs) */
2557316485Sdavidcs	0x0c1c9ce0, 	/* nws.nws_cmu.ln0_dfe_rxclk_ReservedRegister1299 .. nws.nws_cmu.ln0_dfe_rxclk_ReservedRegister1310 (12 regs) */
2558316485Sdavidcs	0x061c9d00, 	/* nws.nws_cmu.ln0_los_refclk_afe_cal_ctrl .. nws.nws_cmu.ln0_los_refclk_run_length_status0 (6 regs) */
2559316485Sdavidcs	0x071c9d10, 	/* nws.nws_cmu.ln0_los_refclk_filter_ctrl0 .. nws.nws_cmu.ln0_los_refclk_filter_ctrl6 (7 regs) */
2560316485Sdavidcs	0x051c9d20, 	/* nws.nws_cmu.ln0_los_refclk_ReservedRegister1313 .. nws.nws_cmu.ln0_los_refclk_ReservedRegister1317 (5 regs) */
2561316485Sdavidcs	0x041c9d30, 	/* nws.nws_cmu.ln0_los_refclk_override_ctrl0 .. nws.nws_cmu.ln0_los_refclk_ReservedRegister1320 (4 regs) */
2562316485Sdavidcs	0x041c9d40, 	/* nws.nws_cmu.ln0_los_refclk_ReservedRegister1321 .. nws.nws_cmu.ln0_los_refclk_ReservedRegister1324 (4 regs) */
2563316485Sdavidcs	0x011c9d46, 	/* nws.nws_cmu.ln0_los_refclk_ReservedRegister1325 (1 regs) */
2564316485Sdavidcs	0x011c9d51, 	/* nws.nws_cmu.ln0_los_refclk_ReservedRegister1326 (1 regs) */
2565316485Sdavidcs	0x011c9d59, 	/* nws.nws_cmu.ln0_los_refclk_ReservedRegister1327 (1 regs) */
2566316485Sdavidcs	0x011c9d60, 	/* nws.nws_cmu.ln0_los_refclk_ReservedRegister1328 (1 regs) */
2567316485Sdavidcs	0x021c9d70, 	/* nws.nws_cmu.ln0_los_refclk_ReservedRegister1329 .. nws.nws_cmu.ln0_los_refclk_status0 (2 regs) */
2568316485Sdavidcs	0x041c9d80, 	/* nws.nws_cmu.ln0_gcfsm2_ReservedRegister1330 .. nws.nws_cmu.ln0_gcfsm2_ReservedRegister1333 (4 regs) */
2569316485Sdavidcs	0x041c9d90, 	/* nws.nws_cmu.ln0_gcfsm2_ReservedRegister1334 .. nws.nws_cmu.ln0_gcfsm2_ReservedRegister1337 (4 regs) */
2570316485Sdavidcs	0x071c9da0, 	/* nws.nws_cmu.ln0_gcfsm2_ReservedRegister1338 .. nws.nws_cmu.ln0_gcfsm2_ReservedRegister1344 (7 regs) */
2571316485Sdavidcs	0x031c9db0, 	/* nws.nws_cmu.ln0_gcfsm2_ReservedRegister1345 .. nws.nws_cmu.ln0_gcfsm2_ReservedRegister1347 (3 regs) */
2572316485Sdavidcs	0x091c9dc0, 	/* nws.nws_cmu.ln0_gcfsm2_ReservedRegister1348 .. nws.nws_cmu.ln0_gcfsm2_ReservedRegister1356 (9 regs) */
2573316485Sdavidcs	0x021c9dd0, 	/* nws.nws_cmu.ln0_gcfsm2_ReservedRegister1357 .. nws.nws_cmu.ln0_gcfsm2_ReservedRegister1358 (2 regs) */
2574316485Sdavidcs	0x051c9e00, 	/* nws.nws_cmu.ln0_bist_tx_ctrl .. nws.nws_cmu.ln0_bist_tx_ReservedRegister1362 (5 regs) */
2575316485Sdavidcs	0x081c9e06, 	/* nws.nws_cmu.ln0_bist_tx_ber_ctrl0 .. nws.nws_cmu.ln0_bist_tx_ber_ctrl7 (8 regs) */
2576316485Sdavidcs	0x011c9e20, 	/* nws.nws_cmu.ln0_bist_tx_udp_shift_amount (1 regs) */
2577316485Sdavidcs	0x191c9e24, 	/* nws.nws_cmu.ln0_bist_tx_udp_7_0 .. nws.nws_cmu.ln0_bist_tx_udp_199_192 (25 regs) */
2578316485Sdavidcs	0x011c9e80, 	/* nws.nws_cmu.ln0_bist_rx_ctrl (1 regs) */
2579316485Sdavidcs	0x011c9e84, 	/* nws.nws_cmu.ln0_bist_rx_status (1 regs) */
2580316485Sdavidcs	0x031c9e88, 	/* nws.nws_cmu.ln0_bist_rx_ber_status0 .. nws.nws_cmu.ln0_bist_rx_ber_status2 (3 regs) */
2581316485Sdavidcs	0x031c9e8c, 	/* nws.nws_cmu.ln0_bist_rx_ber_status4 .. nws.nws_cmu.ln0_bist_rx_ber_status6 (3 regs) */
2582316485Sdavidcs	0x041c9e94, 	/* nws.nws_cmu.ln0_bist_rx_lock_ctrl0 .. nws.nws_cmu.ln0_bist_rx_lock_ctrl3 (4 regs) */
2583316485Sdavidcs	0x051c9ea0, 	/* nws.nws_cmu.ln0_bist_rx_loss_lock_ctrl0 .. nws.nws_cmu.ln0_bist_rx_loss_lock_ctrl4 (5 regs) */
2584316485Sdavidcs	0x011c9eb0, 	/* nws.nws_cmu.ln0_bist_rx_udp_shift_amount (1 regs) */
2585316485Sdavidcs	0x191c9eb4, 	/* nws.nws_cmu.ln0_bist_rx_udp_7_0 .. nws.nws_cmu.ln0_bist_rx_udp_199_192 (25 regs) */
2586316485Sdavidcs	0x021c9f00, 	/* nws.nws_cmu.ln0_feature_rxterm_cfg0 .. nws.nws_cmu.ln0_feature_rxclkdiv_cfg0 (2 regs) */
2587316485Sdavidcs	0x061c9f04, 	/* nws.nws_cmu.ln0_feature_ReservedRegister1363 .. nws.nws_cmu.ln0_feature_ReservedRegister1368 (6 regs) */
2588316485Sdavidcs	0x071c9f10, 	/* nws.nws_cmu.ln0_feature_ReservedRegister1369 .. nws.nws_cmu.ln0_feature_ReservedRegister1375 (7 regs) */
2589316485Sdavidcs	0x0a1c9f20, 	/* nws.nws_cmu.ln0_feature_ReservedRegister1376 .. nws.nws_cmu.ln0_feature_ReservedRegister1378 (10 regs) */
2590316485Sdavidcs	0x071c9f30, 	/* nws.nws_cmu.ln0_feature_dfe_cfg .. nws.nws_cmu.ln0_feature_dfe_adapt_tap5_cfg (7 regs) */
2591316485Sdavidcs	0x101c9f38, 	/* nws.nws_cmu.ln0_feature_adapt_cont_cfg0 .. nws.nws_cmu.ln0_feature_ReservedRegister1390 (16 regs) */
2592316485Sdavidcs	0x011c9f50, 	/* nws.nws_cmu.ln0_feature_test_cfg0 (1 regs) */
2593316485Sdavidcs	0x081c9f58, 	/* nws.nws_cmu.ln0_feature_ReservedRegister1391 .. nws.nws_cmu.ln0_feature_ReservedRegister1398 (8 regs) */
2594316485Sdavidcs	0x061c9f80, 	/* nws.nws_cmu.ln0_lt_tx_fsm_ctrl0 .. nws.nws_cmu.ln0_lt_tx_fsm_ctrl5 (6 regs) */
2595316485Sdavidcs	0x011c9f90, 	/* nws.nws_cmu.ln0_lt_tx_fsm_status (1 regs) */
2596316485Sdavidcs	0x031c9f93, 	/* nws.nws_cmu.ln0_lt_tx_prbs_ctrl0 .. nws.nws_cmu.ln0_lt_tx_prbs_ctrl2 (3 regs) */
2597316485Sdavidcs	0x011c9fa0, 	/* nws.nws_cmu.ln0_lt_tx_coefficient_update_ctrl (1 regs) */
2598316485Sdavidcs	0x011c9fa2, 	/* nws.nws_cmu.ln0_lt_tx_status_report_ctrl (1 regs) */
2599316485Sdavidcs	0x021c9fb0, 	/* nws.nws_cmu.ln0_lt_tx_fsm_state_status0 .. nws.nws_cmu.ln0_lt_tx_fsm_state_status1 (2 regs) */
2600316485Sdavidcs	0x011c9fc0, 	/* nws.nws_cmu.ln0_lt_rx_ctrl0 (1 regs) */
2601316485Sdavidcs	0x021c9fc2, 	/* nws.nws_cmu.ln0_lt_rx_prbs_ctrl0 .. nws.nws_cmu.ln0_lt_rx_prbs_ctrl1 (2 regs) */
2602316485Sdavidcs	0x031c9fc5, 	/* nws.nws_cmu.ln0_lt_rx_prbs_status0 .. nws.nws_cmu.ln0_lt_rx_prbs_status2 (3 regs) */
2603316485Sdavidcs	0x011c9fd0, 	/* nws.nws_cmu.ln0_lt_rx_frame_ctrl (1 regs) */
2604316485Sdavidcs	0x051c9fd3, 	/* nws.nws_cmu.ln0_lt_rx_frame_status0 .. nws.nws_cmu.ln0_lt_rx_frame_status4 (5 regs) */
2605316485Sdavidcs	0x011c9fe0, 	/* nws.nws_cmu.ln0_lt_rx_coefficient_update_status (1 regs) */
2606316485Sdavidcs	0x011c9fe2, 	/* nws.nws_cmu.ln0_lt_rx_report_status (1 regs) */
2607316485Sdavidcs	0x071ca000, 	/* nws.nws_cmu.ln1_top_afe_loopback_ctrl .. nws.nws_cmu.ln1_top_ReservedRegister1404 (7 regs) */
2608316485Sdavidcs	0x011ca010, 	/* nws.nws_cmu.ln1_top_ReservedRegister1405 (1 regs) */
2609316485Sdavidcs	0x031ca012, 	/* nws.nws_cmu.ln1_top_ReservedRegister1406 .. nws.nws_cmu.ln1_top_ReservedRegister1408 (3 regs) */
2610316485Sdavidcs	0x011ca016, 	/* nws.nws_cmu.ln1_top_ReservedRegister1409 (1 regs) */
2611316485Sdavidcs	0x011ca019, 	/* nws.nws_cmu.ln1_top_ReservedRegister1410 (1 regs) */
2612316485Sdavidcs	0x021ca01b, 	/* nws.nws_cmu.ln1_top_ReservedRegister1411 .. nws.nws_cmu.ln1_top_ReservedRegister1412 (2 regs) */
2613316485Sdavidcs	0x011ca01e, 	/* nws.nws_cmu.ln1_top_ReservedRegister1413 (1 regs) */
2614316485Sdavidcs	0x011ca022, 	/* nws.nws_cmu.ln1_top_dpl_txdp_ctrl1 (1 regs) */
2615316485Sdavidcs	0x041ca024, 	/* nws.nws_cmu.ln1_top_dpl_rxdp_ctrl1 .. nws.nws_cmu.ln1_top_phy_if_status (4 regs) */
2616316485Sdavidcs	0x021ca030, 	/* nws.nws_cmu.ln1_top_ReservedRegister1416 .. nws.nws_cmu.ln1_top_ReservedRegister1417 (2 regs) */
2617316485Sdavidcs	0x091ca038, 	/* nws.nws_cmu.ln1_top_ln_stat_ctrl0 .. nws.nws_cmu.ln1_top_ReservedRegister1424 (9 regs) */
2618316485Sdavidcs	0x021ca042, 	/* nws.nws_cmu.ln1_top_ReservedRegister1425 .. nws.nws_cmu.ln1_top_ReservedRegister1426 (2 regs) */
2619316485Sdavidcs	0x051ca048, 	/* nws.nws_cmu.ln1_top_ReservedRegister1427 .. nws.nws_cmu.ln1_top_ReservedRegister1431 (5 regs) */
2620316485Sdavidcs	0x031ca050, 	/* nws.nws_cmu.ln1_top_err_ctrl1 .. nws.nws_cmu.ln1_top_err_ctrl3 (3 regs) */
2621316485Sdavidcs	0x021ca090, 	/* nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1432 .. nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1433 (2 regs) */
2622316485Sdavidcs	0x021ca0a1, 	/* nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1434 .. nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1435 (2 regs) */
2623316485Sdavidcs	0x061ca0a6, 	/* nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1436 .. nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1441 (6 regs) */
2624316485Sdavidcs	0xff500000, 	/* block nws */
2625316485Sdavidcs	0x011ca0ad, 	/* nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1442 (1 regs) */
2626316485Sdavidcs	0x031ca0b0, 	/* nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1443 .. nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1445 (3 regs) */
2627316485Sdavidcs	0x011ca0b4, 	/* nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1446 (1 regs) */
2628316485Sdavidcs	0x021ca0b6, 	/* nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1447 .. nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1448 (2 regs) */
2629316485Sdavidcs	0x091ca0b9, 	/* nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1449 .. nws.nws_cmu.ln1_cdr_rxclk_dlpf_status4 (9 regs) */
2630316485Sdavidcs	0x031ca0c4, 	/* nws.nws_cmu.ln1_cdr_rxclk_dlpf_status5 .. nws.nws_cmu.ln1_cdr_rxclk_integral_status1 (3 regs) */
2631316485Sdavidcs	0x081ca0c8, 	/* nws.nws_cmu.ln1_cdr_rxclk_integral_status2 .. nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1461 (8 regs) */
2632316485Sdavidcs	0x041ca0e0, 	/* nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1462 .. nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1465 (4 regs) */
2633316485Sdavidcs	0x091ca0e8, 	/* nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1466 .. nws.nws_cmu.ln1_cdr_rxclk_ReservedRegister1474 (9 regs) */
2634316485Sdavidcs	0x021ca100, 	/* nws.nws_cmu.ln1_cdr_refclk_ReservedRegister1475 .. nws.nws_cmu.ln1_cdr_refclk_ReservedRegister1476 (2 regs) */
2635316485Sdavidcs	0x011ca104, 	/* nws.nws_cmu.ln1_cdr_refclk_ReservedRegister1477 (1 regs) */
2636316485Sdavidcs	0x011ca106, 	/* nws.nws_cmu.ln1_cdr_refclk_ReservedRegister1478 (1 regs) */
2637316485Sdavidcs	0x031ca10a, 	/* nws.nws_cmu.ln1_cdr_refclk_ReservedRegister1479 .. nws.nws_cmu.ln1_cdr_refclk_ReservedRegister1481 (3 regs) */
2638316485Sdavidcs	0x021ca110, 	/* nws.nws_cmu.ln1_cdr_refclk_ReservedRegister1482 .. nws.nws_cmu.ln1_cdr_refclk_ReservedRegister1483 (2 regs) */
2639316485Sdavidcs	0x041ca118, 	/* nws.nws_cmu.ln1_cdr_refclk_ReservedRegister1484 .. nws.nws_cmu.ln1_cdr_refclk_ReservedRegister1487 (4 regs) */
2640316485Sdavidcs	0x061ca120, 	/* nws.nws_cmu.ln1_cdr_refclk_ReservedRegister1488 .. nws.nws_cmu.ln1_cdr_refclk_ReservedRegister1493 (6 regs) */
2641316485Sdavidcs	0x011ca130, 	/* nws.nws_cmu.ln1_cdr_refclk_ReservedRegister1494 (1 regs) */
2642316485Sdavidcs	0x0f1ca180, 	/* nws.nws_cmu.ln1_aneg_ReservedRegister1495 .. nws.nws_cmu.ln1_aneg_ReservedRegister1506 (15 regs) */
2643316485Sdavidcs	0x011ca190, 	/* nws.nws_cmu.ln1_aneg_status0 (1 regs) */
2644316485Sdavidcs	0x021ca194, 	/* nws.nws_cmu.ln1_aneg_status_dbg0 .. nws.nws_cmu.ln1_aneg_status_dbg1 (2 regs) */
2645316485Sdavidcs	0x251ca198, 	/* nws.nws_cmu.ln1_aneg_base_page0 .. nws.nws_cmu.ln1_aneg_resolution_eee (37 regs) */
2646316485Sdavidcs	0x021ca1be, 	/* nws.nws_cmu.ln1_aneg_link_status0 .. nws.nws_cmu.ln1_aneg_link_status1 (2 regs) */
2647316485Sdavidcs	0x031ca1c1, 	/* nws.nws_cmu.ln1_eee_ReservedRegister1523 .. nws.nws_cmu.ln1_eee_ReservedRegister1525 (3 regs) */
2648316485Sdavidcs	0x041ca1c5, 	/* nws.nws_cmu.ln1_eee_ReservedRegister1526 .. nws.nws_cmu.ln1_eee_ReservedRegister1529 (4 regs) */
2649316485Sdavidcs	0x011ca200, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1530 (1 regs) */
2650316485Sdavidcs	0x021ca202, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1531 .. nws.nws_cmu.ln1_leq_refclk_ReservedRegister1532 (2 regs) */
2651316485Sdavidcs	0x011ca205, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1533 (1 regs) */
2652316485Sdavidcs	0x011ca207, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1534 (1 regs) */
2653316485Sdavidcs	0x041ca209, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1535 .. nws.nws_cmu.ln1_leq_refclk_ReservedRegister1538 (4 regs) */
2654316485Sdavidcs	0x041ca20e, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1539 .. nws.nws_cmu.ln1_leq_refclk_ReservedRegister1542 (4 regs) */
2655316485Sdavidcs	0x0d1ca220, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1543 .. nws.nws_cmu.ln1_leq_refclk_ReservedRegister1555 (13 regs) */
2656316485Sdavidcs	0x011ca22e, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1556 (1 regs) */
2657316485Sdavidcs	0x0b1ca230, 	/* nws.nws_cmu.ln1_leq_refclk_agclos_ctrl0 .. nws.nws_cmu.ln1_leq_refclk_ReservedRegister1566 (11 regs) */
2658316485Sdavidcs	0x021ca23d, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1567 .. nws.nws_cmu.ln1_leq_refclk_ple_att_ctrl1 (2 regs) */
2659316485Sdavidcs	0x071ca240, 	/* nws.nws_cmu.ln1_leq_refclk_eq_hfg_sql_ctrl0 .. nws.nws_cmu.ln1_leq_refclk_ReservedRegister1573 (7 regs) */
2660316485Sdavidcs	0x191ca250, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1574 .. nws.nws_cmu.ln1_leq_refclk_ReservedRegister1598 (25 regs) */
2661316485Sdavidcs	0x071ca270, 	/* nws.nws_cmu.ln1_leq_refclk_gn_apg_ctrl0 .. nws.nws_cmu.ln1_leq_refclk_ReservedRegister1604 (7 regs) */
2662316485Sdavidcs	0x091ca280, 	/* nws.nws_cmu.ln1_leq_refclk_eq_lfg_ctrl0 .. nws.nws_cmu.ln1_leq_refclk_ReservedRegister1610 (9 regs) */
2663316485Sdavidcs	0x071ca290, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1611 .. nws.nws_cmu.ln1_leq_refclk_ReservedRegister1617 (7 regs) */
2664316485Sdavidcs	0x061ca298, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1618 .. nws.nws_cmu.ln1_leq_refclk_ReservedRegister1622 (6 regs) */
2665316485Sdavidcs	0x041ca2a0, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1623 .. nws.nws_cmu.ln1_leq_refclk_ReservedRegister1626 (4 regs) */
2666316485Sdavidcs	0x041ca2a6, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1627 .. nws.nws_cmu.ln1_leq_refclk_ReservedRegister1630 (4 regs) */
2667316485Sdavidcs	0x021ca2ab, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1631 .. nws.nws_cmu.ln1_leq_refclk_ReservedRegister1632 (2 regs) */
2668316485Sdavidcs	0x021ca2ae, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1633 .. nws.nws_cmu.ln1_leq_refclk_ReservedRegister1634 (2 regs) */
2669316485Sdavidcs	0x021ca2b8, 	/* nws.nws_cmu.ln1_leq_refclk_ReservedRegister1635 .. nws.nws_cmu.ln1_leq_refclk_ReservedRegister1636 (2 regs) */
2670316485Sdavidcs	0x061ca300, 	/* nws.nws_cmu.ln1_leq_rxclk_ReservedRegister1637 .. nws.nws_cmu.ln1_leq_rxclk_ReservedRegister1642 (6 regs) */
2671316485Sdavidcs	0x021ca308, 	/* nws.nws_cmu.ln1_leq_rxclk_ReservedRegister1643 .. nws.nws_cmu.ln1_leq_rxclk_ReservedRegister1644 (2 regs) */
2672316485Sdavidcs	0x021ca30c, 	/* nws.nws_cmu.ln1_leq_rxclk_ReservedRegister1645 .. nws.nws_cmu.ln1_leq_rxclk_ReservedRegister1646 (2 regs) */
2673316485Sdavidcs	0x021ca310, 	/* nws.nws_cmu.ln1_leq_rxclk_ReservedRegister1647 .. nws.nws_cmu.ln1_leq_rxclk_ReservedRegister1648 (2 regs) */
2674316485Sdavidcs	0x021ca313, 	/* nws.nws_cmu.ln1_leq_rxclk_ReservedRegister1649 .. nws.nws_cmu.ln1_leq_rxclk_ReservedRegister1650 (2 regs) */
2675316485Sdavidcs	0x021ca316, 	/* nws.nws_cmu.ln1_leq_rxclk_ReservedRegister1651 .. nws.nws_cmu.ln1_leq_rxclk_ReservedRegister1652 (2 regs) */
2676316485Sdavidcs	0x021ca320, 	/* nws.nws_cmu.ln1_leq_rxclk_ReservedRegister1653 .. nws.nws_cmu.ln1_leq_rxclk_ReservedRegister1654 (2 regs) */
2677316485Sdavidcs	0x071ca380, 	/* nws.nws_cmu.ln1_drv_refclk_afe_pd_ctrl0 .. nws.nws_cmu.ln1_drv_refclk_ReservedRegister1659 (7 regs) */
2678316485Sdavidcs	0x061ca388, 	/* nws.nws_cmu.ln1_drv_refclk_ReservedRegister1660 .. nws.nws_cmu.ln1_drv_refclk_ReservedRegister1665 (6 regs) */
2679316485Sdavidcs	0x0a1ca390, 	/* nws.nws_cmu.ln1_drv_refclk_txeq_ctrl0 .. nws.nws_cmu.ln1_drv_refclk_ReservedRegister1670 (10 regs) */
2680316485Sdavidcs	0x011ca39b, 	/* nws.nws_cmu.ln1_drv_refclk_ReservedRegister1671 (1 regs) */
2681316485Sdavidcs	0x051ca400, 	/* nws.nws_cmu.ln1_dfe_refclk_ReservedRegister1672 .. nws.nws_cmu.ln1_dfe_refclk_ReservedRegister1676 (5 regs) */
2682316485Sdavidcs	0x011ca406, 	/* nws.nws_cmu.ln1_dfe_refclk_ReservedRegister1677 (1 regs) */
2683316485Sdavidcs	0x011ca40a, 	/* nws.nws_cmu.ln1_dfe_refclk_ReservedRegister1678 (1 regs) */
2684316485Sdavidcs	0x011ca40c, 	/* nws.nws_cmu.ln1_dfe_refclk_ReservedRegister1679 (1 regs) */
2685316485Sdavidcs	0x011ca40e, 	/* nws.nws_cmu.ln1_dfe_refclk_ReservedRegister1680 (1 regs) */
2686316485Sdavidcs	0x011ca410, 	/* nws.nws_cmu.ln1_dfe_refclk_ReservedRegister1681 (1 regs) */
2687316485Sdavidcs	0x011ca412, 	/* nws.nws_cmu.ln1_dfe_refclk_ReservedRegister1682 (1 regs) */
2688316485Sdavidcs	0x011ca414, 	/* nws.nws_cmu.ln1_dfe_refclk_ReservedRegister1683 (1 regs) */
2689316485Sdavidcs	0x011ca416, 	/* nws.nws_cmu.ln1_dfe_refclk_ReservedRegister1684 (1 regs) */
2690316485Sdavidcs	0x021ca418, 	/* nws.nws_cmu.ln1_dfe_refclk_ReservedRegister1685 .. nws.nws_cmu.ln1_dfe_refclk_ReservedRegister1686 (2 regs) */
2691316485Sdavidcs	0x011ca41b, 	/* nws.nws_cmu.ln1_dfe_refclk_ReservedRegister1687 (1 regs) */
2692316485Sdavidcs	0x091ca420, 	/* nws.nws_cmu.ln1_dfe_refclk_fsm_ctrl0 .. nws.nws_cmu.ln1_dfe_refclk_fsm_status0 (9 regs) */
2693316485Sdavidcs	0x191ca42a, 	/* nws.nws_cmu.ln1_dfe_refclk_tap_ctrl0 .. nws.nws_cmu.ln1_dfe_refclk_tap_val_status7 (25 regs) */
2694316485Sdavidcs	0x161ca450, 	/* nws.nws_cmu.ln1_dfe_refclk_ReservedRegister1695 .. nws.nws_cmu.ln1_dfe_refclk_ReservedRegister1716 (22 regs) */
2695316485Sdavidcs	0x031ca480, 	/* nws.nws_cmu.ln1_dfe_rxclk_ReservedRegister1717 .. nws.nws_cmu.ln1_dfe_rxclk_ReservedRegister1719 (3 regs) */
2696316485Sdavidcs	0x081ca486, 	/* nws.nws_cmu.ln1_dfe_rxclk_ReservedRegister1720 .. nws.nws_cmu.ln1_dfe_rxclk_ReservedRegister1727 (8 regs) */
2697316485Sdavidcs	0x031ca490, 	/* nws.nws_cmu.ln1_dfe_rxclk_ReservedRegister1728 .. nws.nws_cmu.ln1_dfe_rxclk_ReservedRegister1730 (3 regs) */
2698316485Sdavidcs	0x091ca496, 	/* nws.nws_cmu.ln1_dfe_rxclk_ReservedRegister1731 .. nws.nws_cmu.ln1_dfe_rxclk_ReservedRegister1739 (9 regs) */
2699316485Sdavidcs	0x161ca4a4, 	/* nws.nws_cmu.ln1_dfe_rxclk_ReservedRegister1740 .. nws.nws_cmu.ln1_dfe_rxclk_ReservedRegister1761 (22 regs) */
2700316485Sdavidcs	0x121ca4c0, 	/* nws.nws_cmu.ln1_dfe_rxclk_ReservedRegister1762 .. nws.nws_cmu.ln1_dfe_rxclk_ReservedRegister1779 (18 regs) */
2701316485Sdavidcs	0x031ca4d6, 	/* nws.nws_cmu.ln1_dfe_rxclk_ReservedRegister1780 .. nws.nws_cmu.ln1_dfe_rxclk_ReservedRegister1782 (3 regs) */
2702316485Sdavidcs	0x0c1ca4e0, 	/* nws.nws_cmu.ln1_dfe_rxclk_ReservedRegister1783 .. nws.nws_cmu.ln1_dfe_rxclk_ReservedRegister1794 (12 regs) */
2703316485Sdavidcs	0x061ca500, 	/* nws.nws_cmu.ln1_los_refclk_afe_cal_ctrl .. nws.nws_cmu.ln1_los_refclk_run_length_status0 (6 regs) */
2704316485Sdavidcs	0x071ca510, 	/* nws.nws_cmu.ln1_los_refclk_filter_ctrl0 .. nws.nws_cmu.ln1_los_refclk_filter_ctrl6 (7 regs) */
2705316485Sdavidcs	0x051ca520, 	/* nws.nws_cmu.ln1_los_refclk_ReservedRegister1797 .. nws.nws_cmu.ln1_los_refclk_ReservedRegister1801 (5 regs) */
2706316485Sdavidcs	0x041ca530, 	/* nws.nws_cmu.ln1_los_refclk_override_ctrl0 .. nws.nws_cmu.ln1_los_refclk_ReservedRegister1804 (4 regs) */
2707316485Sdavidcs	0x041ca540, 	/* nws.nws_cmu.ln1_los_refclk_ReservedRegister1805 .. nws.nws_cmu.ln1_los_refclk_ReservedRegister1808 (4 regs) */
2708316485Sdavidcs	0x011ca546, 	/* nws.nws_cmu.ln1_los_refclk_ReservedRegister1809 (1 regs) */
2709316485Sdavidcs	0x011ca551, 	/* nws.nws_cmu.ln1_los_refclk_ReservedRegister1810 (1 regs) */
2710316485Sdavidcs	0x011ca559, 	/* nws.nws_cmu.ln1_los_refclk_ReservedRegister1811 (1 regs) */
2711316485Sdavidcs	0x011ca560, 	/* nws.nws_cmu.ln1_los_refclk_ReservedRegister1812 (1 regs) */
2712316485Sdavidcs	0x021ca570, 	/* nws.nws_cmu.ln1_los_refclk_ReservedRegister1813 .. nws.nws_cmu.ln1_los_refclk_status0 (2 regs) */
2713316485Sdavidcs	0x041ca580, 	/* nws.nws_cmu.ln1_gcfsm2_ReservedRegister1814 .. nws.nws_cmu.ln1_gcfsm2_ReservedRegister1817 (4 regs) */
2714316485Sdavidcs	0x041ca590, 	/* nws.nws_cmu.ln1_gcfsm2_ReservedRegister1818 .. nws.nws_cmu.ln1_gcfsm2_ReservedRegister1821 (4 regs) */
2715316485Sdavidcs	0x071ca5a0, 	/* nws.nws_cmu.ln1_gcfsm2_ReservedRegister1822 .. nws.nws_cmu.ln1_gcfsm2_ReservedRegister1828 (7 regs) */
2716316485Sdavidcs	0x031ca5b0, 	/* nws.nws_cmu.ln1_gcfsm2_ReservedRegister1829 .. nws.nws_cmu.ln1_gcfsm2_ReservedRegister1831 (3 regs) */
2717316485Sdavidcs	0x091ca5c0, 	/* nws.nws_cmu.ln1_gcfsm2_ReservedRegister1832 .. nws.nws_cmu.ln1_gcfsm2_ReservedRegister1840 (9 regs) */
2718316485Sdavidcs	0x021ca5d0, 	/* nws.nws_cmu.ln1_gcfsm2_ReservedRegister1841 .. nws.nws_cmu.ln1_gcfsm2_ReservedRegister1842 (2 regs) */
2719316485Sdavidcs	0x051ca600, 	/* nws.nws_cmu.ln1_bist_tx_ctrl .. nws.nws_cmu.ln1_bist_tx_ReservedRegister1846 (5 regs) */
2720316485Sdavidcs	0x081ca606, 	/* nws.nws_cmu.ln1_bist_tx_ber_ctrl0 .. nws.nws_cmu.ln1_bist_tx_ber_ctrl7 (8 regs) */
2721316485Sdavidcs	0x011ca620, 	/* nws.nws_cmu.ln1_bist_tx_udp_shift_amount (1 regs) */
2722316485Sdavidcs	0x191ca624, 	/* nws.nws_cmu.ln1_bist_tx_udp_7_0 .. nws.nws_cmu.ln1_bist_tx_udp_199_192 (25 regs) */
2723316485Sdavidcs	0x011ca680, 	/* nws.nws_cmu.ln1_bist_rx_ctrl (1 regs) */
2724316485Sdavidcs	0x011ca684, 	/* nws.nws_cmu.ln1_bist_rx_status (1 regs) */
2725316485Sdavidcs	0x031ca688, 	/* nws.nws_cmu.ln1_bist_rx_ber_status0 .. nws.nws_cmu.ln1_bist_rx_ber_status2 (3 regs) */
2726316485Sdavidcs	0x031ca68c, 	/* nws.nws_cmu.ln1_bist_rx_ber_status4 .. nws.nws_cmu.ln1_bist_rx_ber_status6 (3 regs) */
2727316485Sdavidcs	0x041ca694, 	/* nws.nws_cmu.ln1_bist_rx_lock_ctrl0 .. nws.nws_cmu.ln1_bist_rx_lock_ctrl3 (4 regs) */
2728316485Sdavidcs	0x051ca6a0, 	/* nws.nws_cmu.ln1_bist_rx_loss_lock_ctrl0 .. nws.nws_cmu.ln1_bist_rx_loss_lock_ctrl4 (5 regs) */
2729316485Sdavidcs	0x011ca6b0, 	/* nws.nws_cmu.ln1_bist_rx_udp_shift_amount (1 regs) */
2730316485Sdavidcs	0x191ca6b4, 	/* nws.nws_cmu.ln1_bist_rx_udp_7_0 .. nws.nws_cmu.ln1_bist_rx_udp_199_192 (25 regs) */
2731316485Sdavidcs	0x021ca700, 	/* nws.nws_cmu.ln1_feature_rxterm_cfg0 .. nws.nws_cmu.ln1_feature_rxclkdiv_cfg0 (2 regs) */
2732316485Sdavidcs	0x061ca704, 	/* nws.nws_cmu.ln1_feature_ReservedRegister1847 .. nws.nws_cmu.ln1_feature_ReservedRegister1852 (6 regs) */
2733316485Sdavidcs	0x071ca710, 	/* nws.nws_cmu.ln1_feature_ReservedRegister1853 .. nws.nws_cmu.ln1_feature_ReservedRegister1859 (7 regs) */
2734316485Sdavidcs	0x0a1ca720, 	/* nws.nws_cmu.ln1_feature_ReservedRegister1860 .. nws.nws_cmu.ln1_feature_ReservedRegister1862 (10 regs) */
2735316485Sdavidcs	0x071ca730, 	/* nws.nws_cmu.ln1_feature_dfe_cfg .. nws.nws_cmu.ln1_feature_dfe_adapt_tap5_cfg (7 regs) */
2736316485Sdavidcs	0x101ca738, 	/* nws.nws_cmu.ln1_feature_adapt_cont_cfg0 .. nws.nws_cmu.ln1_feature_ReservedRegister1874 (16 regs) */
2737316485Sdavidcs	0x011ca750, 	/* nws.nws_cmu.ln1_feature_test_cfg0 (1 regs) */
2738316485Sdavidcs	0x081ca758, 	/* nws.nws_cmu.ln1_feature_ReservedRegister1875 .. nws.nws_cmu.ln1_feature_ReservedRegister1882 (8 regs) */
2739316485Sdavidcs	0x061ca780, 	/* nws.nws_cmu.ln1_lt_tx_fsm_ctrl0 .. nws.nws_cmu.ln1_lt_tx_fsm_ctrl5 (6 regs) */
2740316485Sdavidcs	0x011ca790, 	/* nws.nws_cmu.ln1_lt_tx_fsm_status (1 regs) */
2741316485Sdavidcs	0x031ca793, 	/* nws.nws_cmu.ln1_lt_tx_prbs_ctrl0 .. nws.nws_cmu.ln1_lt_tx_prbs_ctrl2 (3 regs) */
2742316485Sdavidcs	0x011ca7a0, 	/* nws.nws_cmu.ln1_lt_tx_coefficient_update_ctrl (1 regs) */
2743316485Sdavidcs	0x011ca7a2, 	/* nws.nws_cmu.ln1_lt_tx_status_report_ctrl (1 regs) */
2744316485Sdavidcs	0x021ca7b0, 	/* nws.nws_cmu.ln1_lt_tx_fsm_state_status0 .. nws.nws_cmu.ln1_lt_tx_fsm_state_status1 (2 regs) */
2745316485Sdavidcs	0x011ca7c0, 	/* nws.nws_cmu.ln1_lt_rx_ctrl0 (1 regs) */
2746316485Sdavidcs	0x021ca7c2, 	/* nws.nws_cmu.ln1_lt_rx_prbs_ctrl0 .. nws.nws_cmu.ln1_lt_rx_prbs_ctrl1 (2 regs) */
2747316485Sdavidcs	0x031ca7c5, 	/* nws.nws_cmu.ln1_lt_rx_prbs_status0 .. nws.nws_cmu.ln1_lt_rx_prbs_status2 (3 regs) */
2748316485Sdavidcs	0x011ca7d0, 	/* nws.nws_cmu.ln1_lt_rx_frame_ctrl (1 regs) */
2749316485Sdavidcs	0x051ca7d3, 	/* nws.nws_cmu.ln1_lt_rx_frame_status0 .. nws.nws_cmu.ln1_lt_rx_frame_status4 (5 regs) */
2750316485Sdavidcs	0x011ca7e0, 	/* nws.nws_cmu.ln1_lt_rx_coefficient_update_status (1 regs) */
2751316485Sdavidcs	0x011ca7e2, 	/* nws.nws_cmu.ln1_lt_rx_report_status (1 regs) */
2752316485Sdavidcs	0x071ca800, 	/* nws.nws_cmu.ln2_top_afe_loopback_ctrl .. nws.nws_cmu.ln2_top_ReservedRegister1888 (7 regs) */
2753316485Sdavidcs	0x011ca810, 	/* nws.nws_cmu.ln2_top_ReservedRegister1889 (1 regs) */
2754316485Sdavidcs	0x031ca812, 	/* nws.nws_cmu.ln2_top_ReservedRegister1890 .. nws.nws_cmu.ln2_top_ReservedRegister1892 (3 regs) */
2755316485Sdavidcs	0x011ca816, 	/* nws.nws_cmu.ln2_top_ReservedRegister1893 (1 regs) */
2756316485Sdavidcs	0x011ca819, 	/* nws.nws_cmu.ln2_top_ReservedRegister1894 (1 regs) */
2757316485Sdavidcs	0x021ca81b, 	/* nws.nws_cmu.ln2_top_ReservedRegister1895 .. nws.nws_cmu.ln2_top_ReservedRegister1896 (2 regs) */
2758316485Sdavidcs	0x011ca81e, 	/* nws.nws_cmu.ln2_top_ReservedRegister1897 (1 regs) */
2759316485Sdavidcs	0x011ca822, 	/* nws.nws_cmu.ln2_top_dpl_txdp_ctrl1 (1 regs) */
2760316485Sdavidcs	0x041ca824, 	/* nws.nws_cmu.ln2_top_dpl_rxdp_ctrl1 .. nws.nws_cmu.ln2_top_phy_if_status (4 regs) */
2761316485Sdavidcs	0x021ca830, 	/* nws.nws_cmu.ln2_top_ReservedRegister1900 .. nws.nws_cmu.ln2_top_ReservedRegister1901 (2 regs) */
2762316485Sdavidcs	0x091ca838, 	/* nws.nws_cmu.ln2_top_ln_stat_ctrl0 .. nws.nws_cmu.ln2_top_ReservedRegister1908 (9 regs) */
2763316485Sdavidcs	0x021ca842, 	/* nws.nws_cmu.ln2_top_ReservedRegister1909 .. nws.nws_cmu.ln2_top_ReservedRegister1910 (2 regs) */
2764316485Sdavidcs	0x051ca848, 	/* nws.nws_cmu.ln2_top_ReservedRegister1911 .. nws.nws_cmu.ln2_top_ReservedRegister1915 (5 regs) */
2765316485Sdavidcs	0x031ca850, 	/* nws.nws_cmu.ln2_top_err_ctrl1 .. nws.nws_cmu.ln2_top_err_ctrl3 (3 regs) */
2766316485Sdavidcs	0x021ca890, 	/* nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1916 .. nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1917 (2 regs) */
2767316485Sdavidcs	0x021ca8a1, 	/* nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1918 .. nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1919 (2 regs) */
2768316485Sdavidcs	0x061ca8a6, 	/* nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1920 .. nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1925 (6 regs) */
2769316485Sdavidcs	0x011ca8ad, 	/* nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1926 (1 regs) */
2770316485Sdavidcs	0x031ca8b0, 	/* nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1927 .. nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1929 (3 regs) */
2771316485Sdavidcs	0x011ca8b4, 	/* nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1930 (1 regs) */
2772316485Sdavidcs	0x021ca8b6, 	/* nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1931 .. nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1932 (2 regs) */
2773316485Sdavidcs	0x091ca8b9, 	/* nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1933 .. nws.nws_cmu.ln2_cdr_rxclk_dlpf_status4 (9 regs) */
2774316485Sdavidcs	0x031ca8c4, 	/* nws.nws_cmu.ln2_cdr_rxclk_dlpf_status5 .. nws.nws_cmu.ln2_cdr_rxclk_integral_status1 (3 regs) */
2775316485Sdavidcs	0x081ca8c8, 	/* nws.nws_cmu.ln2_cdr_rxclk_integral_status2 .. nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1945 (8 regs) */
2776316485Sdavidcs	0x041ca8e0, 	/* nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1946 .. nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1949 (4 regs) */
2777316485Sdavidcs	0x091ca8e8, 	/* nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1950 .. nws.nws_cmu.ln2_cdr_rxclk_ReservedRegister1958 (9 regs) */
2778316485Sdavidcs	0x021ca900, 	/* nws.nws_cmu.ln2_cdr_refclk_ReservedRegister1959 .. nws.nws_cmu.ln2_cdr_refclk_ReservedRegister1960 (2 regs) */
2779316485Sdavidcs	0x011ca904, 	/* nws.nws_cmu.ln2_cdr_refclk_ReservedRegister1961 (1 regs) */
2780316485Sdavidcs	0x011ca906, 	/* nws.nws_cmu.ln2_cdr_refclk_ReservedRegister1962 (1 regs) */
2781316485Sdavidcs	0x031ca90a, 	/* nws.nws_cmu.ln2_cdr_refclk_ReservedRegister1963 .. nws.nws_cmu.ln2_cdr_refclk_ReservedRegister1965 (3 regs) */
2782316485Sdavidcs	0x021ca910, 	/* nws.nws_cmu.ln2_cdr_refclk_ReservedRegister1966 .. nws.nws_cmu.ln2_cdr_refclk_ReservedRegister1967 (2 regs) */
2783316485Sdavidcs	0x041ca918, 	/* nws.nws_cmu.ln2_cdr_refclk_ReservedRegister1968 .. nws.nws_cmu.ln2_cdr_refclk_ReservedRegister1971 (4 regs) */
2784316485Sdavidcs	0x061ca920, 	/* nws.nws_cmu.ln2_cdr_refclk_ReservedRegister1972 .. nws.nws_cmu.ln2_cdr_refclk_ReservedRegister1977 (6 regs) */
2785316485Sdavidcs	0x011ca930, 	/* nws.nws_cmu.ln2_cdr_refclk_ReservedRegister1978 (1 regs) */
2786316485Sdavidcs	0x0f1ca980, 	/* nws.nws_cmu.ln2_aneg_ReservedRegister1979 .. nws.nws_cmu.ln2_aneg_ReservedRegister1990 (15 regs) */
2787316485Sdavidcs	0x011ca990, 	/* nws.nws_cmu.ln2_aneg_status0 (1 regs) */
2788316485Sdavidcs	0x021ca994, 	/* nws.nws_cmu.ln2_aneg_status_dbg0 .. nws.nws_cmu.ln2_aneg_status_dbg1 (2 regs) */
2789316485Sdavidcs	0x251ca998, 	/* nws.nws_cmu.ln2_aneg_base_page0 .. nws.nws_cmu.ln2_aneg_resolution_eee (37 regs) */
2790316485Sdavidcs	0x021ca9be, 	/* nws.nws_cmu.ln2_aneg_link_status0 .. nws.nws_cmu.ln2_aneg_link_status1 (2 regs) */
2791316485Sdavidcs	0x031ca9c1, 	/* nws.nws_cmu.ln2_eee_ReservedRegister2007 .. nws.nws_cmu.ln2_eee_ReservedRegister2009 (3 regs) */
2792316485Sdavidcs	0x041ca9c5, 	/* nws.nws_cmu.ln2_eee_ReservedRegister2010 .. nws.nws_cmu.ln2_eee_ReservedRegister2013 (4 regs) */
2793316485Sdavidcs	0x011caa00, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2014 (1 regs) */
2794316485Sdavidcs	0x021caa02, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2015 .. nws.nws_cmu.ln2_leq_refclk_ReservedRegister2016 (2 regs) */
2795316485Sdavidcs	0x011caa05, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2017 (1 regs) */
2796316485Sdavidcs	0x011caa07, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2018 (1 regs) */
2797316485Sdavidcs	0x041caa09, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2019 .. nws.nws_cmu.ln2_leq_refclk_ReservedRegister2022 (4 regs) */
2798316485Sdavidcs	0x041caa0e, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2023 .. nws.nws_cmu.ln2_leq_refclk_ReservedRegister2026 (4 regs) */
2799316485Sdavidcs	0x0d1caa20, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2027 .. nws.nws_cmu.ln2_leq_refclk_ReservedRegister2039 (13 regs) */
2800316485Sdavidcs	0x011caa2e, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2040 (1 regs) */
2801316485Sdavidcs	0x0b1caa30, 	/* nws.nws_cmu.ln2_leq_refclk_agclos_ctrl0 .. nws.nws_cmu.ln2_leq_refclk_ReservedRegister2050 (11 regs) */
2802316485Sdavidcs	0x021caa3d, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2051 .. nws.nws_cmu.ln2_leq_refclk_ple_att_ctrl1 (2 regs) */
2803316485Sdavidcs	0x071caa40, 	/* nws.nws_cmu.ln2_leq_refclk_eq_hfg_sql_ctrl0 .. nws.nws_cmu.ln2_leq_refclk_ReservedRegister2057 (7 regs) */
2804316485Sdavidcs	0x191caa50, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2058 .. nws.nws_cmu.ln2_leq_refclk_ReservedRegister2082 (25 regs) */
2805316485Sdavidcs	0x071caa70, 	/* nws.nws_cmu.ln2_leq_refclk_gn_apg_ctrl0 .. nws.nws_cmu.ln2_leq_refclk_ReservedRegister2088 (7 regs) */
2806316485Sdavidcs	0x091caa80, 	/* nws.nws_cmu.ln2_leq_refclk_eq_lfg_ctrl0 .. nws.nws_cmu.ln2_leq_refclk_ReservedRegister2094 (9 regs) */
2807316485Sdavidcs	0x071caa90, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2095 .. nws.nws_cmu.ln2_leq_refclk_ReservedRegister2101 (7 regs) */
2808316485Sdavidcs	0x061caa98, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2102 .. nws.nws_cmu.ln2_leq_refclk_ReservedRegister2106 (6 regs) */
2809316485Sdavidcs	0x041caaa0, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2107 .. nws.nws_cmu.ln2_leq_refclk_ReservedRegister2110 (4 regs) */
2810316485Sdavidcs	0x041caaa6, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2111 .. nws.nws_cmu.ln2_leq_refclk_ReservedRegister2114 (4 regs) */
2811316485Sdavidcs	0x021caaab, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2115 .. nws.nws_cmu.ln2_leq_refclk_ReservedRegister2116 (2 regs) */
2812316485Sdavidcs	0x021caaae, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2117 .. nws.nws_cmu.ln2_leq_refclk_ReservedRegister2118 (2 regs) */
2813316485Sdavidcs	0x021caab8, 	/* nws.nws_cmu.ln2_leq_refclk_ReservedRegister2119 .. nws.nws_cmu.ln2_leq_refclk_ReservedRegister2120 (2 regs) */
2814316485Sdavidcs	0x061cab00, 	/* nws.nws_cmu.ln2_leq_rxclk_ReservedRegister2121 .. nws.nws_cmu.ln2_leq_rxclk_ReservedRegister2126 (6 regs) */
2815316485Sdavidcs	0x021cab08, 	/* nws.nws_cmu.ln2_leq_rxclk_ReservedRegister2127 .. nws.nws_cmu.ln2_leq_rxclk_ReservedRegister2128 (2 regs) */
2816316485Sdavidcs	0x021cab0c, 	/* nws.nws_cmu.ln2_leq_rxclk_ReservedRegister2129 .. nws.nws_cmu.ln2_leq_rxclk_ReservedRegister2130 (2 regs) */
2817316485Sdavidcs	0x021cab10, 	/* nws.nws_cmu.ln2_leq_rxclk_ReservedRegister2131 .. nws.nws_cmu.ln2_leq_rxclk_ReservedRegister2132 (2 regs) */
2818316485Sdavidcs	0x021cab13, 	/* nws.nws_cmu.ln2_leq_rxclk_ReservedRegister2133 .. nws.nws_cmu.ln2_leq_rxclk_ReservedRegister2134 (2 regs) */
2819316485Sdavidcs	0x021cab16, 	/* nws.nws_cmu.ln2_leq_rxclk_ReservedRegister2135 .. nws.nws_cmu.ln2_leq_rxclk_ReservedRegister2136 (2 regs) */
2820316485Sdavidcs	0x021cab20, 	/* nws.nws_cmu.ln2_leq_rxclk_ReservedRegister2137 .. nws.nws_cmu.ln2_leq_rxclk_ReservedRegister2138 (2 regs) */
2821316485Sdavidcs	0x071cab80, 	/* nws.nws_cmu.ln2_drv_refclk_afe_pd_ctrl0 .. nws.nws_cmu.ln2_drv_refclk_ReservedRegister2143 (7 regs) */
2822316485Sdavidcs	0x061cab88, 	/* nws.nws_cmu.ln2_drv_refclk_ReservedRegister2144 .. nws.nws_cmu.ln2_drv_refclk_ReservedRegister2149 (6 regs) */
2823316485Sdavidcs	0x0a1cab90, 	/* nws.nws_cmu.ln2_drv_refclk_txeq_ctrl0 .. nws.nws_cmu.ln2_drv_refclk_ReservedRegister2154 (10 regs) */
2824316485Sdavidcs	0x011cab9b, 	/* nws.nws_cmu.ln2_drv_refclk_ReservedRegister2155 (1 regs) */
2825316485Sdavidcs	0x051cac00, 	/* nws.nws_cmu.ln2_dfe_refclk_ReservedRegister2156 .. nws.nws_cmu.ln2_dfe_refclk_ReservedRegister2160 (5 regs) */
2826316485Sdavidcs	0x011cac06, 	/* nws.nws_cmu.ln2_dfe_refclk_ReservedRegister2161 (1 regs) */
2827316485Sdavidcs	0x011cac0a, 	/* nws.nws_cmu.ln2_dfe_refclk_ReservedRegister2162 (1 regs) */
2828316485Sdavidcs	0x011cac0c, 	/* nws.nws_cmu.ln2_dfe_refclk_ReservedRegister2163 (1 regs) */
2829316485Sdavidcs	0x011cac0e, 	/* nws.nws_cmu.ln2_dfe_refclk_ReservedRegister2164 (1 regs) */
2830316485Sdavidcs	0x011cac10, 	/* nws.nws_cmu.ln2_dfe_refclk_ReservedRegister2165 (1 regs) */
2831316485Sdavidcs	0x011cac12, 	/* nws.nws_cmu.ln2_dfe_refclk_ReservedRegister2166 (1 regs) */
2832316485Sdavidcs	0x011cac14, 	/* nws.nws_cmu.ln2_dfe_refclk_ReservedRegister2167 (1 regs) */
2833316485Sdavidcs	0x011cac16, 	/* nws.nws_cmu.ln2_dfe_refclk_ReservedRegister2168 (1 regs) */
2834316485Sdavidcs	0x021cac18, 	/* nws.nws_cmu.ln2_dfe_refclk_ReservedRegister2169 .. nws.nws_cmu.ln2_dfe_refclk_ReservedRegister2170 (2 regs) */
2835316485Sdavidcs	0x011cac1b, 	/* nws.nws_cmu.ln2_dfe_refclk_ReservedRegister2171 (1 regs) */
2836316485Sdavidcs	0x091cac20, 	/* nws.nws_cmu.ln2_dfe_refclk_fsm_ctrl0 .. nws.nws_cmu.ln2_dfe_refclk_fsm_status0 (9 regs) */
2837316485Sdavidcs	0x191cac2a, 	/* nws.nws_cmu.ln2_dfe_refclk_tap_ctrl0 .. nws.nws_cmu.ln2_dfe_refclk_tap_val_status7 (25 regs) */
2838316485Sdavidcs	0x161cac50, 	/* nws.nws_cmu.ln2_dfe_refclk_ReservedRegister2179 .. nws.nws_cmu.ln2_dfe_refclk_ReservedRegister2200 (22 regs) */
2839316485Sdavidcs	0x031cac80, 	/* nws.nws_cmu.ln2_dfe_rxclk_ReservedRegister2201 .. nws.nws_cmu.ln2_dfe_rxclk_ReservedRegister2203 (3 regs) */
2840316485Sdavidcs	0x081cac86, 	/* nws.nws_cmu.ln2_dfe_rxclk_ReservedRegister2204 .. nws.nws_cmu.ln2_dfe_rxclk_ReservedRegister2211 (8 regs) */
2841316485Sdavidcs	0x031cac90, 	/* nws.nws_cmu.ln2_dfe_rxclk_ReservedRegister2212 .. nws.nws_cmu.ln2_dfe_rxclk_ReservedRegister2214 (3 regs) */
2842316485Sdavidcs	0x091cac96, 	/* nws.nws_cmu.ln2_dfe_rxclk_ReservedRegister2215 .. nws.nws_cmu.ln2_dfe_rxclk_ReservedRegister2223 (9 regs) */
2843316485Sdavidcs	0x161caca4, 	/* nws.nws_cmu.ln2_dfe_rxclk_ReservedRegister2224 .. nws.nws_cmu.ln2_dfe_rxclk_ReservedRegister2245 (22 regs) */
2844316485Sdavidcs	0x121cacc0, 	/* nws.nws_cmu.ln2_dfe_rxclk_ReservedRegister2246 .. nws.nws_cmu.ln2_dfe_rxclk_ReservedRegister2263 (18 regs) */
2845316485Sdavidcs	0x031cacd6, 	/* nws.nws_cmu.ln2_dfe_rxclk_ReservedRegister2264 .. nws.nws_cmu.ln2_dfe_rxclk_ReservedRegister2266 (3 regs) */
2846316485Sdavidcs	0x0c1cace0, 	/* nws.nws_cmu.ln2_dfe_rxclk_ReservedRegister2267 .. nws.nws_cmu.ln2_dfe_rxclk_ReservedRegister2278 (12 regs) */
2847316485Sdavidcs	0x061cad00, 	/* nws.nws_cmu.ln2_los_refclk_afe_cal_ctrl .. nws.nws_cmu.ln2_los_refclk_run_length_status0 (6 regs) */
2848316485Sdavidcs	0x071cad10, 	/* nws.nws_cmu.ln2_los_refclk_filter_ctrl0 .. nws.nws_cmu.ln2_los_refclk_filter_ctrl6 (7 regs) */
2849316485Sdavidcs	0x051cad20, 	/* nws.nws_cmu.ln2_los_refclk_ReservedRegister2281 .. nws.nws_cmu.ln2_los_refclk_ReservedRegister2285 (5 regs) */
2850316485Sdavidcs	0x041cad30, 	/* nws.nws_cmu.ln2_los_refclk_override_ctrl0 .. nws.nws_cmu.ln2_los_refclk_ReservedRegister2288 (4 regs) */
2851316485Sdavidcs	0x041cad40, 	/* nws.nws_cmu.ln2_los_refclk_ReservedRegister2289 .. nws.nws_cmu.ln2_los_refclk_ReservedRegister2292 (4 regs) */
2852316485Sdavidcs	0x011cad46, 	/* nws.nws_cmu.ln2_los_refclk_ReservedRegister2293 (1 regs) */
2853316485Sdavidcs	0x011cad51, 	/* nws.nws_cmu.ln2_los_refclk_ReservedRegister2294 (1 regs) */
2854316485Sdavidcs	0x011cad59, 	/* nws.nws_cmu.ln2_los_refclk_ReservedRegister2295 (1 regs) */
2855316485Sdavidcs	0x011cad60, 	/* nws.nws_cmu.ln2_los_refclk_ReservedRegister2296 (1 regs) */
2856316485Sdavidcs	0x021cad70, 	/* nws.nws_cmu.ln2_los_refclk_ReservedRegister2297 .. nws.nws_cmu.ln2_los_refclk_status0 (2 regs) */
2857316485Sdavidcs	0x041cad80, 	/* nws.nws_cmu.ln2_gcfsm2_ReservedRegister2298 .. nws.nws_cmu.ln2_gcfsm2_ReservedRegister2301 (4 regs) */
2858316485Sdavidcs	0x041cad90, 	/* nws.nws_cmu.ln2_gcfsm2_ReservedRegister2302 .. nws.nws_cmu.ln2_gcfsm2_ReservedRegister2305 (4 regs) */
2859316485Sdavidcs	0x071cada0, 	/* nws.nws_cmu.ln2_gcfsm2_ReservedRegister2306 .. nws.nws_cmu.ln2_gcfsm2_ReservedRegister2312 (7 regs) */
2860316485Sdavidcs	0x031cadb0, 	/* nws.nws_cmu.ln2_gcfsm2_ReservedRegister2313 .. nws.nws_cmu.ln2_gcfsm2_ReservedRegister2315 (3 regs) */
2861316485Sdavidcs	0x091cadc0, 	/* nws.nws_cmu.ln2_gcfsm2_ReservedRegister2316 .. nws.nws_cmu.ln2_gcfsm2_ReservedRegister2324 (9 regs) */
2862316485Sdavidcs	0x021cadd0, 	/* nws.nws_cmu.ln2_gcfsm2_ReservedRegister2325 .. nws.nws_cmu.ln2_gcfsm2_ReservedRegister2326 (2 regs) */
2863316485Sdavidcs	0x051cae00, 	/* nws.nws_cmu.ln2_bist_tx_ctrl .. nws.nws_cmu.ln2_bist_tx_ReservedRegister2330 (5 regs) */
2864316485Sdavidcs	0x081cae06, 	/* nws.nws_cmu.ln2_bist_tx_ber_ctrl0 .. nws.nws_cmu.ln2_bist_tx_ber_ctrl7 (8 regs) */
2865316485Sdavidcs	0x011cae20, 	/* nws.nws_cmu.ln2_bist_tx_udp_shift_amount (1 regs) */
2866316485Sdavidcs	0x191cae24, 	/* nws.nws_cmu.ln2_bist_tx_udp_7_0 .. nws.nws_cmu.ln2_bist_tx_udp_199_192 (25 regs) */
2867316485Sdavidcs	0x011cae80, 	/* nws.nws_cmu.ln2_bist_rx_ctrl (1 regs) */
2868316485Sdavidcs	0x011cae84, 	/* nws.nws_cmu.ln2_bist_rx_status (1 regs) */
2869316485Sdavidcs	0x031cae88, 	/* nws.nws_cmu.ln2_bist_rx_ber_status0 .. nws.nws_cmu.ln2_bist_rx_ber_status2 (3 regs) */
2870316485Sdavidcs	0x031cae8c, 	/* nws.nws_cmu.ln2_bist_rx_ber_status4 .. nws.nws_cmu.ln2_bist_rx_ber_status6 (3 regs) */
2871316485Sdavidcs	0x041cae94, 	/* nws.nws_cmu.ln2_bist_rx_lock_ctrl0 .. nws.nws_cmu.ln2_bist_rx_lock_ctrl3 (4 regs) */
2872316485Sdavidcs	0x051caea0, 	/* nws.nws_cmu.ln2_bist_rx_loss_lock_ctrl0 .. nws.nws_cmu.ln2_bist_rx_loss_lock_ctrl4 (5 regs) */
2873316485Sdavidcs	0x011caeb0, 	/* nws.nws_cmu.ln2_bist_rx_udp_shift_amount (1 regs) */
2874316485Sdavidcs	0x191caeb4, 	/* nws.nws_cmu.ln2_bist_rx_udp_7_0 .. nws.nws_cmu.ln2_bist_rx_udp_199_192 (25 regs) */
2875316485Sdavidcs	0x021caf00, 	/* nws.nws_cmu.ln2_feature_rxterm_cfg0 .. nws.nws_cmu.ln2_feature_rxclkdiv_cfg0 (2 regs) */
2876316485Sdavidcs	0x061caf04, 	/* nws.nws_cmu.ln2_feature_ReservedRegister2331 .. nws.nws_cmu.ln2_feature_ReservedRegister2336 (6 regs) */
2877316485Sdavidcs	0x071caf10, 	/* nws.nws_cmu.ln2_feature_ReservedRegister2337 .. nws.nws_cmu.ln2_feature_ReservedRegister2343 (7 regs) */
2878316485Sdavidcs	0x0a1caf20, 	/* nws.nws_cmu.ln2_feature_ReservedRegister2344 .. nws.nws_cmu.ln2_feature_ReservedRegister2346 (10 regs) */
2879316485Sdavidcs	0x071caf30, 	/* nws.nws_cmu.ln2_feature_dfe_cfg .. nws.nws_cmu.ln2_feature_dfe_adapt_tap5_cfg (7 regs) */
2880316485Sdavidcs	0xa0500000, 	/* block nws */
2881316485Sdavidcs	0x101caf38, 	/* nws.nws_cmu.ln2_feature_adapt_cont_cfg0 .. nws.nws_cmu.ln2_feature_ReservedRegister2358 (16 regs) */
2882316485Sdavidcs	0x011caf50, 	/* nws.nws_cmu.ln2_feature_test_cfg0 (1 regs) */
2883316485Sdavidcs	0x081caf58, 	/* nws.nws_cmu.ln2_feature_ReservedRegister2359 .. nws.nws_cmu.ln2_feature_ReservedRegister2366 (8 regs) */
2884316485Sdavidcs	0x061caf80, 	/* nws.nws_cmu.ln2_lt_tx_fsm_ctrl0 .. nws.nws_cmu.ln2_lt_tx_fsm_ctrl5 (6 regs) */
2885316485Sdavidcs	0x011caf90, 	/* nws.nws_cmu.ln2_lt_tx_fsm_status (1 regs) */
2886316485Sdavidcs	0x031caf93, 	/* nws.nws_cmu.ln2_lt_tx_prbs_ctrl0 .. nws.nws_cmu.ln2_lt_tx_prbs_ctrl2 (3 regs) */
2887316485Sdavidcs	0x011cafa0, 	/* nws.nws_cmu.ln2_lt_tx_coefficient_update_ctrl (1 regs) */
2888316485Sdavidcs	0x011cafa2, 	/* nws.nws_cmu.ln2_lt_tx_status_report_ctrl (1 regs) */
2889316485Sdavidcs	0x021cafb0, 	/* nws.nws_cmu.ln2_lt_tx_fsm_state_status0 .. nws.nws_cmu.ln2_lt_tx_fsm_state_status1 (2 regs) */
2890316485Sdavidcs	0x011cafc0, 	/* nws.nws_cmu.ln2_lt_rx_ctrl0 (1 regs) */
2891316485Sdavidcs	0x021cafc2, 	/* nws.nws_cmu.ln2_lt_rx_prbs_ctrl0 .. nws.nws_cmu.ln2_lt_rx_prbs_ctrl1 (2 regs) */
2892316485Sdavidcs	0x031cafc5, 	/* nws.nws_cmu.ln2_lt_rx_prbs_status0 .. nws.nws_cmu.ln2_lt_rx_prbs_status2 (3 regs) */
2893316485Sdavidcs	0x011cafd0, 	/* nws.nws_cmu.ln2_lt_rx_frame_ctrl (1 regs) */
2894316485Sdavidcs	0x051cafd3, 	/* nws.nws_cmu.ln2_lt_rx_frame_status0 .. nws.nws_cmu.ln2_lt_rx_frame_status4 (5 regs) */
2895316485Sdavidcs	0x011cafe0, 	/* nws.nws_cmu.ln2_lt_rx_coefficient_update_status (1 regs) */
2896316485Sdavidcs	0x011cafe2, 	/* nws.nws_cmu.ln2_lt_rx_report_status (1 regs) */
2897316485Sdavidcs	0x071cb000, 	/* nws.nws_cmu.ln3_top_afe_loopback_ctrl .. nws.nws_cmu.ln3_top_ReservedRegister2372 (7 regs) */
2898316485Sdavidcs	0x011cb010, 	/* nws.nws_cmu.ln3_top_ReservedRegister2373 (1 regs) */
2899316485Sdavidcs	0x031cb012, 	/* nws.nws_cmu.ln3_top_ReservedRegister2374 .. nws.nws_cmu.ln3_top_ReservedRegister2376 (3 regs) */
2900316485Sdavidcs	0x011cb016, 	/* nws.nws_cmu.ln3_top_ReservedRegister2377 (1 regs) */
2901316485Sdavidcs	0x011cb019, 	/* nws.nws_cmu.ln3_top_ReservedRegister2378 (1 regs) */
2902316485Sdavidcs	0x021cb01b, 	/* nws.nws_cmu.ln3_top_ReservedRegister2379 .. nws.nws_cmu.ln3_top_ReservedRegister2380 (2 regs) */
2903316485Sdavidcs	0x011cb01e, 	/* nws.nws_cmu.ln3_top_ReservedRegister2381 (1 regs) */
2904316485Sdavidcs	0x011cb022, 	/* nws.nws_cmu.ln3_top_dpl_txdp_ctrl1 (1 regs) */
2905316485Sdavidcs	0x041cb024, 	/* nws.nws_cmu.ln3_top_dpl_rxdp_ctrl1 .. nws.nws_cmu.ln3_top_phy_if_status (4 regs) */
2906316485Sdavidcs	0x021cb030, 	/* nws.nws_cmu.ln3_top_ReservedRegister2384 .. nws.nws_cmu.ln3_top_ReservedRegister2385 (2 regs) */
2907316485Sdavidcs	0x091cb038, 	/* nws.nws_cmu.ln3_top_ln_stat_ctrl0 .. nws.nws_cmu.ln3_top_ReservedRegister2392 (9 regs) */
2908316485Sdavidcs	0x021cb042, 	/* nws.nws_cmu.ln3_top_ReservedRegister2393 .. nws.nws_cmu.ln3_top_ReservedRegister2394 (2 regs) */
2909316485Sdavidcs	0x051cb048, 	/* nws.nws_cmu.ln3_top_ReservedRegister2395 .. nws.nws_cmu.ln3_top_ReservedRegister2399 (5 regs) */
2910316485Sdavidcs	0x031cb050, 	/* nws.nws_cmu.ln3_top_err_ctrl1 .. nws.nws_cmu.ln3_top_err_ctrl3 (3 regs) */
2911316485Sdavidcs	0x021cb090, 	/* nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2400 .. nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2401 (2 regs) */
2912316485Sdavidcs	0x021cb0a1, 	/* nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2402 .. nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2403 (2 regs) */
2913316485Sdavidcs	0x061cb0a6, 	/* nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2404 .. nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2409 (6 regs) */
2914316485Sdavidcs	0x011cb0ad, 	/* nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2410 (1 regs) */
2915316485Sdavidcs	0x031cb0b0, 	/* nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2411 .. nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2413 (3 regs) */
2916316485Sdavidcs	0x011cb0b4, 	/* nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2414 (1 regs) */
2917316485Sdavidcs	0x021cb0b6, 	/* nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2415 .. nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2416 (2 regs) */
2918316485Sdavidcs	0x091cb0b9, 	/* nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2417 .. nws.nws_cmu.ln3_cdr_rxclk_dlpf_status4 (9 regs) */
2919316485Sdavidcs	0x031cb0c4, 	/* nws.nws_cmu.ln3_cdr_rxclk_dlpf_status5 .. nws.nws_cmu.ln3_cdr_rxclk_integral_status1 (3 regs) */
2920316485Sdavidcs	0x081cb0c8, 	/* nws.nws_cmu.ln3_cdr_rxclk_integral_status2 .. nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2429 (8 regs) */
2921316485Sdavidcs	0x041cb0e0, 	/* nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2430 .. nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2433 (4 regs) */
2922316485Sdavidcs	0x091cb0e8, 	/* nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2434 .. nws.nws_cmu.ln3_cdr_rxclk_ReservedRegister2442 (9 regs) */
2923316485Sdavidcs	0x021cb100, 	/* nws.nws_cmu.ln3_cdr_refclk_ReservedRegister2443 .. nws.nws_cmu.ln3_cdr_refclk_ReservedRegister2444 (2 regs) */
2924316485Sdavidcs	0x011cb104, 	/* nws.nws_cmu.ln3_cdr_refclk_ReservedRegister2445 (1 regs) */
2925316485Sdavidcs	0x011cb106, 	/* nws.nws_cmu.ln3_cdr_refclk_ReservedRegister2446 (1 regs) */
2926316485Sdavidcs	0x031cb10a, 	/* nws.nws_cmu.ln3_cdr_refclk_ReservedRegister2447 .. nws.nws_cmu.ln3_cdr_refclk_ReservedRegister2449 (3 regs) */
2927316485Sdavidcs	0x021cb110, 	/* nws.nws_cmu.ln3_cdr_refclk_ReservedRegister2450 .. nws.nws_cmu.ln3_cdr_refclk_ReservedRegister2451 (2 regs) */
2928316485Sdavidcs	0x041cb118, 	/* nws.nws_cmu.ln3_cdr_refclk_ReservedRegister2452 .. nws.nws_cmu.ln3_cdr_refclk_ReservedRegister2455 (4 regs) */
2929316485Sdavidcs	0x061cb120, 	/* nws.nws_cmu.ln3_cdr_refclk_ReservedRegister2456 .. nws.nws_cmu.ln3_cdr_refclk_ReservedRegister2461 (6 regs) */
2930316485Sdavidcs	0x011cb130, 	/* nws.nws_cmu.ln3_cdr_refclk_ReservedRegister2462 (1 regs) */
2931316485Sdavidcs	0x0f1cb180, 	/* nws.nws_cmu.ln3_aneg_ReservedRegister2463 .. nws.nws_cmu.ln3_aneg_ReservedRegister2474 (15 regs) */
2932316485Sdavidcs	0x011cb190, 	/* nws.nws_cmu.ln3_aneg_status0 (1 regs) */
2933316485Sdavidcs	0x021cb194, 	/* nws.nws_cmu.ln3_aneg_status_dbg0 .. nws.nws_cmu.ln3_aneg_status_dbg1 (2 regs) */
2934316485Sdavidcs	0x251cb198, 	/* nws.nws_cmu.ln3_aneg_base_page0 .. nws.nws_cmu.ln3_aneg_resolution_eee (37 regs) */
2935316485Sdavidcs	0x021cb1be, 	/* nws.nws_cmu.ln3_aneg_link_status0 .. nws.nws_cmu.ln3_aneg_link_status1 (2 regs) */
2936316485Sdavidcs	0x031cb1c1, 	/* nws.nws_cmu.ln3_eee_ReservedRegister2491 .. nws.nws_cmu.ln3_eee_ReservedRegister2493 (3 regs) */
2937316485Sdavidcs	0x041cb1c5, 	/* nws.nws_cmu.ln3_eee_ReservedRegister2494 .. nws.nws_cmu.ln3_eee_ReservedRegister2497 (4 regs) */
2938316485Sdavidcs	0x011cb200, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2498 (1 regs) */
2939316485Sdavidcs	0x021cb202, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2499 .. nws.nws_cmu.ln3_leq_refclk_ReservedRegister2500 (2 regs) */
2940316485Sdavidcs	0x011cb205, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2501 (1 regs) */
2941316485Sdavidcs	0x011cb207, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2502 (1 regs) */
2942316485Sdavidcs	0x041cb209, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2503 .. nws.nws_cmu.ln3_leq_refclk_ReservedRegister2506 (4 regs) */
2943316485Sdavidcs	0x041cb20e, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2507 .. nws.nws_cmu.ln3_leq_refclk_ReservedRegister2510 (4 regs) */
2944316485Sdavidcs	0x0d1cb220, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2511 .. nws.nws_cmu.ln3_leq_refclk_ReservedRegister2523 (13 regs) */
2945316485Sdavidcs	0x011cb22e, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2524 (1 regs) */
2946316485Sdavidcs	0x0b1cb230, 	/* nws.nws_cmu.ln3_leq_refclk_agclos_ctrl0 .. nws.nws_cmu.ln3_leq_refclk_ReservedRegister2534 (11 regs) */
2947316485Sdavidcs	0x021cb23d, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2535 .. nws.nws_cmu.ln3_leq_refclk_ple_att_ctrl1 (2 regs) */
2948316485Sdavidcs	0x071cb240, 	/* nws.nws_cmu.ln3_leq_refclk_eq_hfg_sql_ctrl0 .. nws.nws_cmu.ln3_leq_refclk_ReservedRegister2541 (7 regs) */
2949316485Sdavidcs	0x191cb250, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2542 .. nws.nws_cmu.ln3_leq_refclk_ReservedRegister2566 (25 regs) */
2950316485Sdavidcs	0x071cb270, 	/* nws.nws_cmu.ln3_leq_refclk_gn_apg_ctrl0 .. nws.nws_cmu.ln3_leq_refclk_ReservedRegister2572 (7 regs) */
2951316485Sdavidcs	0x091cb280, 	/* nws.nws_cmu.ln3_leq_refclk_eq_lfg_ctrl0 .. nws.nws_cmu.ln3_leq_refclk_ReservedRegister2578 (9 regs) */
2952316485Sdavidcs	0x071cb290, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2579 .. nws.nws_cmu.ln3_leq_refclk_ReservedRegister2585 (7 regs) */
2953316485Sdavidcs	0x061cb298, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2586 .. nws.nws_cmu.ln3_leq_refclk_ReservedRegister2590 (6 regs) */
2954316485Sdavidcs	0x041cb2a0, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2591 .. nws.nws_cmu.ln3_leq_refclk_ReservedRegister2594 (4 regs) */
2955316485Sdavidcs	0x041cb2a6, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2595 .. nws.nws_cmu.ln3_leq_refclk_ReservedRegister2598 (4 regs) */
2956316485Sdavidcs	0x021cb2ab, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2599 .. nws.nws_cmu.ln3_leq_refclk_ReservedRegister2600 (2 regs) */
2957316485Sdavidcs	0x021cb2ae, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2601 .. nws.nws_cmu.ln3_leq_refclk_ReservedRegister2602 (2 regs) */
2958316485Sdavidcs	0x021cb2b8, 	/* nws.nws_cmu.ln3_leq_refclk_ReservedRegister2603 .. nws.nws_cmu.ln3_leq_refclk_ReservedRegister2604 (2 regs) */
2959316485Sdavidcs	0x061cb300, 	/* nws.nws_cmu.ln3_leq_rxclk_ReservedRegister2605 .. nws.nws_cmu.ln3_leq_rxclk_ReservedRegister2610 (6 regs) */
2960316485Sdavidcs	0x021cb308, 	/* nws.nws_cmu.ln3_leq_rxclk_ReservedRegister2611 .. nws.nws_cmu.ln3_leq_rxclk_ReservedRegister2612 (2 regs) */
2961316485Sdavidcs	0x021cb30c, 	/* nws.nws_cmu.ln3_leq_rxclk_ReservedRegister2613 .. nws.nws_cmu.ln3_leq_rxclk_ReservedRegister2614 (2 regs) */
2962316485Sdavidcs	0x021cb310, 	/* nws.nws_cmu.ln3_leq_rxclk_ReservedRegister2615 .. nws.nws_cmu.ln3_leq_rxclk_ReservedRegister2616 (2 regs) */
2963316485Sdavidcs	0x021cb313, 	/* nws.nws_cmu.ln3_leq_rxclk_ReservedRegister2617 .. nws.nws_cmu.ln3_leq_rxclk_ReservedRegister2618 (2 regs) */
2964316485Sdavidcs	0x021cb316, 	/* nws.nws_cmu.ln3_leq_rxclk_ReservedRegister2619 .. nws.nws_cmu.ln3_leq_rxclk_ReservedRegister2620 (2 regs) */
2965316485Sdavidcs	0x021cb320, 	/* nws.nws_cmu.ln3_leq_rxclk_ReservedRegister2621 .. nws.nws_cmu.ln3_leq_rxclk_ReservedRegister2622 (2 regs) */
2966316485Sdavidcs	0x071cb380, 	/* nws.nws_cmu.ln3_drv_refclk_afe_pd_ctrl0 .. nws.nws_cmu.ln3_drv_refclk_ReservedRegister2627 (7 regs) */
2967316485Sdavidcs	0x061cb388, 	/* nws.nws_cmu.ln3_drv_refclk_ReservedRegister2628 .. nws.nws_cmu.ln3_drv_refclk_ReservedRegister2633 (6 regs) */
2968316485Sdavidcs	0x0a1cb390, 	/* nws.nws_cmu.ln3_drv_refclk_txeq_ctrl0 .. nws.nws_cmu.ln3_drv_refclk_ReservedRegister2638 (10 regs) */
2969316485Sdavidcs	0x011cb39b, 	/* nws.nws_cmu.ln3_drv_refclk_ReservedRegister2639 (1 regs) */
2970316485Sdavidcs	0x051cb400, 	/* nws.nws_cmu.ln3_dfe_refclk_ReservedRegister2640 .. nws.nws_cmu.ln3_dfe_refclk_ReservedRegister2644 (5 regs) */
2971316485Sdavidcs	0x011cb406, 	/* nws.nws_cmu.ln3_dfe_refclk_ReservedRegister2645 (1 regs) */
2972316485Sdavidcs	0x011cb40a, 	/* nws.nws_cmu.ln3_dfe_refclk_ReservedRegister2646 (1 regs) */
2973316485Sdavidcs	0x011cb40c, 	/* nws.nws_cmu.ln3_dfe_refclk_ReservedRegister2647 (1 regs) */
2974316485Sdavidcs	0x011cb40e, 	/* nws.nws_cmu.ln3_dfe_refclk_ReservedRegister2648 (1 regs) */
2975316485Sdavidcs	0x011cb410, 	/* nws.nws_cmu.ln3_dfe_refclk_ReservedRegister2649 (1 regs) */
2976316485Sdavidcs	0x011cb412, 	/* nws.nws_cmu.ln3_dfe_refclk_ReservedRegister2650 (1 regs) */
2977316485Sdavidcs	0x011cb414, 	/* nws.nws_cmu.ln3_dfe_refclk_ReservedRegister2651 (1 regs) */
2978316485Sdavidcs	0x011cb416, 	/* nws.nws_cmu.ln3_dfe_refclk_ReservedRegister2652 (1 regs) */
2979316485Sdavidcs	0x021cb418, 	/* nws.nws_cmu.ln3_dfe_refclk_ReservedRegister2653 .. nws.nws_cmu.ln3_dfe_refclk_ReservedRegister2654 (2 regs) */
2980316485Sdavidcs	0x011cb41b, 	/* nws.nws_cmu.ln3_dfe_refclk_ReservedRegister2655 (1 regs) */
2981316485Sdavidcs	0x091cb420, 	/* nws.nws_cmu.ln3_dfe_refclk_fsm_ctrl0 .. nws.nws_cmu.ln3_dfe_refclk_fsm_status0 (9 regs) */
2982316485Sdavidcs	0x191cb42a, 	/* nws.nws_cmu.ln3_dfe_refclk_tap_ctrl0 .. nws.nws_cmu.ln3_dfe_refclk_tap_val_status7 (25 regs) */
2983316485Sdavidcs	0x161cb450, 	/* nws.nws_cmu.ln3_dfe_refclk_ReservedRegister2663 .. nws.nws_cmu.ln3_dfe_refclk_ReservedRegister2684 (22 regs) */
2984316485Sdavidcs	0x031cb480, 	/* nws.nws_cmu.ln3_dfe_rxclk_ReservedRegister2685 .. nws.nws_cmu.ln3_dfe_rxclk_ReservedRegister2687 (3 regs) */
2985316485Sdavidcs	0x081cb486, 	/* nws.nws_cmu.ln3_dfe_rxclk_ReservedRegister2688 .. nws.nws_cmu.ln3_dfe_rxclk_ReservedRegister2695 (8 regs) */
2986316485Sdavidcs	0x031cb490, 	/* nws.nws_cmu.ln3_dfe_rxclk_ReservedRegister2696 .. nws.nws_cmu.ln3_dfe_rxclk_ReservedRegister2698 (3 regs) */
2987316485Sdavidcs	0x091cb496, 	/* nws.nws_cmu.ln3_dfe_rxclk_ReservedRegister2699 .. nws.nws_cmu.ln3_dfe_rxclk_ReservedRegister2707 (9 regs) */
2988316485Sdavidcs	0x161cb4a4, 	/* nws.nws_cmu.ln3_dfe_rxclk_ReservedRegister2708 .. nws.nws_cmu.ln3_dfe_rxclk_ReservedRegister2729 (22 regs) */
2989316485Sdavidcs	0x121cb4c0, 	/* nws.nws_cmu.ln3_dfe_rxclk_ReservedRegister2730 .. nws.nws_cmu.ln3_dfe_rxclk_ReservedRegister2747 (18 regs) */
2990316485Sdavidcs	0x031cb4d6, 	/* nws.nws_cmu.ln3_dfe_rxclk_ReservedRegister2748 .. nws.nws_cmu.ln3_dfe_rxclk_ReservedRegister2750 (3 regs) */
2991316485Sdavidcs	0x0c1cb4e0, 	/* nws.nws_cmu.ln3_dfe_rxclk_ReservedRegister2751 .. nws.nws_cmu.ln3_dfe_rxclk_ReservedRegister2762 (12 regs) */
2992316485Sdavidcs	0x061cb500, 	/* nws.nws_cmu.ln3_los_refclk_afe_cal_ctrl .. nws.nws_cmu.ln3_los_refclk_run_length_status0 (6 regs) */
2993316485Sdavidcs	0x071cb510, 	/* nws.nws_cmu.ln3_los_refclk_filter_ctrl0 .. nws.nws_cmu.ln3_los_refclk_filter_ctrl6 (7 regs) */
2994316485Sdavidcs	0x051cb520, 	/* nws.nws_cmu.ln3_los_refclk_ReservedRegister2765 .. nws.nws_cmu.ln3_los_refclk_ReservedRegister2769 (5 regs) */
2995316485Sdavidcs	0x041cb530, 	/* nws.nws_cmu.ln3_los_refclk_override_ctrl0 .. nws.nws_cmu.ln3_los_refclk_ReservedRegister2772 (4 regs) */
2996316485Sdavidcs	0x041cb540, 	/* nws.nws_cmu.ln3_los_refclk_ReservedRegister2773 .. nws.nws_cmu.ln3_los_refclk_ReservedRegister2776 (4 regs) */
2997316485Sdavidcs	0x011cb546, 	/* nws.nws_cmu.ln3_los_refclk_ReservedRegister2777 (1 regs) */
2998316485Sdavidcs	0x011cb551, 	/* nws.nws_cmu.ln3_los_refclk_ReservedRegister2778 (1 regs) */
2999316485Sdavidcs	0x011cb559, 	/* nws.nws_cmu.ln3_los_refclk_ReservedRegister2779 (1 regs) */
3000316485Sdavidcs	0x011cb560, 	/* nws.nws_cmu.ln3_los_refclk_ReservedRegister2780 (1 regs) */
3001316485Sdavidcs	0x021cb570, 	/* nws.nws_cmu.ln3_los_refclk_ReservedRegister2781 .. nws.nws_cmu.ln3_los_refclk_status0 (2 regs) */
3002316485Sdavidcs	0x041cb580, 	/* nws.nws_cmu.ln3_gcfsm2_ReservedRegister2782 .. nws.nws_cmu.ln3_gcfsm2_ReservedRegister2785 (4 regs) */
3003316485Sdavidcs	0x041cb590, 	/* nws.nws_cmu.ln3_gcfsm2_ReservedRegister2786 .. nws.nws_cmu.ln3_gcfsm2_ReservedRegister2789 (4 regs) */
3004316485Sdavidcs	0x071cb5a0, 	/* nws.nws_cmu.ln3_gcfsm2_ReservedRegister2790 .. nws.nws_cmu.ln3_gcfsm2_ReservedRegister2796 (7 regs) */
3005316485Sdavidcs	0x031cb5b0, 	/* nws.nws_cmu.ln3_gcfsm2_ReservedRegister2797 .. nws.nws_cmu.ln3_gcfsm2_ReservedRegister2799 (3 regs) */
3006316485Sdavidcs	0x091cb5c0, 	/* nws.nws_cmu.ln3_gcfsm2_ReservedRegister2800 .. nws.nws_cmu.ln3_gcfsm2_ReservedRegister2808 (9 regs) */
3007316485Sdavidcs	0x021cb5d0, 	/* nws.nws_cmu.ln3_gcfsm2_ReservedRegister2809 .. nws.nws_cmu.ln3_gcfsm2_ReservedRegister2810 (2 regs) */
3008316485Sdavidcs	0x051cb600, 	/* nws.nws_cmu.ln3_bist_tx_ctrl .. nws.nws_cmu.ln3_bist_tx_ReservedRegister2814 (5 regs) */
3009316485Sdavidcs	0x081cb606, 	/* nws.nws_cmu.ln3_bist_tx_ber_ctrl0 .. nws.nws_cmu.ln3_bist_tx_ber_ctrl7 (8 regs) */
3010316485Sdavidcs	0x011cb620, 	/* nws.nws_cmu.ln3_bist_tx_udp_shift_amount (1 regs) */
3011316485Sdavidcs	0x191cb624, 	/* nws.nws_cmu.ln3_bist_tx_udp_7_0 .. nws.nws_cmu.ln3_bist_tx_udp_199_192 (25 regs) */
3012316485Sdavidcs	0x011cb680, 	/* nws.nws_cmu.ln3_bist_rx_ctrl (1 regs) */
3013316485Sdavidcs	0x011cb684, 	/* nws.nws_cmu.ln3_bist_rx_status (1 regs) */
3014316485Sdavidcs	0x031cb688, 	/* nws.nws_cmu.ln3_bist_rx_ber_status0 .. nws.nws_cmu.ln3_bist_rx_ber_status2 (3 regs) */
3015316485Sdavidcs	0x031cb68c, 	/* nws.nws_cmu.ln3_bist_rx_ber_status4 .. nws.nws_cmu.ln3_bist_rx_ber_status6 (3 regs) */
3016316485Sdavidcs	0x041cb694, 	/* nws.nws_cmu.ln3_bist_rx_lock_ctrl0 .. nws.nws_cmu.ln3_bist_rx_lock_ctrl3 (4 regs) */
3017316485Sdavidcs	0x051cb6a0, 	/* nws.nws_cmu.ln3_bist_rx_loss_lock_ctrl0 .. nws.nws_cmu.ln3_bist_rx_loss_lock_ctrl4 (5 regs) */
3018316485Sdavidcs	0x011cb6b0, 	/* nws.nws_cmu.ln3_bist_rx_udp_shift_amount (1 regs) */
3019316485Sdavidcs	0x191cb6b4, 	/* nws.nws_cmu.ln3_bist_rx_udp_7_0 .. nws.nws_cmu.ln3_bist_rx_udp_199_192 (25 regs) */
3020316485Sdavidcs	0x021cb700, 	/* nws.nws_cmu.ln3_feature_rxterm_cfg0 .. nws.nws_cmu.ln3_feature_rxclkdiv_cfg0 (2 regs) */
3021316485Sdavidcs	0x061cb704, 	/* nws.nws_cmu.ln3_feature_ReservedRegister2815 .. nws.nws_cmu.ln3_feature_ReservedRegister2820 (6 regs) */
3022316485Sdavidcs	0x071cb710, 	/* nws.nws_cmu.ln3_feature_ReservedRegister2821 .. nws.nws_cmu.ln3_feature_ReservedRegister2827 (7 regs) */
3023316485Sdavidcs	0x0a1cb720, 	/* nws.nws_cmu.ln3_feature_ReservedRegister2828 .. nws.nws_cmu.ln3_feature_ReservedRegister2830 (10 regs) */
3024316485Sdavidcs	0x071cb730, 	/* nws.nws_cmu.ln3_feature_dfe_cfg .. nws.nws_cmu.ln3_feature_dfe_adapt_tap5_cfg (7 regs) */
3025316485Sdavidcs	0x101cb738, 	/* nws.nws_cmu.ln3_feature_adapt_cont_cfg0 .. nws.nws_cmu.ln3_feature_ReservedRegister2842 (16 regs) */
3026316485Sdavidcs	0x011cb750, 	/* nws.nws_cmu.ln3_feature_test_cfg0 (1 regs) */
3027316485Sdavidcs	0x081cb758, 	/* nws.nws_cmu.ln3_feature_ReservedRegister2843 .. nws.nws_cmu.ln3_feature_ReservedRegister2850 (8 regs) */
3028316485Sdavidcs	0x061cb780, 	/* nws.nws_cmu.ln3_lt_tx_fsm_ctrl0 .. nws.nws_cmu.ln3_lt_tx_fsm_ctrl5 (6 regs) */
3029316485Sdavidcs	0x011cb790, 	/* nws.nws_cmu.ln3_lt_tx_fsm_status (1 regs) */
3030316485Sdavidcs	0x031cb793, 	/* nws.nws_cmu.ln3_lt_tx_prbs_ctrl0 .. nws.nws_cmu.ln3_lt_tx_prbs_ctrl2 (3 regs) */
3031316485Sdavidcs	0x011cb7a0, 	/* nws.nws_cmu.ln3_lt_tx_coefficient_update_ctrl (1 regs) */
3032316485Sdavidcs	0x011cb7a2, 	/* nws.nws_cmu.ln3_lt_tx_status_report_ctrl (1 regs) */
3033316485Sdavidcs	0x021cb7b0, 	/* nws.nws_cmu.ln3_lt_tx_fsm_state_status0 .. nws.nws_cmu.ln3_lt_tx_fsm_state_status1 (2 regs) */
3034316485Sdavidcs	0x011cb7c0, 	/* nws.nws_cmu.ln3_lt_rx_ctrl0 (1 regs) */
3035316485Sdavidcs	0x021cb7c2, 	/* nws.nws_cmu.ln3_lt_rx_prbs_ctrl0 .. nws.nws_cmu.ln3_lt_rx_prbs_ctrl1 (2 regs) */
3036316485Sdavidcs	0x031cb7c5, 	/* nws.nws_cmu.ln3_lt_rx_prbs_status0 .. nws.nws_cmu.ln3_lt_rx_prbs_status2 (3 regs) */
3037316485Sdavidcs	0x011cb7d0, 	/* nws.nws_cmu.ln3_lt_rx_frame_ctrl (1 regs) */
3038316485Sdavidcs	0x051cb7d3, 	/* nws.nws_cmu.ln3_lt_rx_frame_status0 .. nws.nws_cmu.ln3_lt_rx_frame_status4 (5 regs) */
3039316485Sdavidcs	0x011cb7e0, 	/* nws.nws_cmu.ln3_lt_rx_coefficient_update_status (1 regs) */
3040316485Sdavidcs	0x011cb7e2, 	/* nws.nws_cmu.ln3_lt_rx_report_status (1 regs) */
3041316485Sdavidcs	0x044c00fd, 	/* mode (!bb)&(!fpga), block wol */
3042316485Sdavidcs	0x02180010, 	/* wol.INT_STS_0 .. wol.INT_MASK_0 (2 regs) */
3043316485Sdavidcs	0x05180050, 	/* wol.dbg_select .. wol.dbg_force_frame (5 regs) */
3044316485Sdavidcs	0x06182067, 	/* wol.tag_len_0 .. wol.tag_len_5 (6 regs) */
3045316485Sdavidcs	0x0118206e, 	/* wol.eco_reserved (1 regs) */
3046316485Sdavidcs	0x03510000, 	/* block ms */
3047316485Sdavidcs	0x061a8000, 	/* ms.common_control .. ms.eco_reserved (6 regs) */
3048316485Sdavidcs	0x021a8060, 	/* ms.INT_STS .. ms.INT_MASK (2 regs) */
3049316485Sdavidcs	0x0b1a808a, 	/* ms.dbg_select .. ms.dbg_fw_trigger_enable (11 regs) */
3050316485Sdavidcs	0x02520000, 	/* block phy_pcie */
3051316485Sdavidcs	0x0c18a000, 	/* phy_pcie.eco_reserved .. phy_pcie.dbg_status (12 regs) */
3052316485Sdavidcs	0x0518a7fa, 	/* phy_pcie.dbg_select .. phy_pcie.dbg_force_frame (5 regs) */
3053320164Sdavidcs	0x044e0107, 	/* mode bb&(!(!asic)), block ipc */
3054316485Sdavidcs	0x03008123, 	/* ipc.mdio_comm .. ipc.mdio_mode (3 regs) */
3055316485Sdavidcs	0x0200812a, 	/* ipc.freq_main .. ipc.freq_storm (2 regs) */
3056316485Sdavidcs	0x0d00812d, 	/* ipc.free_running_cntr_0 .. ipc.hw_straps (13 regs) */
3057316485Sdavidcs	0x03008142, 	/* ipc.jtag_compliance .. ipc.INT_MASK_0 (3 regs) */
3058316485Sdavidcs	0x2c510023, 	/* mode (!bb)&(!(fpga|(!asic))), block ms */
3059316485Sdavidcs	0x241a9000, 	/* ms.ms_cmu.ahb_cmu_csr_0_x0 .. ms.ms_cmu.ahb_cmu_csr_0_x35 (36 regs) */
3060316485Sdavidcs	0x4f1a9038, 	/* ms.ms_cmu.ahb_cmu_csr_0_x56 .. ms.ms_cmu.ahb_cmu_csr_0_x134 (79 regs) */
3061316485Sdavidcs	0x011a9090, 	/* ms.ms_cmu.ahb_cmu_csr_0_x144 (1 regs) */
3062316485Sdavidcs	0x041a9092, 	/* ms.ms_cmu.ahb_cmu_csr_0_x146 .. ms.ms_cmu.ahb_cmu_csr_0_x149 (4 regs) */
3063316485Sdavidcs	0x021a9099, 	/* ms.ms_cmu.ahb_cmu_csr_0_x153 .. ms.ms_cmu.ahb_cmu_csr_0_x154 (2 regs) */
3064316485Sdavidcs	0x2a1a90a1, 	/* ms.ms_cmu.ahb_cmu_csr_0_x161 .. ms.ms_cmu.ahb_cmu_csr_0_x202 (42 regs) */
3065316485Sdavidcs	0x011a90d2, 	/* ms.ms_cmu.ahb_cmu_csr_0_x210 (1 regs) */
3066316485Sdavidcs	0x061a9400, 	/* ms.ms_cmu.ahb_lane_csr_1_x0 .. ms.ms_cmu.ahb_lane_csr_1_x5 (6 regs) */
3067316485Sdavidcs	0x401a9407, 	/* ms.ms_cmu.ahb_lane_csr_1_x7 .. ms.ms_cmu.ahb_lane_csr_1_x70 (64 regs) */
3068316485Sdavidcs	0x221a9448, 	/* ms.ms_cmu.ahb_lane_csr_1_x72 .. ms.ms_cmu.ahb_lane_csr_1_x105 (34 regs) */
3069316485Sdavidcs	0x0e1a9473, 	/* ms.ms_cmu.ahb_lane_csr_1_x115 .. ms.ms_cmu.ahb_lane_csr_1_x128 (14 regs) */
3070316485Sdavidcs	0x1b1a9482, 	/* ms.ms_cmu.ahb_lane_csr_1_x130 .. ms.ms_cmu.ahb_lane_csr_1_x156 (27 regs) */
3071316485Sdavidcs	0x021a949e, 	/* ms.ms_cmu.ahb_lane_csr_1_x158 .. ms.ms_cmu.ahb_lane_csr_1_x159 (2 regs) */
3072316485Sdavidcs	0x011a94a1, 	/* ms.ms_cmu.ahb_lane_csr_1_x161 (1 regs) */
3073316485Sdavidcs	0x011a94a7, 	/* ms.ms_cmu.ahb_lane_csr_1_x167 (1 regs) */
3074316485Sdavidcs	0x551a94c9, 	/* ms.ms_cmu.ahb_lane_csr_1_x201 .. ms.ms_cmu.ahb_lane_csr_1_x285 (85 regs) */
3075316485Sdavidcs	0x081a952d, 	/* ms.ms_cmu.ahb_lane_csr_1_x301 .. ms.ms_cmu.ahb_lane_csr_1_x308 (8 regs) */
3076316485Sdavidcs	0x011a9536, 	/* ms.ms_cmu.ahb_lane_csr_1_x310 (1 regs) */
3077316485Sdavidcs	0x121a9539, 	/* ms.ms_cmu.ahb_lane_csr_1_ReservedReg53 .. ms.ms_cmu.ahb_lane_csr_1_x330 (18 regs) */
3078316485Sdavidcs	0x021a9a00, 	/* ms.ms_cmu.ahb_comlane_csr_5_x0 .. ms.ms_cmu.ahb_comlane_csr_5_x1 (2 regs) */
3079316485Sdavidcs	0x051a9a04, 	/* ms.ms_cmu.ahb_comlane_csr_5_x4 .. ms.ms_cmu.ahb_comlane_csr_5_x8 (5 regs) */
3080316485Sdavidcs	0x011a9a1f, 	/* ms.ms_cmu.ahb_comlane_csr_5_x31 (1 regs) */
3081316485Sdavidcs	0x031a9a2a, 	/* ms.ms_cmu.ahb_comlane_csr_5_ReservedReg17 .. ms.ms_cmu.ahb_comlane_csr_5_x44 (3 regs) */
3082316485Sdavidcs	0x851a9a31, 	/* ms.ms_cmu.ahb_comlane_csr_5_x49 .. ms.ms_cmu.ahb_comlane_csr_5_x181 (133 regs) */
3083316485Sdavidcs	0x341a9ad2, 	/* ms.ms_cmu.ahb_comlane_csr_5_x210 .. ms.ms_cmu.ahb_comlane_csr_5_x261 (52 regs) */
3084316485Sdavidcs	0x0d1a9b07, 	/* ms.ms_cmu.ahb_comlane_csr_5_x263 .. ms.ms_cmu.ahb_comlane_csr_5_x275 (13 regs) */
3085316485Sdavidcs	0x011a9b19, 	/* ms.ms_cmu.ahb_comlane_csr_5_x281 (1 regs) */
3086316485Sdavidcs	0x021a9b28, 	/* ms.ms_cmu.ahb_comlane_csr_5_x296 .. ms.ms_cmu.ahb_comlane_csr_5_x297 (2 regs) */
3087316485Sdavidcs	0x011a9b2d, 	/* ms.ms_cmu.ahb_comlane_csr_5_x301 (1 regs) */
3088316485Sdavidcs	0x061a9b2f, 	/* ms.ms_cmu.ahb_comlane_csr_5_ReservedReg23 .. ms.ms_cmu.ahb_comlane_csr_5_x308 (6 regs) */
3089316485Sdavidcs	0x061a9b36, 	/* ms.ms_cmu.ahb_comlane_csr_5_x310 .. ms.ms_cmu.ahb_comlane_csr_5_x315 (6 regs) */
3090316485Sdavidcs	0x061a9b3d, 	/* ms.ms_cmu.ahb_comlane_csr_5_ReservedReg24 .. ms.ms_cmu.ahb_comlane_csr_5_x322 (6 regs) */
3091316485Sdavidcs	0x471a9b44, 	/* ms.ms_cmu.ahb_comlane_csr_5_x324 .. ms.ms_cmu.ahb_comlane_csr_5_x394 (71 regs) */
3092316485Sdavidcs	0x031a9b91, 	/* ms.ms_cmu.ahb_comlane_csr_5_x401 .. ms.ms_cmu.ahb_comlane_csr_5_x403 (3 regs) */
3093316485Sdavidcs	0x041a9b96, 	/* ms.ms_cmu.ahb_comlane_csr_5_x406 .. ms.ms_cmu.ahb_comlane_csr_5_x409 (4 regs) */
3094316485Sdavidcs	0x011a9b9c, 	/* ms.ms_cmu.ahb_comlane_csr_5_ReservedReg40 (1 regs) */
3095316485Sdavidcs	0x361a9bbe, 	/* ms.ms_cmu.ahb_comlane_csr_5_x446 .. ms.ms_cmu.ahb_comlane_csr_5_x499 (54 regs) */
3096316485Sdavidcs	0x241a9c00, 	/* ms.ms_cmu.ahb_cmu1_csr_6_x0 .. ms.ms_cmu.ahb_cmu1_csr_6_x35 (36 regs) */
3097316485Sdavidcs	0x4f1a9c38, 	/* ms.ms_cmu.ahb_cmu1_csr_6_x56 .. ms.ms_cmu.ahb_cmu1_csr_6_x134 (79 regs) */
3098316485Sdavidcs	0x011a9c90, 	/* ms.ms_cmu.ahb_cmu1_csr_6_x144 (1 regs) */
3099316485Sdavidcs	0x041a9c92, 	/* ms.ms_cmu.ahb_cmu1_csr_6_x146 .. ms.ms_cmu.ahb_cmu1_csr_6_x149 (4 regs) */
3100316485Sdavidcs	0x021a9c99, 	/* ms.ms_cmu.ahb_cmu1_csr_6_x153 .. ms.ms_cmu.ahb_cmu1_csr_6_x154 (2 regs) */
3101316485Sdavidcs	0x2a1a9ca1, 	/* ms.ms_cmu.ahb_cmu1_csr_6_x161 .. ms.ms_cmu.ahb_cmu1_csr_6_x202 (42 regs) */
3102316485Sdavidcs	0x011a9cd2, 	/* ms.ms_cmu.ahb_cmu1_csr_6_x210 (1 regs) */
3103316485Sdavidcs	0x90520000, 	/* block phy_pcie */
3104316485Sdavidcs	0x23188000, 	/* phy_pcie.phy0.ahb_cmu_csr_0_x0 .. phy_pcie.phy0.ahb_cmu_csr_0_x34 (35 regs) */
3105316485Sdavidcs	0x2f188038, 	/* phy_pcie.phy0.ahb_cmu_csr_0_x56 .. phy_pcie.phy0.ahb_cmu_csr_0_x102 (47 regs) */
3106316485Sdavidcs	0x2818806c, 	/* phy_pcie.phy0.ahb_cmu_csr_0_x108 .. phy_pcie.phy0.ahb_cmu_csr_0_x147 (40 regs) */
3107316485Sdavidcs	0x06188095, 	/* phy_pcie.phy0.ahb_cmu_csr_0_x149 .. phy_pcie.phy0.ahb_cmu_csr_0_x154 (6 regs) */
3108316485Sdavidcs	0x1c1880a1, 	/* phy_pcie.phy0.ahb_cmu_csr_0_x161 .. phy_pcie.phy0.ahb_cmu_csr_0_x188 (28 regs) */
3109316485Sdavidcs	0x141880bf, 	/* phy_pcie.phy0.ahb_cmu_csr_0_x191 .. phy_pcie.phy0.ahb_cmu_csr_0_x210 (20 regs) */
3110316485Sdavidcs	0x06188200, 	/* phy_pcie.phy0.ahb_lane_csr_1_x0 .. phy_pcie.phy0.ahb_lane_csr_1_x5 (6 regs) */
3111316485Sdavidcs	0x38188207, 	/* phy_pcie.phy0.ahb_lane_csr_1_x7 .. phy_pcie.phy0.ahb_lane_csr_1_x62 (56 regs) */
3112316485Sdavidcs	0x21188241, 	/* phy_pcie.phy0.ahb_lane_csr_1_x65 .. phy_pcie.phy0.ahb_lane_csr_1_x97 (33 regs) */
3113316485Sdavidcs	0x11188263, 	/* phy_pcie.phy0.ahb_lane_csr_1_x99 .. phy_pcie.phy0.ahb_lane_csr_1_x115 (17 regs) */
3114316485Sdavidcs	0x0a188277, 	/* phy_pcie.phy0.ahb_lane_csr_1_x119 .. phy_pcie.phy0.ahb_lane_csr_1_x128 (10 regs) */
3115316485Sdavidcs	0x29188282, 	/* phy_pcie.phy0.ahb_lane_csr_1_x130 .. phy_pcie.phy0.ahb_lane_csr_1_x170 (41 regs) */
3116316485Sdavidcs	0x081882c9, 	/* phy_pcie.phy0.ahb_lane_csr_1_x201 .. phy_pcie.phy0.ahb_lane_csr_1_x208 (8 regs) */
3117316485Sdavidcs	0x051882d5, 	/* phy_pcie.phy0.ahb_lane_csr_1_x213 .. phy_pcie.phy0.ahb_lane_csr_1_x217 (5 regs) */
3118316485Sdavidcs	0x421882dc, 	/* phy_pcie.phy0.ahb_lane_csr_1_x220 .. phy_pcie.phy0.ahb_lane_csr_1_x285 (66 regs) */
3119316485Sdavidcs	0x1b18832d, 	/* phy_pcie.phy0.ahb_lane_csr_1_x301 .. phy_pcie.phy0.ahb_lane_csr_1_x327 (27 regs) */
3120316485Sdavidcs	0x0118834a, 	/* phy_pcie.phy0.ahb_lane_csr_1_x330 (1 regs) */
3121316485Sdavidcs	0x06188400, 	/* phy_pcie.phy0.ahb_lane_csr_2_x0 .. phy_pcie.phy0.ahb_lane_csr_2_x5 (6 regs) */
3122316485Sdavidcs	0x38188407, 	/* phy_pcie.phy0.ahb_lane_csr_2_x7 .. phy_pcie.phy0.ahb_lane_csr_2_x62 (56 regs) */
3123316485Sdavidcs	0x21188441, 	/* phy_pcie.phy0.ahb_lane_csr_2_x65 .. phy_pcie.phy0.ahb_lane_csr_2_x97 (33 regs) */
3124316485Sdavidcs	0x11188463, 	/* phy_pcie.phy0.ahb_lane_csr_2_x99 .. phy_pcie.phy0.ahb_lane_csr_2_x115 (17 regs) */
3125316485Sdavidcs	0x0a188477, 	/* phy_pcie.phy0.ahb_lane_csr_2_x119 .. phy_pcie.phy0.ahb_lane_csr_2_x128 (10 regs) */
3126316485Sdavidcs	0x29188482, 	/* phy_pcie.phy0.ahb_lane_csr_2_x130 .. phy_pcie.phy0.ahb_lane_csr_2_x170 (41 regs) */
3127316485Sdavidcs	0x081884c9, 	/* phy_pcie.phy0.ahb_lane_csr_2_x201 .. phy_pcie.phy0.ahb_lane_csr_2_x208 (8 regs) */
3128316485Sdavidcs	0x051884d5, 	/* phy_pcie.phy0.ahb_lane_csr_2_x213 .. phy_pcie.phy0.ahb_lane_csr_2_x217 (5 regs) */
3129316485Sdavidcs	0x421884dc, 	/* phy_pcie.phy0.ahb_lane_csr_2_x220 .. phy_pcie.phy0.ahb_lane_csr_2_x285 (66 regs) */
3130316485Sdavidcs	0x1b18852d, 	/* phy_pcie.phy0.ahb_lane_csr_2_x301 .. phy_pcie.phy0.ahb_lane_csr_2_x327 (27 regs) */
3131316485Sdavidcs	0x0118854a, 	/* phy_pcie.phy0.ahb_lane_csr_2_x330 (1 regs) */
3132316485Sdavidcs	0x06188600, 	/* phy_pcie.phy0.ahb_lane_csr_3_x0 .. phy_pcie.phy0.ahb_lane_csr_3_x5 (6 regs) */
3133316485Sdavidcs	0x38188607, 	/* phy_pcie.phy0.ahb_lane_csr_3_x7 .. phy_pcie.phy0.ahb_lane_csr_3_x62 (56 regs) */
3134316485Sdavidcs	0x21188641, 	/* phy_pcie.phy0.ahb_lane_csr_3_x65 .. phy_pcie.phy0.ahb_lane_csr_3_x97 (33 regs) */
3135316485Sdavidcs	0x11188663, 	/* phy_pcie.phy0.ahb_lane_csr_3_x99 .. phy_pcie.phy0.ahb_lane_csr_3_x115 (17 regs) */
3136316485Sdavidcs	0x0a188677, 	/* phy_pcie.phy0.ahb_lane_csr_3_x119 .. phy_pcie.phy0.ahb_lane_csr_3_x128 (10 regs) */
3137316485Sdavidcs	0x29188682, 	/* phy_pcie.phy0.ahb_lane_csr_3_x130 .. phy_pcie.phy0.ahb_lane_csr_3_x170 (41 regs) */
3138316485Sdavidcs	0x081886c9, 	/* phy_pcie.phy0.ahb_lane_csr_3_x201 .. phy_pcie.phy0.ahb_lane_csr_3_x208 (8 regs) */
3139316485Sdavidcs	0x051886d5, 	/* phy_pcie.phy0.ahb_lane_csr_3_x213 .. phy_pcie.phy0.ahb_lane_csr_3_x217 (5 regs) */
3140316485Sdavidcs	0x421886dc, 	/* phy_pcie.phy0.ahb_lane_csr_3_x220 .. phy_pcie.phy0.ahb_lane_csr_3_x285 (66 regs) */
3141316485Sdavidcs	0x1b18872d, 	/* phy_pcie.phy0.ahb_lane_csr_3_x301 .. phy_pcie.phy0.ahb_lane_csr_3_x327 (27 regs) */
3142316485Sdavidcs	0x0118874a, 	/* phy_pcie.phy0.ahb_lane_csr_3_x330 (1 regs) */
3143316485Sdavidcs	0x06188800, 	/* phy_pcie.phy0.ahb_lane_csr_4_x0 .. phy_pcie.phy0.ahb_lane_csr_4_x5 (6 regs) */
3144316485Sdavidcs	0x38188807, 	/* phy_pcie.phy0.ahb_lane_csr_4_x7 .. phy_pcie.phy0.ahb_lane_csr_4_x62 (56 regs) */
3145316485Sdavidcs	0x21188841, 	/* phy_pcie.phy0.ahb_lane_csr_4_x65 .. phy_pcie.phy0.ahb_lane_csr_4_x97 (33 regs) */
3146316485Sdavidcs	0x11188863, 	/* phy_pcie.phy0.ahb_lane_csr_4_x99 .. phy_pcie.phy0.ahb_lane_csr_4_x115 (17 regs) */
3147316485Sdavidcs	0x0a188877, 	/* phy_pcie.phy0.ahb_lane_csr_4_x119 .. phy_pcie.phy0.ahb_lane_csr_4_x128 (10 regs) */
3148316485Sdavidcs	0x29188882, 	/* phy_pcie.phy0.ahb_lane_csr_4_x130 .. phy_pcie.phy0.ahb_lane_csr_4_x170 (41 regs) */
3149316485Sdavidcs	0x081888c9, 	/* phy_pcie.phy0.ahb_lane_csr_4_x201 .. phy_pcie.phy0.ahb_lane_csr_4_x208 (8 regs) */
3150316485Sdavidcs	0x051888d5, 	/* phy_pcie.phy0.ahb_lane_csr_4_x213 .. phy_pcie.phy0.ahb_lane_csr_4_x217 (5 regs) */
3151316485Sdavidcs	0x421888dc, 	/* phy_pcie.phy0.ahb_lane_csr_4_x220 .. phy_pcie.phy0.ahb_lane_csr_4_x285 (66 regs) */
3152316485Sdavidcs	0x1b18892d, 	/* phy_pcie.phy0.ahb_lane_csr_4_x301 .. phy_pcie.phy0.ahb_lane_csr_4_x327 (27 regs) */
3153316485Sdavidcs	0x0118894a, 	/* phy_pcie.phy0.ahb_lane_csr_4_x330 (1 regs) */
3154316485Sdavidcs	0x05188a00, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x0 .. phy_pcie.phy0.ahb_comlane_csr_5_x4 (5 regs) */
3155316485Sdavidcs	0x15188a06, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x6 .. phy_pcie.phy0.ahb_comlane_csr_5_x26 (21 regs) */
3156316485Sdavidcs	0x07188a1d, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x29 .. phy_pcie.phy0.ahb_comlane_csr_5_x35 (7 regs) */
3157316485Sdavidcs	0x04188a26, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x38 .. phy_pcie.phy0.ahb_comlane_csr_5_x41 (4 regs) */
3158316485Sdavidcs	0x78188a2b, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x43 .. phy_pcie.phy0.ahb_comlane_csr_5_x162 (120 regs) */
3159316485Sdavidcs	0x5e188aa8, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x168 .. phy_pcie.phy0.ahb_comlane_csr_5_x261 (94 regs) */
3160316485Sdavidcs	0x23188b07, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x263 .. phy_pcie.phy0.ahb_comlane_csr_5_x297 (35 regs) */
3161316485Sdavidcs	0x01188b2d, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x301 (1 regs) */
3162316485Sdavidcs	0x05188b30, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x304 .. phy_pcie.phy0.ahb_comlane_csr_5_x308 (5 regs) */
3163316485Sdavidcs	0x06188b36, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x310 .. phy_pcie.phy0.ahb_comlane_csr_5_x315 (6 regs) */
3164316485Sdavidcs	0x05188b3e, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x318 .. phy_pcie.phy0.ahb_comlane_csr_5_x322 (5 regs) */
3165316485Sdavidcs	0x0a188b44, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x324 .. phy_pcie.phy0.ahb_comlane_csr_5_x333 (10 regs) */
3166316485Sdavidcs	0x02188b52, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x338 .. phy_pcie.phy0.ahb_comlane_csr_5_x339 (2 regs) */
3167316485Sdavidcs	0x02188b55, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x341 .. phy_pcie.phy0.ahb_comlane_csr_5_x342 (2 regs) */
3168316485Sdavidcs	0x01188b58, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x344 (1 regs) */
3169316485Sdavidcs	0x0a188b5a, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x346 .. phy_pcie.phy0.ahb_comlane_csr_5_x355 (10 regs) */
3170316485Sdavidcs	0x01188b66, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x358 (1 regs) */
3171316485Sdavidcs	0x0c188b6a, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x362 .. phy_pcie.phy0.ahb_comlane_csr_5_x373 (12 regs) */
3172316485Sdavidcs	0x11188b78, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x376 .. phy_pcie.phy0.ahb_comlane_csr_5_x392 (17 regs) */
3173316485Sdavidcs	0x01188b8a, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x394 (1 regs) */
3174316485Sdavidcs	0x0b188b91, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x401 .. phy_pcie.phy0.ahb_comlane_csr_5_x411 (11 regs) */
3175316485Sdavidcs	0x57188b9d, 	/* phy_pcie.phy0.ahb_comlane_csr_5_x413 .. phy_pcie.phy0.ahb_comlane_csr_5_x499 (87 regs) */
3176316485Sdavidcs	0x23189000, 	/* phy_pcie.phy1.ahb_cmu_csr_0_x0 .. phy_pcie.phy1.ahb_cmu_csr_0_x34 (35 regs) */
3177316485Sdavidcs	0x2f189038, 	/* phy_pcie.phy1.ahb_cmu_csr_0_x56 .. phy_pcie.phy1.ahb_cmu_csr_0_x102 (47 regs) */
3178316485Sdavidcs	0x2818906c, 	/* phy_pcie.phy1.ahb_cmu_csr_0_x108 .. phy_pcie.phy1.ahb_cmu_csr_0_x147 (40 regs) */
3179316485Sdavidcs	0x06189095, 	/* phy_pcie.phy1.ahb_cmu_csr_0_x149 .. phy_pcie.phy1.ahb_cmu_csr_0_x154 (6 regs) */
3180316485Sdavidcs	0x1c1890a1, 	/* phy_pcie.phy1.ahb_cmu_csr_0_x161 .. phy_pcie.phy1.ahb_cmu_csr_0_x188 (28 regs) */
3181316485Sdavidcs	0x141890bf, 	/* phy_pcie.phy1.ahb_cmu_csr_0_x191 .. phy_pcie.phy1.ahb_cmu_csr_0_x210 (20 regs) */
3182316485Sdavidcs	0x06189200, 	/* phy_pcie.phy1.ahb_lane_csr_1_x0 .. phy_pcie.phy1.ahb_lane_csr_1_x5 (6 regs) */
3183316485Sdavidcs	0x38189207, 	/* phy_pcie.phy1.ahb_lane_csr_1_x7 .. phy_pcie.phy1.ahb_lane_csr_1_x62 (56 regs) */
3184316485Sdavidcs	0x21189241, 	/* phy_pcie.phy1.ahb_lane_csr_1_x65 .. phy_pcie.phy1.ahb_lane_csr_1_x97 (33 regs) */
3185316485Sdavidcs	0x11189263, 	/* phy_pcie.phy1.ahb_lane_csr_1_x99 .. phy_pcie.phy1.ahb_lane_csr_1_x115 (17 regs) */
3186316485Sdavidcs	0x0a189277, 	/* phy_pcie.phy1.ahb_lane_csr_1_x119 .. phy_pcie.phy1.ahb_lane_csr_1_x128 (10 regs) */
3187316485Sdavidcs	0x29189282, 	/* phy_pcie.phy1.ahb_lane_csr_1_x130 .. phy_pcie.phy1.ahb_lane_csr_1_x170 (41 regs) */
3188316485Sdavidcs	0x081892c9, 	/* phy_pcie.phy1.ahb_lane_csr_1_x201 .. phy_pcie.phy1.ahb_lane_csr_1_x208 (8 regs) */
3189316485Sdavidcs	0x051892d5, 	/* phy_pcie.phy1.ahb_lane_csr_1_x213 .. phy_pcie.phy1.ahb_lane_csr_1_x217 (5 regs) */
3190316485Sdavidcs	0x421892dc, 	/* phy_pcie.phy1.ahb_lane_csr_1_x220 .. phy_pcie.phy1.ahb_lane_csr_1_x285 (66 regs) */
3191316485Sdavidcs	0x1b18932d, 	/* phy_pcie.phy1.ahb_lane_csr_1_x301 .. phy_pcie.phy1.ahb_lane_csr_1_x327 (27 regs) */
3192316485Sdavidcs	0x0118934a, 	/* phy_pcie.phy1.ahb_lane_csr_1_x330 (1 regs) */
3193316485Sdavidcs	0x06189400, 	/* phy_pcie.phy1.ahb_lane_csr_2_x0 .. phy_pcie.phy1.ahb_lane_csr_2_x5 (6 regs) */
3194316485Sdavidcs	0x38189407, 	/* phy_pcie.phy1.ahb_lane_csr_2_x7 .. phy_pcie.phy1.ahb_lane_csr_2_x62 (56 regs) */
3195316485Sdavidcs	0x21189441, 	/* phy_pcie.phy1.ahb_lane_csr_2_x65 .. phy_pcie.phy1.ahb_lane_csr_2_x97 (33 regs) */
3196316485Sdavidcs	0x11189463, 	/* phy_pcie.phy1.ahb_lane_csr_2_x99 .. phy_pcie.phy1.ahb_lane_csr_2_x115 (17 regs) */
3197316485Sdavidcs	0x0a189477, 	/* phy_pcie.phy1.ahb_lane_csr_2_x119 .. phy_pcie.phy1.ahb_lane_csr_2_x128 (10 regs) */
3198316485Sdavidcs	0x29189482, 	/* phy_pcie.phy1.ahb_lane_csr_2_x130 .. phy_pcie.phy1.ahb_lane_csr_2_x170 (41 regs) */
3199316485Sdavidcs	0x081894c9, 	/* phy_pcie.phy1.ahb_lane_csr_2_x201 .. phy_pcie.phy1.ahb_lane_csr_2_x208 (8 regs) */
3200316485Sdavidcs	0x051894d5, 	/* phy_pcie.phy1.ahb_lane_csr_2_x213 .. phy_pcie.phy1.ahb_lane_csr_2_x217 (5 regs) */
3201316485Sdavidcs	0x421894dc, 	/* phy_pcie.phy1.ahb_lane_csr_2_x220 .. phy_pcie.phy1.ahb_lane_csr_2_x285 (66 regs) */
3202316485Sdavidcs	0x1b18952d, 	/* phy_pcie.phy1.ahb_lane_csr_2_x301 .. phy_pcie.phy1.ahb_lane_csr_2_x327 (27 regs) */
3203316485Sdavidcs	0x0118954a, 	/* phy_pcie.phy1.ahb_lane_csr_2_x330 (1 regs) */
3204316485Sdavidcs	0x06189600, 	/* phy_pcie.phy1.ahb_lane_csr_3_x0 .. phy_pcie.phy1.ahb_lane_csr_3_x5 (6 regs) */
3205316485Sdavidcs	0x38189607, 	/* phy_pcie.phy1.ahb_lane_csr_3_x7 .. phy_pcie.phy1.ahb_lane_csr_3_x62 (56 regs) */
3206316485Sdavidcs	0x21189641, 	/* phy_pcie.phy1.ahb_lane_csr_3_x65 .. phy_pcie.phy1.ahb_lane_csr_3_x97 (33 regs) */
3207316485Sdavidcs	0x11189663, 	/* phy_pcie.phy1.ahb_lane_csr_3_x99 .. phy_pcie.phy1.ahb_lane_csr_3_x115 (17 regs) */
3208316485Sdavidcs	0x0a189677, 	/* phy_pcie.phy1.ahb_lane_csr_3_x119 .. phy_pcie.phy1.ahb_lane_csr_3_x128 (10 regs) */
3209316485Sdavidcs	0x29189682, 	/* phy_pcie.phy1.ahb_lane_csr_3_x130 .. phy_pcie.phy1.ahb_lane_csr_3_x170 (41 regs) */
3210316485Sdavidcs	0x081896c9, 	/* phy_pcie.phy1.ahb_lane_csr_3_x201 .. phy_pcie.phy1.ahb_lane_csr_3_x208 (8 regs) */
3211316485Sdavidcs	0x051896d5, 	/* phy_pcie.phy1.ahb_lane_csr_3_x213 .. phy_pcie.phy1.ahb_lane_csr_3_x217 (5 regs) */
3212316485Sdavidcs	0x421896dc, 	/* phy_pcie.phy1.ahb_lane_csr_3_x220 .. phy_pcie.phy1.ahb_lane_csr_3_x285 (66 regs) */
3213316485Sdavidcs	0x1b18972d, 	/* phy_pcie.phy1.ahb_lane_csr_3_x301 .. phy_pcie.phy1.ahb_lane_csr_3_x327 (27 regs) */
3214316485Sdavidcs	0x0118974a, 	/* phy_pcie.phy1.ahb_lane_csr_3_x330 (1 regs) */
3215316485Sdavidcs	0x06189800, 	/* phy_pcie.phy1.ahb_lane_csr_4_x0 .. phy_pcie.phy1.ahb_lane_csr_4_x5 (6 regs) */
3216316485Sdavidcs	0x38189807, 	/* phy_pcie.phy1.ahb_lane_csr_4_x7 .. phy_pcie.phy1.ahb_lane_csr_4_x62 (56 regs) */
3217316485Sdavidcs	0x21189841, 	/* phy_pcie.phy1.ahb_lane_csr_4_x65 .. phy_pcie.phy1.ahb_lane_csr_4_x97 (33 regs) */
3218316485Sdavidcs	0x11189863, 	/* phy_pcie.phy1.ahb_lane_csr_4_x99 .. phy_pcie.phy1.ahb_lane_csr_4_x115 (17 regs) */
3219316485Sdavidcs	0x0a189877, 	/* phy_pcie.phy1.ahb_lane_csr_4_x119 .. phy_pcie.phy1.ahb_lane_csr_4_x128 (10 regs) */
3220316485Sdavidcs	0x29189882, 	/* phy_pcie.phy1.ahb_lane_csr_4_x130 .. phy_pcie.phy1.ahb_lane_csr_4_x170 (41 regs) */
3221316485Sdavidcs	0x081898c9, 	/* phy_pcie.phy1.ahb_lane_csr_4_x201 .. phy_pcie.phy1.ahb_lane_csr_4_x208 (8 regs) */
3222316485Sdavidcs	0x051898d5, 	/* phy_pcie.phy1.ahb_lane_csr_4_x213 .. phy_pcie.phy1.ahb_lane_csr_4_x217 (5 regs) */
3223316485Sdavidcs	0x421898dc, 	/* phy_pcie.phy1.ahb_lane_csr_4_x220 .. phy_pcie.phy1.ahb_lane_csr_4_x285 (66 regs) */
3224316485Sdavidcs	0x1b18992d, 	/* phy_pcie.phy1.ahb_lane_csr_4_x301 .. phy_pcie.phy1.ahb_lane_csr_4_x327 (27 regs) */
3225316485Sdavidcs	0x0118994a, 	/* phy_pcie.phy1.ahb_lane_csr_4_x330 (1 regs) */
3226316485Sdavidcs	0x05189a00, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x0 .. phy_pcie.phy1.ahb_comlane_csr_5_x4 (5 regs) */
3227316485Sdavidcs	0x15189a06, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x6 .. phy_pcie.phy1.ahb_comlane_csr_5_x26 (21 regs) */
3228316485Sdavidcs	0x07189a1d, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x29 .. phy_pcie.phy1.ahb_comlane_csr_5_x35 (7 regs) */
3229316485Sdavidcs	0x04189a26, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x38 .. phy_pcie.phy1.ahb_comlane_csr_5_x41 (4 regs) */
3230316485Sdavidcs	0x78189a2b, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x43 .. phy_pcie.phy1.ahb_comlane_csr_5_x162 (120 regs) */
3231316485Sdavidcs	0x5e189aa8, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x168 .. phy_pcie.phy1.ahb_comlane_csr_5_x261 (94 regs) */
3232316485Sdavidcs	0x23189b07, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x263 .. phy_pcie.phy1.ahb_comlane_csr_5_x297 (35 regs) */
3233316485Sdavidcs	0x01189b2d, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x301 (1 regs) */
3234316485Sdavidcs	0x05189b30, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x304 .. phy_pcie.phy1.ahb_comlane_csr_5_x308 (5 regs) */
3235316485Sdavidcs	0x06189b36, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x310 .. phy_pcie.phy1.ahb_comlane_csr_5_x315 (6 regs) */
3236316485Sdavidcs	0x05189b3e, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x318 .. phy_pcie.phy1.ahb_comlane_csr_5_x322 (5 regs) */
3237316485Sdavidcs	0x0a189b44, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x324 .. phy_pcie.phy1.ahb_comlane_csr_5_x333 (10 regs) */
3238316485Sdavidcs	0x02189b52, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x338 .. phy_pcie.phy1.ahb_comlane_csr_5_x339 (2 regs) */
3239316485Sdavidcs	0x02189b55, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x341 .. phy_pcie.phy1.ahb_comlane_csr_5_x342 (2 regs) */
3240316485Sdavidcs	0x01189b58, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x344 (1 regs) */
3241316485Sdavidcs	0x0a189b5a, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x346 .. phy_pcie.phy1.ahb_comlane_csr_5_x355 (10 regs) */
3242316485Sdavidcs	0x01189b66, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x358 (1 regs) */
3243316485Sdavidcs	0x0c189b6a, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x362 .. phy_pcie.phy1.ahb_comlane_csr_5_x373 (12 regs) */
3244316485Sdavidcs	0x11189b78, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x376 .. phy_pcie.phy1.ahb_comlane_csr_5_x392 (17 regs) */
3245316485Sdavidcs	0x01189b8a, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x394 (1 regs) */
3246316485Sdavidcs	0x0b189b91, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x401 .. phy_pcie.phy1.ahb_comlane_csr_5_x411 (11 regs) */
3247316485Sdavidcs	0x57189b9d, 	/* phy_pcie.phy1.ahb_comlane_csr_5_x413 .. phy_pcie.phy1.ahb_comlane_csr_5_x499 (87 regs) */
3248320164Sdavidcs	0x01000081, 	/* split PORT */
3249316485Sdavidcs	0x03060000, 	/* block cpmu */
3250316485Sdavidcs	0x0700c080, 	/* cpmu.lpi_mode_config .. cpmu.sw_force_lpi (7 regs) */
3251316485Sdavidcs	0x0600c0c9, 	/* cpmu.lpi_tx_req_stat_ro .. cpmu.lpi_duration_stat_ro (6 regs) */
3252316485Sdavidcs	0x0600c0df, 	/* cpmu.lpi_tx_req_stat .. cpmu.lpi_duration_stat (6 regs) */
3253316485Sdavidcs	0x02200000, 	/* block dorq */
3254316485Sdavidcs	0x0104013f, 	/* dorq.wake_misc_en (1 regs) */
3255316485Sdavidcs	0x08040221, 	/* dorq.tag1_ethertype .. dorq.tag4_size (8 regs) */
3256316485Sdavidcs	0x01210000, 	/* block brb */
3257316485Sdavidcs	0x010d0201, 	/* brb.header_size (1 regs) */
3258316485Sdavidcs	0x0f230000, 	/* block prs */
3259316485Sdavidcs	0x0107c11d, 	/* prs.t_tag_tagnum (1 regs) */
3260316485Sdavidcs	0x5607c144, 	/* prs.ets_packet_additional_network_size .. prs.wfq_port_arb_current_credit (86 regs) */
3261316485Sdavidcs	0x0107c1c0, 	/* prs.prop_hdr_size (1 regs) */
3262316485Sdavidcs	0x0107c1cc, 	/* prs.encapsulation_type_en (1 regs) */
3263316485Sdavidcs	0x0107c1ce, 	/* prs.vxlan_port (1 regs) */
3264316485Sdavidcs	0x1007c1df, 	/* prs.first_hdr_hdrs_after_basic .. prs.inner_hdr_must_have_hdrs (16 regs) */
3265316485Sdavidcs	0x2007c1fa, 	/* prs.src_mac_0_0 .. prs.src_mac_15_1 (32 regs) */
3266316485Sdavidcs	0x0107c21b, 	/* prs.nge_port (1 regs) */
3267316485Sdavidcs	0x0207c21d, 	/* prs.rroce_enable .. prs.nge_comp_ver (2 regs) */
3268316485Sdavidcs	0x0107c250, 	/* prs.no_match_pfid (1 regs) */
3269316485Sdavidcs	0x0307c284, 	/* prs.classify_failed_pkt_len_stat_add_crc .. prs.classify_failed_pkt_len_stat_tags_not_counted_first (3 regs) */
3270316485Sdavidcs	0x1307c288, 	/* prs.nig_classify_failed .. prs.ignore_udp_zero_checksum (19 regs) */
3271316485Sdavidcs	0x0907c2c2, 	/* prs.num_of_packets_0 .. prs.num_of_packets_8 (9 regs) */
3272316485Sdavidcs	0x0207c2d5, 	/* prs.queue_pkt_avail_status .. prs.storm_bkprs_status (2 regs) */
3273316485Sdavidcs	0x0107c3cd, 	/* prs.eop_req_ct (1 regs) */
3274320164Sdavidcs	0x073c0000, 	/* block pbf */
3275320164Sdavidcs	0x0636012c, 	/* pbf.first_hdr_hdrs_after_basic .. pbf.first_hdr_hdrs_after_tag_3 (6 regs) */
3276320164Sdavidcs	0x07360134, 	/* pbf.first_hdr_must_have_hdrs .. pbf.inner_hdr_hdrs_after_tag_3 (7 regs) */
3277320164Sdavidcs	0x0136013d, 	/* pbf.inner_hdr_must_have_hdrs (1 regs) */
3278316485Sdavidcs	0x02360146, 	/* pbf.vxlan_port .. pbf.nge_port (2 regs) */
3279316485Sdavidcs	0x01360149, 	/* pbf.nge_comp_ver (1 regs) */
3280316485Sdavidcs	0x01360162, 	/* pbf.t_tag_tagnum (1 regs) */
3281316485Sdavidcs	0x05360170, 	/* pbf.btb_shared_area_size .. pbf.num_strict_priority_slots (5 regs) */
3282316485Sdavidcs	0x2e4b0000, 	/* block nig */
3283316485Sdavidcs	0x05140384, 	/* nig.mac_in_en .. nig.flowctrl_out_en (5 regs) */
3284316485Sdavidcs	0x01140402, 	/* nig.rx_pkt_has_fcs (1 regs) */
3285316485Sdavidcs	0x03140404, 	/* nig.llc_jumbo_type .. nig.first_hdr_hdrs_after_basic (3 regs) */
3286316485Sdavidcs	0x07140408, 	/* nig.first_hdr_hdrs_after_tag_0 .. nig.inner_hdr_hdrs_after_basic (7 regs) */
3287316485Sdavidcs	0x08140410, 	/* nig.inner_hdr_hdrs_after_tag_0 .. nig.vxlan_ctrl (8 regs) */
3288316485Sdavidcs	0x2c140422, 	/* nig.llh_dest_mac_0_0 .. nig.rx_llh_svol_mcp_mask (44 regs) */
3289316485Sdavidcs	0x04140450, 	/* nig.rx_llh_ncsi_brb_dntfwd_mask .. nig.rx_llh_svol_brb_dntfwd_mask (4 regs) */
3290316485Sdavidcs	0x26140456, 	/* nig.l2filt_ethertype0 .. nig.rx_llh_brb_gate_dntfwd (38 regs) */
3291316485Sdavidcs	0x0514047e, 	/* nig.storm_ethertype0 .. nig.rx_llh_storm_mask (5 regs) */
3292316485Sdavidcs	0x061404c2, 	/* nig.rx_llh_dfifo_empty .. nig.rx_llh_rfifo_full (6 regs) */
3293316485Sdavidcs	0x01140500, 	/* nig.storm_status (1 regs) */
3294316485Sdavidcs	0x33140540, 	/* nig.lb_min_cyc_threshold .. nig.lb_arb_num_strict_arb_slots (51 regs) */
3295316485Sdavidcs	0x02940574, 	/* nig.lb_arb_priority_client (2 regs, WB) */
3296316485Sdavidcs	0x22140576, 	/* nig.lb_arb_burst_mode .. nig.lb_llh_brb_gate_dntfwd (34 regs) */
3297316485Sdavidcs	0x0f1405d9, 	/* nig.lb_btb_fifo_alm_full_thr .. nig.lb_llh_rfifo_alm_full (15 regs) */
3298316485Sdavidcs	0x01140600, 	/* nig.lb_llh_rfifo_full (1 regs) */
3299316485Sdavidcs	0x29140640, 	/* nig.rx_ptp_en .. nig.outer_tag_value_mask (41 regs) */
3300316485Sdavidcs	0x2d1406e8, 	/* nig.flowctrl_mode .. nig.rx_flowctrl_status_clear (45 regs) */
3301316485Sdavidcs	0x08140719, 	/* nig.stat_rx_brb_packet_priority_0 .. nig.stat_rx_brb_packet_priority_7 (8 regs) */
3302316485Sdavidcs	0x22140728, 	/* nig.stat_rx_brb_octet_priority_0 .. nig.stat_rx_brb_discard_priority_7 (34 regs) */
3303316485Sdavidcs	0x0214074b, 	/* nig.stat_rx_storm_packet_discard .. nig.stat_rx_storm_packet_truncate (2 regs) */
3304316485Sdavidcs	0x46140750, 	/* nig.stat_lb_brb_packet_priority_0 .. nig.stat_tx_octet_tc_7 (70 regs) */
3305316485Sdavidcs	0x20940796, 	/* nig.tx_xoff_cyc_tc_0 .. nig.lb_xoff_cyc_tc_7 (32 regs, WB) */
3306316485Sdavidcs	0x1a1407b6, 	/* nig.stat_rx_bmb_octet .. nig.tx_arb_num_strict_arb_slots (26 regs) */
3307316485Sdavidcs	0x029407d0, 	/* nig.tx_arb_priority_client (2 regs, WB) */
3308316485Sdavidcs	0x3d1407d2, 	/* nig.tx_arb_burst_mode .. nig.tx_gnt_fifo_full (61 regs) */
3309316485Sdavidcs	0x02140825, 	/* nig.mng_tc .. nig.tx_mng_tc_en (2 regs) */
3310316485Sdavidcs	0x03140828, 	/* nig.tx_mng_timestamp_pkt .. nig.bmb_pkt_len (3 regs) */
3311316485Sdavidcs	0x0414082c, 	/* nig.tx_bmb_fifo_empty .. nig.lb_bmb_fifo_full (4 regs) */
3312316485Sdavidcs	0x01140835, 	/* nig.debug_pkt_len (1 regs) */
3313316485Sdavidcs	0x05140850, 	/* nig.dbg_select .. nig.dbg_force_frame (5 regs) */
3314316485Sdavidcs	0x0f140862, 	/* nig.rx_fc_dbg_select .. nig.lb_fc_dbg_force_frame (15 regs) */
3315316485Sdavidcs	0x01140881, 	/* nig.eco_reserved_perport (1 regs) */
3316316485Sdavidcs	0x0214220a, 	/* nig.tx_ptp_one_stp_en .. nig.rx_ptp_one_stp_en (2 regs) */
3317316485Sdavidcs	0x01142214, 	/* nig.add_freecnt_offset (1 regs) */
3318316485Sdavidcs	0x0214222c, 	/* nig.tsgen_offset_value_lsb .. nig.tsgen_offset_value_msb (2 regs) */
3319316485Sdavidcs	0x04142230, 	/* nig.tsgen_sync_time_lsb .. nig.tsgen_sw_pps_en (4 regs) */
3320316485Sdavidcs	0x02142236, 	/* nig.tsgen_rst_drift_cntr .. nig.tsgen_drift_cntr_conf (2 regs) */
3321316485Sdavidcs	0x0214223c, 	/* nig.roce_duplicate_to_host .. nig.default_engine_id_sel (2 regs) */
3322316485Sdavidcs	0x43142280, 	/* nig.dscp_to_tc_map .. nig.rroce_zero_udp_ignore (67 regs) */
3323316485Sdavidcs	0x011422c8, 	/* nig.add_eth_crc (1 regs) */
3324316485Sdavidcs	0x031422ca, 	/* nig.nge_ip_enable .. nig.nge_comp_ver (3 regs) */
3325316485Sdavidcs	0x021422ce, 	/* nig.nge_port .. nig.llh_lb_tc_remap (2 regs) */
3326316485Sdavidcs	0x051422d2, 	/* nig.rx_llh_ncsi_mcp_mask_2 .. nig.tx_llh_ncsi_ntwk_mask_2 (5 regs) */
3327316485Sdavidcs	0x071422d8, 	/* nig.timer_counter .. nig.tx_parity_error_close_egress (7 regs) */
3328316485Sdavidcs	0x031422e0, 	/* nig.tx_arb_client_0_map .. nig.lb_arb_client_0_map (3 regs) */
3329316485Sdavidcs	0x044b0025, 	/* mode !bb, block nig */
3330316485Sdavidcs	0x02142407, 	/* nig.tsgen_tsio_in_sel_mask .. nig.tsgen_tsio_in_sel_pol (2 regs) */
3331316485Sdavidcs	0x0494240a, 	/* nig.tsgen_tsio_in_latched_value .. nig.tsgen_tsio_out_next_toggle_time (4 regs, WB) */
3332316485Sdavidcs	0x0514240e, 	/* nig.tsgen_pps_start_time_0 .. nig.ptp_update_sw_osts_pkt_time (5 regs) */
3333316485Sdavidcs	0x04142416, 	/* nig.llh_dest_mac_6_0 .. nig.llh_dest_mac_7_1 (4 regs) */
3334316485Sdavidcs	0x024d0000, 	/* block bmbn */
3335316485Sdavidcs	0x06184078, 	/* bmbn.mng_outer_tag0_0 .. bmbn.mng_inner_vlan_tag1 (6 regs) */
3336316485Sdavidcs	0x01184081, 	/* bmbn.eco_reserved_perport (1 regs) */
3337316485Sdavidcs	0x01530000, 	/* block led */
3338316485Sdavidcs	0x061ae000, 	/* led.control .. led.mac_led_speed (6 regs) */
3339320164Sdavidcs	0x024b0003, 	/* mode !(bb|k2), block nig */
3340320164Sdavidcs	0x02143420, 	/* nig.mng_to_mcp_ncsi_filter .. nig.mng_to_mcp_ncsi_filter_2 (2 regs) */
3341320164Sdavidcs	0x02143601, 	/* nig.tx_order_fifo_full .. nig.lb_order_fifo_full (2 regs) */
3342316485Sdavidcs	0x01050015, 	/* mode !(k2|e5), block cnig */
3343316485Sdavidcs	0x0608608f, 	/* cnig.led_control .. cnig.mac_led_speed (6 regs) */
3344316485Sdavidcs	0x144b0000, 	/* block nig */
3345316485Sdavidcs	0x02140391, 	/* nig.rx_macfifo_empty .. nig.rx_macfifo_full (2 regs) */
3346316485Sdavidcs	0x01140400, 	/* nig.hdr_skip_size (1 regs) */
3347316485Sdavidcs	0x01140407, 	/* nig.first_hdr_hdrs_after_llc (1 regs) */
3348316485Sdavidcs	0x0114040f, 	/* nig.inner_hdr_hdrs_after_llc (1 regs) */
3349316485Sdavidcs	0x03140418, 	/* nig.ipv4_type .. nig.fcoe_type (3 regs) */
3350316485Sdavidcs	0x0414041d, 	/* nig.tcp_protocol .. nig.icmpv6_protocol (4 regs) */
3351316485Sdavidcs	0x1614080f, 	/* nig.mng_outer_tag0_0 .. nig.mng_prop_hdr1_7 (22 regs) */
3352316485Sdavidcs	0x01140827, 	/* nig.tx_host_mng_enable (1 regs) */
3353316485Sdavidcs	0x02142002, 	/* nig.mf_global_en .. nig.upon_mgmt (2 regs) */
3354316485Sdavidcs	0x04142070, 	/* nig.wake_buffer_clear .. nig.wake_details (4 regs) */
3355316485Sdavidcs	0x02142208, 	/* nig.tx_up_ts_en .. nig.rx_up_ts_en (2 regs) */
3356316485Sdavidcs	0x0814220c, 	/* nig.tx_up_ts_addr_0 .. nig.rx_enable_up_rules (8 regs) */
3357316485Sdavidcs	0x01142215, 	/* nig.up_ts_insert_en (1 regs) */
3358316485Sdavidcs	0x0114221f, 	/* nig.llh_up_buf_seqid (1 regs) */
3359316485Sdavidcs	0x04942220, 	/* nig.llh_up_buf_timestamp .. nig.llh_up_buf_src_addr (4 regs, WB) */
3360316485Sdavidcs	0x01142224, 	/* nig.tx_llh_up_buf_seqid (1 regs) */
3361316485Sdavidcs	0x04942226, 	/* nig.tx_llh_up_buf_timestamp .. nig.llh_up_buf_dst_addr (4 regs, WB) */
3362316485Sdavidcs	0x0114223b, 	/* nig.mld_msg_type (1 regs) */
3363316485Sdavidcs	0x041422c4, 	/* nig.acpi_tag_remove .. nig.rm_eth_crc (4 regs) */
3364316485Sdavidcs	0x011422c9, 	/* nig.corrupt_eth_crc (1 regs) */
3365320164Sdavidcs	0x033c0043, 	/* mode !e5, block pbf */
3366320164Sdavidcs	0x02360132, 	/* pbf.first_hdr_hdrs_after_tag_4 .. pbf.first_hdr_hdrs_after_tag_5 (2 regs) */
3367320164Sdavidcs	0x0236013b, 	/* pbf.inner_hdr_hdrs_after_tag_4 .. pbf.inner_hdr_hdrs_after_tag_5 (2 regs) */
3368320164Sdavidcs	0x01360160, 	/* pbf.prop_hdr_size (1 regs) */
3369320164Sdavidcs	0x034b0000, 	/* block nig */
3370316485Sdavidcs	0x01140390, 	/* nig.tx_macfifo_alm_full_thr (1 regs) */
3371316485Sdavidcs	0x02140393, 	/* nig.tx_macfifo_alm_full .. nig.tx_macfifo_empty (2 regs) */
3372316485Sdavidcs	0x011403c0, 	/* nig.tx_macfifo_full (1 regs) */
3373316485Sdavidcs	0x044c00fd, 	/* mode (!bb)&(!fpga), block wol */
3374316485Sdavidcs	0x02182000, 	/* wol.acpi_tag_rm .. wol.upon_mgmt (2 regs) */
3375316485Sdavidcs	0x06182060, 	/* wol.wake_buffer_clear .. wol.acpi_pat_sel (6 regs) */
3376316485Sdavidcs	0x0118206d, 	/* wol.wake_mem_rd_offset (1 regs) */
3377316485Sdavidcs	0x0418206f, 	/* wol.eco_reserved_perport .. wol.hdr_fifo_error (4 regs) */
3378316485Sdavidcs	0x020000b4, 	/* split PF */
3379316485Sdavidcs	0x01010000, 	/* block miscs */
3380316485Sdavidcs	0x010025d7, 	/* miscs.unprepared_dr (1 regs) */
3381316485Sdavidcs	0x05040000, 	/* block pglue_b */
3382316485Sdavidcs	0x040aa136, 	/* pglue_b.pseudo_vf_master_enable .. pglue_b.vf_base (4 regs) */
3383316485Sdavidcs	0x030aa85b, 	/* pglue_b.internal_pfid_enable_master .. pglue_b.internal_pfid_enable_target_read (3 regs) */
3384316485Sdavidcs	0x010aa950, 	/* pglue_b.pf_trusted (1 regs) */
3385316485Sdavidcs	0x010aa965, 	/* pglue_b.mask_block_discard_attn_pf (1 regs) */
3386316485Sdavidcs	0x040aab97, 	/* pglue_b.config_reg_78 .. pglue_b.vf_bar1_size (4 regs) */
3387316485Sdavidcs	0x03140000, 	/* block pswrq2 */
3388316485Sdavidcs	0x1b090003, 	/* pswrq2.cdut_p_size .. pswrq2.dbg_last_ilt (27 regs) */
3389316485Sdavidcs	0x0b090024, 	/* pswrq2.tm_number_of_pf_blocks .. pswrq2.vf_last_ilt (11 regs) */
3390316485Sdavidcs	0x010901fc, 	/* pswrq2.atc_internal_ats_enable (1 regs) */
3391316485Sdavidcs	0x01170000, 	/* block ptu */
3392316485Sdavidcs	0x0515801e, 	/* ptu.inv_tid .. ptu.inv_halt_on_err (5 regs) */
3393316485Sdavidcs	0x01180000, 	/* block tcm */
3394316485Sdavidcs	0x04460241, 	/* tcm.con_phy_q0 .. tcm.task_phy_q1 (4 regs) */
3395316485Sdavidcs	0x01190000, 	/* block mcm */
3396316485Sdavidcs	0x04480241, 	/* mcm.con_phy_q0 .. mcm.task_phy_q1 (4 regs) */
3397316485Sdavidcs	0x011a0000, 	/* block ucm */
3398316485Sdavidcs	0x044a0241, 	/* ucm.con_phy_q0 .. ucm.task_phy_q1 (4 regs) */
3399316485Sdavidcs	0x011b0000, 	/* block xcm */
3400316485Sdavidcs	0x01400241, 	/* xcm.con_phy_q3 (1 regs) */
3401316485Sdavidcs	0x011c0000, 	/* block ycm */
3402316485Sdavidcs	0x04420241, 	/* ycm.con_phy_q0 .. ycm.task_phy_q1 (4 regs) */
3403316485Sdavidcs	0x041e0000, 	/* block qm */
3404316485Sdavidcs	0x030bc10d, 	/* qm.MaxPqSize_0 .. qm.MaxPqSize_2 (3 regs) */
3405316485Sdavidcs	0x010bc54c, 	/* qm.PciReqTph (1 regs) */
3406316485Sdavidcs	0x020bcba8, 	/* qm.pci_rd_err .. qm.pf_en (2 regs) */
3407316485Sdavidcs	0x020bcbab, 	/* qm.usg_cnt_pf_tx .. qm.usg_cnt_pf_other (2 regs) */
3408316485Sdavidcs	0x031f0000, 	/* block tm */
3409316485Sdavidcs	0x010b010f, 	/* tm.pf_enable_conn (1 regs) */
3410316485Sdavidcs	0x010b0111, 	/* tm.pf_enable_task (1 regs) */
3411316485Sdavidcs	0x020b013f, 	/* tm.pf_scan_active_conn .. tm.pf_scan_active_task (2 regs) */
3412316485Sdavidcs	0x07200000, 	/* block dorq */
3413316485Sdavidcs	0x02040100, 	/* dorq.pf_min_addr_reg1 .. dorq.vf_min_addr_reg1 (2 regs) */
3414316485Sdavidcs	0x06040112, 	/* dorq.pf_icid_bit_shift_norm .. dorq.vf_min_val_dpi (6 regs) */
3415316485Sdavidcs	0x03040140, 	/* dorq.pf_net_port_id .. dorq.pf_db_enable (3 regs) */
3416316485Sdavidcs	0x02040144, 	/* dorq.pf_dpm_enable .. dorq.vf_dpm_enable (2 regs) */
3417316485Sdavidcs	0x0404022d, 	/* dorq.tag1_ovrd_mode .. dorq.tag4_ovrd_mode (4 regs) */
3418316485Sdavidcs	0x01040270, 	/* dorq.pf_usage_cnt (1 regs) */
3419316485Sdavidcs	0x03040272, 	/* dorq.pf_usage_cnt_lim .. dorq.pf_ovfl_sticky (3 regs) */
3420316485Sdavidcs	0x05220000, 	/* block src */
3421316485Sdavidcs	0x0208e127, 	/* src.NumIpv4Conn .. src.NumIpv6Conn (2 regs) */
3422316485Sdavidcs	0x0288e140, 	/* src.FirstFree (2 regs, WB) */
3423316485Sdavidcs	0x0288e148, 	/* src.LastFree (2 regs, WB) */
3424316485Sdavidcs	0x0108e150, 	/* src.CountFree (1 regs) */
3425316485Sdavidcs	0x0108e181, 	/* src.number_hash_bits (1 regs) */
3426316485Sdavidcs	0x11230000, 	/* block prs */
3427316485Sdavidcs	0x0407c05a, 	/* prs.task_id_max_initiator_pf .. prs.task_id_max_target_vf (4 regs) */
3428316485Sdavidcs	0x0107c064, 	/* prs.roce_separate_rx_tx_cid_flg (1 regs) */
3429316485Sdavidcs	0x0107c066, 	/* prs.load_l2_filter (1 regs) */
3430316485Sdavidcs	0x0207c068, 	/* prs.target_initiator_select .. prs.fcoe_search_with_exchange_context (2 regs) */
3431316485Sdavidcs	0x0407c100, 	/* prs.search_tcp .. prs.search_roce (4 regs) */
3432316485Sdavidcs	0x0507c105, 	/* prs.tcp_search_key_mask .. prs.roce_build_cid_wo_search (5 regs) */
3433316485Sdavidcs	0x0907c10b, 	/* prs.roce_dest_qp_max_vf .. prs.search_tenant_id (9 regs) */
3434316485Sdavidcs	0x0207c1cf, 	/* prs.roce_icid_base_pf .. prs.roce_icid_base_vf (2 regs) */
3435316485Sdavidcs	0x0507c1f5, 	/* prs.first_hdr_dst_ip_0 .. prs.first_hdr_dst_ip_4 (5 regs) */
3436316485Sdavidcs	0x0307c251, 	/* prs.override_pfid_if_no_match .. prs.no_match_lcid (3 regs) */
3437316485Sdavidcs	0x0207c25a, 	/* prs.light_l2_ethertype_en .. prs.use_light_l2 (2 regs) */
3438316485Sdavidcs	0x0107c26f, 	/* prs.mac_vlan_cache_use_tenant_id (1 regs) */
3439316485Sdavidcs	0x0107c274, 	/* prs.sort_sack (1 regs) */
3440316485Sdavidcs	0x0107c276, 	/* prs.rdma_syn_mask (1 regs) */
3441316485Sdavidcs	0x0507c27f, 	/* prs.rdma_syn_cookie_en .. prs.pkt_len_stat_tags_not_counted_first (5 regs) */
3442316485Sdavidcs	0x0107c287, 	/* prs.msg_info (1 regs) */
3443316485Sdavidcs	0x0207c46f, 	/* prs.search_gft .. prs.search_non_ip_as_gft (2 regs) */
3444316485Sdavidcs	0x013f0000, 	/* block cdu */
3445316485Sdavidcs	0x0a160243, 	/* cdu.pf_seg0_type_offset .. cdu.vf_fl_seg_type_offset (10 regs) */
3446316485Sdavidcs	0x06400000, 	/* block ccfc */
3447316485Sdavidcs	0x010b8176, 	/* ccfc.robustwb_pf (1 regs) */
3448316485Sdavidcs	0x010b81c0, 	/* ccfc.weak_enable_pf (1 regs) */
3449316485Sdavidcs	0x010b81c2, 	/* ccfc.strong_enable_pf (1 regs) */
3450316485Sdavidcs	0x010b81c6, 	/* ccfc.pf_minicache_enable (1 regs) */
3451316485Sdavidcs	0x010bb403, 	/* ccfc.pf_lstate_cnt1 (1 regs) */
3452316485Sdavidcs	0x010bb405, 	/* ccfc.pf_lstate_cnt2 (1 regs) */
3453316485Sdavidcs	0x06410000, 	/* block tcfc */
3454316485Sdavidcs	0x010b4176, 	/* tcfc.robustwb_pf (1 regs) */
3455316485Sdavidcs	0x010b41c0, 	/* tcfc.weak_enable_pf (1 regs) */
3456316485Sdavidcs	0x010b41c2, 	/* tcfc.strong_enable_pf (1 regs) */
3457316485Sdavidcs	0x010b41c6, 	/* tcfc.pf_minicache_enable (1 regs) */
3458316485Sdavidcs	0x010b7403, 	/* tcfc.pf_lstate_cnt1 (1 regs) */
3459316485Sdavidcs	0x010b7405, 	/* tcfc.pf_lstate_cnt2 (1 regs) */
3460316485Sdavidcs	0x08420000, 	/* block igu */
3461316485Sdavidcs	0x02060100, 	/* igu.statistic_num_pf_msg_sent (2 regs) */
3462316485Sdavidcs	0x0106010b, 	/* igu.statistic_num_of_inta_asserted (1 regs) */
3463316485Sdavidcs	0x01060200, 	/* igu.pf_configuration (1 regs) */
3464316485Sdavidcs	0x0a060208, 	/* igu.attn_msg_addr_l .. igu.command_reg_32msb_data (10 regs) */
3465316485Sdavidcs	0x05060328, 	/* igu.int_before_mask_sts_pf (5 regs) */
3466316485Sdavidcs	0x05060338, 	/* igu.int_mask_sts_pf (5 regs) */
3467316485Sdavidcs	0x05060348, 	/* igu.pba_sts_pf (5 regs) */
3468316485Sdavidcs	0x01060486, 	/* igu.attn_tph (1 regs) */
3469316485Sdavidcs	0x01430000, 	/* block cau */
3470316485Sdavidcs	0x01070106, 	/* cau.cleanup_command_done (1 regs) */
3471316485Sdavidcs	0x094b0000, 	/* block nig */
3472316485Sdavidcs	0x01140300, 	/* nig.tx_lb_pf_drop_perpf (1 regs) */
3473316485Sdavidcs	0x0114044f, 	/* nig.rx_llh_svol_mcp_fwd_perpf (1 regs) */
3474316485Sdavidcs	0x01140455, 	/* nig.rx_llh_svol_brb_dntfwd_perpf (1 regs) */
3475316485Sdavidcs	0x0114047d, 	/* nig.rx_llh_brb_gate_dntfwd_perpf (1 regs) */
3476316485Sdavidcs	0x01140599, 	/* nig.lb_llh_brb_gate_dntfwd_perpf (1 regs) */
3477316485Sdavidcs	0x011406e7, 	/* nig.llh_eng_cls_eng_id_perpf (1 regs) */
3478316485Sdavidcs	0x07142051, 	/* nig.tcp_syn_enable .. nig.tcp_syn_ipv4_dst_port (7 regs) */
3479316485Sdavidcs	0x08942058, 	/* nig.tcp_syn_ipv6_src_addr .. nig.tcp_syn_ipv6_dst_addr (8 regs, WB) */
3480316485Sdavidcs	0x02142060, 	/* nig.tcp_syn_ipv4_src_addr .. nig.tcp_syn_ipv4_dst_addr (2 regs) */
3481316485Sdavidcs	0x02040025, 	/* mode !bb, block pglue_b */
3482316485Sdavidcs	0x020aabad, 	/* pglue_b.vf_bar0_size .. pglue_b.pf_rom_size (2 regs) */
3483316485Sdavidcs	0x040aabe6, 	/* pglue_b.pgl_addr_e8_f0 .. pglue_b.pgl_addr_f4_f0 (4 regs) */
3484316485Sdavidcs	0x1c150000, 	/* block pglcs */
3485316485Sdavidcs	0x0e000800, 	/* pglcs.pgl_cs.DEVICE_ID_VENDOR_ID_REG .. pglcs.pgl_cs.PCI_CAP_PTR_REG (14 regs) */
3486316485Sdavidcs	0x0300080f, 	/* pglcs.pgl_cs.MAX_LATENCY_MIN_GRANT_INTERRUPT_PIN_INTERRUPT_LINE_REG .. pglcs.pgl_cs.CON_STATUS_REG (3 regs) */
3487316485Sdavidcs	0x06000814, 	/* pglcs.pgl_cs.PCI_MSI_CAP_ID_NEXT_CTRL_REG .. pglcs.pgl_cs.MSI_CAP_OFF_14H_REG (6 regs) */
3488316485Sdavidcs	0x0500081c, 	/* pglcs.pgl_cs.PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG .. pglcs.pgl_cs.LINK_CONTROL_LINK_STATUS_REG (5 regs) */
3489316485Sdavidcs	0x04000825, 	/* pglcs.pgl_cs.DEVICE_CAPABILITIES2_REG .. pglcs.pgl_cs.LINK_CONTROL2_LINK_STATUS2_REG (4 regs) */
3490316485Sdavidcs	0x0300082c, 	/* pglcs.pgl_cs.PCI_MSIX_CAP_ID_NEXT_CTRL_REG .. pglcs.pgl_cs.MSIX_PBA_OFFSET_REG (3 regs) */
3491316485Sdavidcs	0x02000834, 	/* pglcs.pgl_cs.VPD_BASE .. pglcs.pgl_cs.DATA_REG (2 regs) */
3492316485Sdavidcs	0x0b000840, 	/* pglcs.pgl_cs.AER_EXT_CAP_HDR_OFF .. pglcs.pgl_cs.HDR_LOG_3_OFF (11 regs) */
3493316485Sdavidcs	0x0400084e, 	/* pglcs.pgl_cs.TLP_PREFIX_LOG_1_OFF .. pglcs.pgl_cs.TLP_PREFIX_LOG_4_OFF (4 regs) */
3494316485Sdavidcs	0x0300085a, 	/* pglcs.pgl_cs.SN_BASE .. pglcs.pgl_cs.SER_NUM_REG_DW_2 (3 regs) */
3495316485Sdavidcs	0x0600085e, 	/* pglcs.pgl_cs.PB_BASE .. pglcs.pgl_cs.CAP_REG (6 regs) */
3496316485Sdavidcs	0x1400086e, 	/* pglcs.pgl_cs.SRIOV_BASE_REG .. pglcs.pgl_cs.TPH_ST_TABLE_REG_0 (20 regs) */
3497316485Sdavidcs	0x060008a3, 	/* pglcs.pgl_cs.RAS_DES_CAP_HEADER_REG .. pglcs.pgl_cs.TIME_BASED_ANALYSIS_DATA_REG (6 regs) */
3498316485Sdavidcs	0x180008af, 	/* pglcs.pgl_cs.EINJ_ENABLE_REG .. pglcs.pgl_cs.EINJ6_TLP_REG (24 regs) */
3499316485Sdavidcs	0x020008cb, 	/* pglcs.pgl_cs.SD_CONTROL1_REG .. pglcs.pgl_cs.SD_CONTROL2_REG (2 regs) */
3500316485Sdavidcs	0x060008cf, 	/* pglcs.pgl_cs.SD_STATUS_L1LANE_REG .. pglcs.pgl_cs.SD_STATUS_L3_REG (6 regs) */
3501316485Sdavidcs	0x030008d7, 	/* pglcs.pgl_cs.SD_EQ_CONTROL1_REG .. pglcs.pgl_cs.SD_EQ_CONTROL3_REG (3 regs) */
3502316485Sdavidcs	0x030008db, 	/* pglcs.pgl_cs.SD_EQ_STATUS1_REG .. pglcs.pgl_cs.SD_EQ_STATUS3_REG (3 regs) */
3503316485Sdavidcs	0x0e0008e3, 	/* pglcs.pgl_cs.RASDP_EXT_CAP_HDR_OFF .. pglcs.pgl_cs.RASDP_RAM_ADDR_UNCORR_ERROR_OFF (14 regs) */
3504316485Sdavidcs	0x180008f4, 	/* pglcs.pgl_cs.PTM_REQ_CAP_HDR_OFF .. pglcs.pgl_cs.RESBAR_CTRL_REG_0_REG (24 regs) */
3505316485Sdavidcs	0x01000d05, 	/* pglcs.pgl_cs_shadow.BAR1_MASK_REG (1 regs) */
3506316485Sdavidcs	0x01000d0c, 	/* pglcs.pgl_cs_shadow.EXP_ROM_BAR_MASK_REG (1 regs) */
3507316485Sdavidcs	0x01000d71, 	/* pglcs.pgl_cs_shadow.SHADOW_SRIOV_INITIAL_VFS (1 regs) */
3508316485Sdavidcs	0x01000d73, 	/* pglcs.pgl_cs_shadow.SHADOW_SRIOV_VF_OFFSET_POSITION (1 regs) */
3509316485Sdavidcs	0x01000d78, 	/* pglcs.pgl_cs_shadow.SRIOV_BAR1_MASK_REG (1 regs) */
3510316485Sdavidcs	0x01000d7a, 	/* pglcs.pgl_cs_shadow.SRIOV_BAR3_MASK_REG (1 regs) */
3511316485Sdavidcs	0x01000d7c, 	/* pglcs.pgl_cs_shadow.SRIOV_BAR5_MASK_REG (1 regs) */
3512316485Sdavidcs	0x01000e00, 	/* pglcs.first_vf (1 regs) */
3513320164Sdavidcs	0x01170003, 	/* mode !(bb|k2), block ptu */
3514320164Sdavidcs	0x02158179, 	/* ptu.inv_rsc_type .. ptu.inv_rsc_type_mask (2 regs) */
3515320164Sdavidcs	0x03200000, 	/* block dorq */
3516320164Sdavidcs	0x10040a04, 	/* dorq.prv_pf_max_icid_2 .. dorq.prv_vf_range2conn_type_5 (16 regs) */
3517320164Sdavidcs	0x02040add, 	/* dorq.ddp_version .. dorq.rdmap_version (2 regs) */
3518320164Sdavidcs	0x08040ae1, 	/* dorq.pf_ext_pcp_roce .. dorq.pf_int_vid_iwarp (8 regs) */
3519320164Sdavidcs	0x01230000, 	/* block prs */
3520320164Sdavidcs	0x0107c5b9, 	/* prs.new_entry_exclusive (1 regs) */
3521320164Sdavidcs	0x03450000, 	/* block rgsrc */
3522320164Sdavidcs	0x010c8104, 	/* rgsrc.hash_bin_bit_w (1 regs) */
3523320164Sdavidcs	0x020c8112, 	/* rgsrc.table_t1_entry_size .. rgsrc.table_t2_entry_size (2 regs) */
3524320164Sdavidcs	0x010c8118, 	/* rgsrc.was_error (1 regs) */
3525320164Sdavidcs	0x03470000, 	/* block tgsrc */
3526320164Sdavidcs	0x010c8904, 	/* tgsrc.hash_bin_bit_w (1 regs) */
3527320164Sdavidcs	0x020c8912, 	/* tgsrc.table_t1_entry_size .. tgsrc.table_t2_entry_size (2 regs) */
3528320164Sdavidcs	0x010c8918, 	/* tgsrc.was_error (1 regs) */
3529316485Sdavidcs	0x01040015, 	/* mode !(k2|e5), block pglue_b */
3530316485Sdavidcs	0x040aa901, 	/* pglue_b.pgl_addr_88_f0 .. pglue_b.pgl_addr_94_f0 (4 regs) */
3531316485Sdavidcs	0x0f150000, 	/* block pglcs */
3532316485Sdavidcs	0x0e000800, 	/* pglcs.pgl_cs.device_vendor_id .. pglcs.pgl_cs.cap_pointer (14 regs) */
3533316485Sdavidcs	0x0100080f, 	/* pglcs.pgl_cs.lat_min_grant_int_pin_int_line (1 regs) */
3534316485Sdavidcs	0x08000812, 	/* pglcs.pgl_cs.pm_cap .. pglcs.pgl_cs.msi_data (8 regs) */
3535316485Sdavidcs	0x12000828, 	/* pglcs.pgl_cs.msix_cap .. pglcs.pgl_cs.slot_status_control_2 (18 regs) */
3536316485Sdavidcs	0x0e000840, 	/* pglcs.pgl_cs.adv_err_cap .. pglcs.pgl_cs.root_err_id (14 regs) */
3537316485Sdavidcs	0x0300084f, 	/* pglcs.pgl_cs.device_ser_num_cap .. pglcs.pgl_cs.upper_ser_num (3 regs) */
3538316485Sdavidcs	0x0b000854, 	/* pglcs.pgl_cs.pwr_bdgt_cap .. pglcs.pgl_cs.vc_rsrc_status (11 regs) */
3539316485Sdavidcs	0x07000860, 	/* pglcs.pgl_cs.vendor_cap .. pglcs.pgl_cs.vendor_specific_reg5 (7 regs) */
3540316485Sdavidcs	0x1300086c, 	/* pglcs.pgl_cs.LTR_cap .. pglcs.pgl_cs.VF_BAR5 (19 regs) */
3541316485Sdavidcs	0x03000880, 	/* pglcs.pgl_cs.PTM_extended_cap .. pglcs.pgl_cs.ptm_ctrl_reg (3 regs) */
3542316485Sdavidcs	0x02000884, 	/* pglcs.pgl_cs.ATS_cap .. pglcs.pgl_cs.ATS_control (2 regs) */
3543316485Sdavidcs	0x03000888, 	/* pglcs.pgl_cs.RBAR_ext_cap .. pglcs.pgl_cs.RBAR_CTRL (3 regs) */
3544316485Sdavidcs	0x0300088c, 	/* pglcs.pgl_cs.TPH_extended_cap .. pglcs.pgl_cs.tph_req_control (3 regs) */
3545316485Sdavidcs	0x04000890, 	/* pglcs.pgl_cs.PML1sub_capID .. pglcs.pgl_cs.PML1_sub_control2 (4 regs) */
3546316485Sdavidcs	0x0b0008c0, 	/* pglcs.pgl_cs.Secondary_PCIE_Extended_Cap .. pglcs.pgl_cs.Lane14_15_equalization_ctrl (11 regs) */
3547316485Sdavidcs	0x01420000, 	/* block igu */
3548316485Sdavidcs	0x03060203, 	/* igu.pci_pf_msi_en .. igu.pci_pf_msix_func_mask (3 regs) */
3549320164Sdavidcs	0x02200043, 	/* mode !e5, block dorq */
3550316485Sdavidcs	0x10040102, 	/* dorq.pf_max_icid_0 .. dorq.vf_max_icid_7 (16 regs) */
3551316485Sdavidcs	0x02040231, 	/* dorq.pf_pcp .. dorq.pf_ext_vid (2 regs) */
3552320164Sdavidcs	0x010401a3, 	/* mode !k2, block pglue_b */
3553320164Sdavidcs	0x010aa839, 	/* pglue_b.shadow_ats_stu (1 regs) */
3554316485Sdavidcs	0x044c00fd, 	/* mode (!bb)&(!fpga), block wol */
3555316485Sdavidcs	0x12182040, 	/* wol.acpi_enable .. wol.mpkt_enable (18 regs) */
3556316485Sdavidcs	0x02982052, 	/* wol.mpkt_mac_addr (2 regs, WB) */
3557316485Sdavidcs	0x01182054, 	/* wol.force_wol (1 regs) */
3558316485Sdavidcs	0x01182066, 	/* wol.tcp_syn_enable (1 regs) */
3559316485Sdavidcs	0x0300000f, 	/* split PORT_PF */
3560316485Sdavidcs	0x01230000, 	/* block prs */
3561316485Sdavidcs	0x0207c1f3, 	/* prs.first_hdr_dst_mac_0 .. prs.first_hdr_dst_mac_1 (2 regs) */
3562316485Sdavidcs	0x034b0000, 	/* block nig */
3563316485Sdavidcs	0x01140669, 	/* nig.llh_func_tagmac_cls_type (1 regs) */
3564316485Sdavidcs	0x0d14066c, 	/* nig.llh_func_tag_en .. nig.llh_func_no_tag (13 regs) */
3565316485Sdavidcs	0x0114223e, 	/* nig.dscp_to_tc_map_enable (1 regs) */
3566316485Sdavidcs	0x054b0015, 	/* mode !(k2|e5), block nig */
3567316485Sdavidcs	0x02142000, 	/* nig.acpi_tag_rm .. nig.acpi_prop_hdr_rm (2 regs) */
3568316485Sdavidcs	0x11142040, 	/* nig.acpi_enable .. nig.acpi_pat_7_len (17 regs) */
3569316485Sdavidcs	0x01142062, 	/* nig.mpkt_enable (1 regs) */
3570316485Sdavidcs	0x02942064, 	/* nig.mpkt_mac_addr (2 regs, WB) */
3571316485Sdavidcs	0x01142066, 	/* nig.force_wol (1 regs) */
3572320164Sdavidcs	0x024b0043, 	/* mode !e5, block nig */
3573316485Sdavidcs	0x20940680, 	/* nig.llh_func_filter_value (32 regs, WB) */
3574316485Sdavidcs	0x401406a0, 	/* nig.llh_func_filter_en .. nig.llh_func_filter_hdr_sel (64 regs) */
3575316485Sdavidcs	0x0400002a, 	/* split VF */
3576316485Sdavidcs	0x03040000, 	/* block pglue_b */
3577316485Sdavidcs	0x010aa85a, 	/* pglue_b.internal_vfid_enable (1 regs) */
3578316485Sdavidcs	0x010aa95f, 	/* pglue_b.fid_channel_enable (1 regs) */
3579316485Sdavidcs	0x010aa966, 	/* pglue_b.mask_block_discard_attn_vf (1 regs) */
3580316485Sdavidcs	0x021e0000, 	/* block qm */
3581316485Sdavidcs	0x010bcbaa, 	/* qm.vf_en (1 regs) */
3582316485Sdavidcs	0x020bcbad, 	/* qm.usg_cnt_vf_tx .. qm.usg_cnt_vf_other (2 regs) */
3583316485Sdavidcs	0x031f0000, 	/* block tm */
3584316485Sdavidcs	0x010b010e, 	/* tm.vf_enable_conn (1 regs) */
3585316485Sdavidcs	0x010b0110, 	/* tm.vf_enable_task (1 regs) */
3586316485Sdavidcs	0x020b0141, 	/* tm.vf_scan_active_conn .. tm.vf_scan_active_task (2 regs) */
3587316485Sdavidcs	0x03200000, 	/* block dorq */
3588316485Sdavidcs	0x01040143, 	/* dorq.vf_db_enable (1 regs) */
3589316485Sdavidcs	0x01040271, 	/* dorq.vf_usage_cnt (1 regs) */
3590316485Sdavidcs	0x01040275, 	/* dorq.vf_ovfl_sticky (1 regs) */
3591316485Sdavidcs	0x04400000, 	/* block ccfc */
3592316485Sdavidcs	0x010b81c1, 	/* ccfc.weak_enable_vf (1 regs) */
3593316485Sdavidcs	0x010b81c3, 	/* ccfc.strong_enable_vf (1 regs) */
3594316485Sdavidcs	0x010bb402, 	/* ccfc.vf_lstate_cnt1 (1 regs) */
3595316485Sdavidcs	0x010bb404, 	/* ccfc.vf_lstate_cnt2 (1 regs) */
3596316485Sdavidcs	0x04410000, 	/* block tcfc */
3597316485Sdavidcs	0x010b41c1, 	/* tcfc.weak_enable_vf (1 regs) */
3598316485Sdavidcs	0x010b41c3, 	/* tcfc.strong_enable_vf (1 regs) */
3599316485Sdavidcs	0x010b7402, 	/* tcfc.vf_lstate_cnt1 (1 regs) */
3600316485Sdavidcs	0x010b7404, 	/* tcfc.vf_lstate_cnt2 (1 regs) */
3601316485Sdavidcs	0x05420000, 	/* block igu */
3602316485Sdavidcs	0x01060102, 	/* igu.statistic_num_vf_msg_sent (1 regs) */
3603316485Sdavidcs	0x01060201, 	/* igu.vf_configuration (1 regs) */
3604316485Sdavidcs	0x02060330, 	/* igu.int_before_mask_sts_vf_lsb .. igu.int_before_mask_sts_vf_msb (2 regs) */
3605316485Sdavidcs	0x02060340, 	/* igu.int_mask_sts_vf_lsb .. igu.int_mask_sts_vf_msb (2 regs) */
3606316485Sdavidcs	0x02060350, 	/* igu.pba_sts_vf_lsb .. igu.pba_sts_vf_msb (2 regs) */
3607316485Sdavidcs	0x08150025, 	/* mode !bb, block pglcs */
3608316485Sdavidcs	0x0c000c00, 	/* pglcs.pgl_cs_vf_1.VF_DEVICE_ID_VENDOR_ID_REG .. pglcs.pgl_cs_vf_1.VF_SUBSYSTEM_ID_SUBSYSTEM_VENDOR_ID_REG (12 regs) */
3609316485Sdavidcs	0x01000c0d, 	/* pglcs.pgl_cs_vf_1.VF_PCI_CAP_PTR_REG (1 regs) */
3610316485Sdavidcs	0x01000c0f, 	/* pglcs.pgl_cs_vf_1.VF_MAX_LATENCY_MIN_GRANT_INTERRUPT_PIN_INTERRUPT_LINE_REG (1 regs) */
3611316485Sdavidcs	0x05000c1c, 	/* pglcs.pgl_cs_vf_1.VF_PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG .. pglcs.pgl_cs_vf_1.VF_LINK_CONTROL_LINK_STATUS_REG (5 regs) */
3612316485Sdavidcs	0x04000c25, 	/* pglcs.pgl_cs_vf_1.VF_DEVICE_CAPABILITIES2_REG .. pglcs.pgl_cs_vf_1.VF_LINK_CONTROL2_LINK_STATUS2_REG (4 regs) */
3613316485Sdavidcs	0x03000c2c, 	/* pglcs.pgl_cs_vf_1.VF_PCI_MSIX_CAP_ID_NEXT_CTRL_REG .. pglcs.pgl_cs_vf_1.VF_MSIX_PBA_OFFSET_REG (3 regs) */
3614316485Sdavidcs	0x02000c40, 	/* pglcs.pgl_cs_vf_1.VF_ARI_BASE .. pglcs.pgl_cs_vf_1.VF_CAP_REG (2 regs) */
3615316485Sdavidcs	0x04000c44, 	/* pglcs.pgl_cs_vf_1.VF_TPH_EXT_CAP_HDR_REG .. pglcs.pgl_cs_vf_1.VF_TPH_ST_TABLE_REG_0 (4 regs) */
3616316485Sdavidcs	0x01420015, 	/* mode !(k2|e5), block igu */
3617316485Sdavidcs	0x02060206, 	/* igu.pci_vf_msix_en .. igu.pci_vf_msix_func_mask (2 regs) */
3618316485Sdavidcs};
3619320164Sdavidcs/* Data size: 14236 bytes */
3620316485Sdavidcs
3621316485Sdavidcs#ifndef __PREVENT_DUMP_MEM_ARR__
3622316485Sdavidcs
3623316485Sdavidcs/* Array of memories to be dumped */
3624316485Sdavidcsstatic const u32 dump_mem[] = {
3625320164Sdavidcs	0x00000295, 	/* split NONE */
3626316485Sdavidcs	0x14040000, 	/* block pglue_b */
3627316485Sdavidcs	0x000aa910, 0x00000006, 	/* pglue_b.sdm_inb_int_b_pf_0, group=PXP_MEM, size=6 regs */
3628316485Sdavidcs	0x000aa918, 0x00000006, 	/* pglue_b.sdm_inb_int_b_pf_1, group=PXP_MEM, size=6 regs */
3629316485Sdavidcs	0x000aa920, 0x00000006, 	/* pglue_b.sdm_inb_int_b_pf_2, group=PXP_MEM, size=6 regs */
3630316485Sdavidcs	0x000aa928, 0x00000006, 	/* pglue_b.sdm_inb_int_b_pf_3, group=PXP_MEM, size=6 regs */
3631316485Sdavidcs	0x000aa930, 0x00000006, 	/* pglue_b.sdm_inb_int_b_pf_4, group=PXP_MEM, size=6 regs */
3632316485Sdavidcs	0x000aa938, 0x00000006, 	/* pglue_b.sdm_inb_int_b_pf_5, group=PXP_MEM, size=6 regs */
3633316485Sdavidcs	0x000aa940, 0x00000006, 	/* pglue_b.sdm_inb_int_b_pf_6, group=PXP_MEM, size=6 regs */
3634316485Sdavidcs	0x000aa948, 0x00000006, 	/* pglue_b.sdm_inb_int_b_pf_7, group=PXP_MEM, size=6 regs */
3635316485Sdavidcs	0x000aaa00, 0x010000b0, 	/* pglue_b.write_fifo_queue, group=PXP_MEM, size=176 regs, WB */
3636316485Sdavidcs	0x000aab00, 0x01000070, 	/* pglue_b.read_fifo_queue, group=PXP_MEM, size=112 regs, WB */
3637316485Sdavidcs	0x020d0000, 	/* block pswhst */
3638316485Sdavidcs	0x000a8100, 0x00000048, 	/* pswhst.inbound_int, group=PXP_MEM, size=72 regs */
3639316485Sdavidcs	0x02160000, 	/* block dmae */
3640316485Sdavidcs	0x01003200, 0x000001c0, 	/* dmae.cmd_mem, group=DMAE_MEM, size=448 regs */
3641316485Sdavidcs	0x02180000, 	/* block tcm */
3642316485Sdavidcs	0x02460600, 0x00000040, 	/* tcm.xx_dscr_tbl, group=CM_MEM, size=64 regs */
3643316485Sdavidcs	0x02190000, 	/* block mcm */
3644316485Sdavidcs	0x02480700, 0x00000040, 	/* mcm.xx_dscr_tbl, group=CM_MEM, size=64 regs */
3645316485Sdavidcs	0x021a0000, 	/* block ucm */
3646316485Sdavidcs	0x024a06c0, 0x00000040, 	/* ucm.xx_dscr_tbl, group=CM_MEM, size=64 regs */
3647316485Sdavidcs	0x041b0000, 	/* block xcm */
3648316485Sdavidcs	0x024006c0, 0x00000040, 	/* xcm.xx_dscr_tbl, group=CM_MEM, size=64 regs */
3649316485Sdavidcs	0x02400800, 0x00000400, 	/* xcm.xx_msg_ram, group=CM_MEM, size=1024 regs */
3650316485Sdavidcs	0x041c0000, 	/* block ycm */
3651316485Sdavidcs	0x02420700, 0x00000040, 	/* ycm.xx_dscr_tbl, group=CM_MEM, size=64 regs */
3652316485Sdavidcs	0x02422000, 0x00001860, 	/* ycm.xx_msg_ram, group=CM_MEM, size=6240 regs */
3653316485Sdavidcs	0x081d0000, 	/* block pcm */
3654316485Sdavidcs	0x02440540, 0x00000002, 	/* pcm.xx_lcid_cam, group=CM_MEM, size=2 regs */
3655316485Sdavidcs	0x02440580, 0x00000002, 	/* pcm.xx_tbl, group=CM_MEM, size=2 regs */
3656316485Sdavidcs	0x024405c0, 0x00000004, 	/* pcm.xx_dscr_tbl, group=CM_MEM, size=4 regs */
3657316485Sdavidcs	0x02440800, 0x000002c0, 	/* pcm.xx_msg_ram, group=CM_MEM, size=704 regs */
3658316485Sdavidcs	0x081e0000, 	/* block qm */
3659316485Sdavidcs	0x030bc700, 0x00000048, 	/* qm.CMIntQMask, group=QM_MEM, size=72 regs */
3660316485Sdavidcs	0x030bcd00, 0x00000100, 	/* qm.RlGlblIncVal, group=QM_MEM, size=256 regs */
3661316485Sdavidcs	0x030bcf00, 0x00000100, 	/* qm.RlGlblUpperBound, group=QM_MEM, size=256 regs */
3662316485Sdavidcs	0x030bd100, 0x00000100, 	/* qm.RlGlblCrd, group=QM_MEM, size=256 regs */
3663316485Sdavidcs	0x021f0000, 	/* block tm */
3664316485Sdavidcs	0x040b2000, 0x01000a00, 	/* tm.context_mem, group=TM_MEM, size=2560 regs, WB */
3665320164Sdavidcs	0x0a210000, 	/* block brb */
3666316485Sdavidcs	0x050d0204, 0x00000004, 	/* brb.free_list_head, group=BRB_RAM, size=4 regs */
3667316485Sdavidcs	0x050d0208, 0x00000004, 	/* brb.free_list_tail, group=BRB_RAM, size=4 regs */
3668316485Sdavidcs	0x050d020c, 0x00000004, 	/* brb.free_list_size, group=BRB_RAM, size=4 regs */
3669316485Sdavidcs	0x060d0600, 0x01000014, 	/* brb.stopped_rd_req, group=BRB_MEM, size=20 regs, WB */
3670316485Sdavidcs	0x060d0640, 0x01000014, 	/* brb.stopped_rls_req, group=BRB_MEM, size=20 regs, WB */
3671316485Sdavidcs	0x04230000, 	/* block prs */
3672316485Sdavidcs	0x0707c400, 0x01000040, 	/* prs.gft_profile_mask_ram, group=PRS_MEM, size=64 regs, WB */
3673316485Sdavidcs	0x0707c440, 0x0000001f, 	/* prs.gft_cam, group=PRS_MEM, size=31 regs */
3674316485Sdavidcs	0x04250000, 	/* block msdm */
3675316485Sdavidcs	0x083f0200, 0x00000020, 	/* msdm.agg_int_ctrl, group=SDM_MEM, size=32 regs */
3676316485Sdavidcs	0x083f0280, 0x00000020, 	/* msdm.agg_int_state, group=SDM_MEM, size=32 regs */
3677316485Sdavidcs	0x04260000, 	/* block usdm */
3678316485Sdavidcs	0x083f4200, 0x00000020, 	/* usdm.agg_int_ctrl, group=SDM_MEM, size=32 regs */
3679316485Sdavidcs	0x083f4280, 0x00000020, 	/* usdm.agg_int_state, group=SDM_MEM, size=32 regs */
3680316485Sdavidcs	0x04270000, 	/* block xsdm */
3681316485Sdavidcs	0x083e0200, 0x00000020, 	/* xsdm.agg_int_ctrl, group=SDM_MEM, size=32 regs */
3682316485Sdavidcs	0x083e0280, 0x00000020, 	/* xsdm.agg_int_state, group=SDM_MEM, size=32 regs */
3683316485Sdavidcs	0x04280000, 	/* block ysdm */
3684316485Sdavidcs	0x083e4200, 0x00000020, 	/* ysdm.agg_int_ctrl, group=SDM_MEM, size=32 regs */
3685316485Sdavidcs	0x083e4280, 0x00000020, 	/* ysdm.agg_int_state, group=SDM_MEM, size=32 regs */
3686316485Sdavidcs	0x04290000, 	/* block psdm */
3687316485Sdavidcs	0x083e8200, 0x00000020, 	/* psdm.agg_int_ctrl, group=SDM_MEM, size=32 regs */
3688316485Sdavidcs	0x083e8280, 0x00000020, 	/* psdm.agg_int_state, group=SDM_MEM, size=32 regs */
3689316485Sdavidcs	0x062a0000, 	/* block tsem */
3690316485Sdavidcs	0x095d0100, 0x00000020, 	/* tsem.fast_memory.gpre, group=IOR, size=32 regs */
3691316485Sdavidcs	0x095d0130, 0x00000001, 	/* tsem.fast_memory.active_reg_set, group=IOR, size=1 regs */
3692316485Sdavidcs	0x0a5d8000, 0x00005000, 	/* tsem.fast_memory.int_ram, group=RAM, size=20480 regs */
3693316485Sdavidcs	0x062b0000, 	/* block msem */
3694316485Sdavidcs	0x09610100, 0x00000020, 	/* msem.fast_memory.gpre, group=IOR, size=32 regs */
3695316485Sdavidcs	0x09610130, 0x00000001, 	/* msem.fast_memory.active_reg_set, group=IOR, size=1 regs */
3696316485Sdavidcs	0x0a618000, 0x00005000, 	/* msem.fast_memory.int_ram, group=RAM, size=20480 regs */
3697316485Sdavidcs	0x062c0000, 	/* block usem */
3698316485Sdavidcs	0x09650100, 0x00000020, 	/* usem.fast_memory.gpre, group=IOR, size=32 regs */
3699316485Sdavidcs	0x09650130, 0x00000001, 	/* usem.fast_memory.active_reg_set, group=IOR, size=1 regs */
3700316485Sdavidcs	0x0a658000, 0x00005000, 	/* usem.fast_memory.int_ram, group=RAM, size=20480 regs */
3701316485Sdavidcs	0x062d0000, 	/* block xsem */
3702316485Sdavidcs	0x09510100, 0x00000020, 	/* xsem.fast_memory.gpre, group=IOR, size=32 regs */
3703316485Sdavidcs	0x09510130, 0x00000001, 	/* xsem.fast_memory.active_reg_set, group=IOR, size=1 regs */
3704316485Sdavidcs	0x0a518000, 0x00005000, 	/* xsem.fast_memory.int_ram, group=RAM, size=20480 regs */
3705316485Sdavidcs	0x062e0000, 	/* block ysem */
3706316485Sdavidcs	0x09550100, 0x00000020, 	/* ysem.fast_memory.gpre, group=IOR, size=32 regs */
3707316485Sdavidcs	0x09550130, 0x00000001, 	/* ysem.fast_memory.active_reg_set, group=IOR, size=1 regs */
3708316485Sdavidcs	0x0a558000, 0x00005000, 	/* ysem.fast_memory.int_ram, group=RAM, size=20480 regs */
3709316485Sdavidcs	0x062f0000, 	/* block psem */
3710316485Sdavidcs	0x09590100, 0x00000020, 	/* psem.fast_memory.gpre, group=IOR, size=32 regs */
3711316485Sdavidcs	0x09590130, 0x00000001, 	/* psem.fast_memory.active_reg_set, group=IOR, size=1 regs */
3712316485Sdavidcs	0x0a598000, 0x00005000, 	/* psem.fast_memory.int_ram, group=RAM, size=20480 regs */
3713316485Sdavidcs	0x063b0000, 	/* block btb */
3714316485Sdavidcs	0x0b36c204, 0x00000004, 	/* btb.free_list_head, group=BTB_RAM, size=4 regs */
3715316485Sdavidcs	0x0b36c208, 0x00000004, 	/* btb.free_list_tail, group=BTB_RAM, size=4 regs */
3716316485Sdavidcs	0x0b36c20c, 0x00000004, 	/* btb.free_list_size, group=BTB_RAM, size=4 regs */
3717320164Sdavidcs	0x08400000, 	/* block ccfc */
3718320164Sdavidcs	0x0c0ba200, 0x00000140, 	/* ccfc.activity_counter, group=CONN_CFC_MEM, size=320 regs */
3719320164Sdavidcs	0x0c0ba400, 0x00000140, 	/* ccfc.info_state, group=CONN_CFC_MEM, size=320 regs */
3720320164Sdavidcs	0x0c0ba600, 0x00000140, 	/* ccfc.info_reg, group=CONN_CFC_MEM, size=320 regs */
3721320164Sdavidcs	0x0c0bac00, 0x01000280, 	/* ccfc.cid_cam, group=CONN_CFC_MEM, size=640 regs, WB */
3722320164Sdavidcs	0x08410000, 	/* block tcfc */
3723320164Sdavidcs	0x0d0b6200, 0x00000140, 	/* tcfc.activity_counter, group=TASK_CFC_MEM, size=320 regs */
3724320164Sdavidcs	0x0d0b6400, 0x00000140, 	/* tcfc.info_state, group=TASK_CFC_MEM, size=320 regs */
3725320164Sdavidcs	0x0d0b6600, 0x00000140, 	/* tcfc.info_reg, group=TASK_CFC_MEM, size=320 regs */
3726320164Sdavidcs	0x0d0b6c00, 0x01000280, 	/* tcfc.cid_cam, group=TASK_CFC_MEM, size=640 regs, WB */
3727320164Sdavidcs	0x0a430000, 	/* block cau */
3728320164Sdavidcs	0x0e070100, 0x00000001, 	/* cau.num_pi_per_sb, group=CAU_PI, size=1 regs */
3729320164Sdavidcs	0x0f070880, 0x01000010, 	/* cau.igu_cmd_fifo, group=CAU_MEM, size=16 regs, WB */
3730320164Sdavidcs	0x0f0708c0, 0x01000020, 	/* cau.pxp_req_fifo, group=CAU_MEM, size=32 regs, WB */
3731320164Sdavidcs	0x0f070900, 0x01000100, 	/* cau.pxp_wdata_fifo, group=CAU_MEM, size=256 regs, WB */
3732320164Sdavidcs	0x0f071100, 0x00000100, 	/* cau.fsm_table, group=CAU_MEM, size=256 regs */
3733320164Sdavidcs	0x020d0007, 	/* mode bb, block pswhst */
3734320164Sdavidcs	0x000a8200, 0x00000100, 	/* pswhst.zone_permission_table, group=PXP_MEM, size=256 regs */
3735320164Sdavidcs	0x02140000, 	/* block pswrq2 */
3736320164Sdavidcs	0x10098000, 0x01003b60, 	/* pswrq2.ilt_memory, group=PXP_ILT, size=15200 regs, WB */
3737316485Sdavidcs	0x06180000, 	/* block tcm */
3738316485Sdavidcs	0x02460580, 0x00000020, 	/* tcm.xx_lcid_cam, group=CM_MEM, size=32 regs */
3739316485Sdavidcs	0x024605c0, 0x00000020, 	/* tcm.xx_tbl, group=CM_MEM, size=32 regs */
3740316485Sdavidcs	0x02462000, 0x00001600, 	/* tcm.xx_msg_ram, group=CM_MEM, size=5632 regs */
3741316485Sdavidcs	0x06190000, 	/* block mcm */
3742316485Sdavidcs	0x02480680, 0x00000016, 	/* mcm.xx_lcid_cam, group=CM_MEM, size=22 regs */
3743316485Sdavidcs	0x024806c0, 0x00000016, 	/* mcm.xx_tbl, group=CM_MEM, size=22 regs */
3744316485Sdavidcs	0x02482000, 0x00001a00, 	/* mcm.xx_msg_ram, group=CM_MEM, size=6656 regs */
3745316485Sdavidcs	0x061a0000, 	/* block ucm */
3746316485Sdavidcs	0x024a0640, 0x00000018, 	/* ucm.xx_lcid_cam, group=CM_MEM, size=24 regs */
3747316485Sdavidcs	0x024a0680, 0x00000018, 	/* ucm.xx_tbl, group=CM_MEM, size=24 regs */
3748316485Sdavidcs	0x024a2000, 0x00001a00, 	/* ucm.xx_msg_ram, group=CM_MEM, size=6656 regs */
3749316485Sdavidcs	0x041b0000, 	/* block xcm */
3750316485Sdavidcs	0x02400640, 0x0000001e, 	/* xcm.xx_lcid_cam, group=CM_MEM, size=30 regs */
3751316485Sdavidcs	0x02400680, 0x0000001e, 	/* xcm.xx_tbl, group=CM_MEM, size=30 regs */
3752316485Sdavidcs	0x041c0000, 	/* block ycm */
3753316485Sdavidcs	0x02420680, 0x00000016, 	/* ycm.xx_lcid_cam, group=CM_MEM, size=22 regs */
3754316485Sdavidcs	0x024206c0, 0x00000016, 	/* ycm.xx_tbl, group=CM_MEM, size=22 regs */
3755316485Sdavidcs	0x2a1e0000, 	/* block qm */
3756316485Sdavidcs	0x030bc180, 0x00000040, 	/* qm.BaseAddrOtherPq, group=QM_MEM, size=64 regs */
3757316485Sdavidcs	0x030bc560, 0x00000012, 	/* qm.VoqCrdLine, group=QM_MEM, size=18 regs */
3758316485Sdavidcs	0x030bc5a0, 0x00000012, 	/* qm.VoqInitCrdLine, group=QM_MEM, size=18 regs */
3759316485Sdavidcs	0x030bc5e0, 0x00000012, 	/* qm.VoqCrdByte, group=QM_MEM, size=18 regs */
3760316485Sdavidcs	0x030bc620, 0x00000012, 	/* qm.VoqInitCrdByte, group=QM_MEM, size=18 regs */
3761316485Sdavidcs	0x030bc800, 0x00000040, 	/* qm.PqFillLvlOther, group=QM_MEM, size=64 regs */
3762316485Sdavidcs	0x030bca00, 0x00000040, 	/* qm.PqStsOther, group=QM_MEM, size=64 regs */
3763316485Sdavidcs	0x030bd320, 0x00000008, 	/* qm.RlPfIncVal, group=QM_MEM, size=8 regs */
3764316485Sdavidcs	0x030bd340, 0x00000008, 	/* qm.RlPfUpperBound, group=QM_MEM, size=8 regs */
3765316485Sdavidcs	0x030bd360, 0x00000008, 	/* qm.RlPfCrd, group=QM_MEM, size=8 regs */
3766316485Sdavidcs	0x030bd3a0, 0x00000008, 	/* qm.WfqPfWeight, group=QM_MEM, size=8 regs */
3767316485Sdavidcs	0x030bd3c0, 0x00000008, 	/* qm.WfqPfUpperBound, group=QM_MEM, size=8 regs */
3768316485Sdavidcs	0x030bd500, 0x00000090, 	/* qm.WfqPfCrd, group=QM_MEM, size=144 regs */
3769316485Sdavidcs	0x030bd800, 0x000001c0, 	/* qm.BaseAddrTxPq, group=QM_MEM, size=448 regs */
3770316485Sdavidcs	0x030bdc00, 0x000001c0, 	/* qm.PqFillLvlTx, group=QM_MEM, size=448 regs */
3771316485Sdavidcs	0x030be000, 0x000001c0, 	/* qm.PqStsTx, group=QM_MEM, size=448 regs */
3772316485Sdavidcs	0x030be400, 0x000001c0, 	/* qm.TxPqMap, group=QM_MEM, size=448 regs */
3773316485Sdavidcs	0x030be800, 0x000001c0, 	/* qm.WfqVpWeight, group=QM_MEM, size=448 regs */
3774316485Sdavidcs	0x030bec00, 0x000001c0, 	/* qm.WfqVpUpperBound, group=QM_MEM, size=448 regs */
3775316485Sdavidcs	0x030bf000, 0x000001c0, 	/* qm.WfqVpCrd, group=QM_MEM, size=448 regs */
3776316485Sdavidcs	0x030bf400, 0x000001c0, 	/* qm.WfqVpMap, group=QM_MEM, size=448 regs */
3777316485Sdavidcs	0x021f0000, 	/* block tm */
3778316485Sdavidcs	0x040b0800, 0x01000130, 	/* tm.config_task_mem, group=TM_MEM, size=304 regs, WB */
3779320164Sdavidcs	0x04210000, 	/* block brb */
3780320164Sdavidcs	0x060d0680, 0x00000022, 	/* brb.per_tc_counters, group=BRB_MEM, size=34 regs */
3781316485Sdavidcs	0x050d2000, 0x000012c0, 	/* brb.link_list, group=BRB_RAM, size=4800 regs */
3782316485Sdavidcs	0x022a0000, 	/* block tsem */
3783320164Sdavidcs	0x115c8000, 0x010010e0, 	/* tsem.passive_buffer, group=PBUF, size=4320 regs, WB */
3784316485Sdavidcs	0x022b0000, 	/* block msem */
3785320164Sdavidcs	0x11608000, 0x010010e0, 	/* msem.passive_buffer, group=PBUF, size=4320 regs, WB */
3786316485Sdavidcs	0x022c0000, 	/* block usem */
3787320164Sdavidcs	0x11648000, 0x01000b40, 	/* usem.passive_buffer, group=PBUF, size=2880 regs, WB */
3788316485Sdavidcs	0x022d0000, 	/* block xsem */
3789320164Sdavidcs	0x11508000, 0x010010e0, 	/* xsem.passive_buffer, group=PBUF, size=4320 regs, WB */
3790316485Sdavidcs	0x022e0000, 	/* block ysem */
3791320164Sdavidcs	0x11548000, 0x010009d8, 	/* ysem.passive_buffer, group=PBUF, size=2520 regs, WB */
3792316485Sdavidcs	0x022f0000, 	/* block psem */
3793320164Sdavidcs	0x11588000, 0x010002d0, 	/* psem.passive_buffer, group=PBUF, size=720 regs, WB */
3794316485Sdavidcs	0x04320000, 	/* block muld */
3795320164Sdavidcs	0x12139000, 0x01000800, 	/* muld.bd_db_arr_dw, group=MULD_MEM, size=2048 regs, WB */
3796320164Sdavidcs	0x1213a000, 0x01000800, 	/* muld.sge_db_arr_dw, group=MULD_MEM, size=2048 regs, WB */
3797316485Sdavidcs	0x063b0000, 	/* block btb */
3798320164Sdavidcs	0x1336c400, 0x01000008, 	/* btb.stopped_rd_req, group=BTB_MEM, size=8 regs, WB */
3799320164Sdavidcs	0x1336c440, 0x01000008, 	/* btb.stopped_rls_req, group=BTB_MEM, size=8 regs, WB */
3800316485Sdavidcs	0x0b36d000, 0x00000b40, 	/* btb.link_list, group=BTB_RAM, size=2880 regs */
3801320164Sdavidcs	0x023d0000, 	/* block rdif */
3802320164Sdavidcs	0x140c1000, 0x01000a00, 	/* rdif.l1_task_context, group=RDIF_CTX, size=2560 regs, WB */
3803320164Sdavidcs	0x023e0000, 	/* block tdif */
3804320164Sdavidcs	0x150c6000, 0x01001400, 	/* tdif.l1_task_context, group=TDIF_CTX, size=5120 regs, WB */
3805320164Sdavidcs	0x02400000, 	/* block ccfc */
3806320164Sdavidcs	0x160b81d0, 0x0000000e, 	/* ccfc.lcreq_credit, group=CFC_MEM, size=14 regs */
3807320164Sdavidcs	0x02410000, 	/* block tcfc */
3808320164Sdavidcs	0x160b41d0, 0x0000000e, 	/* tcfc.lcreq_credit, group=CFC_MEM, size=14 regs */
3809316485Sdavidcs	0x0c420000, 	/* block igu */
3810316485Sdavidcs	0x17060220, 0x00000009, 	/* igu.pending_bits_status, group=IGU_MEM, size=9 regs */
3811316485Sdavidcs	0x17060240, 0x00000009, 	/* igu.write_done_pending, group=IGU_MEM, size=9 regs */
3812316485Sdavidcs	0x17060800, 0x00000128, 	/* igu.producer_memory, group=IGU_MEM, size=296 regs */
3813316485Sdavidcs	0x17060c00, 0x00000128, 	/* igu.consumer_mem, group=IGU_MEM, size=296 regs */
3814316485Sdavidcs	0x17061000, 0x00000120, 	/* igu.mapping_memory, group=IGU_MEM, size=288 regs */
3815316485Sdavidcs	0x18061800, 0x01000480, 	/* igu.msix_memory, group=IGU_MSIX, size=1152 regs, WB */
3816316485Sdavidcs	0x06430000, 	/* block cau */
3817316485Sdavidcs	0x19071800, 0x01000240, 	/* cau.sb_var_memory, group=CAU_SB, size=576 regs, WB */
3818316485Sdavidcs	0x19072000, 0x01000240, 	/* cau.sb_addr_memory, group=CAU_SB, size=576 regs, WB */
3819320164Sdavidcs	0x0e074000, 0x00000d80, 	/* cau.pi_memory, group=CAU_PI, size=3456 regs */
3820320164Sdavidcs	0x06180009, 	/* mode k2, block tcm */
3821316485Sdavidcs	0x02460580, 0x00000020, 	/* tcm.xx_lcid_cam, group=CM_MEM, size=32 regs */
3822316485Sdavidcs	0x024605c0, 0x00000020, 	/* tcm.xx_tbl, group=CM_MEM, size=32 regs */
3823316485Sdavidcs	0x02462000, 0x00001600, 	/* tcm.xx_msg_ram, group=CM_MEM, size=5632 regs */
3824316485Sdavidcs	0x06190000, 	/* block mcm */
3825316485Sdavidcs	0x02480680, 0x00000016, 	/* mcm.xx_lcid_cam, group=CM_MEM, size=22 regs */
3826316485Sdavidcs	0x024806c0, 0x00000016, 	/* mcm.xx_tbl, group=CM_MEM, size=22 regs */
3827316485Sdavidcs	0x02482000, 0x00001a00, 	/* mcm.xx_msg_ram, group=CM_MEM, size=6656 regs */
3828316485Sdavidcs	0x061a0000, 	/* block ucm */
3829316485Sdavidcs	0x024a0640, 0x00000018, 	/* ucm.xx_lcid_cam, group=CM_MEM, size=24 regs */
3830316485Sdavidcs	0x024a0680, 0x00000018, 	/* ucm.xx_tbl, group=CM_MEM, size=24 regs */
3831316485Sdavidcs	0x024a2000, 0x00001a00, 	/* ucm.xx_msg_ram, group=CM_MEM, size=6656 regs */
3832316485Sdavidcs	0x041b0000, 	/* block xcm */
3833316485Sdavidcs	0x02400640, 0x0000001e, 	/* xcm.xx_lcid_cam, group=CM_MEM, size=30 regs */
3834316485Sdavidcs	0x02400680, 0x0000001e, 	/* xcm.xx_tbl, group=CM_MEM, size=30 regs */
3835316485Sdavidcs	0x041c0000, 	/* block ycm */
3836316485Sdavidcs	0x02420680, 0x00000016, 	/* ycm.xx_lcid_cam, group=CM_MEM, size=22 regs */
3837316485Sdavidcs	0x024206c0, 0x00000016, 	/* ycm.xx_tbl, group=CM_MEM, size=22 regs */
3838316485Sdavidcs	0x0e1e0000, 	/* block qm */
3839316485Sdavidcs	0x030bc560, 0x00000014, 	/* qm.VoqCrdLine, group=QM_MEM, size=20 regs */
3840316485Sdavidcs	0x030bc5a0, 0x00000014, 	/* qm.VoqInitCrdLine, group=QM_MEM, size=20 regs */
3841316485Sdavidcs	0x030bc5e0, 0x00000014, 	/* qm.VoqCrdByte, group=QM_MEM, size=20 regs */
3842316485Sdavidcs	0x030bc620, 0x00000014, 	/* qm.VoqInitCrdByte, group=QM_MEM, size=20 regs */
3843316485Sdavidcs	0x030bd500, 0x000000a0, 	/* qm.WfqPfCrd, group=QM_MEM, size=160 regs */
3844316485Sdavidcs	0x030bfc00, 0x00000048, 	/* qm.CMIntQMask_msb, group=QM_MEM, size=72 regs */
3845316485Sdavidcs	0x030bfd00, 0x000000a0, 	/* qm.WfqPfCrd_msb, group=QM_MEM, size=160 regs */
3846316485Sdavidcs	0x041f0000, 	/* block tm */
3847316485Sdavidcs	0x040b0400, 0x010001a0, 	/* tm.config_conn_mem, group=TM_MEM, size=416 regs, WB */
3848316485Sdavidcs	0x040b0800, 0x01000200, 	/* tm.config_task_mem, group=TM_MEM, size=512 regs, WB */
3849320164Sdavidcs	0x04210000, 	/* block brb */
3850320164Sdavidcs	0x060d0680, 0x00000022, 	/* brb.per_tc_counters, group=BRB_MEM, size=34 regs */
3851316485Sdavidcs	0x050d2000, 0x00001e00, 	/* brb.link_list, group=BRB_RAM, size=7680 regs */
3852316485Sdavidcs	0x022a0000, 	/* block tsem */
3853320164Sdavidcs	0x115c8000, 0x010010e0, 	/* tsem.passive_buffer, group=PBUF, size=4320 regs, WB */
3854316485Sdavidcs	0x022b0000, 	/* block msem */
3855320164Sdavidcs	0x11608000, 0x010010e0, 	/* msem.passive_buffer, group=PBUF, size=4320 regs, WB */
3856316485Sdavidcs	0x022c0000, 	/* block usem */
3857320164Sdavidcs	0x11648000, 0x01000b40, 	/* usem.passive_buffer, group=PBUF, size=2880 regs, WB */
3858316485Sdavidcs	0x022d0000, 	/* block xsem */
3859320164Sdavidcs	0x11508000, 0x010010e0, 	/* xsem.passive_buffer, group=PBUF, size=4320 regs, WB */
3860316485Sdavidcs	0x022e0000, 	/* block ysem */
3861320164Sdavidcs	0x11548000, 0x010009d8, 	/* ysem.passive_buffer, group=PBUF, size=2520 regs, WB */
3862316485Sdavidcs	0x022f0000, 	/* block psem */
3863320164Sdavidcs	0x11588000, 0x010002d0, 	/* psem.passive_buffer, group=PBUF, size=720 regs, WB */
3864316485Sdavidcs	0x04320000, 	/* block muld */
3865320164Sdavidcs	0x12139000, 0x01000a00, 	/* muld.bd_db_arr_dw, group=MULD_MEM, size=2560 regs, WB */
3866320164Sdavidcs	0x1213a000, 0x01000a00, 	/* muld.sge_db_arr_dw, group=MULD_MEM, size=2560 regs, WB */
3867316485Sdavidcs	0x043b0000, 	/* block btb */
3868320164Sdavidcs	0x1336c400, 0x01000010, 	/* btb.stopped_rd_req, group=BTB_MEM, size=16 regs, WB */
3869316485Sdavidcs	0x0b36d000, 0x00000e60, 	/* btb.link_list, group=BTB_RAM, size=3680 regs */
3870320164Sdavidcs	0x023d0000, 	/* block rdif */
3871320164Sdavidcs	0x140c1000, 0x01000a00, 	/* rdif.l1_task_context, group=RDIF_CTX, size=2560 regs, WB */
3872320164Sdavidcs	0x023e0000, 	/* block tdif */
3873320164Sdavidcs	0x150c6000, 0x01001400, 	/* tdif.l1_task_context, group=TDIF_CTX, size=5120 regs, WB */
3874320164Sdavidcs	0x02400000, 	/* block ccfc */
3875320164Sdavidcs	0x160b81d0, 0x0000000e, 	/* ccfc.lcreq_credit, group=CFC_MEM, size=14 regs */
3876320164Sdavidcs	0x02410000, 	/* block tcfc */
3877320164Sdavidcs	0x160b41d0, 0x0000000e, 	/* tcfc.lcreq_credit, group=CFC_MEM, size=14 regs */
3878316485Sdavidcs	0x0c420000, 	/* block igu */
3879316485Sdavidcs	0x17060220, 0x0000000c, 	/* igu.pending_bits_status, group=IGU_MEM, size=12 regs */
3880316485Sdavidcs	0x17060240, 0x0000000c, 	/* igu.write_done_pending, group=IGU_MEM, size=12 regs */
3881316485Sdavidcs	0x17060800, 0x00000180, 	/* igu.producer_memory, group=IGU_MEM, size=384 regs */
3882316485Sdavidcs	0x17060c00, 0x00000180, 	/* igu.consumer_mem, group=IGU_MEM, size=384 regs */
3883316485Sdavidcs	0x17061000, 0x00000170, 	/* igu.mapping_memory, group=IGU_MEM, size=368 regs */
3884316485Sdavidcs	0x18061800, 0x010005c0, 	/* igu.msix_memory, group=IGU_MSIX, size=1472 regs, WB */
3885320164Sdavidcs	0x06430000, 	/* block cau */
3886320164Sdavidcs	0x19071800, 0x010002e0, 	/* cau.sb_var_memory, group=CAU_SB, size=736 regs, WB */
3887320164Sdavidcs	0x19072000, 0x010002e0, 	/* cau.sb_addr_memory, group=CAU_SB, size=736 regs, WB */
3888320164Sdavidcs	0x0e074000, 0x00001140, 	/* cau.pi_memory, group=CAU_PI, size=4416 regs */
3889320164Sdavidcs	0x020d0025, 	/* mode !bb, block pswhst */
3890320164Sdavidcs	0x000a8200, 0x00000140, 	/* pswhst.zone_permission_table, group=PXP_MEM, size=320 regs */
3891320164Sdavidcs	0x02140000, 	/* block pswrq2 */
3892320164Sdavidcs	0x10098000, 0x010055f0, 	/* pswrq2.ilt_memory, group=PXP_ILT, size=22000 regs, WB */
3893316485Sdavidcs	0x201e0000, 	/* block qm */
3894316485Sdavidcs	0x030bc180, 0x00000080, 	/* qm.BaseAddrOtherPq, group=QM_MEM, size=128 regs */
3895316485Sdavidcs	0x030bc800, 0x00000080, 	/* qm.PqFillLvlOther, group=QM_MEM, size=128 regs */
3896316485Sdavidcs	0x030bca00, 0x00000080, 	/* qm.PqStsOther, group=QM_MEM, size=128 regs */
3897316485Sdavidcs	0x030bd320, 0x00000010, 	/* qm.RlPfIncVal, group=QM_MEM, size=16 regs */
3898316485Sdavidcs	0x030bd340, 0x00000010, 	/* qm.RlPfUpperBound, group=QM_MEM, size=16 regs */
3899316485Sdavidcs	0x030bd360, 0x00000010, 	/* qm.RlPfCrd, group=QM_MEM, size=16 regs */
3900316485Sdavidcs	0x030bd3a0, 0x00000010, 	/* qm.WfqPfWeight, group=QM_MEM, size=16 regs */
3901316485Sdavidcs	0x030bd3c0, 0x00000010, 	/* qm.WfqPfUpperBound, group=QM_MEM, size=16 regs */
3902316485Sdavidcs	0x030bd800, 0x00000200, 	/* qm.BaseAddrTxPq, group=QM_MEM, size=512 regs */
3903316485Sdavidcs	0x030bdc00, 0x00000200, 	/* qm.PqFillLvlTx, group=QM_MEM, size=512 regs */
3904316485Sdavidcs	0x030be000, 0x00000200, 	/* qm.PqStsTx, group=QM_MEM, size=512 regs */
3905316485Sdavidcs	0x030be400, 0x00000200, 	/* qm.TxPqMap, group=QM_MEM, size=512 regs */
3906316485Sdavidcs	0x030be800, 0x00000200, 	/* qm.WfqVpWeight, group=QM_MEM, size=512 regs */
3907316485Sdavidcs	0x030bec00, 0x00000200, 	/* qm.WfqVpUpperBound, group=QM_MEM, size=512 regs */
3908316485Sdavidcs	0x030bf000, 0x00000200, 	/* qm.WfqVpCrd, group=QM_MEM, size=512 regs */
3909316485Sdavidcs	0x030bf400, 0x00000200, 	/* qm.WfqVpMap, group=QM_MEM, size=512 regs */
3910316485Sdavidcs	0x023b0000, 	/* block btb */
3911320164Sdavidcs	0x1336c440, 0x01000010, 	/* btb.stopped_rls_req, group=BTB_MEM, size=16 regs, WB */
3912316485Sdavidcs	0x06180003, 	/* mode !(bb|k2), block tcm */
3913316485Sdavidcs	0x02460580, 0x00000040, 	/* tcm.xx_lcid_cam, group=CM_MEM, size=64 regs */
3914316485Sdavidcs	0x024605c0, 0x00000040, 	/* tcm.xx_tbl, group=CM_MEM, size=64 regs */
3915316485Sdavidcs	0x02462000, 0x00001800, 	/* tcm.xx_msg_ram, group=CM_MEM, size=6144 regs */
3916316485Sdavidcs	0x06190000, 	/* block mcm */
3917316485Sdavidcs	0x02480680, 0x00000040, 	/* mcm.xx_lcid_cam, group=CM_MEM, size=64 regs */
3918316485Sdavidcs	0x024806c0, 0x00000040, 	/* mcm.xx_tbl, group=CM_MEM, size=64 regs */
3919316485Sdavidcs	0x02482000, 0x00001c00, 	/* mcm.xx_msg_ram, group=CM_MEM, size=7168 regs */
3920316485Sdavidcs	0x061a0000, 	/* block ucm */
3921316485Sdavidcs	0x024a0640, 0x00000040, 	/* ucm.xx_lcid_cam, group=CM_MEM, size=64 regs */
3922316485Sdavidcs	0x024a0680, 0x00000040, 	/* ucm.xx_tbl, group=CM_MEM, size=64 regs */
3923316485Sdavidcs	0x024a2000, 0x00001c00, 	/* ucm.xx_msg_ram, group=CM_MEM, size=7168 regs */
3924316485Sdavidcs	0x041b0000, 	/* block xcm */
3925316485Sdavidcs	0x02400640, 0x00000040, 	/* xcm.xx_lcid_cam, group=CM_MEM, size=64 regs */
3926316485Sdavidcs	0x02400680, 0x00000040, 	/* xcm.xx_tbl, group=CM_MEM, size=64 regs */
3927316485Sdavidcs	0x041c0000, 	/* block ycm */
3928316485Sdavidcs	0x02420680, 0x00000040, 	/* ycm.xx_lcid_cam, group=CM_MEM, size=64 regs */
3929316485Sdavidcs	0x024206c0, 0x00000040, 	/* ycm.xx_tbl, group=CM_MEM, size=64 regs */
3930316485Sdavidcs	0x0e1e0000, 	/* block qm */
3931316485Sdavidcs	0x030bd500, 0x00000100, 	/* qm.WfqPfCrd, group=QM_MEM, size=256 regs */
3932316485Sdavidcs	0x030bfc00, 0x00000140, 	/* qm.WfqPfCrd_msb, group=QM_MEM, size=320 regs */
3933316485Sdavidcs	0x030bfe00, 0x00000048, 	/* qm.CMIntQMask_msb, group=QM_MEM, size=72 regs */
3934316485Sdavidcs	0x030bfe80, 0x00000024, 	/* qm.VoqCrdLine, group=QM_MEM, size=36 regs */
3935316485Sdavidcs	0x030bfec0, 0x00000024, 	/* qm.VoqInitCrdLine, group=QM_MEM, size=36 regs */
3936316485Sdavidcs	0x030bff00, 0x00000024, 	/* qm.VoqCrdByte, group=QM_MEM, size=36 regs */
3937316485Sdavidcs	0x030bff40, 0x00000024, 	/* qm.VoqInitCrdByte, group=QM_MEM, size=36 regs */
3938316485Sdavidcs	0x021f0000, 	/* block tm */
3939316485Sdavidcs	0x040b0800, 0x01000260, 	/* tm.config_task_mem, group=TM_MEM, size=608 regs, WB */
3940320164Sdavidcs	0x04210000, 	/* block brb */
3941320164Sdavidcs	0x060d0680, 0x00000024, 	/* brb.per_tc_counters, group=BRB_MEM, size=36 regs */
3942316485Sdavidcs	0x050d4000, 0x00002280, 	/* brb.link_list, group=BRB_RAM, size=8832 regs */
3943316485Sdavidcs	0x022a0000, 	/* block tsem */
3944320164Sdavidcs	0x115c8000, 0x00003100, 	/* tsem.passive_buffer, group=PBUF, size=12544 regs */
3945316485Sdavidcs	0x022b0000, 	/* block msem */
3946320164Sdavidcs	0x11608000, 0x00003100, 	/* msem.passive_buffer, group=PBUF, size=12544 regs */
3947316485Sdavidcs	0x022c0000, 	/* block usem */
3948320164Sdavidcs	0x11648000, 0x00003100, 	/* usem.passive_buffer, group=PBUF, size=12544 regs */
3949316485Sdavidcs	0x022d0000, 	/* block xsem */
3950320164Sdavidcs	0x11508000, 0x00003100, 	/* xsem.passive_buffer, group=PBUF, size=12544 regs */
3951316485Sdavidcs	0x022e0000, 	/* block ysem */
3952320164Sdavidcs	0x11548000, 0x00003100, 	/* ysem.passive_buffer, group=PBUF, size=12544 regs */
3953316485Sdavidcs	0x022f0000, 	/* block psem */
3954320164Sdavidcs	0x11588000, 0x00003100, 	/* psem.passive_buffer, group=PBUF, size=12544 regs */
3955316485Sdavidcs	0x04320000, 	/* block muld */
3956320164Sdavidcs	0x12139000, 0x01001000, 	/* muld.bd_db_arr_dw, group=MULD_MEM, size=4096 regs, WB */
3957320164Sdavidcs	0x1213a000, 0x01001000, 	/* muld.sge_db_arr_dw, group=MULD_MEM, size=4096 regs, WB */
3958316485Sdavidcs	0x043b0000, 	/* block btb */
3959320164Sdavidcs	0x1336c400, 0x01000020, 	/* btb.stopped_rd_req, group=BTB_MEM, size=32 regs, WB */
3960320164Sdavidcs	0x0b36e000, 0x000014a0, 	/* btb.link_list, group=BTB_RAM, size=5280 regs */
3961320164Sdavidcs	0x023d0000, 	/* block rdif */
3962320164Sdavidcs	0x140c2000, 0x01001800, 	/* rdif.l1_task_context, group=RDIF_CTX, size=6144 regs, WB */
3963320164Sdavidcs	0x023e0000, 	/* block tdif */
3964320164Sdavidcs	0x150c6000, 0x01001800, 	/* tdif.l1_task_context, group=TDIF_CTX, size=6144 regs, WB */
3965320164Sdavidcs	0x02400000, 	/* block ccfc */
3966320164Sdavidcs	0x160b81d0, 0x0000000d, 	/* ccfc.lcreq_credit, group=CFC_MEM, size=13 regs */
3967320164Sdavidcs	0x02410000, 	/* block tcfc */
3968320164Sdavidcs	0x160b41d0, 0x0000000d, 	/* tcfc.lcreq_credit, group=CFC_MEM, size=13 regs */
3969316485Sdavidcs	0x0c420000, 	/* block igu */
3970316485Sdavidcs	0x17060220, 0x00000010, 	/* igu.pending_bits_status, group=IGU_MEM, size=16 regs */
3971316485Sdavidcs	0x17060240, 0x00000010, 	/* igu.write_done_pending, group=IGU_MEM, size=16 regs */
3972316485Sdavidcs	0x17060800, 0x00000210, 	/* igu.producer_memory, group=IGU_MEM, size=528 regs */
3973316485Sdavidcs	0x17060c00, 0x00000210, 	/* igu.consumer_mem, group=IGU_MEM, size=528 regs */
3974316485Sdavidcs	0x17061000, 0x00000200, 	/* igu.mapping_memory, group=IGU_MEM, size=512 regs */
3975316485Sdavidcs	0x18061800, 0x01000800, 	/* igu.msix_memory, group=IGU_MSIX, size=2048 regs, WB */
3976320164Sdavidcs	0x06430000, 	/* block cau */
3977320164Sdavidcs	0x19071800, 0x01000400, 	/* cau.sb_var_memory, group=CAU_SB, size=1024 regs, WB */
3978320164Sdavidcs	0x19072000, 0x01000400, 	/* cau.sb_addr_memory, group=CAU_SB, size=1024 regs, WB */
3979320164Sdavidcs	0x0e074000, 0x00001000, 	/* cau.pi_memory, group=CAU_PI, size=4096 regs */
3980320164Sdavidcs	0x02230043, 	/* mode !e5, block prs */
3981316485Sdavidcs	0x0707c300, 0x00000080, 	/* prs.last_pkt_list, group=PRS_MEM, size=128 regs */
3982316485Sdavidcs	0x022a0000, 	/* block tsem */
3983320164Sdavidcs	0x115c02c4, 0x00000001, 	/* tsem.thread_valid, group=PBUF, size=1 regs */
3984316485Sdavidcs	0x022b0000, 	/* block msem */
3985320164Sdavidcs	0x116002c4, 0x00000001, 	/* msem.thread_valid, group=PBUF, size=1 regs */
3986316485Sdavidcs	0x022c0000, 	/* block usem */
3987320164Sdavidcs	0x116402c4, 0x00000001, 	/* usem.thread_valid, group=PBUF, size=1 regs */
3988316485Sdavidcs	0x022d0000, 	/* block xsem */
3989320164Sdavidcs	0x115002c4, 0x00000001, 	/* xsem.thread_valid, group=PBUF, size=1 regs */
3990316485Sdavidcs	0x022e0000, 	/* block ysem */
3991320164Sdavidcs	0x115402c4, 0x00000001, 	/* ysem.thread_valid, group=PBUF, size=1 regs */
3992316485Sdavidcs	0x022f0000, 	/* block psem */
3993320164Sdavidcs	0x115802c4, 0x00000001, 	/* psem.thread_valid, group=PBUF, size=1 regs */
3994320164Sdavidcs	0x04430000, 	/* block cau */
3995320164Sdavidcs	0x0f070800, 0x01000078, 	/* cau.cqe_fifo, group=CAU_MEM, size=120 regs, WB */
3996320164Sdavidcs	0x0f077000, 0x01000100, 	/* cau.agg_unit_descriptor, group=CAU_MEM, size=256 regs, WB */
3997320164Sdavidcs	0x021f01a3, 	/* mode !k2, block tm */
3998320164Sdavidcs	0x040b0400, 0x01000100, 	/* tm.config_conn_mem, group=TM_MEM, size=256 regs, WB */
3999316485Sdavidcs	0x0809000b, 	/* mode !(emul_reduced|fpga), block bmb */
4000316485Sdavidcs	0x1a150204, 0x00000004, 	/* bmb.free_list_head, group=BMB_RAM, size=4 regs */
4001316485Sdavidcs	0x1a150208, 0x00000004, 	/* bmb.free_list_tail, group=BMB_RAM, size=4 regs */
4002316485Sdavidcs	0x1a15020c, 0x00000004, 	/* bmb.free_list_size, group=BMB_RAM, size=4 regs */
4003316485Sdavidcs	0x1a150800, 0x00000480, 	/* bmb.link_list, group=BMB_RAM, size=1152 regs */
4004316485Sdavidcs	0x04090001, 	/* mode (!(bb|k2))&(!(emul_reduced|fpga)), block bmb */
4005316485Sdavidcs	0x1b150480, 0x01000006, 	/* bmb.stopped_rd_req, group=BMB_MEM, size=6 regs, WB */
4006316485Sdavidcs	0x1b1504c0, 0x0100000c, 	/* bmb.stopped_rls_req, group=BMB_MEM, size=12 regs, WB */
4007320164Sdavidcs	0x040900b7, 	/* mode bb&(!(emul_reduced|fpga)), block bmb */
4008316485Sdavidcs	0x1b150480, 0x01000014, 	/* bmb.stopped_rd_req, group=BMB_MEM, size=20 regs, WB */
4009316485Sdavidcs	0x1b1504c0, 0x01000028, 	/* bmb.stopped_rls_req, group=BMB_MEM, size=40 regs, WB */
4010320164Sdavidcs	0x04090087, 	/* mode k2&(!(emul_reduced|fpga)), block bmb */
4011316485Sdavidcs	0x1b150480, 0x01000014, 	/* bmb.stopped_rd_req, group=BMB_MEM, size=20 regs, WB */
4012316485Sdavidcs	0x1b1504c0, 0x01000028, 	/* bmb.stopped_rls_req, group=BMB_MEM, size=40 regs, WB */
4013316485Sdavidcs};
4014320164Sdavidcs/* Data size: 2648 bytes */
4015316485Sdavidcs
4016316485Sdavidcs#endif /* __PREVENT_DUMP_MEM_ARR__ */
4017316485Sdavidcs
4018316485Sdavidcs/* Idle check registers */
4019316485Sdavidcsstatic const u32 idle_chk_regs[] = {
4020316485Sdavidcs	0x02002060, 0x00010001, 	/* cond: misc.INT_STS */
4021316485Sdavidcs	0x02002061, 0x00010001, 	/* cond: misc.INT_MASK */
4022316485Sdavidcs	0x020021ed, 0x00010001, 	/* cond: misc.aeu_after_invert_1_igu */
4023316485Sdavidcs	0x0200220f, 0x00000001, 	/* info: misc.attn_num_st mode=all */
4024316485Sdavidcs	0x020021ee, 0x00010001, 	/* cond: misc.aeu_after_invert_2_igu */
4025316485Sdavidcs	0x0200220f, 0x00000001, 	/* info: misc.attn_num_st mode=all */
4026316485Sdavidcs	0x020021f0, 0x00010001, 	/* cond: misc.aeu_after_invert_4_igu */
4027316485Sdavidcs	0x0200220f, 0x00000001, 	/* info: misc.attn_num_st mode=all */
4028316485Sdavidcs	0x020021f1, 0x00010001, 	/* cond: misc.aeu_after_invert_5_igu */
4029316485Sdavidcs	0x0200220f, 0x00000001, 	/* info: misc.attn_num_st mode=all */
4030316485Sdavidcs	0x020021f2, 0x00010001, 	/* cond: misc.aeu_after_invert_6_igu */
4031316485Sdavidcs	0x0200220f, 0x00000001, 	/* info: misc.attn_num_st mode=all */
4032316485Sdavidcs	0x020021f3, 0x00010001, 	/* cond: misc.aeu_after_invert_7_igu */
4033316485Sdavidcs	0x0200220f, 0x00000001, 	/* info: misc.attn_num_st mode=all */
4034316485Sdavidcs	0x020021f4, 0x00010001, 	/* cond: misc.aeu_after_invert_8_igu */
4035316485Sdavidcs	0x0200220f, 0x00000001, 	/* info: misc.attn_num_st mode=all */
4036316485Sdavidcs	0x020021f5, 0x00010001, 	/* cond: misc.aeu_after_invert_9_igu */
4037316485Sdavidcs	0x0200220f, 0x00000001, 	/* info: misc.attn_num_st mode=all */
4038316485Sdavidcs	0x020021f6, 0x00010001, 	/* cond: misc.aeu_after_invert_1_mcp */
4039316485Sdavidcs	0x0200220f, 0x00000001, 	/* info: misc.attn_num_st mode=all */
4040316485Sdavidcs	0x020021f7, 0x00010001, 	/* cond: misc.aeu_after_invert_2_mcp */
4041316485Sdavidcs	0x0200220f, 0x00000001, 	/* info: misc.attn_num_st mode=all */
4042316485Sdavidcs	0x020021f9, 0x00010001, 	/* cond: misc.aeu_after_invert_4_mcp */
4043316485Sdavidcs	0x0200220f, 0x00000001, 	/* info: misc.attn_num_st mode=all */
4044316485Sdavidcs	0x020021fa, 0x00010001, 	/* cond: misc.aeu_after_invert_5_mcp */
4045316485Sdavidcs	0x0200220f, 0x00000001, 	/* info: misc.attn_num_st mode=all */
4046316485Sdavidcs	0x020021fb, 0x00010001, 	/* cond: misc.aeu_after_invert_6_mcp */
4047316485Sdavidcs	0x0200220f, 0x00000001, 	/* info: misc.attn_num_st mode=all */
4048316485Sdavidcs	0x020021fc, 0x00010001, 	/* cond: misc.aeu_after_invert_7_mcp */
4049316485Sdavidcs	0x0200220f, 0x00000001, 	/* info: misc.attn_num_st mode=all */
4050316485Sdavidcs	0x020021fd, 0x00010001, 	/* cond: misc.aeu_after_invert_8_mcp */
4051316485Sdavidcs	0x0200220f, 0x00000001, 	/* info: misc.attn_num_st mode=all */
4052316485Sdavidcs	0x020021fe, 0x00010001, 	/* cond: misc.aeu_after_invert_9_mcp */
4053316485Sdavidcs	0x0200220f, 0x00000001, 	/* info: misc.attn_num_st mode=all */
4054316485Sdavidcs	0x020021ff, 0x00010001, 	/* cond: misc.aeu_sys_kill_occurred */
4055316485Sdavidcs	0x01002460, 0x00010001, 	/* cond: miscs.INT_STS_0 */
4056316485Sdavidcs	0x01002461, 0x00010001, 	/* cond: miscs.INT_MASK_0 */
4057316485Sdavidcs	0x010025bf, 0x00010001, 	/* cond: miscs.pcie_hot_reset */
4058316485Sdavidcs	0x16003012, 0x00010001, 	/* cond: dmae.go_c0 */
4059316485Sdavidcs	0x16003013, 0x00010001, 	/* cond: dmae.go_c1 */
4060316485Sdavidcs	0x16003014, 0x00010001, 	/* cond: dmae.go_c2 */
4061316485Sdavidcs	0x16003015, 0x00010001, 	/* cond: dmae.go_c3 */
4062316485Sdavidcs	0x16003016, 0x00010001, 	/* cond: dmae.go_c4 */
4063316485Sdavidcs	0x16003017, 0x00010001, 	/* cond: dmae.go_c5 */
4064316485Sdavidcs	0x16003018, 0x00010001, 	/* cond: dmae.go_c6 */
4065316485Sdavidcs	0x16003019, 0x00010001, 	/* cond: dmae.go_c7 */
4066316485Sdavidcs	0x1600301a, 0x00010001, 	/* cond: dmae.go_c8 */
4067316485Sdavidcs	0x1600301b, 0x00010001, 	/* cond: dmae.go_c9 */
4068316485Sdavidcs	0x1600301c, 0x00010001, 	/* cond: dmae.go_c10 */
4069316485Sdavidcs	0x1600301d, 0x00010001, 	/* cond: dmae.go_c11 */
4070316485Sdavidcs	0x1600301e, 0x00010001, 	/* cond: dmae.go_c12 */
4071316485Sdavidcs	0x1600301f, 0x00010001, 	/* cond: dmae.go_c13 */
4072316485Sdavidcs	0x16003020, 0x00010001, 	/* cond: dmae.go_c14 */
4073316485Sdavidcs	0x16003021, 0x00010001, 	/* cond: dmae.go_c15 */
4074316485Sdavidcs	0x16003022, 0x00010001, 	/* cond: dmae.go_c16 */
4075316485Sdavidcs	0x16003023, 0x00010001, 	/* cond: dmae.go_c17 */
4076316485Sdavidcs	0x16003024, 0x00010001, 	/* cond: dmae.go_c18 */
4077316485Sdavidcs	0x16003025, 0x00010001, 	/* cond: dmae.go_c19 */
4078316485Sdavidcs	0x16003026, 0x00010001, 	/* cond: dmae.go_c20 */
4079316485Sdavidcs	0x16003027, 0x00010001, 	/* cond: dmae.go_c21 */
4080316485Sdavidcs	0x16003028, 0x00010001, 	/* cond: dmae.go_c22 */
4081316485Sdavidcs	0x16003029, 0x00010001, 	/* cond: dmae.go_c23 */
4082316485Sdavidcs	0x1600302a, 0x00010001, 	/* cond: dmae.go_c24 */
4083316485Sdavidcs	0x1600302b, 0x00010001, 	/* cond: dmae.go_c25 */
4084316485Sdavidcs	0x1600302c, 0x00010001, 	/* cond: dmae.go_c26 */
4085316485Sdavidcs	0x1600302d, 0x00010001, 	/* cond: dmae.go_c27 */
4086316485Sdavidcs	0x1600302e, 0x00010001, 	/* cond: dmae.go_c28 */
4087316485Sdavidcs	0x1600302f, 0x00010001, 	/* cond: dmae.go_c29 */
4088316485Sdavidcs	0x16003030, 0x00010001, 	/* cond: dmae.go_c30 */
4089316485Sdavidcs	0x16003031, 0x00010001, 	/* cond: dmae.go_c31 */
4090320164Sdavidcs	0x16003080, 0x00010001, 	/* cond: dmae.PRTY_STS_H_0 */
4091320164Sdavidcs	0x16003081, 0x00010001, 	/* cond: dmae.PRTY_MASK_H_0 */
4092320164Sdavidcs	0x4a004080, 0x00010001, 	/* cond: dbg.PRTY_STS_H_0 */
4093320164Sdavidcs	0x4a004081, 0x00010001, 	/* cond: dbg.PRTY_MASK_H_0 */
4094316485Sdavidcs	0x00014019, 0x00010001, 	/* cond: grc.trace_fifo_valid_data */
4095316485Sdavidcs	0x00014060, 0x00010001, 	/* cond: grc.INT_STS_0 */
4096316485Sdavidcs	0x00014061, 0x00010001, 	/* cond: grc.INT_MASK_0 */
4097316485Sdavidcs	0x00014080, 0x00010001, 	/* cond: grc.PRTY_STS_H_0 */
4098316485Sdavidcs	0x00014081, 0x00010001, 	/* cond: grc.PRTY_MASK_H_0 */
4099316485Sdavidcs	0x20040060, 0x00010001, 	/* cond: dorq.INT_STS */
4100316485Sdavidcs	0x20040061, 0x00010001, 	/* cond: dorq.INT_MASK */
4101320164Sdavidcs	0x20040080, 0x00010001, 	/* cond: dorq.PRTY_STS_H_0 */
4102320164Sdavidcs	0x20040081, 0x00010001, 	/* cond: dorq.PRTY_MASK_H_0 */
4103316485Sdavidcs	0x20040260, 0x00010001, 	/* cond: dorq.xcm_msg_init_crd */
4104316485Sdavidcs	0x20040261, 0x00010001, 	/* cond: dorq.tcm_msg_init_crd */
4105316485Sdavidcs	0x20040262, 0x00010001, 	/* cond: dorq.ucm_msg_init_crd */
4106316485Sdavidcs	0x20040263, 0x00010001, 	/* cond: dorq.pbf_cmd_init_crd */
4107316485Sdavidcs	0x20040270, 0x00010001, 	/* cond: dorq.pf_usage_cnt */
4108316485Sdavidcs	0x20040271, 0x00010001, 	/* cond: dorq.vf_usage_cnt */
4109316485Sdavidcs	0x20040282, 0x00010001, 	/* cond: dorq.cfc_ld_req_fifo_fill_lvl */
4110316485Sdavidcs	0x20040283, 0x00010001, 	/* cond: dorq.dorq_fifo_fill_lvl */
4111316485Sdavidcs	0x20040286, 0x00010001, 	/* cond: dorq.db_drop_cnt */
4112316485Sdavidcs	0x20040289, 0x00000001, 	/* info: dorq.db_drop_details mode=all */
4113316485Sdavidcs	0x20040288, 0x00000001, 	/* info: dorq.db_drop_details_reason mode=all */
4114316485Sdavidcs	0x2004028c, 0x00010001, 	/* cond: dorq.dpm_abort_cnt */
4115316485Sdavidcs	0x20040293, 0x00000001, 	/* info: dorq.dpm_abort_reason mode=all */
4116316485Sdavidcs	0x20040291, 0x00000001, 	/* info: dorq.dpm_abort_details_reason mode=all */
4117316485Sdavidcs	0x200402a2, 0x00010001, 	/* cond: dorq.dpm_tbl_fill_lvl */
4118316485Sdavidcs	0x42060064, 0x00010001, 	/* cond: igu.PRTY_STS */
4119316485Sdavidcs	0x42060065, 0x00010001, 	/* cond: igu.PRTY_MASK */
4120320164Sdavidcs	0x42060080, 0x00010001, 	/* cond: igu.PRTY_STS_H_0 */
4121320164Sdavidcs	0x42060081, 0x00010001, 	/* cond: igu.PRTY_MASK_H_0 */
4122316485Sdavidcs	0x42060545, 0x00010001, 	/* cond: igu.attn_write_done_pending */
4123316485Sdavidcs	0x42060547, 0x00010001, 	/* cond: igu.Interrupt_status */
4124316485Sdavidcs	0x4206054c, 0x00010001, 	/* cond: igu.error_handling_data_valid */
4125316485Sdavidcs	0x4206054d, 0x00010001, 	/* cond: igu.silent_drop */
4126316485Sdavidcs	0x4206054f, 0x00010001, 	/* cond: igu.sb_ctrl_fsm */
4127316485Sdavidcs	0x42060550, 0x00010001, 	/* cond: igu.int_handle_fsm */
4128316485Sdavidcs	0x42060551, 0x00010001, 	/* cond: igu.attn_fsm */
4129316485Sdavidcs	0x42060555, 0x00010001, 	/* cond: igu.ctrl_fsm */
4130316485Sdavidcs	0x42060556, 0x00010001, 	/* cond: igu.pxp_arb_fsm */
4131316485Sdavidcs	0x43070080, 0x00010001, 	/* cond: cau.PRTY_STS_H_0 */
4132316485Sdavidcs	0x43070081, 0x00010001, 	/* cond: cau.PRTY_MASK_H_0 */
4133316485Sdavidcs	0x43070260, 0x00010001, 	/* cond: cau.igu_req_credit_status */
4134316485Sdavidcs	0x43070261, 0x00010001, 	/* cond: cau.igu_cmd_credit_status */
4135316485Sdavidcs	0x43070320, 0x00010001, 	/* cond: cau.debug_fifo_status */
4136316485Sdavidcs	0x43070321, 0x00010001, 	/* cond: cau.error_pxp_req */
4137316485Sdavidcs	0x43070322, 0x00010001, 	/* cond: cau.error_fsm_line */
4138316485Sdavidcs	0x43070323, 0x00000001, 	/* info: cau.error_fsm_line_pre mode=all */
4139316485Sdavidcs	0x43070324, 0x00010001, 	/* cond: cau.parity_latch_status */
4140316485Sdavidcs	0x43070325, 0x00010001, 	/* cond: cau.error_cleanup_cmd_reg */
4141316485Sdavidcs	0x43070380, 0x00010001, 	/* cond: cau.req_counter */
4142316485Sdavidcs	0x43070381, 0x00010001, 	/* cond: cau.ack_counter */
4143316485Sdavidcs	0x43070380, 0x00010001, 	/* cond: cau.req_counter */
4144316485Sdavidcs	0x43070382, 0x00010001, 	/* cond: cau.wdone_counter */
4145316485Sdavidcs	0x430703c0, 0x00010001, 	/* cond: cau.main_fsm_status */
4146316485Sdavidcs	0x430703c1, 0x00010001, 	/* cond: cau.var_read_fsm_status */
4147316485Sdavidcs	0x430703c2, 0x00010001, 	/* cond: cau.igu_dma_fsm_status */
4148316485Sdavidcs	0x2307c010, 0x00010001, 	/* cond: prs.INT_STS_0 */
4149316485Sdavidcs	0x2307c011, 0x00010001, 	/* cond: prs.INT_MASK_0 */
4150316485Sdavidcs	0x2307c2da, 0x00000001, 	/* info: prs.mini_cache_failed_response mode=all */
4151316485Sdavidcs	0x2387c2d8, 0x00000002, 	/* info: prs.mini_cache_entry width=2 access=WB mode=all */
4152316485Sdavidcs	0x00014019, 0x00000001, 	/* info: grc.trace_fifo_valid_data mode=all */
4153316485Sdavidcs	0x2307c014, 0x00010001, 	/* cond: prs.PRTY_STS */
4154316485Sdavidcs	0x2307c015, 0x00010001, 	/* cond: prs.PRTY_MASK */
4155320164Sdavidcs	0x2307c081, 0x00010001, 	/* cond: prs.PRTY_STS_H_0 */
4156320164Sdavidcs	0x2307c082, 0x00010001, 	/* cond: prs.PRTY_MASK_H_0 */
4157316485Sdavidcs	0x2307c2d5, 0x00010001, 	/* cond: prs.queue_pkt_avail_status */
4158316485Sdavidcs	0x2307c2d6, 0x00010001, 	/* cond: prs.storm_bkprs_status */
4159316485Sdavidcs	0x2307c2d7, 0x00010001, 	/* cond: prs.stop_parsing_status */
4160316485Sdavidcs	0x2307c3c4, 0x00010001, 	/* cond: prs.ccfc_search_current_credit */
4161316485Sdavidcs	0x2307c3c5, 0x00010001, 	/* cond: prs.tcfc_search_current_credit */
4162316485Sdavidcs	0x2307c3c6, 0x00010001, 	/* cond: prs.ccfc_load_current_credit */
4163316485Sdavidcs	0x2307c3c7, 0x00010001, 	/* cond: prs.tcfc_load_current_credit */
4164316485Sdavidcs	0x2307c3c8, 0x00010001, 	/* cond: prs.ccfc_search_req_ct */
4165316485Sdavidcs	0x2307c3c9, 0x00010001, 	/* cond: prs.tcfc_search_req_ct */
4166316485Sdavidcs	0x2307c3ca, 0x00010001, 	/* cond: prs.ccfc_load_req_ct */
4167316485Sdavidcs	0x2307c3cb, 0x00010001, 	/* cond: prs.tcfc_load_req_ct */
4168316485Sdavidcs	0x2307c3cc, 0x00010001, 	/* cond: prs.sop_req_ct */
4169316485Sdavidcs	0x2307c3cd, 0x00010001, 	/* cond: prs.eop_req_ct */
4170316485Sdavidcs	0x3708c010, 0x00010001, 	/* cond: prm.INT_STS */
4171316485Sdavidcs	0x3708c011, 0x00010001, 	/* cond: prm.INT_MASK */
4172320164Sdavidcs	0x3708c080, 0x00010001, 	/* cond: prm.PRTY_STS_H_0 */
4173320164Sdavidcs	0x3708c081, 0x00010001, 	/* cond: prm.PRTY_MASK_H_0 */
4174316485Sdavidcs	0x3008e202, 0x00010001, 	/* cond: rss.rss_init_done */
4175316485Sdavidcs	0x3008e260, 0x00010001, 	/* cond: rss.INT_STS */
4176316485Sdavidcs	0x3008e261, 0x00010001, 	/* cond: rss.INT_MASK */
4177320164Sdavidcs	0x3008e280, 0x00010001, 	/* cond: rss.PRTY_STS_H_0 */
4178320164Sdavidcs	0x3008e281, 0x00010001, 	/* cond: rss.PRTY_MASK_H_0 */
4179316485Sdavidcs	0x3008e301, 0x00010001, 	/* cond: rss.tmld_credit */
4180316485Sdavidcs	0x14090000, 0x00010001, 	/* cond: pswrq2.rbc_done */
4181316485Sdavidcs	0x14090001, 0x00010001, 	/* cond: pswrq2.cfg_done */
4182316485Sdavidcs	0x14090060, 0x00010001, 	/* cond: pswrq2.INT_STS */
4183316485Sdavidcs	0x14090061, 0x00010001, 	/* cond: pswrq2.INT_MASK */
4184316485Sdavidcs	0x14090080, 0x00010001, 	/* cond: pswrq2.PRTY_STS_H_0 */
4185316485Sdavidcs	0x14090081, 0x00010001, 	/* cond: pswrq2.PRTY_MASK_H_0 */
4186316485Sdavidcs	0x14090115, 0x00010020, 	/* cond: pswrq2.vq0_entry_cnt[0:31] */
4187316485Sdavidcs	0x140901c5, 0x00010001, 	/* cond: pswrq2.BW_CREDIT */
4188316485Sdavidcs	0x14090206, 0x00010001, 	/* cond: pswrq2.treq_fifo_fill_lvl */
4189316485Sdavidcs	0x14090207, 0x00010001, 	/* cond: pswrq2.icpl_fifo_fill_lvl */
4190316485Sdavidcs	0x1409024a, 0x00010001, 	/* cond: pswrq2.l2p_err_add_31_0 */
4191316485Sdavidcs	0x1409024b, 0x00010001, 	/* cond: pswrq2.l2p_err_add_63_32 */
4192316485Sdavidcs	0x1409024c, 0x00010001, 	/* cond: pswrq2.l2p_err_details */
4193316485Sdavidcs	0x1409024d, 0x00010001, 	/* cond: pswrq2.l2p_err_details2 */
4194316485Sdavidcs	0x14090271, 0x00010001, 	/* cond: pswrq2.sr_cnt */
4195316485Sdavidcs	0x1409024f, 0x00010001, 	/* cond: pswrq2.sr_num_cfg */
4196316485Sdavidcs	0x14090271, 0x00010001, 	/* cond: pswrq2.sr_cnt */
4197316485Sdavidcs	0x1409024f, 0x00010001, 	/* cond: pswrq2.sr_num_cfg */
4198316485Sdavidcs	0x14090272, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_0 */
4199316485Sdavidcs	0x140902d1, 0x00010001, 	/* cond: pswrq2.max_srs_vq0 */
4200316485Sdavidcs	0x14090273, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_1 */
4201316485Sdavidcs	0x140902d2, 0x00010001, 	/* cond: pswrq2.max_srs_vq1 */
4202316485Sdavidcs	0x14090274, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_2 */
4203316485Sdavidcs	0x140902d3, 0x00010001, 	/* cond: pswrq2.max_srs_vq2 */
4204316485Sdavidcs	0x14090275, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_3 */
4205316485Sdavidcs	0x140902d4, 0x00010001, 	/* cond: pswrq2.max_srs_vq3 */
4206316485Sdavidcs	0x14090276, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_4 */
4207316485Sdavidcs	0x140902d5, 0x00010001, 	/* cond: pswrq2.max_srs_vq4 */
4208316485Sdavidcs	0x14090277, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_5 */
4209316485Sdavidcs	0x140902d6, 0x00010001, 	/* cond: pswrq2.max_srs_vq5 */
4210316485Sdavidcs	0x14090278, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_6 */
4211316485Sdavidcs	0x140902d7, 0x00010001, 	/* cond: pswrq2.max_srs_vq6 */
4212316485Sdavidcs	0x1409027b, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_9 */
4213316485Sdavidcs	0x140902da, 0x00010001, 	/* cond: pswrq2.max_srs_vq9 */
4214316485Sdavidcs	0x1409027d, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_11 */
4215316485Sdavidcs	0x140902dc, 0x00010001, 	/* cond: pswrq2.max_srs_vq11 */
4216316485Sdavidcs	0x1409027e, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_12 */
4217316485Sdavidcs	0x140902dd, 0x00010001, 	/* cond: pswrq2.max_srs_vq12 */
4218316485Sdavidcs	0x14090281, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_15 */
4219316485Sdavidcs	0x140902e0, 0x00010001, 	/* cond: pswrq2.max_srs_vq15 */
4220316485Sdavidcs	0x14090282, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_16 */
4221316485Sdavidcs	0x140902e1, 0x00010001, 	/* cond: pswrq2.max_srs_vq16 */
4222316485Sdavidcs	0x14090283, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_17 */
4223316485Sdavidcs	0x140902e2, 0x00010001, 	/* cond: pswrq2.max_srs_vq17 */
4224316485Sdavidcs	0x14090284, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_18 */
4225316485Sdavidcs	0x140902e3, 0x00010001, 	/* cond: pswrq2.max_srs_vq18 */
4226316485Sdavidcs	0x14090285, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_19 */
4227316485Sdavidcs	0x140902e4, 0x00010001, 	/* cond: pswrq2.max_srs_vq19 */
4228316485Sdavidcs	0x14090287, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_21 */
4229316485Sdavidcs	0x140902e6, 0x00010001, 	/* cond: pswrq2.max_srs_vq21 */
4230316485Sdavidcs	0x14090288, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_22 */
4231316485Sdavidcs	0x140902e7, 0x00010001, 	/* cond: pswrq2.max_srs_vq22 */
4232316485Sdavidcs	0x14090289, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_23 */
4233316485Sdavidcs	0x140902e8, 0x00010001, 	/* cond: pswrq2.max_srs_vq23 */
4234316485Sdavidcs	0x1409028a, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_24 */
4235316485Sdavidcs	0x140902e9, 0x00010001, 	/* cond: pswrq2.max_srs_vq24 */
4236316485Sdavidcs	0x1409028c, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_26 */
4237316485Sdavidcs	0x140902eb, 0x00010001, 	/* cond: pswrq2.max_srs_vq26 */
4238316485Sdavidcs	0x1409028e, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_28 */
4239316485Sdavidcs	0x140902ed, 0x00010001, 	/* cond: pswrq2.max_srs_vq28 */
4240316485Sdavidcs	0x1409028f, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_29 */
4241316485Sdavidcs	0x140902ee, 0x00010001, 	/* cond: pswrq2.max_srs_vq29 */
4242316485Sdavidcs	0x14090290, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_30 */
4243316485Sdavidcs	0x140902ef, 0x00010001, 	/* cond: pswrq2.max_srs_vq30 */
4244316485Sdavidcs	0x14090292, 0x00010001, 	/* cond: pswrq2.blk_cnt */
4245316485Sdavidcs	0x14090250, 0x00010001, 	/* cond: pswrq2.blk_num_cfg */
4246316485Sdavidcs	0x14090292, 0x00010001, 	/* cond: pswrq2.blk_cnt */
4247316485Sdavidcs	0x14090250, 0x00010001, 	/* cond: pswrq2.blk_num_cfg */
4248316485Sdavidcs	0x14090293, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_0 */
4249316485Sdavidcs	0x14090251, 0x00010001, 	/* cond: pswrq2.max_blks_vq0 */
4250316485Sdavidcs	0x14090294, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_1 */
4251316485Sdavidcs	0x14090252, 0x00010001, 	/* cond: pswrq2.max_blks_vq1 */
4252316485Sdavidcs	0x14090295, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_2 */
4253316485Sdavidcs	0x14090253, 0x00010001, 	/* cond: pswrq2.max_blks_vq2 */
4254316485Sdavidcs	0x14090296, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_3 */
4255316485Sdavidcs	0x14090254, 0x00010001, 	/* cond: pswrq2.max_blks_vq3 */
4256316485Sdavidcs	0x14090297, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_4 */
4257316485Sdavidcs	0x14090255, 0x00010001, 	/* cond: pswrq2.max_blks_vq4 */
4258316485Sdavidcs	0x14090298, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_5 */
4259316485Sdavidcs	0x14090256, 0x00010001, 	/* cond: pswrq2.max_blks_vq5 */
4260316485Sdavidcs	0x14090299, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_6 */
4261316485Sdavidcs	0x14090257, 0x00010001, 	/* cond: pswrq2.max_blks_vq6 */
4262316485Sdavidcs	0x1409029c, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_9 */
4263316485Sdavidcs	0x1409025a, 0x00010001, 	/* cond: pswrq2.max_blks_vq9 */
4264316485Sdavidcs	0x1409029e, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_11 */
4265316485Sdavidcs	0x1409025c, 0x00010001, 	/* cond: pswrq2.max_blks_vq11 */
4266316485Sdavidcs	0x1409029f, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_12 */
4267316485Sdavidcs	0x1409025d, 0x00010001, 	/* cond: pswrq2.max_blks_vq12 */
4268316485Sdavidcs	0x140902a2, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_15 */
4269316485Sdavidcs	0x14090260, 0x00010001, 	/* cond: pswrq2.max_blks_vq15 */
4270316485Sdavidcs	0x140902a3, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_16 */
4271316485Sdavidcs	0x14090261, 0x00010001, 	/* cond: pswrq2.max_blks_vq16 */
4272316485Sdavidcs	0x140902a4, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_17 */
4273316485Sdavidcs	0x14090262, 0x00010001, 	/* cond: pswrq2.max_blks_vq17 */
4274316485Sdavidcs	0x140902a5, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_18 */
4275316485Sdavidcs	0x14090263, 0x00010001, 	/* cond: pswrq2.max_blks_vq18 */
4276316485Sdavidcs	0x140902a6, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_19 */
4277316485Sdavidcs	0x14090264, 0x00010001, 	/* cond: pswrq2.max_blks_vq19 */
4278316485Sdavidcs	0x140902a8, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_21 */
4279316485Sdavidcs	0x14090266, 0x00010001, 	/* cond: pswrq2.max_blks_vq21 */
4280316485Sdavidcs	0x140902a9, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_22 */
4281316485Sdavidcs	0x14090267, 0x00010001, 	/* cond: pswrq2.max_blks_vq22 */
4282316485Sdavidcs	0x140902aa, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_23 */
4283316485Sdavidcs	0x14090268, 0x00010001, 	/* cond: pswrq2.max_blks_vq23 */
4284316485Sdavidcs	0x140902ab, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_24 */
4285316485Sdavidcs	0x14090269, 0x00010001, 	/* cond: pswrq2.max_blks_vq24 */
4286316485Sdavidcs	0x140902ad, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_26 */
4287316485Sdavidcs	0x1409026b, 0x00010001, 	/* cond: pswrq2.max_blks_vq26 */
4288316485Sdavidcs	0x140902af, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_28 */
4289316485Sdavidcs	0x1409026d, 0x00010001, 	/* cond: pswrq2.max_blks_vq28 */
4290316485Sdavidcs	0x140902b0, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_29 */
4291316485Sdavidcs	0x1409026e, 0x00010001, 	/* cond: pswrq2.max_blks_vq29 */
4292316485Sdavidcs	0x140902b1, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_30 */
4293316485Sdavidcs	0x1409026f, 0x00010001, 	/* cond: pswrq2.max_blks_vq30 */
4294316485Sdavidcs	0x140902f2, 0x00010001, 	/* cond: pswrq2.l2p_close_gate_sts */
4295316485Sdavidcs	0x140902f3, 0x00010001, 	/* cond: pswrq2.misc_close_gate_sts */
4296316485Sdavidcs	0x140902f4, 0x00010001, 	/* cond: pswrq2.misc_stall_mem_sts */
4297316485Sdavidcs	0x130a0060, 0x00010001, 	/* cond: pswrq.INT_STS */
4298316485Sdavidcs	0x130a0061, 0x00010001, 	/* cond: pswrq.INT_MASK */
4299316485Sdavidcs	0x110a6860, 0x00010001, 	/* cond: pswwr.INT_STS */
4300316485Sdavidcs	0x110a6861, 0x00010001, 	/* cond: pswwr.INT_MASK */
4301316485Sdavidcs	0x120a6c12, 0x00010001, 	/* cond: pswwr2.pglue_eop_err_details */
4302316485Sdavidcs	0x120a6c14, 0x00010001, 	/* cond: pswwr2.prm_curr_fill_level */
4303316485Sdavidcs	0x120a6c16, 0x00010001, 	/* cond: pswwr2.cdu_curr_fill_level */
4304316485Sdavidcs	0x120a6c60, 0x00010001, 	/* cond: pswwr2.INT_STS */
4305316485Sdavidcs	0x120a6c61, 0x00010001, 	/* cond: pswwr2.INT_MASK */
4306320164Sdavidcs	0x120a6c80, 0x00010001, 	/* cond: pswwr2.PRTY_STS_H_0 */
4307320164Sdavidcs	0x120a6c81, 0x00010001, 	/* cond: pswwr2.PRTY_MASK_H_0 */
4308320164Sdavidcs	0x120a6c84, 0x00010001, 	/* cond: pswwr2.PRTY_STS_H_1 */
4309320164Sdavidcs	0x120a6c85, 0x00010001, 	/* cond: pswwr2.PRTY_MASK_H_1 */
4310320164Sdavidcs	0x120a6c88, 0x00010001, 	/* cond: pswwr2.PRTY_STS_H_2 */
4311320164Sdavidcs	0x120a6c89, 0x00010001, 	/* cond: pswwr2.PRTY_MASK_H_2 */
4312320164Sdavidcs	0x120a6c8c, 0x00010001, 	/* cond: pswwr2.PRTY_STS_H_3 */
4313320164Sdavidcs	0x120a6c8d, 0x00010001, 	/* cond: pswwr2.PRTY_MASK_H_3 */
4314316485Sdavidcs	0x0f0a7029, 0x00010001, 	/* cond: pswrd.fifo_full_status */
4315316485Sdavidcs	0x0f0a7060, 0x00010001, 	/* cond: pswrd.INT_STS */
4316316485Sdavidcs	0x0f0a7061, 0x00010001, 	/* cond: pswrd.INT_MASK */
4317316485Sdavidcs	0x100a7400, 0x00010001, 	/* cond: pswrd2.start_init */
4318316485Sdavidcs	0x100a7401, 0x00010001, 	/* cond: pswrd2.init_done */
4319316485Sdavidcs	0x100a741a, 0x00010001, 	/* cond: pswrd2.cpl_err_details */
4320316485Sdavidcs	0x100a741b, 0x00010001, 	/* cond: pswrd2.cpl_err_details2 */
4321316485Sdavidcs	0x100a741f, 0x00010001, 	/* cond: pswrd2.port_is_idle_0 */
4322316485Sdavidcs	0x100a7420, 0x00010001, 	/* cond: pswrd2.port_is_idle_1 */
4323316485Sdavidcs	0x100a7438, 0x0001000f, 	/* cond: pswrd2.almost_full_0[0:14] */
4324316485Sdavidcs	0x100a7460, 0x00010001, 	/* cond: pswrd2.INT_STS */
4325316485Sdavidcs	0x100a7461, 0x00010001, 	/* cond: pswrd2.INT_MASK */
4326316485Sdavidcs	0x100a7480, 0x00010001, 	/* cond: pswrd2.PRTY_STS_H_0 */
4327316485Sdavidcs	0x100a7481, 0x00010001, 	/* cond: pswrd2.PRTY_MASK_H_0 */
4328316485Sdavidcs	0x100a7484, 0x00010001, 	/* cond: pswrd2.PRTY_STS_H_1 */
4329316485Sdavidcs	0x100a7485, 0x00010001, 	/* cond: pswrd2.PRTY_MASK_H_1 */
4330316485Sdavidcs	0x100a7518, 0x00010001, 	/* cond: pswrd2.disable_inputs */
4331316485Sdavidcs	0x0e0a7810, 0x00010001, 	/* cond: pswhst2.header_fifo_status */
4332316485Sdavidcs	0x0e0a7811, 0x00010001, 	/* cond: pswhst2.data_fifo_status */
4333316485Sdavidcs	0x0e0a7860, 0x00010001, 	/* cond: pswhst2.INT_STS */
4334316485Sdavidcs	0x0e0a7861, 0x00010001, 	/* cond: pswhst2.INT_MASK */
4335316485Sdavidcs	0x0d0a8013, 0x00010001, 	/* cond: pswhst.discard_internal_writes_status */
4336316485Sdavidcs	0x0d0a8014, 0x00010001, 	/* cond: pswhst.discard_doorbells_status */
4337316485Sdavidcs	0x0d0a8016, 0x00010001, 	/* cond: pswhst.arb_is_idle */
4338316485Sdavidcs	0x0d0a801c, 0x00010001, 	/* cond: pswhst.incorrect_access_valid */
4339316485Sdavidcs	0x0d0a801a, 0x00000001, 	/* info: pswhst.incorrect_access_data mode=all */
4340316485Sdavidcs	0x0d0a801b, 0x00000001, 	/* info: pswhst.incorrect_access_length mode=all */
4341316485Sdavidcs	0x0d0a801d, 0x00000001, 	/* info: pswhst.incorrect_access_address mode=all */
4342316485Sdavidcs	0x0d0a801e, 0x00010001, 	/* cond: pswhst.per_violation_valid */
4343316485Sdavidcs	0x0d0a801f, 0x00000001, 	/* info: pswhst.per_violation_data mode=all */
4344316485Sdavidcs	0x0d0a8023, 0x00010001, 	/* cond: pswhst.source_credits_avail */
4345316485Sdavidcs	0x0d0a8025, 0x00010001, 	/* cond: pswhst.source_credit_viol_valid */
4346316485Sdavidcs	0x0d0a8024, 0x00000001, 	/* info: pswhst.source_credit_viol_data mode=all */
4347316485Sdavidcs	0x0d0a8029, 0x00010001, 	/* cond: pswhst.dest_credits_avail */
4348316485Sdavidcs	0x0d0a802b, 0x00010001, 	/* cond: pswhst.is_in_drain_mode */
4349316485Sdavidcs	0x0d0a802e, 0x00010001, 	/* cond: pswhst.timeout_valid */
4350316485Sdavidcs	0x0d0a802d, 0x00000001, 	/* info: pswhst.timeout_data mode=all */
4351316485Sdavidcs	0x0d0a802e, 0x00010001, 	/* cond: pswhst.timeout_valid */
4352316485Sdavidcs	0x0d0a802f, 0x00000001, 	/* info: pswhst.timeout_address mode=all */
4353316485Sdavidcs	0x0d0a8058, 0x00010008, 	/* cond: pswhst.clients_waiting_to_source_arb[0:7] */
4354316485Sdavidcs	0x0d0a8060, 0x00010001, 	/* cond: pswhst.INT_STS */
4355316485Sdavidcs	0x0d0a8061, 0x00010001, 	/* cond: pswhst.INT_MASK */
4356320164Sdavidcs	0x0d0a8080, 0x00010001, 	/* cond: pswhst.PRTY_STS_H_0 */
4357320164Sdavidcs	0x0d0a8081, 0x00010001, 	/* cond: pswhst.PRTY_MASK_H_0 */
4358316485Sdavidcs	0x040aa060, 0x00010001, 	/* cond: pglue_b.INT_STS */
4359316485Sdavidcs	0x040aa060, 0x00010001, 	/* cond: pglue_b.INT_STS */
4360320164Sdavidcs	0x040aa080, 0x00010001, 	/* cond: pglue_b.PRTY_STS_H_0 */
4361320164Sdavidcs	0x040aa081, 0x00010001, 	/* cond: pglue_b.PRTY_MASK_H_0 */
4362316485Sdavidcs	0x040aa120, 0x00010001, 	/* cond: pglue_b.pgl_write_blocked */
4363316485Sdavidcs	0x040aa121, 0x00010001, 	/* cond: pglue_b.pgl_read_blocked */
4364316485Sdavidcs	0x040aa122, 0x00010001, 	/* cond: pglue_b.read_fifo_occupancy_level */
4365316485Sdavidcs	0x040aa12b, 0x00010001, 	/* cond: pglue_b.rx_legacy_errors */
4366316485Sdavidcs	0x040aa159, 0x00010001, 	/* cond: pglue_b.pgl_txw_cdts */
4367316485Sdavidcs	0x040aa804, 0x00010001, 	/* cond: pglue_b.cfg_space_a_request */
4368316485Sdavidcs	0x040aa806, 0x00010001, 	/* cond: pglue_b.cfg_space_b_request */
4369316485Sdavidcs	0x040aa808, 0x00010001, 	/* cond: pglue_b.flr_request_vf_31_0 */
4370316485Sdavidcs	0x040aa809, 0x00010001, 	/* cond: pglue_b.flr_request_vf_63_32 */
4371316485Sdavidcs	0x040aa80a, 0x00010001, 	/* cond: pglue_b.flr_request_vf_95_64 */
4372316485Sdavidcs	0x040aa80b, 0x00010001, 	/* cond: pglue_b.flr_request_vf_127_96 */
4373316485Sdavidcs	0x040aa80c, 0x00010001, 	/* cond: pglue_b.flr_request_vf_159_128 */
4374316485Sdavidcs	0x040aa80d, 0x00010001, 	/* cond: pglue_b.flr_request_vf_191_160 */
4375316485Sdavidcs	0x040aa810, 0x00010001, 	/* cond: pglue_b.flr_request_pf_31_0 */
4376316485Sdavidcs	0x040aa81b, 0x00010001, 	/* cond: pglue_b.sr_iov_disabled_request */
4377316485Sdavidcs	0x040aa83d, 0x00010001, 	/* cond: pglue_b.was_error_vf_31_0 */
4378316485Sdavidcs	0x040aa83e, 0x00010001, 	/* cond: pglue_b.was_error_vf_63_32 */
4379316485Sdavidcs	0x040aa83f, 0x00010001, 	/* cond: pglue_b.was_error_vf_95_64 */
4380316485Sdavidcs	0x040aa840, 0x00010001, 	/* cond: pglue_b.was_error_vf_127_96 */
4381316485Sdavidcs	0x040aa841, 0x00010001, 	/* cond: pglue_b.was_error_vf_159_128 */
4382316485Sdavidcs	0x040aa842, 0x00010001, 	/* cond: pglue_b.was_error_vf_191_160 */
4383316485Sdavidcs	0x040aa845, 0x00010001, 	/* cond: pglue_b.was_error_pf_31_0 */
4384316485Sdavidcs	0x040aa84f, 0x00010001, 	/* cond: pglue_b.rx_err_details */
4385316485Sdavidcs	0x040aa850, 0x00010001, 	/* cond: pglue_b.rx_tcpl_err_details */
4386316485Sdavidcs	0x040aa851, 0x00010001, 	/* cond: pglue_b.tx_err_wr_add_31_0 */
4387316485Sdavidcs	0x040aa852, 0x00010001, 	/* cond: pglue_b.tx_err_wr_add_63_32 */
4388316485Sdavidcs	0x040aa853, 0x00010001, 	/* cond: pglue_b.tx_err_wr_details */
4389316485Sdavidcs	0x040aa854, 0x00010001, 	/* cond: pglue_b.tx_err_wr_details2 */
4390316485Sdavidcs	0x040aa855, 0x00010001, 	/* cond: pglue_b.tx_err_rd_add_31_0 */
4391316485Sdavidcs	0x040aa856, 0x00010001, 	/* cond: pglue_b.tx_err_rd_add_63_32 */
4392316485Sdavidcs	0x040aa857, 0x00010001, 	/* cond: pglue_b.tx_err_rd_details */
4393316485Sdavidcs	0x040aa858, 0x00010001, 	/* cond: pglue_b.tx_err_rd_details2 */
4394316485Sdavidcs	0x040aa8ec, 0x00010001, 	/* cond: pglue_b.vf_length_violation_details */
4395316485Sdavidcs	0x040aa8ed, 0x00010001, 	/* cond: pglue_b.vf_length_violation_details2 */
4396316485Sdavidcs	0x040aa8ee, 0x00010001, 	/* cond: pglue_b.vf_grc_space_violation_details */
4397316485Sdavidcs	0x040aa951, 0x00010001, 	/* cond: pglue_b.master_zlr_err_add_31_0 */
4398316485Sdavidcs	0x040aa952, 0x00010001, 	/* cond: pglue_b.master_zlr_err_add_63_32 */
4399316485Sdavidcs	0x040aa953, 0x00010001, 	/* cond: pglue_b.master_zlr_err_details */
4400316485Sdavidcs	0x040aa955, 0x00010001, 	/* cond: pglue_b.admin_window_violation_details */
4401316485Sdavidcs	0x040aa956, 0x00010001, 	/* cond: pglue_b.out_of_range_function_in_pretend_details */
4402316485Sdavidcs	0x040aa957, 0x00010001, 	/* cond: pglue_b.out_of_range_function_in_pretend_address */
4403316485Sdavidcs	0x040aab80, 0x00010001, 	/* cond: pglue_b.write_fifo_occupancy_level */
4404316485Sdavidcs	0x040aab84, 0x00010001, 	/* cond: pglue_b.illegal_address_add_31_0 */
4405316485Sdavidcs	0x040aab85, 0x00010001, 	/* cond: pglue_b.illegal_address_add_63_32 */
4406316485Sdavidcs	0x040aab86, 0x00010001, 	/* cond: pglue_b.illegal_address_details */
4407316485Sdavidcs	0x040aab87, 0x00010001, 	/* cond: pglue_b.illegal_address_details2 */
4408316485Sdavidcs	0x040aab8e, 0x00010001, 	/* cond: pglue_b.tags_31_0 */
4409316485Sdavidcs	0x040aab8f, 0x00010001, 	/* cond: pglue_b.tags_63_32 */
4410316485Sdavidcs	0x040aab90, 0x00010001, 	/* cond: pglue_b.tags_95_64 */
4411316485Sdavidcs	0x040aab91, 0x00010001, 	/* cond: pglue_b.tags_127_96 */
4412316485Sdavidcs	0x040aab9d, 0x00010001, 	/* cond: pglue_b.vf_ilt_err_add_31_0 */
4413316485Sdavidcs	0x040aab9e, 0x00010001, 	/* cond: pglue_b.vf_ilt_err_add_63_32 */
4414316485Sdavidcs	0x040aab9f, 0x00010001, 	/* cond: pglue_b.vf_ilt_err_details */
4415316485Sdavidcs	0x040aaba0, 0x00010001, 	/* cond: pglue_b.vf_ilt_err_details2 */
4416316485Sdavidcs	0x1f0b0060, 0x00010001, 	/* cond: tm.INT_STS_0 */
4417316485Sdavidcs	0x1f0b0060, 0x00010001, 	/* cond: tm.INT_STS_0 */
4418316485Sdavidcs	0x1f0b0060, 0x00010001, 	/* cond: tm.INT_STS_0 */
4419316485Sdavidcs	0x1f0b0064, 0x00010001, 	/* cond: tm.INT_STS_1 */
4420320164Sdavidcs	0x1f0b0080, 0x00010001, 	/* cond: tm.PRTY_STS_H_0 */
4421320164Sdavidcs	0x1f0b0081, 0x00010001, 	/* cond: tm.PRTY_MASK_H_0 */
4422316485Sdavidcs	0x1f0b01c4, 0x00010001, 	/* cond: tm.pxp_read_data_fifo_status */
4423316485Sdavidcs	0x1f0b01c6, 0x00010001, 	/* cond: tm.pxp_read_ctrl_fifo_status */
4424316485Sdavidcs	0x1f0b01c8, 0x00010001, 	/* cond: tm.cfc_load_echo_fifo_status */
4425316485Sdavidcs	0x1f0b01c9, 0x00010001, 	/* cond: tm.client_out_fifo_status */
4426316485Sdavidcs	0x1f0b01ca, 0x00010001, 	/* cond: tm.client_in_pbf_fifo_status */
4427316485Sdavidcs	0x1f0b01cb, 0x00010001, 	/* cond: tm.client_in_xcm_fifo_status */
4428316485Sdavidcs	0x1f0b01cc, 0x00010001, 	/* cond: tm.client_in_tcm_fifo_status */
4429316485Sdavidcs	0x1f0b01cd, 0x00010001, 	/* cond: tm.client_in_ucm_fifo_status */
4430316485Sdavidcs	0x1f0b01ce, 0x00010001, 	/* cond: tm.expiration_cmd_fifo_status */
4431316485Sdavidcs	0x1f0b01cf, 0x00010001, 	/* cond: tm.ac_command_fifo_status */
4432316485Sdavidcs	0x410b4001, 0x00010001, 	/* cond: tcfc.ll_init_done */
4433316485Sdavidcs	0x410b4002, 0x00010001, 	/* cond: tcfc.ac_init_done */
4434316485Sdavidcs	0x410b4003, 0x00010001, 	/* cond: tcfc.cam_init_done */
4435316485Sdavidcs	0x410b4004, 0x00010001, 	/* cond: tcfc.tidram_init_done */
4436316485Sdavidcs	0x410b4060, 0x00010001, 	/* cond: tcfc.INT_STS_0 */
4437316485Sdavidcs	0x410b4061, 0x00010001, 	/* cond: tcfc.INT_MASK_0 */
4438316485Sdavidcs	0x410b4153, 0x00000001, 	/* info: tcfc.error_vector mode=all */
4439316485Sdavidcs	0x410b4156, 0x00000001, 	/* info: tcfc.error_data1 mode=all */
4440316485Sdavidcs	0x410b4157, 0x00000001, 	/* info: tcfc.error_data2 mode=all */
4441316485Sdavidcs	0x410b4158, 0x00000001, 	/* info: tcfc.error_data3 mode=all */
4442316485Sdavidcs	0x410b4159, 0x00000001, 	/* info: tcfc.error_data4 mode=all */
4443320164Sdavidcs	0x410b4080, 0x00010001, 	/* cond: tcfc.PRTY_STS_H_0 */
4444320164Sdavidcs	0x410b4081, 0x00010001, 	/* cond: tcfc.PRTY_MASK_H_0 */
4445316485Sdavidcs	0x410b4184, 0x00010001, 	/* cond: tcfc.lstate_arriving */
4446316485Sdavidcs	0x410b4187, 0x00010001, 	/* cond: tcfc.lstate_leaving */
4447316485Sdavidcs	0x410b41e2, 0x00010001, 	/* cond: tcfc.cduld_credit */
4448316485Sdavidcs	0x400b8001, 0x00010001, 	/* cond: ccfc.ll_init_done */
4449316485Sdavidcs	0x400b8002, 0x00010001, 	/* cond: ccfc.ac_init_done */
4450316485Sdavidcs	0x400b8003, 0x00010001, 	/* cond: ccfc.cam_init_done */
4451316485Sdavidcs	0x400b8004, 0x00010001, 	/* cond: ccfc.tidram_init_done */
4452316485Sdavidcs	0x400b8060, 0x00010001, 	/* cond: ccfc.INT_STS_0 */
4453316485Sdavidcs	0x400b8061, 0x00010001, 	/* cond: ccfc.INT_MASK_0 */
4454316485Sdavidcs	0x400b8153, 0x00000001, 	/* info: ccfc.error_vector mode=all */
4455316485Sdavidcs	0x400b8156, 0x00000001, 	/* info: ccfc.error_data1 mode=all */
4456316485Sdavidcs	0x400b8157, 0x00000001, 	/* info: ccfc.error_data2 mode=all */
4457316485Sdavidcs	0x400b8158, 0x00000001, 	/* info: ccfc.error_data3 mode=all */
4458316485Sdavidcs	0x400b8159, 0x00000001, 	/* info: ccfc.error_data4 mode=all */
4459320164Sdavidcs	0x400b8080, 0x00010001, 	/* cond: ccfc.PRTY_STS_H_0 */
4460320164Sdavidcs	0x400b8081, 0x00010001, 	/* cond: ccfc.PRTY_MASK_H_0 */
4461316485Sdavidcs	0x400b8179, 0x00010001, 	/* cond: ccfc.PRTY_STS */
4462316485Sdavidcs	0x400b817a, 0x00010001, 	/* cond: ccfc.PRTY_MASK */
4463316485Sdavidcs	0x400b8184, 0x00010001, 	/* cond: ccfc.lstate_arriving */
4464316485Sdavidcs	0x400b8187, 0x00010001, 	/* cond: ccfc.lstate_leaving */
4465316485Sdavidcs	0x400b81e2, 0x00010001, 	/* cond: ccfc.cduld_credit */
4466316485Sdavidcs	0x400b81e3, 0x00010001, 	/* cond: ccfc.cduwb_credit */
4467316485Sdavidcs	0x1e0bc060, 0x00010001, 	/* cond: qm.INT_STS */
4468316485Sdavidcs	0x1e0bc061, 0x00010001, 	/* cond: qm.INT_MASK */
4469316485Sdavidcs	0x1e0bc064, 0x00010001, 	/* cond: qm.PRTY_STS */
4470316485Sdavidcs	0x1e0bc065, 0x00010001, 	/* cond: qm.PRTY_MASK */
4471320164Sdavidcs	0x1e0bc080, 0x00010001, 	/* cond: qm.PRTY_STS_H_0 */
4472320164Sdavidcs	0x1e0bc081, 0x00010001, 	/* cond: qm.PRTY_MASK_H_0 */
4473320164Sdavidcs	0x1e0bc084, 0x00010001, 	/* cond: qm.PRTY_STS_H_1 */
4474320164Sdavidcs	0x1e0bc085, 0x00010001, 	/* cond: qm.PRTY_MASK_H_1 */
4475320164Sdavidcs	0x1e0bc088, 0x00010001, 	/* cond: qm.PRTY_STS_H_2 */
4476320164Sdavidcs	0x1e0bc089, 0x00010001, 	/* cond: qm.PRTY_MASK_H_2 */
4477316485Sdavidcs	0x1e0bc106, 0x00010006, 	/* cond: qm.wrc_fifolvl_0[0:5] */
4478316485Sdavidcs	0x1e0bc202, 0x00010001, 	/* cond: qm.OutLdReqCrdConnTx */
4479316485Sdavidcs	0x1e0bc200, 0x00010001, 	/* cond: qm.OutLdReqSizeConnTx */
4480316485Sdavidcs	0x1e0bc203, 0x00010001, 	/* cond: qm.OutLdReqCrdConnOther */
4481316485Sdavidcs	0x1e0bc201, 0x00010001, 	/* cond: qm.OutLdReqSizeConnOther */
4482316485Sdavidcs	0x1e0bc54e, 0x00010001, 	/* cond: qm.OvfQNumTx */
4483316485Sdavidcs	0x1e0bc54f, 0x00010001, 	/* cond: qm.OvfErrorTx */
4484316485Sdavidcs	0x1e0bc54e, 0x00000001, 	/* info: qm.OvfQNumTx mode=all */
4485316485Sdavidcs	0x1e0bc550, 0x00010001, 	/* cond: qm.OvfQNumOther */
4486316485Sdavidcs	0x1e0bc551, 0x00010001, 	/* cond: qm.OvfErrorOther */
4487316485Sdavidcs	0x1e0bc550, 0x00000001, 	/* info: qm.OvfQNumOther mode=all */
4488316485Sdavidcs	0x1e0bc68e, 0x00010001, 	/* cond: qm.CmCrd_0 */
4489316485Sdavidcs	0x1e0bc684, 0x00010001, 	/* cond: qm.CmInitCrd_0 */
4490316485Sdavidcs	0x1e0bc68f, 0x00010001, 	/* cond: qm.CmCrd_1 */
4491316485Sdavidcs	0x1e0bc685, 0x00010001, 	/* cond: qm.CmInitCrd_1 */
4492316485Sdavidcs	0x1e0bc690, 0x00010001, 	/* cond: qm.CmCrd_2 */
4493316485Sdavidcs	0x1e0bc686, 0x00010001, 	/* cond: qm.CmInitCrd_2 */
4494316485Sdavidcs	0x1e0bc691, 0x00010001, 	/* cond: qm.CmCrd_3 */
4495316485Sdavidcs	0x1e0bc687, 0x00010001, 	/* cond: qm.CmInitCrd_3 */
4496316485Sdavidcs	0x1e0bc692, 0x00010001, 	/* cond: qm.CmCrd_4 */
4497316485Sdavidcs	0x1e0bc688, 0x00010001, 	/* cond: qm.CmInitCrd_4 */
4498316485Sdavidcs	0x1e0bc693, 0x00010001, 	/* cond: qm.CmCrd_5 */
4499316485Sdavidcs	0x1e0bc689, 0x00010001, 	/* cond: qm.CmInitCrd_5 */
4500316485Sdavidcs	0x1e0bc694, 0x00010001, 	/* cond: qm.CmCrd_6 */
4501316485Sdavidcs	0x1e0bc68a, 0x00010001, 	/* cond: qm.CmInitCrd_6 */
4502316485Sdavidcs	0x1e0bc695, 0x00010001, 	/* cond: qm.CmCrd_7 */
4503316485Sdavidcs	0x1e0bc68b, 0x00010001, 	/* cond: qm.CmInitCrd_7 */
4504316485Sdavidcs	0x1e0bc696, 0x00010001, 	/* cond: qm.CmCrd_8 */
4505316485Sdavidcs	0x1e0bc68c, 0x00010001, 	/* cond: qm.CmInitCrd_8 */
4506316485Sdavidcs	0x1e0bc697, 0x00010001, 	/* cond: qm.CmCrd_9 */
4507316485Sdavidcs	0x1e0bc68d, 0x00010001, 	/* cond: qm.CmInitCrd_9 */
4508316485Sdavidcs	0x3d0c0060, 0x00010001, 	/* cond: rdif.INT_STS */
4509316485Sdavidcs	0x3d0c0061, 0x00010001, 	/* cond: rdif.INT_MASK */
4510316485Sdavidcs	0x3e0c4060, 0x00010001, 	/* cond: tdif.INT_STS */
4511316485Sdavidcs	0x3e0c4061, 0x00010001, 	/* cond: tdif.INT_MASK */
4512320164Sdavidcs	0x3e0c4080, 0x00010001, 	/* cond: tdif.PRTY_STS_H_0 */
4513320164Sdavidcs	0x3e0c4081, 0x00010001, 	/* cond: tdif.PRTY_MASK_H_0 */
4514316485Sdavidcs	0x210d0030, 0x00010001, 	/* cond: brb.INT_STS_0 */
4515316485Sdavidcs	0x210d0031, 0x00010001, 	/* cond: brb.INT_MASK_0 */
4516316485Sdavidcs	0x218d0600, 0x00000014, 	/* info: brb.stopped_rd_req[0:4] width=3 access=WB mode=all */
4517316485Sdavidcs	0x218d0640, 0x00000014, 	/* info: brb.stopped_rls_req[0:4] width=3 access=WB mode=all */
4518316485Sdavidcs	0x210d0036, 0x00010001, 	/* cond: brb.INT_STS_1 */
4519316485Sdavidcs	0x210d0037, 0x00010001, 	/* cond: brb.INT_MASK_1 */
4520316485Sdavidcs	0x210d003c, 0x00010001, 	/* cond: brb.INT_STS_2 */
4521316485Sdavidcs	0x210d003d, 0x00010001, 	/* cond: brb.INT_MASK_2 */
4522316485Sdavidcs	0x210d0042, 0x00010001, 	/* cond: brb.INT_STS_3 */
4523316485Sdavidcs	0x210d0043, 0x00010001, 	/* cond: brb.INT_MASK_3 */
4524316485Sdavidcs	0x210d0048, 0x00010001, 	/* cond: brb.INT_STS_4 */
4525316485Sdavidcs	0x210d0049, 0x00010001, 	/* cond: brb.INT_MASK_4 */
4526316485Sdavidcs	0x218d0600, 0x00000014, 	/* info: brb.stopped_rd_req[0:4] width=3 access=WB mode=all */
4527316485Sdavidcs	0x218d0640, 0x00000014, 	/* info: brb.stopped_rls_req[0:4] width=3 access=WB mode=all */
4528320164Sdavidcs	0x210d0100, 0x00010001, 	/* cond: brb.PRTY_STS_H_0 */
4529320164Sdavidcs	0x210d0101, 0x00010001, 	/* cond: brb.PRTY_MASK_H_0 */
4530320164Sdavidcs	0x210d0104, 0x00010001, 	/* cond: brb.PRTY_STS_H_1 */
4531320164Sdavidcs	0x210d0105, 0x00010001, 	/* cond: brb.PRTY_MASK_H_1 */
4532316485Sdavidcs	0x210d03ec, 0x00010001, 	/* cond: brb.wc_bandwidth_if_full */
4533316485Sdavidcs	0x210d03ed, 0x00010001, 	/* cond: brb.rc_pkt_if_full */
4534316485Sdavidcs	0x210d03ee, 0x00010005, 	/* cond: brb.rc_pkt_empty_0[0:4] */
4535316485Sdavidcs	0x210d041b, 0x00010001, 	/* cond: brb.rc_sop_empty */
4536316485Sdavidcs	0x210d0421, 0x00010001, 	/* cond: brb.ll_arb_empty */
4537316485Sdavidcs	0x210d0468, 0x00010001, 	/* cond: brb.stop_packet_counter */
4538316485Sdavidcs	0x210d0469, 0x00010001, 	/* cond: brb.stop_byte_counter */
4539316485Sdavidcs	0x210d046a, 0x00010001, 	/* cond: brb.rc_pkt_state */
4540316485Sdavidcs	0x210d0474, 0x00010001, 	/* cond: brb.mac0_tc_occupancy_0 */
4541316485Sdavidcs	0x210d0475, 0x00010001, 	/* cond: brb.mac0_tc_occupancy_1 */
4542316485Sdavidcs	0x210d0476, 0x00010001, 	/* cond: brb.mac0_tc_occupancy_2 */
4543316485Sdavidcs	0x210d0477, 0x00010001, 	/* cond: brb.mac0_tc_occupancy_3 */
4544316485Sdavidcs	0x210d0478, 0x00010001, 	/* cond: brb.mac0_tc_occupancy_4 */
4545316485Sdavidcs	0x210d0479, 0x00010001, 	/* cond: brb.mac0_tc_occupancy_5 */
4546316485Sdavidcs	0x210d047a, 0x00010001, 	/* cond: brb.mac0_tc_occupancy_6 */
4547316485Sdavidcs	0x210d047b, 0x00010001, 	/* cond: brb.mac0_tc_occupancy_7 */
4548316485Sdavidcs	0x210d047c, 0x00010001, 	/* cond: brb.mac0_tc_occupancy_8 */
4549316485Sdavidcs	0x210d0484, 0x00010001, 	/* cond: brb.mac1_tc_occupancy_0 */
4550316485Sdavidcs	0x210d0485, 0x00010001, 	/* cond: brb.mac1_tc_occupancy_1 */
4551316485Sdavidcs	0x210d0486, 0x00010001, 	/* cond: brb.mac1_tc_occupancy_2 */
4552316485Sdavidcs	0x210d0487, 0x00010001, 	/* cond: brb.mac1_tc_occupancy_3 */
4553316485Sdavidcs	0x210d0488, 0x00010001, 	/* cond: brb.mac1_tc_occupancy_4 */
4554316485Sdavidcs	0x210d0489, 0x00010001, 	/* cond: brb.mac1_tc_occupancy_5 */
4555316485Sdavidcs	0x210d048a, 0x00010001, 	/* cond: brb.mac1_tc_occupancy_6 */
4556316485Sdavidcs	0x210d048b, 0x00010001, 	/* cond: brb.mac1_tc_occupancy_7 */
4557316485Sdavidcs	0x210d048c, 0x00010001, 	/* cond: brb.mac1_tc_occupancy_8 */
4558316485Sdavidcs	0x34130017, 0x00010001, 	/* cond: xyld.pending_msg_to_ext_ev_1_ctr */
4559316485Sdavidcs	0x34130018, 0x00010001, 	/* cond: xyld.pending_msg_to_ext_ev_2_ctr */
4560316485Sdavidcs	0x34130019, 0x00010001, 	/* cond: xyld.pending_msg_to_ext_ev_3_ctr */
4561316485Sdavidcs	0x3413001a, 0x00010001, 	/* cond: xyld.pending_msg_to_ext_ev_4_ctr */
4562316485Sdavidcs	0x3413001b, 0x00010001, 	/* cond: xyld.pending_msg_to_ext_ev_5_ctr */
4563316485Sdavidcs	0x3413001c, 0x00010001, 	/* cond: xyld.foc_remain_credits */
4564316485Sdavidcs	0x34130003, 0x00010001, 	/* cond: xyld.foci_foc_credits */
4565316485Sdavidcs	0x3413001f, 0x00010001, 	/* cond: xyld.pci_pending_msg_ctr */
4566316485Sdavidcs	0x34130039, 0x00010001, 	/* cond: xyld.dbg_pending_ccfc_req */
4567316485Sdavidcs	0x3413003a, 0x00010001, 	/* cond: xyld.dbg_pending_tcfc_req */
4568320164Sdavidcs	0x34130080, 0x00010001, 	/* cond: xyld.PRTY_STS_H_0 */
4569320164Sdavidcs	0x34130081, 0x00010001, 	/* cond: xyld.PRTY_MASK_H_0 */
4570316485Sdavidcs	0x31134016, 0x00010001, 	/* cond: tmld.pending_msg_to_ext_ev_1_ctr */
4571316485Sdavidcs	0x31134017, 0x00010001, 	/* cond: tmld.pending_msg_to_ext_ev_2_ctr */
4572316485Sdavidcs	0x31134018, 0x00010001, 	/* cond: tmld.pending_msg_to_ext_ev_3_ctr */
4573316485Sdavidcs	0x31134019, 0x00010001, 	/* cond: tmld.pending_msg_to_ext_ev_4_ctr */
4574316485Sdavidcs	0x3113401a, 0x00010001, 	/* cond: tmld.pending_msg_to_ext_ev_5_ctr */
4575316485Sdavidcs	0x3113401b, 0x00010001, 	/* cond: tmld.foc_remain_credits */
4576316485Sdavidcs	0x31134003, 0x00010001, 	/* cond: tmld.foci_foc_credits */
4577316485Sdavidcs	0x31134031, 0x00010001, 	/* cond: tmld.dbg_pending_ccfc_req */
4578316485Sdavidcs	0x31134032, 0x00010001, 	/* cond: tmld.dbg_pending_tcfc_req */
4579320164Sdavidcs	0x31134080, 0x00010001, 	/* cond: tmld.PRTY_STS_H_0 */
4580320164Sdavidcs	0x31134081, 0x00010001, 	/* cond: tmld.PRTY_MASK_H_0 */
4581316485Sdavidcs	0x3213801c, 0x00010001, 	/* cond: muld.pending_msg_to_ext_ev_1_ctr */
4582316485Sdavidcs	0x3213801d, 0x00010001, 	/* cond: muld.pending_msg_to_ext_ev_2_ctr */
4583316485Sdavidcs	0x3213801e, 0x00010001, 	/* cond: muld.pending_msg_to_ext_ev_3_ctr */
4584316485Sdavidcs	0x3213801f, 0x00010001, 	/* cond: muld.pending_msg_to_ext_ev_4_ctr */
4585316485Sdavidcs	0x32138020, 0x00010001, 	/* cond: muld.pending_msg_to_ext_ev_5_ctr */
4586316485Sdavidcs	0x32138021, 0x00010001, 	/* cond: muld.foc_remain_credits */
4587316485Sdavidcs	0x32138009, 0x00010001, 	/* cond: muld.foci_foc_credits */
4588316485Sdavidcs	0x32138022, 0x00010001, 	/* cond: muld.bd_pending_msg_ctr */
4589316485Sdavidcs	0x32138023, 0x00010001, 	/* cond: muld.sge_pending_msg_ctr */
4590316485Sdavidcs	0x32138026, 0x00010001, 	/* cond: muld.pci_pending_msg_ctr */
4591316485Sdavidcs	0x3213803a, 0x00010001, 	/* cond: muld.dbg_pending_ccfc_req */
4592316485Sdavidcs	0x3213803b, 0x00010001, 	/* cond: muld.dbg_pending_tcfc_req */
4593320164Sdavidcs	0x32138080, 0x00010001, 	/* cond: muld.PRTY_STS_H_0 */
4594320164Sdavidcs	0x32138081, 0x00010001, 	/* cond: muld.PRTY_MASK_H_0 */
4595316485Sdavidcs	0x4b140010, 0x00010001, 	/* cond: nig.INT_STS_0 */
4596316485Sdavidcs	0x4b140011, 0x00010001, 	/* cond: nig.INT_MASK_0 */
4597316485Sdavidcs	0x4b140014, 0x00010001, 	/* cond: nig.INT_STS_1 */
4598316485Sdavidcs	0x4b140015, 0x00010001, 	/* cond: nig.INT_MASK_1 */
4599316485Sdavidcs	0x4b140018, 0x00010001, 	/* cond: nig.INT_STS_2 */
4600316485Sdavidcs	0x4b140019, 0x00010001, 	/* cond: nig.INT_MASK_2 */
4601316485Sdavidcs	0x4b14001c, 0x00010001, 	/* cond: nig.INT_STS_3 */
4602316485Sdavidcs	0x4b14001d, 0x00010001, 	/* cond: nig.INT_MASK_3 */
4603316485Sdavidcs	0x4b1406e8, 0x00000001, 	/* info: nig.flowctrl_mode mode=all */
4604316485Sdavidcs	0x4b140713, 0x00000001, 	/* info: nig.rx_flowctrl_status mode=all */
4605316485Sdavidcs	0x4b140020, 0x00010001, 	/* cond: nig.INT_STS_4 */
4606316485Sdavidcs	0x4b140021, 0x00010001, 	/* cond: nig.INT_MASK_4 */
4607316485Sdavidcs	0x4b140024, 0x00010001, 	/* cond: nig.INT_STS_5 */
4608316485Sdavidcs	0x4b140025, 0x00010001, 	/* cond: nig.INT_MASK_5 */
4609316485Sdavidcs	0x4b1406e8, 0x00000001, 	/* info: nig.flowctrl_mode mode=all */
4610316485Sdavidcs	0x4b140713, 0x00000001, 	/* info: nig.rx_flowctrl_status mode=all */
4611320164Sdavidcs	0x4b140080, 0x00010001, 	/* cond: nig.PRTY_STS_H_0 */
4612320164Sdavidcs	0x4b140081, 0x00010001, 	/* cond: nig.PRTY_MASK_H_0 */
4613320164Sdavidcs	0x4b140084, 0x00010001, 	/* cond: nig.PRTY_STS_H_1 */
4614320164Sdavidcs	0x4b140085, 0x00010001, 	/* cond: nig.PRTY_MASK_H_1 */
4615320164Sdavidcs	0x4b140088, 0x00010001, 	/* cond: nig.PRTY_STS_H_2 */
4616320164Sdavidcs	0x4b140089, 0x00010001, 	/* cond: nig.PRTY_MASK_H_2 */
4617320164Sdavidcs	0x4b14008c, 0x00010001, 	/* cond: nig.PRTY_STS_H_3 */
4618320164Sdavidcs	0x4b14008d, 0x00010001, 	/* cond: nig.PRTY_MASK_H_3 */
4619316485Sdavidcs	0x4b140301, 0x00010001, 	/* cond: nig.lb_sopq_empty */
4620316485Sdavidcs	0x4b140303, 0x00010001, 	/* cond: nig.tx_sopq_empty */
4621316485Sdavidcs	0x4b1404c6, 0x00010001, 	/* cond: nig.rx_llh_rfifo_empty */
4622316485Sdavidcs	0x4b1405db, 0x00010001, 	/* cond: nig.lb_btb_fifo_empty */
4623316485Sdavidcs	0x4b1405e6, 0x00010001, 	/* cond: nig.lb_llh_rfifo_empty */
4624316485Sdavidcs	0x4b140655, 0x00010001, 	/* cond: nig.rx_ptp_ts_msb_err */
4625316485Sdavidcs	0x4b140640, 0x00010001, 	/* cond: nig.rx_ptp_en */
4626316485Sdavidcs	0x4b1407ff, 0x00010001, 	/* cond: nig.tx_btb_fifo_empty */
4627316485Sdavidcs	0x4b140838, 0x00010001, 	/* cond: nig.debug_fifo_empty */
4628316485Sdavidcs	0x1715801c, 0x00010001, 	/* cond: ptu.pxp_err_ctr */
4629316485Sdavidcs	0x1715801d, 0x00010001, 	/* cond: ptu.inv_err_ctr */
4630316485Sdavidcs	0x17158032, 0x00010001, 	/* cond: ptu.pbf_fill_level */
4631316485Sdavidcs	0x17158033, 0x00010001, 	/* cond: ptu.prm_fill_level */
4632316485Sdavidcs	0x17158060, 0x00010001, 	/* cond: ptu.INT_STS */
4633316485Sdavidcs	0x17158061, 0x00010001, 	/* cond: ptu.INT_MASK */
4634320164Sdavidcs	0x17158080, 0x00010001, 	/* cond: ptu.PRTY_STS_H_0 */
4635320164Sdavidcs	0x17158081, 0x00010001, 	/* cond: ptu.PRTY_MASK_H_0 */
4636316485Sdavidcs	0x3f160070, 0x00010001, 	/* cond: cdu.INT_STS */
4637316485Sdavidcs	0x3f160073, 0x00010001, 	/* cond: cdu.INT_MASK */
4638316485Sdavidcs	0x3f160200, 0x00000001, 	/* info: cdu.ccfc_cvld_error_data mode=all */
4639316485Sdavidcs	0x3f160201, 0x00000001, 	/* info: cdu.tcfc_cvld_error_data mode=all */
4640316485Sdavidcs	0x3f160202, 0x00000001, 	/* info: cdu.ccfc_ld_l1_num_error_data mode=all */
4641316485Sdavidcs	0x3f160203, 0x00000001, 	/* info: cdu.tcfc_ld_l1_num_error_data mode=all */
4642316485Sdavidcs	0x3f160204, 0x00000001, 	/* info: cdu.ccfc_wb_l1_num_error_data mode=all */
4643316485Sdavidcs	0x3f160205, 0x00000001, 	/* info: cdu.tcfc_wb_l1_num_error_data mode=all */
4644320164Sdavidcs	0x3f160080, 0x00010001, 	/* cond: cdu.PRTY_STS_H_0 */
4645320164Sdavidcs	0x3f160081, 0x00010001, 	/* cond: cdu.PRTY_MASK_H_0 */
4646316485Sdavidcs	0x3c36019a, 0x00010001, 	/* cond: pbf.num_pkts_received_with_error */
4647316485Sdavidcs	0x3c36019b, 0x00010001, 	/* cond: pbf.num_pkts_sent_with_error_to_btb */
4648316485Sdavidcs	0x3c36019c, 0x00010001, 	/* cond: pbf.num_pkts_sent_with_drop_to_btb */
4649316485Sdavidcs	0x3c3601ac, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq0 */
4650316485Sdavidcs	0x3c3601ae, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq0 */
4651316485Sdavidcs	0x3c3601b1, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq0 */
4652316485Sdavidcs	0x3c3601bc, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq1 */
4653316485Sdavidcs	0x3c3601be, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq1 */
4654316485Sdavidcs	0x3c3601c1, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq1 */
4655316485Sdavidcs	0x3c3601cc, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq2 */
4656316485Sdavidcs	0x3c3601ce, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq2 */
4657316485Sdavidcs	0x3c3601d1, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq2 */
4658316485Sdavidcs	0x3c3601dc, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq3 */
4659316485Sdavidcs	0x3c3601de, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq3 */
4660316485Sdavidcs	0x3c3601e1, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq3 */
4661316485Sdavidcs	0x3c3601ec, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq4 */
4662316485Sdavidcs	0x3c3601ee, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq4 */
4663316485Sdavidcs	0x3c3601f1, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq4 */
4664316485Sdavidcs	0x3c3601fc, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq5 */
4665316485Sdavidcs	0x3c3601fe, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq5 */
4666316485Sdavidcs	0x3c360201, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq5 */
4667316485Sdavidcs	0x3c36020c, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq6 */
4668316485Sdavidcs	0x3c36020e, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq6 */
4669316485Sdavidcs	0x3c360211, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq6 */
4670316485Sdavidcs	0x3c36021c, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq7 */
4671316485Sdavidcs	0x3c36021e, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq7 */
4672316485Sdavidcs	0x3c360221, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq7 */
4673316485Sdavidcs	0x3c36022c, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq8 */
4674316485Sdavidcs	0x3c36022e, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq8 */
4675316485Sdavidcs	0x3c360231, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq8 */
4676316485Sdavidcs	0x3c36023c, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq9 */
4677316485Sdavidcs	0x3c36023e, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq9 */
4678316485Sdavidcs	0x3c360241, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq9 */
4679316485Sdavidcs	0x3c36024c, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq10 */
4680316485Sdavidcs	0x3c36024e, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq10 */
4681316485Sdavidcs	0x3c360251, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq10 */
4682316485Sdavidcs	0x3c36025c, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq11 */
4683316485Sdavidcs	0x3c36025e, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq11 */
4684316485Sdavidcs	0x3c360261, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq11 */
4685316485Sdavidcs	0x3c36026c, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq12 */
4686316485Sdavidcs	0x3c36026e, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq12 */
4687316485Sdavidcs	0x3c360271, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq12 */
4688316485Sdavidcs	0x3c36027c, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq13 */
4689316485Sdavidcs	0x3c36027e, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq13 */
4690316485Sdavidcs	0x3c360281, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq13 */
4691316485Sdavidcs	0x3c36028c, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq14 */
4692316485Sdavidcs	0x3c36028e, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq14 */
4693316485Sdavidcs	0x3c360291, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq14 */
4694316485Sdavidcs	0x3c36029c, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq15 */
4695316485Sdavidcs	0x3c36029e, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq15 */
4696316485Sdavidcs	0x3c3602a1, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq15 */
4697316485Sdavidcs	0x3c3602ac, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq16 */
4698316485Sdavidcs	0x3c3602ae, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq16 */
4699316485Sdavidcs	0x3c3602b1, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq16 */
4700316485Sdavidcs	0x3c3602bc, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq17 */
4701316485Sdavidcs	0x3c3602be, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq17 */
4702316485Sdavidcs	0x3c3602c1, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq17 */
4703316485Sdavidcs	0x3c3602cc, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq18 */
4704316485Sdavidcs	0x3c3602ce, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq18 */
4705316485Sdavidcs	0x3c3602d1, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq18 */
4706316485Sdavidcs	0x3c3602dc, 0x00010001, 	/* cond: pbf.ycmd_qs_cmd_cnt_voq19 */
4707316485Sdavidcs	0x3c3602de, 0x00010001, 	/* cond: pbf.ycmd_qs_occupancy_voq19 */
4708316485Sdavidcs	0x3c3602e1, 0x00010001, 	/* cond: pbf.btb_allocated_blocks_voq19 */
4709316485Sdavidcs	0x3b36c036, 0x00010001, 	/* cond: btb.INT_STS_1 */
4710316485Sdavidcs	0x3b36c037, 0x00010001, 	/* cond: btb.INT_MASK_1 */
4711316485Sdavidcs	0x3b36c03c, 0x00010001, 	/* cond: btb.INT_STS_2 */
4712316485Sdavidcs	0x3b36c03d, 0x00010001, 	/* cond: btb.INT_MASK_2 */
4713316485Sdavidcs	0x3b36c042, 0x00010001, 	/* cond: btb.INT_STS_3 */
4714316485Sdavidcs	0x3b36c043, 0x00010001, 	/* cond: btb.INT_MASK_3 */
4715320164Sdavidcs	0x3b36c100, 0x00010001, 	/* cond: btb.PRTY_STS_H_0 */
4716320164Sdavidcs	0x3b36c101, 0x00010001, 	/* cond: btb.PRTY_MASK_H_0 */
4717316485Sdavidcs	0x3b36c244, 0x00010001, 	/* cond: btb.wc_dup_empty */
4718316485Sdavidcs	0x3b36c246, 0x00010001, 	/* cond: btb.wc_dup_status */
4719316485Sdavidcs	0x3b36c247, 0x00010001, 	/* cond: btb.wc_empty_0 */
4720316485Sdavidcs	0x3b36c267, 0x00010001, 	/* cond: btb.wc_bandwidth_if_full */
4721316485Sdavidcs	0x3b36c268, 0x00010001, 	/* cond: btb.rc_pkt_if_full */
4722316485Sdavidcs	0x3b36c269, 0x00010001, 	/* cond: btb.rc_pkt_empty_0 */
4723316485Sdavidcs	0x3b36c26a, 0x00010001, 	/* cond: btb.rc_pkt_empty_1 */
4724316485Sdavidcs	0x3b36c26b, 0x00010001, 	/* cond: btb.rc_pkt_empty_2 */
4725316485Sdavidcs	0x3b36c26c, 0x00010001, 	/* cond: btb.rc_pkt_empty_3 */
4726316485Sdavidcs	0x3b36c296, 0x00010001, 	/* cond: btb.rc_sop_empty */
4727316485Sdavidcs	0x3b36c299, 0x00010001, 	/* cond: btb.ll_arb_empty */
4728316485Sdavidcs	0x3b36c29c, 0x00010001, 	/* cond: btb.block_occupancy */
4729316485Sdavidcs	0x3b36c2ae, 0x00010001, 	/* cond: btb.rc_pkt_state */
4730316485Sdavidcs	0x3bb6c480, 0x00030001, 	/* cond: btb.wc_status_0 width=3 access=WB */
4731316485Sdavidcs	0x273e0010, 0x00010001, 	/* cond: xsdm.INT_STS */
4732316485Sdavidcs	0x273e0011, 0x00010001, 	/* cond: xsdm.INT_MASK */
4733316485Sdavidcs	0x273e0109, 0x00000001, 	/* info: xsdm.inp_queue_err_vect mode=all */
4734320164Sdavidcs	0x273e0080, 0x00010001, 	/* cond: xsdm.PRTY_STS_H_0 */
4735320164Sdavidcs	0x273e0081, 0x00010001, 	/* cond: xsdm.PRTY_MASK_H_0 */
4736316485Sdavidcs	0x273e0303, 0x00010001, 	/* cond: xsdm.qm_full */
4737316485Sdavidcs	0x273e030c, 0x00010001, 	/* cond: xsdm.rsp_brb_if_full */
4738316485Sdavidcs	0x273e030d, 0x00010001, 	/* cond: xsdm.rsp_pxp_if_full */
4739316485Sdavidcs	0x273e0316, 0x00010001, 	/* cond: xsdm.dst_pxp_if_full */
4740316485Sdavidcs	0x273e0317, 0x00010001, 	/* cond: xsdm.dst_int_ram_if_full */
4741316485Sdavidcs	0x273e0318, 0x00010001, 	/* cond: xsdm.dst_pas_buf_if_full */
4742316485Sdavidcs	0x273e0340, 0x00010001, 	/* cond: xsdm.int_cmpl_pend_empty */
4743316485Sdavidcs	0x273e0341, 0x00010001, 	/* cond: xsdm.int_cprm_pend_empty */
4744316485Sdavidcs	0x273e0342, 0x00010001, 	/* cond: xsdm.queue_empty */
4745316485Sdavidcs	0x273e0343, 0x00010001, 	/* cond: xsdm.delay_fifo_empty */
4746316485Sdavidcs	0x273e0346, 0x00010001, 	/* cond: xsdm.rsp_pxp_rdata_empty */
4747316485Sdavidcs	0x273e0347, 0x00010001, 	/* cond: xsdm.rsp_brb_rdata_empty */
4748316485Sdavidcs	0x273e0348, 0x00010001, 	/* cond: xsdm.rsp_int_ram_rdata_empty */
4749316485Sdavidcs	0x273e0349, 0x00010001, 	/* cond: xsdm.rsp_brb_pend_empty */
4750316485Sdavidcs	0x273e034a, 0x00010001, 	/* cond: xsdm.rsp_int_ram_pend_empty */
4751316485Sdavidcs	0x273e034b, 0x00010001, 	/* cond: xsdm.dst_pxp_immed_empty */
4752316485Sdavidcs	0x273e034c, 0x00010001, 	/* cond: xsdm.dst_pxp_dst_pend_empty */
4753316485Sdavidcs	0x273e034d, 0x00010001, 	/* cond: xsdm.dst_pxp_src_pend_empty */
4754316485Sdavidcs	0x273e034e, 0x00010001, 	/* cond: xsdm.dst_brb_src_pend_empty */
4755316485Sdavidcs	0x273e034f, 0x00010001, 	/* cond: xsdm.dst_brb_src_addr_empty */
4756316485Sdavidcs	0x273e0350, 0x00010001, 	/* cond: xsdm.dst_pxp_link_empty */
4757316485Sdavidcs	0x273e0351, 0x00010001, 	/* cond: xsdm.dst_int_ram_wait_empty */
4758316485Sdavidcs	0x273e0352, 0x00010001, 	/* cond: xsdm.dst_pas_buf_wait_empty */
4759316485Sdavidcs	0x273e0353, 0x00010001, 	/* cond: xsdm.sh_delay_empty */
4760316485Sdavidcs	0x273e0354, 0x00010001, 	/* cond: xsdm.cm_delay_empty */
4761316485Sdavidcs	0x273e0355, 0x00010001, 	/* cond: xsdm.cmsg_que_empty */
4762316485Sdavidcs	0x273e0356, 0x00010001, 	/* cond: xsdm.ccfc_load_pend_empty */
4763316485Sdavidcs	0x273e0357, 0x00010001, 	/* cond: xsdm.tcfc_load_pend_empty */
4764316485Sdavidcs	0x273e0358, 0x00010001, 	/* cond: xsdm.async_host_empty */
4765316485Sdavidcs	0x273e0359, 0x00010001, 	/* cond: xsdm.prm_fifo_empty */
4766316485Sdavidcs	0x283e4010, 0x00010001, 	/* cond: ysdm.INT_STS */
4767316485Sdavidcs	0x283e4011, 0x00010001, 	/* cond: ysdm.INT_MASK */
4768316485Sdavidcs	0x283e4109, 0x00000001, 	/* info: ysdm.inp_queue_err_vect mode=all */
4769320164Sdavidcs	0x283e4080, 0x00010001, 	/* cond: ysdm.PRTY_STS_H_0 */
4770320164Sdavidcs	0x283e4081, 0x00010001, 	/* cond: ysdm.PRTY_MASK_H_0 */
4771316485Sdavidcs	0x283e4303, 0x00010001, 	/* cond: ysdm.qm_full */
4772316485Sdavidcs	0x283e430c, 0x00010001, 	/* cond: ysdm.rsp_brb_if_full */
4773316485Sdavidcs	0x283e430d, 0x00010001, 	/* cond: ysdm.rsp_pxp_if_full */
4774316485Sdavidcs	0x283e4316, 0x00010001, 	/* cond: ysdm.dst_pxp_if_full */
4775316485Sdavidcs	0x283e4317, 0x00010001, 	/* cond: ysdm.dst_int_ram_if_full */
4776316485Sdavidcs	0x283e4318, 0x00010001, 	/* cond: ysdm.dst_pas_buf_if_full */
4777316485Sdavidcs	0x283e4340, 0x00010001, 	/* cond: ysdm.int_cmpl_pend_empty */
4778316485Sdavidcs	0x283e4341, 0x00010001, 	/* cond: ysdm.int_cprm_pend_empty */
4779316485Sdavidcs	0x283e4342, 0x00010001, 	/* cond: ysdm.queue_empty */
4780316485Sdavidcs	0x283e4343, 0x00010001, 	/* cond: ysdm.delay_fifo_empty */
4781316485Sdavidcs	0x283e4346, 0x00010001, 	/* cond: ysdm.rsp_pxp_rdata_empty */
4782316485Sdavidcs	0x283e4347, 0x00010001, 	/* cond: ysdm.rsp_brb_rdata_empty */
4783316485Sdavidcs	0x283e4348, 0x00010001, 	/* cond: ysdm.rsp_int_ram_rdata_empty */
4784316485Sdavidcs	0x283e4349, 0x00010001, 	/* cond: ysdm.rsp_brb_pend_empty */
4785316485Sdavidcs	0x283e434a, 0x00010001, 	/* cond: ysdm.rsp_int_ram_pend_empty */
4786316485Sdavidcs	0x283e434b, 0x00010001, 	/* cond: ysdm.dst_pxp_immed_empty */
4787316485Sdavidcs	0x283e434c, 0x00010001, 	/* cond: ysdm.dst_pxp_dst_pend_empty */
4788316485Sdavidcs	0x283e434d, 0x00010001, 	/* cond: ysdm.dst_pxp_src_pend_empty */
4789316485Sdavidcs	0x283e434e, 0x00010001, 	/* cond: ysdm.dst_brb_src_pend_empty */
4790316485Sdavidcs	0x283e434f, 0x00010001, 	/* cond: ysdm.dst_brb_src_addr_empty */
4791316485Sdavidcs	0x283e4350, 0x00010001, 	/* cond: ysdm.dst_pxp_link_empty */
4792316485Sdavidcs	0x283e4351, 0x00010001, 	/* cond: ysdm.dst_int_ram_wait_empty */
4793316485Sdavidcs	0x283e4352, 0x00010001, 	/* cond: ysdm.dst_pas_buf_wait_empty */
4794316485Sdavidcs	0x283e4353, 0x00010001, 	/* cond: ysdm.sh_delay_empty */
4795316485Sdavidcs	0x283e4354, 0x00010001, 	/* cond: ysdm.cm_delay_empty */
4796316485Sdavidcs	0x283e4355, 0x00010001, 	/* cond: ysdm.cmsg_que_empty */
4797316485Sdavidcs	0x283e4356, 0x00010001, 	/* cond: ysdm.ccfc_load_pend_empty */
4798316485Sdavidcs	0x283e4357, 0x00010001, 	/* cond: ysdm.tcfc_load_pend_empty */
4799316485Sdavidcs	0x283e4358, 0x00010001, 	/* cond: ysdm.async_host_empty */
4800316485Sdavidcs	0x283e4359, 0x00010001, 	/* cond: ysdm.prm_fifo_empty */
4801316485Sdavidcs	0x293e8010, 0x00010001, 	/* cond: psdm.INT_STS */
4802316485Sdavidcs	0x293e8011, 0x00010001, 	/* cond: psdm.INT_MASK */
4803316485Sdavidcs	0x293e8109, 0x00000001, 	/* info: psdm.inp_queue_err_vect mode=all */
4804320164Sdavidcs	0x293e8080, 0x00010001, 	/* cond: psdm.PRTY_STS_H_0 */
4805320164Sdavidcs	0x293e8081, 0x00010001, 	/* cond: psdm.PRTY_MASK_H_0 */
4806316485Sdavidcs	0x293e8303, 0x00010001, 	/* cond: psdm.qm_full */
4807316485Sdavidcs	0x293e830c, 0x00010001, 	/* cond: psdm.rsp_brb_if_full */
4808316485Sdavidcs	0x293e830d, 0x00010001, 	/* cond: psdm.rsp_pxp_if_full */
4809316485Sdavidcs	0x293e8316, 0x00010001, 	/* cond: psdm.dst_pxp_if_full */
4810316485Sdavidcs	0x293e8317, 0x00010001, 	/* cond: psdm.dst_int_ram_if_full */
4811316485Sdavidcs	0x293e8318, 0x00010001, 	/* cond: psdm.dst_pas_buf_if_full */
4812316485Sdavidcs	0x293e8340, 0x00010001, 	/* cond: psdm.int_cmpl_pend_empty */
4813316485Sdavidcs	0x293e8341, 0x00010001, 	/* cond: psdm.int_cprm_pend_empty */
4814316485Sdavidcs	0x293e8342, 0x00010001, 	/* cond: psdm.queue_empty */
4815316485Sdavidcs	0x293e8343, 0x00010001, 	/* cond: psdm.delay_fifo_empty */
4816316485Sdavidcs	0x293e8346, 0x00010001, 	/* cond: psdm.rsp_pxp_rdata_empty */
4817316485Sdavidcs	0x293e8347, 0x00010001, 	/* cond: psdm.rsp_brb_rdata_empty */
4818316485Sdavidcs	0x293e8348, 0x00010001, 	/* cond: psdm.rsp_int_ram_rdata_empty */
4819316485Sdavidcs	0x293e8349, 0x00010001, 	/* cond: psdm.rsp_brb_pend_empty */
4820316485Sdavidcs	0x293e834a, 0x00010001, 	/* cond: psdm.rsp_int_ram_pend_empty */
4821316485Sdavidcs	0x293e834b, 0x00010001, 	/* cond: psdm.dst_pxp_immed_empty */
4822316485Sdavidcs	0x293e834c, 0x00010001, 	/* cond: psdm.dst_pxp_dst_pend_empty */
4823316485Sdavidcs	0x293e834d, 0x00010001, 	/* cond: psdm.dst_pxp_src_pend_empty */
4824316485Sdavidcs	0x293e834e, 0x00010001, 	/* cond: psdm.dst_brb_src_pend_empty */
4825316485Sdavidcs	0x293e834f, 0x00010001, 	/* cond: psdm.dst_brb_src_addr_empty */
4826316485Sdavidcs	0x293e8350, 0x00010001, 	/* cond: psdm.dst_pxp_link_empty */
4827316485Sdavidcs	0x293e8351, 0x00010001, 	/* cond: psdm.dst_int_ram_wait_empty */
4828316485Sdavidcs	0x293e8352, 0x00010001, 	/* cond: psdm.dst_pas_buf_wait_empty */
4829316485Sdavidcs	0x293e8353, 0x00010001, 	/* cond: psdm.sh_delay_empty */
4830316485Sdavidcs	0x293e8354, 0x00010001, 	/* cond: psdm.cm_delay_empty */
4831316485Sdavidcs	0x293e8355, 0x00010001, 	/* cond: psdm.cmsg_que_empty */
4832316485Sdavidcs	0x293e8356, 0x00010001, 	/* cond: psdm.ccfc_load_pend_empty */
4833316485Sdavidcs	0x293e8357, 0x00010001, 	/* cond: psdm.tcfc_load_pend_empty */
4834316485Sdavidcs	0x293e8358, 0x00010001, 	/* cond: psdm.async_host_empty */
4835316485Sdavidcs	0x293e8359, 0x00010001, 	/* cond: psdm.prm_fifo_empty */
4836316485Sdavidcs	0x243ec010, 0x00010001, 	/* cond: tsdm.INT_STS */
4837316485Sdavidcs	0x243ec011, 0x00010001, 	/* cond: tsdm.INT_MASK */
4838316485Sdavidcs	0x243ec109, 0x00000001, 	/* info: tsdm.inp_queue_err_vect mode=all */
4839320164Sdavidcs	0x243ec080, 0x00010001, 	/* cond: tsdm.PRTY_STS_H_0 */
4840320164Sdavidcs	0x243ec081, 0x00010001, 	/* cond: tsdm.PRTY_MASK_H_0 */
4841316485Sdavidcs	0x243ec303, 0x00010001, 	/* cond: tsdm.qm_full */
4842316485Sdavidcs	0x243ec30c, 0x00010001, 	/* cond: tsdm.rsp_brb_if_full */
4843316485Sdavidcs	0x243ec30d, 0x00010001, 	/* cond: tsdm.rsp_pxp_if_full */
4844316485Sdavidcs	0x243ec316, 0x00010001, 	/* cond: tsdm.dst_pxp_if_full */
4845316485Sdavidcs	0x243ec317, 0x00010001, 	/* cond: tsdm.dst_int_ram_if_full */
4846316485Sdavidcs	0x243ec318, 0x00010001, 	/* cond: tsdm.dst_pas_buf_if_full */
4847316485Sdavidcs	0x243ec340, 0x00010001, 	/* cond: tsdm.int_cmpl_pend_empty */
4848316485Sdavidcs	0x243ec341, 0x00010001, 	/* cond: tsdm.int_cprm_pend_empty */
4849316485Sdavidcs	0x243ec342, 0x00010001, 	/* cond: tsdm.queue_empty */
4850316485Sdavidcs	0x243ec343, 0x00010001, 	/* cond: tsdm.delay_fifo_empty */
4851316485Sdavidcs	0x243ec346, 0x00010001, 	/* cond: tsdm.rsp_pxp_rdata_empty */
4852316485Sdavidcs	0x243ec347, 0x00010001, 	/* cond: tsdm.rsp_brb_rdata_empty */
4853316485Sdavidcs	0x243ec348, 0x00010001, 	/* cond: tsdm.rsp_int_ram_rdata_empty */
4854316485Sdavidcs	0x243ec349, 0x00010001, 	/* cond: tsdm.rsp_brb_pend_empty */
4855316485Sdavidcs	0x243ec34a, 0x00010001, 	/* cond: tsdm.rsp_int_ram_pend_empty */
4856316485Sdavidcs	0x243ec34b, 0x00010001, 	/* cond: tsdm.dst_pxp_immed_empty */
4857316485Sdavidcs	0x243ec34c, 0x00010001, 	/* cond: tsdm.dst_pxp_dst_pend_empty */
4858316485Sdavidcs	0x243ec34d, 0x00010001, 	/* cond: tsdm.dst_pxp_src_pend_empty */
4859316485Sdavidcs	0x243ec34e, 0x00010001, 	/* cond: tsdm.dst_brb_src_pend_empty */
4860316485Sdavidcs	0x243ec34f, 0x00010001, 	/* cond: tsdm.dst_brb_src_addr_empty */
4861316485Sdavidcs	0x243ec350, 0x00010001, 	/* cond: tsdm.dst_pxp_link_empty */
4862316485Sdavidcs	0x243ec351, 0x00010001, 	/* cond: tsdm.dst_int_ram_wait_empty */
4863316485Sdavidcs	0x243ec352, 0x00010001, 	/* cond: tsdm.dst_pas_buf_wait_empty */
4864316485Sdavidcs	0x243ec353, 0x00010001, 	/* cond: tsdm.sh_delay_empty */
4865316485Sdavidcs	0x243ec354, 0x00010001, 	/* cond: tsdm.cm_delay_empty */
4866316485Sdavidcs	0x243ec355, 0x00010001, 	/* cond: tsdm.cmsg_que_empty */
4867316485Sdavidcs	0x243ec356, 0x00010001, 	/* cond: tsdm.ccfc_load_pend_empty */
4868316485Sdavidcs	0x243ec357, 0x00010001, 	/* cond: tsdm.tcfc_load_pend_empty */
4869316485Sdavidcs	0x243ec358, 0x00010001, 	/* cond: tsdm.async_host_empty */
4870316485Sdavidcs	0x243ec359, 0x00010001, 	/* cond: tsdm.prm_fifo_empty */
4871316485Sdavidcs	0x253f0010, 0x00010001, 	/* cond: msdm.INT_STS */
4872316485Sdavidcs	0x253f0011, 0x00010001, 	/* cond: msdm.INT_MASK */
4873316485Sdavidcs	0x253f0109, 0x00000001, 	/* info: msdm.inp_queue_err_vect mode=all */
4874320164Sdavidcs	0x253f0080, 0x00010001, 	/* cond: msdm.PRTY_STS_H_0 */
4875320164Sdavidcs	0x253f0081, 0x00010001, 	/* cond: msdm.PRTY_MASK_H_0 */
4876316485Sdavidcs	0x253f0303, 0x00010001, 	/* cond: msdm.qm_full */
4877316485Sdavidcs	0x253f030c, 0x00010001, 	/* cond: msdm.rsp_brb_if_full */
4878316485Sdavidcs	0x253f030d, 0x00010001, 	/* cond: msdm.rsp_pxp_if_full */
4879316485Sdavidcs	0x253f0316, 0x00010001, 	/* cond: msdm.dst_pxp_if_full */
4880316485Sdavidcs	0x253f0317, 0x00010001, 	/* cond: msdm.dst_int_ram_if_full */
4881316485Sdavidcs	0x253f0318, 0x00010001, 	/* cond: msdm.dst_pas_buf_if_full */
4882316485Sdavidcs	0x253f0340, 0x00010001, 	/* cond: msdm.int_cmpl_pend_empty */
4883316485Sdavidcs	0x253f0341, 0x00010001, 	/* cond: msdm.int_cprm_pend_empty */
4884316485Sdavidcs	0x253f0342, 0x00010001, 	/* cond: msdm.queue_empty */
4885316485Sdavidcs	0x253f0343, 0x00010001, 	/* cond: msdm.delay_fifo_empty */
4886316485Sdavidcs	0x253f0346, 0x00010001, 	/* cond: msdm.rsp_pxp_rdata_empty */
4887316485Sdavidcs	0x253f0347, 0x00010001, 	/* cond: msdm.rsp_brb_rdata_empty */
4888316485Sdavidcs	0x253f0348, 0x00010001, 	/* cond: msdm.rsp_int_ram_rdata_empty */
4889316485Sdavidcs	0x253f0349, 0x00010001, 	/* cond: msdm.rsp_brb_pend_empty */
4890316485Sdavidcs	0x253f034a, 0x00010001, 	/* cond: msdm.rsp_int_ram_pend_empty */
4891316485Sdavidcs	0x253f034b, 0x00010001, 	/* cond: msdm.dst_pxp_immed_empty */
4892316485Sdavidcs	0x253f034c, 0x00010001, 	/* cond: msdm.dst_pxp_dst_pend_empty */
4893316485Sdavidcs	0x253f034d, 0x00010001, 	/* cond: msdm.dst_pxp_src_pend_empty */
4894316485Sdavidcs	0x253f034e, 0x00010001, 	/* cond: msdm.dst_brb_src_pend_empty */
4895316485Sdavidcs	0x253f034f, 0x00010001, 	/* cond: msdm.dst_brb_src_addr_empty */
4896316485Sdavidcs	0x253f0350, 0x00010001, 	/* cond: msdm.dst_pxp_link_empty */
4897316485Sdavidcs	0x253f0351, 0x00010001, 	/* cond: msdm.dst_int_ram_wait_empty */
4898316485Sdavidcs	0x253f0352, 0x00010001, 	/* cond: msdm.dst_pas_buf_wait_empty */
4899316485Sdavidcs	0x253f0353, 0x00010001, 	/* cond: msdm.sh_delay_empty */
4900316485Sdavidcs	0x253f0354, 0x00010001, 	/* cond: msdm.cm_delay_empty */
4901316485Sdavidcs	0x253f0355, 0x00010001, 	/* cond: msdm.cmsg_que_empty */
4902316485Sdavidcs	0x253f0356, 0x00010001, 	/* cond: msdm.ccfc_load_pend_empty */
4903316485Sdavidcs	0x253f0357, 0x00010001, 	/* cond: msdm.tcfc_load_pend_empty */
4904316485Sdavidcs	0x253f0358, 0x00010001, 	/* cond: msdm.async_host_empty */
4905316485Sdavidcs	0x253f0359, 0x00010001, 	/* cond: msdm.prm_fifo_empty */
4906316485Sdavidcs	0x263f4010, 0x00010001, 	/* cond: usdm.INT_STS */
4907316485Sdavidcs	0x263f4011, 0x00010001, 	/* cond: usdm.INT_MASK */
4908316485Sdavidcs	0x263f4109, 0x00000001, 	/* info: usdm.inp_queue_err_vect mode=all */
4909320164Sdavidcs	0x263f4080, 0x00010001, 	/* cond: usdm.PRTY_STS_H_0 */
4910320164Sdavidcs	0x263f4081, 0x00010001, 	/* cond: usdm.PRTY_MASK_H_0 */
4911316485Sdavidcs	0x263f4303, 0x00010001, 	/* cond: usdm.qm_full */
4912316485Sdavidcs	0x263f430c, 0x00010001, 	/* cond: usdm.rsp_brb_if_full */
4913316485Sdavidcs	0x263f430d, 0x00010001, 	/* cond: usdm.rsp_pxp_if_full */
4914316485Sdavidcs	0x263f4316, 0x00010001, 	/* cond: usdm.dst_pxp_if_full */
4915316485Sdavidcs	0x263f4317, 0x00010001, 	/* cond: usdm.dst_int_ram_if_full */
4916316485Sdavidcs	0x263f4318, 0x00010001, 	/* cond: usdm.dst_pas_buf_if_full */
4917316485Sdavidcs	0x263f4340, 0x00010001, 	/* cond: usdm.int_cmpl_pend_empty */
4918316485Sdavidcs	0x263f4341, 0x00010001, 	/* cond: usdm.int_cprm_pend_empty */
4919316485Sdavidcs	0x263f4342, 0x00010001, 	/* cond: usdm.queue_empty */
4920316485Sdavidcs	0x263f4343, 0x00010001, 	/* cond: usdm.delay_fifo_empty */
4921316485Sdavidcs	0x263f4346, 0x00010001, 	/* cond: usdm.rsp_pxp_rdata_empty */
4922316485Sdavidcs	0x263f4347, 0x00010001, 	/* cond: usdm.rsp_brb_rdata_empty */
4923316485Sdavidcs	0x263f4348, 0x00010001, 	/* cond: usdm.rsp_int_ram_rdata_empty */
4924316485Sdavidcs	0x263f4349, 0x00010001, 	/* cond: usdm.rsp_brb_pend_empty */
4925316485Sdavidcs	0x263f434a, 0x00010001, 	/* cond: usdm.rsp_int_ram_pend_empty */
4926316485Sdavidcs	0x263f434b, 0x00010001, 	/* cond: usdm.dst_pxp_immed_empty */
4927316485Sdavidcs	0x263f434c, 0x00010001, 	/* cond: usdm.dst_pxp_dst_pend_empty */
4928316485Sdavidcs	0x263f434d, 0x00010001, 	/* cond: usdm.dst_pxp_src_pend_empty */
4929316485Sdavidcs	0x263f434e, 0x00010001, 	/* cond: usdm.dst_brb_src_pend_empty */
4930316485Sdavidcs	0x263f434f, 0x00010001, 	/* cond: usdm.dst_brb_src_addr_empty */
4931316485Sdavidcs	0x263f4350, 0x00010001, 	/* cond: usdm.dst_pxp_link_empty */
4932316485Sdavidcs	0x263f4351, 0x00010001, 	/* cond: usdm.dst_int_ram_wait_empty */
4933316485Sdavidcs	0x263f4352, 0x00010001, 	/* cond: usdm.dst_pas_buf_wait_empty */
4934316485Sdavidcs	0x263f4353, 0x00010001, 	/* cond: usdm.sh_delay_empty */
4935316485Sdavidcs	0x263f4354, 0x00010001, 	/* cond: usdm.cm_delay_empty */
4936316485Sdavidcs	0x263f4355, 0x00010001, 	/* cond: usdm.cmsg_que_empty */
4937316485Sdavidcs	0x263f4356, 0x00010001, 	/* cond: usdm.ccfc_load_pend_empty */
4938316485Sdavidcs	0x263f4357, 0x00010001, 	/* cond: usdm.tcfc_load_pend_empty */
4939316485Sdavidcs	0x263f4358, 0x00010001, 	/* cond: usdm.async_host_empty */
4940316485Sdavidcs	0x263f4359, 0x00010001, 	/* cond: usdm.prm_fifo_empty */
4941316485Sdavidcs	0x1b400060, 0x00010001, 	/* cond: xcm.INT_STS_0 */
4942316485Sdavidcs	0x1b400061, 0x00010001, 	/* cond: xcm.INT_MASK_0 */
4943316485Sdavidcs	0x1b400064, 0x00010001, 	/* cond: xcm.INT_STS_1 */
4944316485Sdavidcs	0x1b400065, 0x00010001, 	/* cond: xcm.INT_MASK_1 */
4945316485Sdavidcs	0x1b400068, 0x00010001, 	/* cond: xcm.INT_STS_2 */
4946316485Sdavidcs	0x1b400069, 0x00010001, 	/* cond: xcm.INT_MASK_2 */
4947316485Sdavidcs	0x1b4002d4, 0x00000001, 	/* info: xcm.qm_act_st_cnt_err_details mode=all */
4948320164Sdavidcs	0x1b400080, 0x00010001, 	/* cond: xcm.PRTY_STS_H_0 */
4949320164Sdavidcs	0x1b400081, 0x00010001, 	/* cond: xcm.PRTY_MASK_H_0 */
4950320164Sdavidcs	0x1b400084, 0x00010001, 	/* cond: xcm.PRTY_STS_H_1 */
4951320164Sdavidcs	0x1b400085, 0x00010001, 	/* cond: xcm.PRTY_MASK_H_1 */
4952316485Sdavidcs	0x1b4001a2, 0x00010001, 	/* cond: xcm.fi_desc_input_violate */
4953316485Sdavidcs	0x1b4001a3, 0x00010001, 	/* cond: xcm.ia_agg_con_part_fill_lvl */
4954316485Sdavidcs	0x1b4001a4, 0x00010001, 	/* cond: xcm.ia_sm_con_part_fill_lvl */
4955316485Sdavidcs	0x1b4001a5, 0x00010001, 	/* cond: xcm.ia_trans_part_fill_lvl */
4956316485Sdavidcs	0x1b4001c4, 0x00010001, 	/* cond: xcm.xx_free_cnt */
4957316485Sdavidcs	0x1b4001c5, 0x00010001, 	/* cond: xcm.xx_lcid_cam_fill_lvl */
4958316485Sdavidcs	0x1b4001cf, 0x00010001, 	/* cond: xcm.xx_lock_cnt */
4959316485Sdavidcs	0x1b4001d6, 0x00010001, 	/* cond: xcm.xx_cbyp_tbl_fill_lvl */
4960316485Sdavidcs	0x1b40020b, 0x00010001, 	/* cond: xcm.agg_con_fic_buf_fill_lvl */
4961316485Sdavidcs	0x1b40020c, 0x00010001, 	/* cond: xcm.sm_con_fic_buf_fill_lvl */
4962316485Sdavidcs	0x1b400283, 0x00010001, 	/* cond: xcm.in_prcs_tbl_fill_lvl */
4963316485Sdavidcs	0x1b4002a1, 0x00010001, 	/* cond: xcm.ccfc_init_crd */
4964316485Sdavidcs	0x1b4002a2, 0x00010001, 	/* cond: xcm.qm_init_crd0 */
4965316485Sdavidcs	0x1b4002a3, 0x00010001, 	/* cond: xcm.qm_init_crd1 */
4966316485Sdavidcs	0x1b4002a4, 0x00010001, 	/* cond: xcm.tm_init_crd */
4967316485Sdavidcs	0x1b4002a5, 0x00010001, 	/* cond: xcm.fic_init_crd */
4968316485Sdavidcs	0x1b4002ab, 0x00010001, 	/* cond: xcm.xsdm_length_mis */
4969316485Sdavidcs	0x1b4002ac, 0x00010001, 	/* cond: xcm.ysdm_length_mis */
4970316485Sdavidcs	0x1b4002ae, 0x00010001, 	/* cond: xcm.dorq_length_mis */
4971316485Sdavidcs	0x1b4002af, 0x00010001, 	/* cond: xcm.pbf_length_mis */
4972316485Sdavidcs	0x1b4002c0, 0x00010001, 	/* cond: xcm.is_qm_p_fill_lvl */
4973316485Sdavidcs	0x1b4002c1, 0x00010001, 	/* cond: xcm.is_qm_s_fill_lvl */
4974316485Sdavidcs	0x1b4002c2, 0x00010001, 	/* cond: xcm.is_tm_fill_lvl */
4975316485Sdavidcs	0x1b4002c3, 0x00010001, 	/* cond: xcm.is_storm_fill_lvl */
4976316485Sdavidcs	0x1b4002c5, 0x00010001, 	/* cond: xcm.is_xsdm_fill_lvl */
4977316485Sdavidcs	0x1b4002c6, 0x00010001, 	/* cond: xcm.is_ysdm_fill_lvl */
4978316485Sdavidcs	0x1b4002c8, 0x00010001, 	/* cond: xcm.is_msem_fill_lvl */
4979316485Sdavidcs	0x1b4002c9, 0x00010001, 	/* cond: xcm.is_usem_fill_lvl */
4980316485Sdavidcs	0x1b4002cb, 0x00010001, 	/* cond: xcm.is_dorq_fill_lvl */
4981316485Sdavidcs	0x1b4002cc, 0x00010001, 	/* cond: xcm.is_pbf_fill_lvl */
4982316485Sdavidcs	0x1c420060, 0x00010001, 	/* cond: ycm.INT_STS_0 */
4983316485Sdavidcs	0x1c420061, 0x00010001, 	/* cond: ycm.INT_MASK_0 */
4984316485Sdavidcs	0x1c420064, 0x00010001, 	/* cond: ycm.INT_STS_1 */
4985316485Sdavidcs	0x1c420065, 0x00010001, 	/* cond: ycm.INT_MASK_1 */
4986320164Sdavidcs	0x1c420080, 0x00010001, 	/* cond: ycm.PRTY_STS_H_0 */
4987320164Sdavidcs	0x1c420081, 0x00010001, 	/* cond: ycm.PRTY_MASK_H_0 */
4988320164Sdavidcs	0x1c420084, 0x00010001, 	/* cond: ycm.PRTY_STS_H_1 */
4989320164Sdavidcs	0x1c420085, 0x00010001, 	/* cond: ycm.PRTY_MASK_H_1 */
4990316485Sdavidcs	0x1c42019b, 0x00010001, 	/* cond: ycm.fi_desc_input_violate */
4991316485Sdavidcs	0x1c42019c, 0x00010001, 	/* cond: ycm.se_desc_input_violate */
4992316485Sdavidcs	0x1c42019e, 0x00010001, 	/* cond: ycm.ia_sm_con_part_fill_lvl */
4993316485Sdavidcs	0x1c42019f, 0x00010001, 	/* cond: ycm.ia_agg_task_part_fill_lvl */
4994316485Sdavidcs	0x1c4201a0, 0x00010001, 	/* cond: ycm.ia_sm_task_part_fill_lvl */
4995316485Sdavidcs	0x1c4201a1, 0x00010001, 	/* cond: ycm.ia_trans_part_fill_lvl */
4996316485Sdavidcs	0x1c4201c4, 0x00010001, 	/* cond: ycm.xx_free_cnt */
4997316485Sdavidcs	0x1c4201c5, 0x00010001, 	/* cond: ycm.xx_lcid_cam_fill_lvl */
4998316485Sdavidcs	0x1c4201cf, 0x00010001, 	/* cond: ycm.xx_lock_cnt */
4999316485Sdavidcs	0x1c4201d6, 0x00010001, 	/* cond: ycm.xx_cbyp_tbl_fill_lvl */
5000316485Sdavidcs	0x1c4201d9, 0x00010001, 	/* cond: ycm.xx_tbyp_tbl_fill_lvl */
5001316485Sdavidcs	0x1c4201d9, 0x00010001, 	/* cond: ycm.xx_tbyp_tbl_fill_lvl */
5002316485Sdavidcs	0x1c420216, 0x00010001, 	/* cond: ycm.sm_con_fic_buf_fill_lvl */
5003316485Sdavidcs	0x1c42021e, 0x00010001, 	/* cond: ycm.agg_task_fic_buf_fill_lvl */
5004316485Sdavidcs	0x1c42021f, 0x00010001, 	/* cond: ycm.sm_task_fic_buf_fill_lvl */
5005316485Sdavidcs	0x1c420283, 0x00010001, 	/* cond: ycm.in_prcs_tbl_fill_lvl */
5006316485Sdavidcs	0x1c4202a1, 0x00010001, 	/* cond: ycm.ccfc_init_crd */
5007316485Sdavidcs	0x1c4202a2, 0x00010001, 	/* cond: ycm.tcfc_init_crd */
5008316485Sdavidcs	0x1c4202a3, 0x00010001, 	/* cond: ycm.qm_init_crd0 */
5009316485Sdavidcs	0x1c4202a6, 0x00010001, 	/* cond: ycm.fic_init_crd */
5010316485Sdavidcs	0x1c4202ab, 0x00010001, 	/* cond: ycm.ysdm_length_mis */
5011316485Sdavidcs	0x1c4202ac, 0x00010001, 	/* cond: ycm.pbf_length_mis */
5012316485Sdavidcs	0x1c4202ad, 0x00010001, 	/* cond: ycm.xyld_length_mis */
5013316485Sdavidcs	0x1c4202ba, 0x00010001, 	/* cond: ycm.is_qm_p_fill_lvl */
5014316485Sdavidcs	0x1c4202bb, 0x00010001, 	/* cond: ycm.is_qm_s_fill_lvl */
5015316485Sdavidcs	0x1c4202bc, 0x00010001, 	/* cond: ycm.is_storm_fill_lvl */
5016316485Sdavidcs	0x1c4202be, 0x00010001, 	/* cond: ycm.is_ysdm_fill_lvl */
5017316485Sdavidcs	0x1c4202bf, 0x00010001, 	/* cond: ycm.is_xyld_fill_lvl */
5018316485Sdavidcs	0x1c4202c0, 0x00010001, 	/* cond: ycm.is_msem_fill_lvl */
5019316485Sdavidcs	0x1c4202c1, 0x00010001, 	/* cond: ycm.is_usem_fill_lvl */
5020316485Sdavidcs	0x1c4202c2, 0x00010001, 	/* cond: ycm.is_pbf_fill_lvl */
5021316485Sdavidcs	0x1d440060, 0x00010001, 	/* cond: pcm.INT_STS_0 */
5022316485Sdavidcs	0x1d440061, 0x00010001, 	/* cond: pcm.INT_MASK_0 */
5023316485Sdavidcs	0x1d440064, 0x00010001, 	/* cond: pcm.INT_STS_1 */
5024316485Sdavidcs	0x1d440065, 0x00010001, 	/* cond: pcm.INT_MASK_1 */
5025320164Sdavidcs	0x1d440080, 0x00010001, 	/* cond: pcm.PRTY_STS_H_0 */
5026320164Sdavidcs	0x1d440081, 0x00010001, 	/* cond: pcm.PRTY_MASK_H_0 */
5027316485Sdavidcs	0x1d440191, 0x00010001, 	/* cond: pcm.fi_desc_input_violate */
5028316485Sdavidcs	0x1d440192, 0x00010001, 	/* cond: pcm.ia_sm_con_part_fill_lvl */
5029316485Sdavidcs	0x1d440193, 0x00010001, 	/* cond: pcm.ia_trans_part_fill_lvl */
5030316485Sdavidcs	0x1d4401c4, 0x00010001, 	/* cond: pcm.xx_free_cnt */
5031316485Sdavidcs	0x1d4401c5, 0x00010001, 	/* cond: pcm.xx_lcid_cam_fill_lvl */
5032316485Sdavidcs	0x1d4401cf, 0x00010001, 	/* cond: pcm.xx_lock_cnt */
5033316485Sdavidcs	0x1d44020a, 0x00010001, 	/* cond: pcm.sm_con_fic_buf_fill_lvl */
5034316485Sdavidcs	0x1d440283, 0x00010001, 	/* cond: pcm.in_prcs_tbl_fill_lvl */
5035316485Sdavidcs	0x1d4402a1, 0x00010001, 	/* cond: pcm.ccfc_init_crd */
5036316485Sdavidcs	0x1d4402a2, 0x00010001, 	/* cond: pcm.fic_init_crd */
5037316485Sdavidcs	0x1d4402b2, 0x00010001, 	/* cond: pcm.is_storm_fill_lvl */
5038316485Sdavidcs	0x18460060, 0x00010001, 	/* cond: tcm.INT_STS_0 */
5039316485Sdavidcs	0x18460061, 0x00010001, 	/* cond: tcm.INT_MASK_0 */
5040316485Sdavidcs	0x18460064, 0x00010001, 	/* cond: tcm.INT_STS_1 */
5041316485Sdavidcs	0x18460065, 0x00010001, 	/* cond: tcm.INT_MASK_1 */
5042320164Sdavidcs	0x18460080, 0x00010001, 	/* cond: tcm.PRTY_STS_H_0 */
5043320164Sdavidcs	0x18460081, 0x00010001, 	/* cond: tcm.PRTY_MASK_H_0 */
5044320164Sdavidcs	0x18460084, 0x00010001, 	/* cond: tcm.PRTY_STS_H_1 */
5045320164Sdavidcs	0x18460085, 0x00010001, 	/* cond: tcm.PRTY_MASK_H_1 */
5046316485Sdavidcs	0x1846019b, 0x00010001, 	/* cond: tcm.fi_desc_input_violate */
5047316485Sdavidcs	0x1846019c, 0x00010001, 	/* cond: tcm.se_desc_input_violate */
5048316485Sdavidcs	0x1846019d, 0x00010001, 	/* cond: tcm.ia_agg_con_part_fill_lvl */
5049316485Sdavidcs	0x1846019e, 0x00010001, 	/* cond: tcm.ia_sm_con_part_fill_lvl */
5050316485Sdavidcs	0x1846019f, 0x00010001, 	/* cond: tcm.ia_agg_task_part_fill_lvl */
5051316485Sdavidcs	0x184601a0, 0x00010001, 	/* cond: tcm.ia_sm_task_part_fill_lvl */
5052316485Sdavidcs	0x184601a1, 0x00010001, 	/* cond: tcm.ia_trans_part_fill_lvl */
5053316485Sdavidcs	0x184601c4, 0x00010001, 	/* cond: tcm.xx_free_cnt */
5054316485Sdavidcs	0x184601c5, 0x00010001, 	/* cond: tcm.xx_lcid_cam_fill_lvl */
5055316485Sdavidcs	0x184601cf, 0x00010001, 	/* cond: tcm.xx_lock_cnt */
5056316485Sdavidcs	0x184601d6, 0x00010001, 	/* cond: tcm.xx_cbyp_tbl_fill_lvl */
5057316485Sdavidcs	0x184601d9, 0x00010001, 	/* cond: tcm.xx_tbyp_tbl_fill_lvl */
5058316485Sdavidcs	0x184601d9, 0x00010001, 	/* cond: tcm.xx_tbyp_tbl_fill_lvl */
5059316485Sdavidcs	0x18460215, 0x00010001, 	/* cond: tcm.agg_con_fic_buf_fill_lvl */
5060316485Sdavidcs	0x18460216, 0x00010001, 	/* cond: tcm.sm_con_fic_buf_fill_lvl */
5061316485Sdavidcs	0x1846021e, 0x00010001, 	/* cond: tcm.agg_task_fic_buf_fill_lvl */
5062316485Sdavidcs	0x1846021f, 0x00010001, 	/* cond: tcm.sm_task_fic_buf_fill_lvl */
5063316485Sdavidcs	0x18460283, 0x00010001, 	/* cond: tcm.in_prcs_tbl_fill_lvl */
5064316485Sdavidcs	0x184602a1, 0x00010001, 	/* cond: tcm.ccfc_init_crd */
5065316485Sdavidcs	0x184602a2, 0x00010001, 	/* cond: tcm.tcfc_init_crd */
5066316485Sdavidcs	0x184602a3, 0x00010001, 	/* cond: tcm.qm_init_crd0 */
5067316485Sdavidcs	0x184602a4, 0x00010001, 	/* cond: tcm.tm_init_crd */
5068316485Sdavidcs	0x184602a5, 0x00010001, 	/* cond: tcm.fic_init_crd */
5069316485Sdavidcs	0x184602ab, 0x00010001, 	/* cond: tcm.dorq_length_mis */
5070316485Sdavidcs	0x184602ac, 0x00010001, 	/* cond: tcm.pbf_length_mis */
5071316485Sdavidcs	0x184602bb, 0x00010001, 	/* cond: tcm.is_qm_p_fill_lvl */
5072316485Sdavidcs	0x184602bc, 0x00010001, 	/* cond: tcm.is_qm_s_fill_lvl */
5073316485Sdavidcs	0x184602bd, 0x00010001, 	/* cond: tcm.is_tm_fill_lvl */
5074316485Sdavidcs	0x184602be, 0x00010001, 	/* cond: tcm.is_storm_fill_lvl */
5075316485Sdavidcs	0x184602c0, 0x00010001, 	/* cond: tcm.is_msem_fill_lvl */
5076316485Sdavidcs	0x184602c2, 0x00010001, 	/* cond: tcm.is_dorq_fill_lvl */
5077316485Sdavidcs	0x184602c3, 0x00010001, 	/* cond: tcm.is_pbf_fill_lvl */
5078316485Sdavidcs	0x19480060, 0x00010001, 	/* cond: mcm.INT_STS_0 */
5079316485Sdavidcs	0x19480061, 0x00010001, 	/* cond: mcm.INT_MASK_0 */
5080316485Sdavidcs	0x19480064, 0x00010001, 	/* cond: mcm.INT_STS_1 */
5081316485Sdavidcs	0x19480065, 0x00010001, 	/* cond: mcm.INT_MASK_1 */
5082320164Sdavidcs	0x19480080, 0x00010001, 	/* cond: mcm.PRTY_STS_H_0 */
5083320164Sdavidcs	0x19480081, 0x00010001, 	/* cond: mcm.PRTY_MASK_H_0 */
5084320164Sdavidcs	0x19480084, 0x00010001, 	/* cond: mcm.PRTY_STS_H_1 */
5085320164Sdavidcs	0x19480085, 0x00010001, 	/* cond: mcm.PRTY_MASK_H_1 */
5086316485Sdavidcs	0x1948019d, 0x00010001, 	/* cond: mcm.fi_desc_input_violate */
5087316485Sdavidcs	0x1948019e, 0x00010001, 	/* cond: mcm.se_desc_input_violate */
5088316485Sdavidcs	0x1948019f, 0x00010001, 	/* cond: mcm.ia_agg_con_part_fill_lvl */
5089316485Sdavidcs	0x194801a0, 0x00010001, 	/* cond: mcm.ia_sm_con_part_fill_lvl */
5090316485Sdavidcs	0x194801a1, 0x00010001, 	/* cond: mcm.ia_agg_task_part_fill_lvl */
5091316485Sdavidcs	0x194801a2, 0x00010001, 	/* cond: mcm.ia_sm_task_part_fill_lvl */
5092316485Sdavidcs	0x194801a3, 0x00010001, 	/* cond: mcm.ia_trans_part_fill_lvl */
5093316485Sdavidcs	0x194801c4, 0x00010001, 	/* cond: mcm.xx_free_cnt */
5094316485Sdavidcs	0x194801c5, 0x00010001, 	/* cond: mcm.xx_lcid_cam_fill_lvl */
5095316485Sdavidcs	0x194801cf, 0x00010001, 	/* cond: mcm.xx_lock_cnt */
5096316485Sdavidcs	0x194801d6, 0x00010001, 	/* cond: mcm.xx_cbyp_tbl_fill_lvl */
5097316485Sdavidcs	0x194801d9, 0x00010001, 	/* cond: mcm.xx_tbyp_tbl_fill_lvl */
5098316485Sdavidcs	0x194801d9, 0x00010001, 	/* cond: mcm.xx_tbyp_tbl_fill_lvl */
5099316485Sdavidcs	0x19480215, 0x00010001, 	/* cond: mcm.agg_con_fic_buf_fill_lvl */
5100316485Sdavidcs	0x19480216, 0x00010001, 	/* cond: mcm.sm_con_fic_buf_fill_lvl */
5101316485Sdavidcs	0x1948021e, 0x00010001, 	/* cond: mcm.agg_task_fic_buf_fill_lvl */
5102316485Sdavidcs	0x1948021f, 0x00010001, 	/* cond: mcm.sm_task_fic_buf_fill_lvl */
5103316485Sdavidcs	0x19480283, 0x00010001, 	/* cond: mcm.in_prcs_tbl_fill_lvl */
5104316485Sdavidcs	0x194802a1, 0x00010001, 	/* cond: mcm.ccfc_init_crd */
5105316485Sdavidcs	0x194802a2, 0x00010001, 	/* cond: mcm.tcfc_init_crd */
5106316485Sdavidcs	0x194802a3, 0x00010001, 	/* cond: mcm.qm_init_crd0 */
5107316485Sdavidcs	0x194802a6, 0x00010001, 	/* cond: mcm.fic_init_crd */
5108316485Sdavidcs	0x194802ab, 0x00010001, 	/* cond: mcm.ysdm_length_mis */
5109316485Sdavidcs	0x194802ac, 0x00010001, 	/* cond: mcm.usdm_length_mis */
5110316485Sdavidcs	0x194802ad, 0x00010001, 	/* cond: mcm.pbf_length_mis */
5111316485Sdavidcs	0x194802ae, 0x00010001, 	/* cond: mcm.tmld_length_mis */
5112316485Sdavidcs	0x194802bc, 0x00010001, 	/* cond: mcm.is_qm_p_fill_lvl */
5113316485Sdavidcs	0x194802bd, 0x00010001, 	/* cond: mcm.is_qm_s_fill_lvl */
5114316485Sdavidcs	0x194802be, 0x00010001, 	/* cond: mcm.is_storm_fill_lvl */
5115316485Sdavidcs	0x194802c0, 0x00010001, 	/* cond: mcm.is_ysdm_fill_lvl */
5116316485Sdavidcs	0x194802c1, 0x00010001, 	/* cond: mcm.is_usdm_fill_lvl */
5117316485Sdavidcs	0x194802c2, 0x00010001, 	/* cond: mcm.is_tmld_fill_lvl */
5118316485Sdavidcs	0x194802c3, 0x00010001, 	/* cond: mcm.is_usem_fill_lvl */
5119316485Sdavidcs	0x194802c5, 0x00010001, 	/* cond: mcm.is_pbf_fill_lvl */
5120316485Sdavidcs	0x1a4a0060, 0x00010001, 	/* cond: ucm.INT_STS_0 */
5121316485Sdavidcs	0x1a4a0061, 0x00010001, 	/* cond: ucm.INT_MASK_0 */
5122316485Sdavidcs	0x1a4a0064, 0x00010001, 	/* cond: ucm.INT_STS_1 */
5123316485Sdavidcs	0x1a4a0065, 0x00010001, 	/* cond: ucm.INT_MASK_1 */
5124320164Sdavidcs	0x1a4a0080, 0x00010001, 	/* cond: ucm.PRTY_STS_H_0 */
5125320164Sdavidcs	0x1a4a0081, 0x00010001, 	/* cond: ucm.PRTY_MASK_H_0 */
5126320164Sdavidcs	0x1a4a0084, 0x00010001, 	/* cond: ucm.PRTY_STS_H_1 */
5127320164Sdavidcs	0x1a4a0085, 0x00010001, 	/* cond: ucm.PRTY_MASK_H_1 */
5128316485Sdavidcs	0x1a4a01a3, 0x00010001, 	/* cond: ucm.fi_desc_input_violate */
5129316485Sdavidcs	0x1a4a01a4, 0x00010001, 	/* cond: ucm.se_desc_input_violate */
5130316485Sdavidcs	0x1a4a01a5, 0x00010001, 	/* cond: ucm.ia_agg_con_part_fill_lvl */
5131316485Sdavidcs	0x1a4a01a6, 0x00010001, 	/* cond: ucm.ia_sm_con_part_fill_lvl */
5132316485Sdavidcs	0x1a4a01a7, 0x00010001, 	/* cond: ucm.ia_agg_task_part_fill_lvl */
5133316485Sdavidcs	0x1a4a01a8, 0x00010001, 	/* cond: ucm.ia_sm_task_part_fill_lvl */
5134316485Sdavidcs	0x1a4a01a9, 0x00010001, 	/* cond: ucm.ia_trans_part_fill_lvl */
5135316485Sdavidcs	0x1a4a01c4, 0x00010001, 	/* cond: ucm.xx_free_cnt */
5136316485Sdavidcs	0x1a4a01c5, 0x00010001, 	/* cond: ucm.xx_lcid_cam_fill_lvl */
5137316485Sdavidcs	0x1a4a01cf, 0x00010001, 	/* cond: ucm.xx_lock_cnt */
5138316485Sdavidcs	0x1a4a01d6, 0x00010001, 	/* cond: ucm.xx_cbyp_tbl_fill_lvl */
5139316485Sdavidcs	0x1a4a01d9, 0x00010001, 	/* cond: ucm.xx_tbyp_tbl_fill_lvl */
5140316485Sdavidcs	0x1a4a01d9, 0x00010001, 	/* cond: ucm.xx_tbyp_tbl_fill_lvl */
5141316485Sdavidcs	0x1a4a0215, 0x00010001, 	/* cond: ucm.agg_con_fic_buf_fill_lvl */
5142316485Sdavidcs	0x1a4a0216, 0x00010001, 	/* cond: ucm.sm_con_fic_buf_fill_lvl */
5143316485Sdavidcs	0x1a4a021e, 0x00010001, 	/* cond: ucm.agg_task_fic_buf_fill_lvl */
5144316485Sdavidcs	0x1a4a021f, 0x00010001, 	/* cond: ucm.sm_task_fic_buf_fill_lvl */
5145316485Sdavidcs	0x1a4a0283, 0x00010001, 	/* cond: ucm.in_prcs_tbl_fill_lvl */
5146316485Sdavidcs	0x1a4a02a1, 0x00010001, 	/* cond: ucm.ccfc_init_crd */
5147316485Sdavidcs	0x1a4a02a2, 0x00010001, 	/* cond: ucm.tcfc_init_crd */
5148316485Sdavidcs	0x1a4a02a3, 0x00010001, 	/* cond: ucm.qm_init_crd0 */
5149316485Sdavidcs	0x1a4a02a4, 0x00010001, 	/* cond: ucm.tm_init_crd */
5150316485Sdavidcs	0x1a4a02a5, 0x00010001, 	/* cond: ucm.fic_init_crd */
5151316485Sdavidcs	0x1a4a02ab, 0x00010001, 	/* cond: ucm.ysdm_length_mis */
5152316485Sdavidcs	0x1a4a02ac, 0x00010001, 	/* cond: ucm.usdm_length_mis */
5153316485Sdavidcs	0x1a4a02ad, 0x00010001, 	/* cond: ucm.dorq_length_mis */
5154316485Sdavidcs	0x1a4a02ae, 0x00010001, 	/* cond: ucm.pbf_length_mis */
5155316485Sdavidcs	0x1a4a02af, 0x00010001, 	/* cond: ucm.rdif_length_mis */
5156316485Sdavidcs	0x1a4a02b0, 0x00010001, 	/* cond: ucm.tdif_length_mis */
5157316485Sdavidcs	0x1a4a02b1, 0x00010001, 	/* cond: ucm.muld_length_mis */
5158316485Sdavidcs	0x1a4a02c3, 0x00010001, 	/* cond: ucm.is_qm_p_fill_lvl */
5159316485Sdavidcs	0x1a4a02c4, 0x00010001, 	/* cond: ucm.is_qm_s_fill_lvl */
5160316485Sdavidcs	0x1a4a02c5, 0x00010001, 	/* cond: ucm.is_tm_fill_lvl */
5161316485Sdavidcs	0x1a4a02c6, 0x00010001, 	/* cond: ucm.is_storm_fill_lvl */
5162316485Sdavidcs	0x1a4a02c8, 0x00010001, 	/* cond: ucm.is_ysdm_fill_lvl */
5163316485Sdavidcs	0x1a4a02c9, 0x00010001, 	/* cond: ucm.is_usdm_fill_lvl */
5164316485Sdavidcs	0x1a4a02ca, 0x00010001, 	/* cond: ucm.is_rdif_fill_lvl */
5165316485Sdavidcs	0x1a4a02cb, 0x00010001, 	/* cond: ucm.is_tdif_fill_lvl */
5166316485Sdavidcs	0x1a4a02cc, 0x00010001, 	/* cond: ucm.is_muld_fill_lvl */
5167316485Sdavidcs	0x1a4a02ce, 0x00010001, 	/* cond: ucm.is_dorq_fill_lvl */
5168316485Sdavidcs	0x1a4a02cf, 0x00010001, 	/* cond: ucm.is_pbf_fill_lvl */
5169316485Sdavidcs	0x2d500010, 0x00010001, 	/* cond: xsem.INT_STS_0 */
5170316485Sdavidcs	0x2d500011, 0x00010001, 	/* cond: xsem.INT_MASK_0 */
5171316485Sdavidcs	0x2d500014, 0x00010001, 	/* cond: xsem.INT_STS_1 */
5172316485Sdavidcs	0x2d500015, 0x00010001, 	/* cond: xsem.INT_MASK_1 */
5173316485Sdavidcs	0x2d500032, 0x00010001, 	/* cond: xsem.PRTY_STS */
5174316485Sdavidcs	0x2d500033, 0x00010001, 	/* cond: xsem.PRTY_MASK */
5175316485Sdavidcs	0x2d500110, 0x00010001, 	/* cond: xsem.pf_err_vector */
5176320164Sdavidcs	0x2d5001a0, 0x01010001, 	/* cond: xsem.foc_credit[1] */
5177316485Sdavidcs	0x2d5001a0, 0x00010001, 	/* cond: xsem.foc_credit */
5178316485Sdavidcs	0x2d500441, 0x00010001, 	/* cond: xsem.ext_pas_empty */
5179316485Sdavidcs	0x2d500448, 0x00010002, 	/* cond: xsem.fic_empty[0:1] */
5180316485Sdavidcs	0x2d500454, 0x00010001, 	/* cond: xsem.slow_ext_store_empty */
5181316485Sdavidcs	0x2d500455, 0x00010001, 	/* cond: xsem.slow_ext_load_empty */
5182316485Sdavidcs	0x2d500457, 0x00010001, 	/* cond: xsem.slow_ram_wr_empty */
5183316485Sdavidcs	0x2d500458, 0x00010001, 	/* cond: xsem.sync_dbg_empty */
5184316485Sdavidcs	0x2d500481, 0x00010001, 	/* cond: xsem.ext_store_if_full */
5185316485Sdavidcs	0x2d500491, 0x00010001, 	/* cond: xsem.ram_if_full */
5186316485Sdavidcs	0x2e540010, 0x00010001, 	/* cond: ysem.INT_STS_0 */
5187316485Sdavidcs	0x2e540011, 0x00010001, 	/* cond: ysem.INT_MASK_0 */
5188316485Sdavidcs	0x2e540014, 0x00010001, 	/* cond: ysem.INT_STS_1 */
5189316485Sdavidcs	0x2e540015, 0x00010001, 	/* cond: ysem.INT_MASK_1 */
5190316485Sdavidcs	0x2e540032, 0x00010001, 	/* cond: ysem.PRTY_STS */
5191316485Sdavidcs	0x2e540033, 0x00010001, 	/* cond: ysem.PRTY_MASK */
5192316485Sdavidcs	0x2e540110, 0x00010001, 	/* cond: ysem.pf_err_vector */
5193320164Sdavidcs	0x2e5401a0, 0x02010001, 	/* cond: ysem.foc_credit[2] */
5194320164Sdavidcs	0x2e5401a0, 0x00010001, 	/* cond: ysem.foc_credit */
5195316485Sdavidcs	0x2e5401a0, 0x01010001, 	/* cond: ysem.foc_credit[1] */
5196316485Sdavidcs	0x2e5401a0, 0x03010001, 	/* cond: ysem.foc_credit[3] */
5197320164Sdavidcs	0x2e5401a0, 0x04010001, 	/* cond: ysem.foc_credit[4] */
5198316485Sdavidcs	0x2e5401a0, 0x05010001, 	/* cond: ysem.foc_credit[5] */
5199316485Sdavidcs	0x2e540441, 0x00010001, 	/* cond: ysem.ext_pas_empty */
5200316485Sdavidcs	0x2e540448, 0x00010002, 	/* cond: ysem.fic_empty[0:1] */
5201316485Sdavidcs	0x2e540454, 0x00010001, 	/* cond: ysem.slow_ext_store_empty */
5202316485Sdavidcs	0x2e540455, 0x00010001, 	/* cond: ysem.slow_ext_load_empty */
5203316485Sdavidcs	0x2e540457, 0x00010001, 	/* cond: ysem.slow_ram_wr_empty */
5204316485Sdavidcs	0x2e540458, 0x00010001, 	/* cond: ysem.sync_dbg_empty */
5205316485Sdavidcs	0x2e540481, 0x00010001, 	/* cond: ysem.ext_store_if_full */
5206316485Sdavidcs	0x2e540491, 0x00010001, 	/* cond: ysem.ram_if_full */
5207316485Sdavidcs	0x2f580010, 0x00010001, 	/* cond: psem.INT_STS_0 */
5208316485Sdavidcs	0x2f580011, 0x00010001, 	/* cond: psem.INT_MASK_0 */
5209316485Sdavidcs	0x2f580014, 0x00010001, 	/* cond: psem.INT_STS_1 */
5210316485Sdavidcs	0x2f580015, 0x00010001, 	/* cond: psem.INT_MASK_1 */
5211316485Sdavidcs	0x2f580032, 0x00010001, 	/* cond: psem.PRTY_STS */
5212316485Sdavidcs	0x2f580033, 0x00010001, 	/* cond: psem.PRTY_MASK */
5213316485Sdavidcs	0x2f580110, 0x00010001, 	/* cond: psem.pf_err_vector */
5214316485Sdavidcs	0x2f5801a0, 0x00010001, 	/* cond: psem.foc_credit */
5215316485Sdavidcs	0x2f5801a0, 0x01010001, 	/* cond: psem.foc_credit[1] */
5216316485Sdavidcs	0x2f580441, 0x00010001, 	/* cond: psem.ext_pas_empty */
5217316485Sdavidcs	0x2f580448, 0x00010001, 	/* cond: psem.fic_empty */
5218316485Sdavidcs	0x2f580454, 0x00010001, 	/* cond: psem.slow_ext_store_empty */
5219316485Sdavidcs	0x2f580455, 0x00010001, 	/* cond: psem.slow_ext_load_empty */
5220316485Sdavidcs	0x2f580457, 0x00010001, 	/* cond: psem.slow_ram_wr_empty */
5221316485Sdavidcs	0x2f580458, 0x00010001, 	/* cond: psem.sync_dbg_empty */
5222316485Sdavidcs	0x2f580481, 0x00010001, 	/* cond: psem.ext_store_if_full */
5223316485Sdavidcs	0x2f580491, 0x00010001, 	/* cond: psem.ram_if_full */
5224316485Sdavidcs	0x2a5c0010, 0x00010001, 	/* cond: tsem.INT_STS_0 */
5225316485Sdavidcs	0x2a5c0011, 0x00010001, 	/* cond: tsem.INT_MASK_0 */
5226316485Sdavidcs	0x2a5c0014, 0x00010001, 	/* cond: tsem.INT_STS_1 */
5227316485Sdavidcs	0x2a5c0015, 0x00010001, 	/* cond: tsem.INT_MASK_1 */
5228316485Sdavidcs	0x2a5c0032, 0x00010001, 	/* cond: tsem.PRTY_STS */
5229316485Sdavidcs	0x2a5c0033, 0x00010001, 	/* cond: tsem.PRTY_MASK */
5230316485Sdavidcs	0x2a5c0110, 0x00010001, 	/* cond: tsem.pf_err_vector */
5231320164Sdavidcs	0x2a5c01a0, 0x00010001, 	/* cond: tsem.foc_credit */
5232316485Sdavidcs	0x2a5c01a0, 0x01010001, 	/* cond: tsem.foc_credit[1] */
5233316485Sdavidcs	0x2a5c0441, 0x00010001, 	/* cond: tsem.ext_pas_empty */
5234316485Sdavidcs	0x2a5c0448, 0x00010001, 	/* cond: tsem.fic_empty */
5235316485Sdavidcs	0x2a5c0454, 0x00010001, 	/* cond: tsem.slow_ext_store_empty */
5236316485Sdavidcs	0x2a5c0455, 0x00010001, 	/* cond: tsem.slow_ext_load_empty */
5237316485Sdavidcs	0x2a5c0457, 0x00010001, 	/* cond: tsem.slow_ram_wr_empty */
5238316485Sdavidcs	0x2a5c0458, 0x00010001, 	/* cond: tsem.sync_dbg_empty */
5239316485Sdavidcs	0x2a5c0481, 0x00010001, 	/* cond: tsem.ext_store_if_full */
5240316485Sdavidcs	0x2a5c0491, 0x00010001, 	/* cond: tsem.ram_if_full */
5241316485Sdavidcs	0x2b600010, 0x00010001, 	/* cond: msem.INT_STS_0 */
5242316485Sdavidcs	0x2b600011, 0x00010001, 	/* cond: msem.INT_MASK_0 */
5243316485Sdavidcs	0x2b600014, 0x00010001, 	/* cond: msem.INT_STS_1 */
5244316485Sdavidcs	0x2b600015, 0x00010001, 	/* cond: msem.INT_MASK_1 */
5245316485Sdavidcs	0x2b600032, 0x00010001, 	/* cond: msem.PRTY_STS */
5246316485Sdavidcs	0x2b600033, 0x00010001, 	/* cond: msem.PRTY_MASK */
5247320164Sdavidcs	0x2b600080, 0x00010001, 	/* cond: msem.PRTY_STS_H_0 */
5248320164Sdavidcs	0x2b600081, 0x00010001, 	/* cond: msem.PRTY_MASK_H_0 */
5249316485Sdavidcs	0x2b600110, 0x00010001, 	/* cond: msem.pf_err_vector */
5250320164Sdavidcs	0x2b6001a0, 0x03010001, 	/* cond: msem.foc_credit[3] */
5251316485Sdavidcs	0x2b6001a0, 0x04010001, 	/* cond: msem.foc_credit[4] */
5252316485Sdavidcs	0x2b6001a0, 0x05010001, 	/* cond: msem.foc_credit[5] */
5253320164Sdavidcs	0x2b6001a0, 0x00010001, 	/* cond: msem.foc_credit */
5254320164Sdavidcs	0x2b6001a0, 0x01010001, 	/* cond: msem.foc_credit[1] */
5255316485Sdavidcs	0x2b6001a0, 0x02010001, 	/* cond: msem.foc_credit[2] */
5256316485Sdavidcs	0x2b600441, 0x00010001, 	/* cond: msem.ext_pas_empty */
5257316485Sdavidcs	0x2b600448, 0x00010001, 	/* cond: msem.fic_empty */
5258316485Sdavidcs	0x2b600454, 0x00010001, 	/* cond: msem.slow_ext_store_empty */
5259316485Sdavidcs	0x2b600455, 0x00010001, 	/* cond: msem.slow_ext_load_empty */
5260316485Sdavidcs	0x2b600457, 0x00010001, 	/* cond: msem.slow_ram_wr_empty */
5261316485Sdavidcs	0x2b600458, 0x00010001, 	/* cond: msem.sync_dbg_empty */
5262316485Sdavidcs	0x2b600481, 0x00010001, 	/* cond: msem.ext_store_if_full */
5263316485Sdavidcs	0x2b600491, 0x00010001, 	/* cond: msem.ram_if_full */
5264316485Sdavidcs	0x2c640010, 0x00010001, 	/* cond: usem.INT_STS_0 */
5265316485Sdavidcs	0x2c640011, 0x00010001, 	/* cond: usem.INT_MASK_0 */
5266316485Sdavidcs	0x2c640014, 0x00010001, 	/* cond: usem.INT_STS_1 */
5267316485Sdavidcs	0x2c640015, 0x00010001, 	/* cond: usem.INT_MASK_1 */
5268316485Sdavidcs	0x2c640032, 0x00010001, 	/* cond: usem.PRTY_STS */
5269316485Sdavidcs	0x2c640033, 0x00010001, 	/* cond: usem.PRTY_MASK */
5270316485Sdavidcs	0x2c640110, 0x00010001, 	/* cond: usem.pf_err_vector */
5271320164Sdavidcs	0x2c6401a0, 0x01010001, 	/* cond: usem.foc_credit[1] */
5272320164Sdavidcs	0x2c6401a0, 0x03010001, 	/* cond: usem.foc_credit[3] */
5273316485Sdavidcs	0x2c6401a0, 0x02010001, 	/* cond: usem.foc_credit[2] */
5274316485Sdavidcs	0x2c6401a0, 0x00010001, 	/* cond: usem.foc_credit */
5275316485Sdavidcs	0x2c6401a0, 0x04010001, 	/* cond: usem.foc_credit[4] */
5276316485Sdavidcs	0x2c640441, 0x00010001, 	/* cond: usem.ext_pas_empty */
5277316485Sdavidcs	0x2c640448, 0x00010001, 	/* cond: usem.fic_empty */
5278316485Sdavidcs	0x2c640454, 0x00010001, 	/* cond: usem.slow_ext_store_empty */
5279316485Sdavidcs	0x2c640455, 0x00010001, 	/* cond: usem.slow_ext_load_empty */
5280316485Sdavidcs	0x2c640457, 0x00010001, 	/* cond: usem.slow_ram_wr_empty */
5281316485Sdavidcs	0x2c640458, 0x00010001, 	/* cond: usem.sync_dbg_empty */
5282316485Sdavidcs	0x2c640481, 0x00010001, 	/* cond: usem.ext_store_if_full */
5283316485Sdavidcs	0x2c640491, 0x00010001, 	/* cond: usem.ram_if_full */
5284316485Sdavidcs	0x0a015000, 0x00010001, 	/* cond: pcie.PRTY_STS_H_0 */
5285316485Sdavidcs	0x42060220, 0x00010009, 	/* cond: igu.pending_bits_status[0:8] */
5286316485Sdavidcs	0x42060240, 0x00010009, 	/* cond: igu.write_done_pending[0:8] */
5287316485Sdavidcs	0x050860ba, 0x00010001, 	/* cond: cnig.INT_STS */
5288316485Sdavidcs	0x050860bb, 0x00010001, 	/* cond: cnig.INT_MASK */
5289316485Sdavidcs	0x050860a7, 0x00150001, 	/* info: cnig.cnig_dbg_fifo_error mode=!(k2|e5) */
5290316485Sdavidcs	0x050860d2, 0x00010001, 	/* cond: cnig.PRTY_STS */
5291316485Sdavidcs	0x050860d3, 0x00010001, 	/* cond: cnig.PRTY_MASK */
5292316485Sdavidcs	0x0d0a8018, 0x00010001, 	/* cond: pswhst.vf_disabled_error_valid */
5293316485Sdavidcs	0x0d0a8017, 0x00000001, 	/* info: pswhst.vf_disabled_error_data mode=all */
5294316485Sdavidcs	0x040aa80e, 0x00010001, 	/* cond: pglue_b.flr_request_vf_223_192 */
5295316485Sdavidcs	0x040aa80f, 0x00010001, 	/* cond: pglue_b.flr_request_vf_255_224 */
5296316485Sdavidcs	0x040aa83c, 0x00010001, 	/* cond: pglue_b.incorrect_rcv_details */
5297316485Sdavidcs	0x040aa843, 0x00010001, 	/* cond: pglue_b.was_error_vf_223_192 */
5298316485Sdavidcs	0x040aa844, 0x00010001, 	/* cond: pglue_b.was_error_vf_255_224 */
5299316485Sdavidcs	0x040aab92, 0x00010001, 	/* cond: pglue_b.tags_159_128 */
5300316485Sdavidcs	0x040aab93, 0x00010001, 	/* cond: pglue_b.tags_191_160 */
5301316485Sdavidcs	0x040aab94, 0x00010001, 	/* cond: pglue_b.tags_223_192 */
5302316485Sdavidcs	0x040aab95, 0x00010001, 	/* cond: pglue_b.tags_255_224 */
5303320164Sdavidcs	0x410b41e3, 0x00010001, 	/* cond: tcfc.cduwb_credit */
5304316485Sdavidcs	0x1e8bc300, 0x00020040, 	/* cond: qm.PtrTblOther[0:63] width=2 access=WB */
5305316485Sdavidcs	0x1e8bc300, 0x00020040, 	/* cond: qm.PtrTblOther[0:63] width=2 access=WB */
5306316485Sdavidcs	0x1e0bc410, 0x0001000e, 	/* cond: qm.QstatusTx_0[0:13] */
5307316485Sdavidcs	0x1e0bc430, 0x00010002, 	/* cond: qm.QstatusOther_0[0:1] */
5308320164Sdavidcs	0x1e0bc560, 0x00010008, 	/* cond: qm.VoqCrdLine[0:7] */
5309320164Sdavidcs	0x1e0bc5a0, 0x00010008, 	/* cond: qm.VoqInitCrdLine[0:7] */
5310320164Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5311316485Sdavidcs	0x1e0bc560, 0x10010001, 	/* cond: qm.VoqCrdLine[16] */
5312316485Sdavidcs	0x1e0bc5a0, 0x10010001, 	/* cond: qm.VoqInitCrdLine[16] */
5313316485Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5314316485Sdavidcs	0x1e0bc560, 0x00010012, 	/* cond: qm.VoqCrdLine[0:17] */
5315316485Sdavidcs	0x1e0bc5a0, 0x00010012, 	/* cond: qm.VoqInitCrdLine[0:17] */
5316316485Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5317316485Sdavidcs	0x1e0bc5e0, 0x00010008, 	/* cond: qm.VoqCrdByte[0:7] */
5318316485Sdavidcs	0x1e0bc620, 0x00010008, 	/* cond: qm.VoqInitCrdByte[0:7] */
5319316485Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5320316485Sdavidcs	0x1e0bc5e0, 0x10010001, 	/* cond: qm.VoqCrdByte[16] */
5321316485Sdavidcs	0x1e0bc620, 0x10010001, 	/* cond: qm.VoqInitCrdByte[16] */
5322316485Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5323316485Sdavidcs	0x1e0bc5e0, 0x00010012, 	/* cond: qm.VoqCrdByte[0:17] */
5324316485Sdavidcs	0x1e0bc620, 0x00010012, 	/* cond: qm.VoqInitCrdByte[0:17] */
5325316485Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5326316485Sdavidcs	0x1e8bf800, 0x000201c0, 	/* cond: qm.PtrTblTx[0:447] width=2 access=WB */
5327316485Sdavidcs	0x1e8bf800, 0x000201c0, 	/* cond: qm.PtrTblTx[0:447] width=2 access=WB */
5328316485Sdavidcs	0x210d03cc, 0x00010004, 	/* cond: brb.wc_empty_0[0:3] */
5329316485Sdavidcs	0x210d041e, 0x00010001, 	/* cond: brb.rc_eop_empty */
5330316485Sdavidcs	0x218d06c0, 0x00030004, 	/* cond: brb.wc_status_0[0:3] width=3 access=WB */
5331316485Sdavidcs	0x4b140028, 0x00010001, 	/* cond: nig.PRTY_STS */
5332316485Sdavidcs	0x4b140029, 0x00010001, 	/* cond: nig.PRTY_MASK */
5333316485Sdavidcs	0x4b1422db, 0x00000001, 	/* info: nig.rx_parity_err mode=all */
5334316485Sdavidcs	0x4b1422dc, 0x00000001, 	/* info: nig.tx_parity_err mode=all */
5335316485Sdavidcs	0x4b1422dd, 0x00000001, 	/* info: nig.lb_parity_err mode=all */
5336316485Sdavidcs	0x3b36c030, 0x00010001, 	/* cond: btb.INT_STS_0 */
5337316485Sdavidcs	0x3b36c031, 0x00010001, 	/* cond: btb.INT_MASK_0 */
5338316485Sdavidcs	0x3bb6c400, 0x00000008, 	/* info: btb.stopped_rd_req[0:3] width=2 access=WB mode=all */
5339316485Sdavidcs	0x3bb6c440, 0x00000008, 	/* info: btb.stopped_rls_req[0:3] width=2 access=WB mode=all */
5340316485Sdavidcs	0x3b36c048, 0x00010001, 	/* cond: btb.INT_STS_4 */
5341316485Sdavidcs	0x3b36c049, 0x00010001, 	/* cond: btb.INT_MASK_4 */
5342316485Sdavidcs	0x3bb6c400, 0x00000008, 	/* info: btb.stopped_rd_req[0:3] width=2 access=WB mode=all */
5343316485Sdavidcs	0x3bb6c440, 0x00000008, 	/* info: btb.stopped_rls_req[0:3] width=2 access=WB mode=all */
5344316485Sdavidcs	0x1b4002aa, 0x00010001, 	/* cond: xcm.msdm_length_mis */
5345316485Sdavidcs	0x1b4002c4, 0x00010001, 	/* cond: xcm.is_msdm_fill_lvl */
5346316485Sdavidcs	0x1b4002ca, 0x00010001, 	/* cond: xcm.is_ysem_fill_lvl */
5347316485Sdavidcs	0x1b401000, 0x000101c0, 	/* cond: xcm.qm_act_st_cnt[0:447] */
5348316485Sdavidcs	0x1c4202aa, 0x00010001, 	/* cond: ycm.msdm_length_mis */
5349316485Sdavidcs	0x1c4202bd, 0x00010001, 	/* cond: ycm.is_msdm_fill_lvl */
5350316485Sdavidcs	0x1d4402aa, 0x00010001, 	/* cond: pcm.psdm_length_mis */
5351316485Sdavidcs	0x1d4402b3, 0x00010001, 	/* cond: pcm.is_psdm_fill_lvl */
5352316485Sdavidcs	0x184602aa, 0x00010001, 	/* cond: tcm.tsdm_length_mis */
5353316485Sdavidcs	0x184602bf, 0x00010001, 	/* cond: tcm.is_tsdm_fill_lvl */
5354316485Sdavidcs	0x194802aa, 0x00010001, 	/* cond: mcm.msdm_length_mis */
5355316485Sdavidcs	0x194802bf, 0x00010001, 	/* cond: mcm.is_msdm_fill_lvl */
5356316485Sdavidcs	0x194802c4, 0x00010001, 	/* cond: mcm.is_ysem_fill_lvl */
5357316485Sdavidcs	0x2dd00108, 0x00040001, 	/* cond: xsem.vf_err_vector width=4 access=WB */
5358316485Sdavidcs	0x2ed40108, 0x00040001, 	/* cond: ysem.vf_err_vector width=4 access=WB */
5359316485Sdavidcs	0x2fd80108, 0x00040001, 	/* cond: psem.vf_err_vector width=4 access=WB */
5360316485Sdavidcs	0x2adc0108, 0x00040001, 	/* cond: tsem.vf_err_vector width=4 access=WB */
5361316485Sdavidcs	0x2be00108, 0x00040001, 	/* cond: msem.vf_err_vector width=4 access=WB */
5362316485Sdavidcs	0x2ce40108, 0x00040001, 	/* cond: usem.vf_err_vector width=4 access=WB */
5363316485Sdavidcs	0x0a015000, 0x00010001, 	/* cond: pcie.PRTY_STS_H_0 */
5364316485Sdavidcs	0x0a015001, 0x00010001, 	/* cond: pcie.PRTY_MASK_H_0 */
5365316485Sdavidcs	0x42060220, 0x0001000c, 	/* cond: igu.pending_bits_status[0:11] */
5366316485Sdavidcs	0x42060240, 0x0001000c, 	/* cond: igu.write_done_pending[0:11] */
5367316485Sdavidcs	0x0d0a8018, 0x00010001, 	/* cond: pswhst.vf_disabled_error_valid */
5368316485Sdavidcs	0x0d0a8017, 0x00000001, 	/* info: pswhst.vf_disabled_error_data mode=all */
5369320164Sdavidcs	0x410b41e3, 0x00010001, 	/* cond: tcfc.cduwb_credit */
5370316485Sdavidcs	0x1e0bc410, 0x00010010, 	/* cond: qm.QstatusTx_0[0:15] */
5371316485Sdavidcs	0x1e0bc430, 0x00010004, 	/* cond: qm.QstatusOther_0[0:3] */
5372316485Sdavidcs	0x1e0bc560, 0x00010008, 	/* cond: qm.VoqCrdLine[0:7] */
5373316485Sdavidcs	0x1e0bc5a0, 0x00010008, 	/* cond: qm.VoqInitCrdLine[0:7] */
5374316485Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5375316485Sdavidcs	0x1e0bc560, 0x00010014, 	/* cond: qm.VoqCrdLine[0:19] */
5376316485Sdavidcs	0x1e0bc5a0, 0x00010014, 	/* cond: qm.VoqInitCrdLine[0:19] */
5377316485Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5378316485Sdavidcs	0x1e0bc560, 0x10010001, 	/* cond: qm.VoqCrdLine[16] */
5379316485Sdavidcs	0x1e0bc5a0, 0x10010001, 	/* cond: qm.VoqInitCrdLine[16] */
5380316485Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5381316485Sdavidcs	0x1e0bc5e0, 0x10010001, 	/* cond: qm.VoqCrdByte[16] */
5382316485Sdavidcs	0x1e0bc620, 0x10010001, 	/* cond: qm.VoqInitCrdByte[16] */
5383316485Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5384316485Sdavidcs	0x1e0bc5e0, 0x00010014, 	/* cond: qm.VoqCrdByte[0:19] */
5385316485Sdavidcs	0x1e0bc620, 0x00010014, 	/* cond: qm.VoqInitCrdByte[0:19] */
5386316485Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5387316485Sdavidcs	0x1e0bc5e0, 0x00010008, 	/* cond: qm.VoqCrdByte[0:7] */
5388316485Sdavidcs	0x1e0bc620, 0x00010008, 	/* cond: qm.VoqInitCrdByte[0:7] */
5389316485Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5390316485Sdavidcs	0x210d03cc, 0x00010008, 	/* cond: brb.wc_empty_0[0:7] */
5391320164Sdavidcs	0x210d041e, 0x00010001, 	/* cond: brb.rc_eop_empty */
5392316485Sdavidcs	0x218d06c0, 0x00030008, 	/* cond: brb.wc_status_0[0:7] width=3 access=WB */
5393316485Sdavidcs	0x3b36c030, 0x00010001, 	/* cond: btb.INT_STS_0 */
5394316485Sdavidcs	0x3b36c031, 0x00010001, 	/* cond: btb.INT_MASK_0 */
5395316485Sdavidcs	0x3bb6c400, 0x00000010, 	/* info: btb.stopped_rd_req[0:7] width=2 access=WB mode=all */
5396316485Sdavidcs	0x3bb6c440, 0x00000010, 	/* info: btb.stopped_rls_req[0:7] width=2 access=WB mode=all */
5397316485Sdavidcs	0x3b36c048, 0x00010001, 	/* cond: btb.INT_STS_4 */
5398316485Sdavidcs	0x3b36c049, 0x00010001, 	/* cond: btb.INT_MASK_4 */
5399316485Sdavidcs	0x3bb6c400, 0x00000010, 	/* info: btb.stopped_rd_req[0:7] width=2 access=WB mode=all */
5400316485Sdavidcs	0x3bb6c440, 0x00000010, 	/* info: btb.stopped_rls_req[0:7] width=2 access=WB mode=all */
5401316485Sdavidcs	0x1b4002aa, 0x00010001, 	/* cond: xcm.msdm_length_mis */
5402316485Sdavidcs	0x1b4002c4, 0x00010001, 	/* cond: xcm.is_msdm_fill_lvl */
5403316485Sdavidcs	0x1b4002ca, 0x00010001, 	/* cond: xcm.is_ysem_fill_lvl */
5404316485Sdavidcs	0x1c4202aa, 0x00010001, 	/* cond: ycm.msdm_length_mis */
5405316485Sdavidcs	0x1c4202bd, 0x00010001, 	/* cond: ycm.is_msdm_fill_lvl */
5406316485Sdavidcs	0x1d4402aa, 0x00010001, 	/* cond: pcm.psdm_length_mis */
5407316485Sdavidcs	0x1d4402b3, 0x00010001, 	/* cond: pcm.is_psdm_fill_lvl */
5408316485Sdavidcs	0x184602aa, 0x00010001, 	/* cond: tcm.tsdm_length_mis */
5409316485Sdavidcs	0x184602bf, 0x00010001, 	/* cond: tcm.is_tsdm_fill_lvl */
5410316485Sdavidcs	0x194802aa, 0x00010001, 	/* cond: mcm.msdm_length_mis */
5411316485Sdavidcs	0x194802bf, 0x00010001, 	/* cond: mcm.is_msdm_fill_lvl */
5412316485Sdavidcs	0x194802c4, 0x00010001, 	/* cond: mcm.is_ysem_fill_lvl */
5413316485Sdavidcs	0x14090279, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_7 */
5414316485Sdavidcs	0x140902d8, 0x00010001, 	/* cond: pswrq2.max_srs_vq7 */
5415316485Sdavidcs	0x1409027a, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_8 */
5416316485Sdavidcs	0x140902d9, 0x00010001, 	/* cond: pswrq2.max_srs_vq8 */
5417316485Sdavidcs	0x1409027c, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_10 */
5418316485Sdavidcs	0x140902db, 0x00010001, 	/* cond: pswrq2.max_srs_vq10 */
5419316485Sdavidcs	0x1409027f, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_13 */
5420316485Sdavidcs	0x140902de, 0x00010001, 	/* cond: pswrq2.max_srs_vq13 */
5421316485Sdavidcs	0x14090280, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_14 */
5422316485Sdavidcs	0x140902df, 0x00010001, 	/* cond: pswrq2.max_srs_vq14 */
5423316485Sdavidcs	0x14090286, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_20 */
5424316485Sdavidcs	0x140902e5, 0x00010001, 	/* cond: pswrq2.max_srs_vq20 */
5425316485Sdavidcs	0x1409028b, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_25 */
5426316485Sdavidcs	0x140902ea, 0x00010001, 	/* cond: pswrq2.max_srs_vq25 */
5427316485Sdavidcs	0x1409028d, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_27 */
5428316485Sdavidcs	0x140902ec, 0x00010001, 	/* cond: pswrq2.max_srs_vq27 */
5429316485Sdavidcs	0x14090291, 0x00010001, 	/* cond: pswrq2.sr_cnt_per_vq_31 */
5430316485Sdavidcs	0x140902f0, 0x00010001, 	/* cond: pswrq2.max_srs_vq31 */
5431316485Sdavidcs	0x1409029a, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_7 */
5432316485Sdavidcs	0x14090258, 0x00010001, 	/* cond: pswrq2.max_blks_vq7 */
5433316485Sdavidcs	0x1409029b, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_8 */
5434316485Sdavidcs	0x14090259, 0x00010001, 	/* cond: pswrq2.max_blks_vq8 */
5435316485Sdavidcs	0x1409029d, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_10 */
5436316485Sdavidcs	0x1409025b, 0x00010001, 	/* cond: pswrq2.max_blks_vq10 */
5437316485Sdavidcs	0x140902a0, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_13 */
5438316485Sdavidcs	0x1409025e, 0x00010001, 	/* cond: pswrq2.max_blks_vq13 */
5439316485Sdavidcs	0x140902a1, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_14 */
5440316485Sdavidcs	0x1409025f, 0x00010001, 	/* cond: pswrq2.max_blks_vq14 */
5441316485Sdavidcs	0x140902a7, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_20 */
5442316485Sdavidcs	0x14090265, 0x00010001, 	/* cond: pswrq2.max_blks_vq20 */
5443316485Sdavidcs	0x140902ac, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_25 */
5444316485Sdavidcs	0x1409026a, 0x00010001, 	/* cond: pswrq2.max_blks_vq25 */
5445316485Sdavidcs	0x140902ae, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_27 */
5446316485Sdavidcs	0x1409026c, 0x00010001, 	/* cond: pswrq2.max_blks_vq27 */
5447316485Sdavidcs	0x140902b2, 0x00010001, 	/* cond: pswrq2.blk_cnt_per_vq_31 */
5448316485Sdavidcs	0x14090270, 0x00010001, 	/* cond: pswrq2.max_blks_vq31 */
5449316485Sdavidcs	0x1f0b0064, 0x00010001, 	/* cond: tm.INT_STS_1 */
5450320164Sdavidcs	0x09150100, 0x00010001, 	/* cond: bmb.PRTY_STS_H_0 */
5451320164Sdavidcs	0x09150101, 0x00010001, 	/* cond: bmb.PRTY_MASK_H_0 */
5452320164Sdavidcs	0x09150104, 0x00010001, 	/* cond: bmb.PRTY_STS_H_1 */
5453320164Sdavidcs	0x09150105, 0x00010001, 	/* cond: bmb.PRTY_MASK_H_1 */
5454316485Sdavidcs	0x1f0b0064, 0x00010001, 	/* cond: tm.INT_STS_1 */
5455316485Sdavidcs	0x15000841, 0x00010001, 	/* cond: pglcs.pgl_cs.UNCORR_ERR_STATUS_OFF */
5456316485Sdavidcs	0x15000841, 0x00010001, 	/* cond: pglcs.pgl_cs.UNCORR_ERR_STATUS_OFF */
5457316485Sdavidcs	0x15000841, 0x00010001, 	/* cond: pglcs.pgl_cs.UNCORR_ERR_STATUS_OFF */
5458316485Sdavidcs	0x15000847, 0x00010004, 	/* cond: pglcs.pgl_cs.HDR_LOG_0_OFF[0:3] */
5459316485Sdavidcs	0x15000e0c, 0x00010001, 	/* cond: pglcs.syncfifo_pop_underflow */
5460316485Sdavidcs	0x15000e0d, 0x00010001, 	/* cond: pglcs.syncfifo_push_overflow */
5461316485Sdavidcs	0x15000e0e, 0x00010001, 	/* cond: pglcs.tx_syncfifo_pop_status */
5462316485Sdavidcs	0x0a0151e8, 0x00010001, 	/* cond: pcie.INT_STS */
5463316485Sdavidcs	0x05086086, 0x00010001, 	/* cond: cnig.INT_STS */
5464316485Sdavidcs	0x05086087, 0x00010001, 	/* cond: cnig.INT_MASK */
5465316485Sdavidcs	0x050860a7, 0x00150001, 	/* info: cnig.cnig_dbg_fifo_error mode=!(k2|e5) */
5466316485Sdavidcs	0x0508608b, 0x00010001, 	/* cond: cnig.PRTY_STS */
5467316485Sdavidcs	0x0508608c, 0x00010001, 	/* cond: cnig.PRTY_MASK */
5468316485Sdavidcs	0x040aabf1, 0x00010001, 	/* cond: pglue_b.syncfifo_push_overflow */
5469316485Sdavidcs	0x040aabf2, 0x00010001, 	/* cond: pglue_b.syncfifo_pop_underflow */
5470316485Sdavidcs	0x040aabf3, 0x00010001, 	/* cond: pglue_b.rxh_syncfifo_pop_status */
5471316485Sdavidcs	0x040aabf4, 0x00010001, 	/* cond: pglue_b.rxd_syncfifo_pop_status */
5472316485Sdavidcs	0x040aabf3, 0x00250001, 	/* info: pglue_b.rxh_syncfifo_pop_status mode=!bb */
5473316485Sdavidcs	0x1e8bc300, 0x00020080, 	/* cond: qm.PtrTblOther[0:127] width=2 access=WB */
5474316485Sdavidcs	0x1e8bc300, 0x00020080, 	/* cond: qm.PtrTblOther[0:127] width=2 access=WB */
5475316485Sdavidcs	0x1e8bf800, 0x00020200, 	/* cond: qm.PtrTblTx[0:511] width=2 access=WB */
5476316485Sdavidcs	0x1e8bf800, 0x00020200, 	/* cond: qm.PtrTblTx[0:511] width=2 access=WB */
5477316485Sdavidcs	0x210d0494, 0x00010001, 	/* cond: brb.mac2_tc_occupancy_0 */
5478316485Sdavidcs	0x210d0495, 0x00010001, 	/* cond: brb.mac2_tc_occupancy_1 */
5479316485Sdavidcs	0x210d0496, 0x00010001, 	/* cond: brb.mac2_tc_occupancy_2 */
5480316485Sdavidcs	0x210d0497, 0x00010001, 	/* cond: brb.mac2_tc_occupancy_3 */
5481316485Sdavidcs	0x210d0498, 0x00010001, 	/* cond: brb.mac2_tc_occupancy_4 */
5482316485Sdavidcs	0x210d04a4, 0x00010001, 	/* cond: brb.mac3_tc_occupancy_0 */
5483316485Sdavidcs	0x210d04a5, 0x00010001, 	/* cond: brb.mac3_tc_occupancy_1 */
5484316485Sdavidcs	0x210d04a6, 0x00010001, 	/* cond: brb.mac3_tc_occupancy_2 */
5485316485Sdavidcs	0x210d04a7, 0x00010001, 	/* cond: brb.mac3_tc_occupancy_3 */
5486316485Sdavidcs	0x210d04a8, 0x00010001, 	/* cond: brb.mac3_tc_occupancy_4 */
5487316485Sdavidcs	0x4b140028, 0x00010001, 	/* cond: nig.INT_STS_6 */
5488316485Sdavidcs	0x4b140029, 0x00010001, 	/* cond: nig.INT_MASK_6 */
5489316485Sdavidcs	0x4b14002c, 0x00010001, 	/* cond: nig.INT_STS_7 */
5490316485Sdavidcs	0x4b14002d, 0x00010001, 	/* cond: nig.INT_MASK_7 */
5491316485Sdavidcs	0x4b1406e8, 0x00000001, 	/* info: nig.flowctrl_mode mode=all */
5492316485Sdavidcs	0x4b140713, 0x00000001, 	/* info: nig.rx_flowctrl_status mode=all */
5493316485Sdavidcs	0x4b140030, 0x00010001, 	/* cond: nig.INT_STS_8 */
5494316485Sdavidcs	0x4b140031, 0x00010001, 	/* cond: nig.INT_MASK_8 */
5495316485Sdavidcs	0x4b140034, 0x00010001, 	/* cond: nig.INT_STS_9 */
5496316485Sdavidcs	0x4b140035, 0x00010001, 	/* cond: nig.INT_MASK_9 */
5497316485Sdavidcs	0x4b1406e8, 0x00000001, 	/* info: nig.flowctrl_mode mode=all */
5498316485Sdavidcs	0x4b140713, 0x00000001, 	/* info: nig.rx_flowctrl_status mode=all */
5499316485Sdavidcs	0x4b140038, 0x00010001, 	/* cond: nig.PRTY_STS */
5500316485Sdavidcs	0x4b140039, 0x00010001, 	/* cond: nig.PRTY_MASK */
5501316485Sdavidcs	0x4b1422db, 0x00000001, 	/* info: nig.rx_parity_err mode=all */
5502316485Sdavidcs	0x4b1422dc, 0x00000001, 	/* info: nig.tx_parity_err mode=all */
5503316485Sdavidcs	0x4b1422dd, 0x00000001, 	/* info: nig.lb_parity_err mode=all */
5504316485Sdavidcs	0x501c0003, 0x00010001, 	/* cond: nws.common_status */
5505316485Sdavidcs	0x501c0003, 0x00010001, 	/* cond: nws.common_status */
5506316485Sdavidcs	0x501c0060, 0x00010001, 	/* cond: nws.INT_STS_0 */
5507316485Sdavidcs	0x501c0080, 0x00010001, 	/* cond: nws.PRTY_STS_H_0 */
5508316485Sdavidcs	0x501c0081, 0x00010001, 	/* cond: nws.PRTY_MASK_H_0 */
5509316485Sdavidcs	0x501c9827, 0x00010001, 	/* cond: nws.nws_cmu.ln0_top_phy_if_status */
5510316485Sdavidcs	0x501c0000, 0x00010001, 	/* cond: nws.common_control */
5511316485Sdavidcs	0x501ca027, 0x00010001, 	/* cond: nws.nws_cmu.ln1_top_phy_if_status */
5512316485Sdavidcs	0x501c0000, 0x00010001, 	/* cond: nws.common_control */
5513316485Sdavidcs	0x501ca827, 0x00010001, 	/* cond: nws.nws_cmu.ln2_top_phy_if_status */
5514316485Sdavidcs	0x501c0000, 0x00010001, 	/* cond: nws.common_control */
5515316485Sdavidcs	0x501cb027, 0x00010001, 	/* cond: nws.nws_cmu.ln3_top_phy_if_status */
5516316485Sdavidcs	0x501c0000, 0x00010001, 	/* cond: nws.common_control */
5517316485Sdavidcs	0x4f200001, 0x00010001, 	/* cond: nwm.INT_STS */
5518316485Sdavidcs	0x4f200001, 0x00010001, 	/* cond: nwm.INT_STS */
5519316485Sdavidcs	0x4f200080, 0x00010001, 	/* cond: nwm.PRTY_STS_H_0 */
5520316485Sdavidcs	0x4f200081, 0x00010001, 	/* cond: nwm.PRTY_MASK_H_0 */
5521316485Sdavidcs	0x4f200084, 0x00010001, 	/* cond: nwm.PRTY_STS_H_1 */
5522316485Sdavidcs	0x4f200085, 0x00010001, 	/* cond: nwm.PRTY_MASK_H_1 */
5523316485Sdavidcs	0x4f200088, 0x00010001, 	/* cond: nwm.PRTY_STS_H_2 */
5524316485Sdavidcs	0x4f200089, 0x00010001, 	/* cond: nwm.PRTY_MASK_H_2 */
5525316485Sdavidcs	0x3b36c26d, 0x00010001, 	/* cond: btb.rc_pkt_empty_4 */
5526316485Sdavidcs	0x3b36c26e, 0x00010001, 	/* cond: btb.rc_pkt_empty_5 */
5527316485Sdavidcs	0x3b36c26f, 0x00010001, 	/* cond: btb.rc_pkt_empty_6 */
5528316485Sdavidcs	0x3b36c270, 0x00010001, 	/* cond: btb.rc_pkt_empty_7 */
5529316485Sdavidcs	0x1b401000, 0x00010200, 	/* cond: xcm.qm_act_st_cnt[0:511] */
5530316485Sdavidcs	0x2dd00108, 0x00080001, 	/* cond: xsem.vf_err_vector width=8 access=WB */
5531316485Sdavidcs	0x2ed40108, 0x00080001, 	/* cond: ysem.vf_err_vector width=8 access=WB */
5532316485Sdavidcs	0x2fd80108, 0x00080001, 	/* cond: psem.vf_err_vector width=8 access=WB */
5533316485Sdavidcs	0x2adc0108, 0x00080001, 	/* cond: tsem.vf_err_vector width=8 access=WB */
5534316485Sdavidcs	0x2be00108, 0x00080001, 	/* cond: msem.vf_err_vector width=8 access=WB */
5535316485Sdavidcs	0x2ce40108, 0x00080001, 	/* cond: usem.vf_err_vector width=8 access=WB */
5536316485Sdavidcs	0x42060220, 0x00010010, 	/* cond: igu.pending_bits_status[0:15] */
5537316485Sdavidcs	0x42060240, 0x00010010, 	/* cond: igu.write_done_pending[0:15] */
5538320164Sdavidcs	0x410b41e3, 0x00010001, 	/* cond: tcfc.cduwb_credit */
5539320164Sdavidcs	0x1e0bfe80, 0x00010024, 	/* cond: qm.VoqCrdLine[0:35] */
5540320164Sdavidcs	0x1e0bfec0, 0x00010024, 	/* cond: qm.VoqInitCrdLine[0:35] */
5541316485Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5542316485Sdavidcs	0x1e0bfe80, 0x00010008, 	/* cond: qm.VoqCrdLine[0:7] */
5543316485Sdavidcs	0x1e0bfec0, 0x00010008, 	/* cond: qm.VoqInitCrdLine[0:7] */
5544316485Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5545320164Sdavidcs	0x1e0bfe80, 0x10010001, 	/* cond: qm.VoqCrdLine[16] */
5546320164Sdavidcs	0x1e0bfec0, 0x10010001, 	/* cond: qm.VoqInitCrdLine[16] */
5547316485Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5548320164Sdavidcs	0x1e0bff00, 0x10010001, 	/* cond: qm.VoqCrdByte[16] */
5549320164Sdavidcs	0x1e0bff40, 0x10010001, 	/* cond: qm.VoqInitCrdByte[16] */
5550320164Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5551316485Sdavidcs	0x1e0bff00, 0x00010008, 	/* cond: qm.VoqCrdByte[0:7] */
5552316485Sdavidcs	0x1e0bff40, 0x00010008, 	/* cond: qm.VoqInitCrdByte[0:7] */
5553316485Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5554316485Sdavidcs	0x1e0bff00, 0x00010024, 	/* cond: qm.VoqCrdByte[0:35] */
5555316485Sdavidcs	0x1e0bff40, 0x00010024, 	/* cond: qm.VoqInitCrdByte[0:35] */
5556316485Sdavidcs	0x02002300, 0x00010001, 	/* cond: misc.port_mode */
5557320164Sdavidcs	0x210d041e, 0x00010001, 	/* cond: brb.rc_eop_empty */
5558316485Sdavidcs	0x3b36c030, 0x00010001, 	/* cond: btb.INT_STS_0 */
5559316485Sdavidcs	0x3b36c031, 0x00010001, 	/* cond: btb.INT_MASK_0 */
5560316485Sdavidcs	0x3bb6c400, 0x00000020, 	/* info: btb.stopped_rd_req[0:7] width=3 access=WB mode=all */
5561316485Sdavidcs	0x3bb6c440, 0x00000010, 	/* info: btb.stopped_rls_req[0:7] width=2 access=WB mode=all */
5562316485Sdavidcs	0x3b36c048, 0x00010001, 	/* cond: btb.INT_STS_4 */
5563316485Sdavidcs	0x3b36c049, 0x00010001, 	/* cond: btb.INT_MASK_4 */
5564316485Sdavidcs	0x3bb6c400, 0x00000020, 	/* info: btb.stopped_rd_req[0:7] width=3 access=WB mode=all */
5565316485Sdavidcs	0x3bb6c440, 0x00000010, 	/* info: btb.stopped_rls_req[0:7] width=2 access=WB mode=all */
5566320164Sdavidcs	0x1b400791, 0x00010001, 	/* cond: xcm.msdm_length_mis */
5567320164Sdavidcs	0x1b400793, 0x00010001, 	/* cond: xcm.is_msdm_fill_lvl */
5568320164Sdavidcs	0x1b4007c2, 0x00010001, 	/* cond: xcm.is_ysem_fill_lvl */
5569320164Sdavidcs	0x1c4207b1, 0x00010001, 	/* cond: ycm.msdm_length_mis */
5570320164Sdavidcs	0x1c4207b3, 0x00010001, 	/* cond: ycm.is_msdm_fill_lvl */
5571320164Sdavidcs	0x1d4405d5, 0x00010001, 	/* cond: pcm.psdm_length_mis */
5572320164Sdavidcs	0x1d4405d7, 0x00010001, 	/* cond: pcm.is_psdm_fill_lvl */
5573320164Sdavidcs	0x184606c1, 0x00010001, 	/* cond: tcm.tsdm_length_mis */
5574320164Sdavidcs	0x184606c3, 0x00010001, 	/* cond: tcm.is_tsdm_fill_lvl */
5575320164Sdavidcs	0x19480a41, 0x00010001, 	/* cond: mcm.msdm_length_mis */
5576320164Sdavidcs	0x19480a43, 0x00010001, 	/* cond: mcm.is_msdm_fill_lvl */
5577320164Sdavidcs	0x19480a82, 0x00010001, 	/* cond: mcm.is_ysem_fill_lvl */
5578320164Sdavidcs	0x15000841, 0x00010001, 	/* cond: pglcs.pgl_cs.uc_err_status */
5579320164Sdavidcs	0x15000841, 0x00010001, 	/* cond: pglcs.pgl_cs.uc_err_status */
5580320164Sdavidcs	0x15000841, 0x00010001, 	/* cond: pglcs.pgl_cs.uc_err_status */
5581320164Sdavidcs	0x15000847, 0x00010004, 	/* cond: pglcs.pgl_cs.header_log1[0:3] */
5582320164Sdavidcs	0x15000a05, 0x00010001, 	/* cond: pglcs.pgl_cs.tl_ctrlstat_5 */
5583320164Sdavidcs	0x15000a05, 0x00010001, 	/* cond: pglcs.pgl_cs.tl_ctrlstat_5 */
5584320164Sdavidcs	0x15000a15, 0x00010001, 	/* cond: pglcs.pgl_cs.tl_func345_stat */
5585320164Sdavidcs	0x15000a17, 0x00010001, 	/* cond: pglcs.pgl_cs.tl_func678_stat */
5586320164Sdavidcs	0x15000c10, 0x00010001, 	/* cond: pglcs.pgl_cs.DLATTN_VEC */
5587320164Sdavidcs	0x01002464, 0x00010001, 	/* cond: miscs.INT_STS_1 */
5588320164Sdavidcs	0x01002465, 0x00010001, 	/* cond: miscs.INT_MASK_1 */
5589320164Sdavidcs	0x42060084, 0x00010001, 	/* cond: igu.PRTY_STS_H_1 */
5590320164Sdavidcs	0x42060085, 0x00010001, 	/* cond: igu.PRTY_MASK_H_1 */
5591320164Sdavidcs	0x4b140391, 0x00010001, 	/* cond: nig.rx_macfifo_empty */
5592316485Sdavidcs	0x08014c00, 0x00010001, 	/* cond: opte.PRTY_STS_H_0 */
5593316485Sdavidcs	0x08014c01, 0x00010001, 	/* cond: opte.PRTY_MASK_H_0 */
5594320164Sdavidcs	0x43070327, 0x00010001, 	/* cond: cau.agg_units_0to15_state */
5595320164Sdavidcs	0x43070328, 0x00010001, 	/* cond: cau.agg_units_16to31_state */
5596320164Sdavidcs	0x43070329, 0x00010001, 	/* cond: cau.agg_units_32to47_state */
5597320164Sdavidcs	0x4307032a, 0x00010001, 	/* cond: cau.agg_units_48to63_state */
5598320164Sdavidcs	0x430703c3, 0x00010001, 	/* cond: cau.igu_cqe_cmd_fsm_status */
5599320164Sdavidcs	0x430703c4, 0x00010001, 	/* cond: cau.igu_cqe_agg_fsm_status */
5600316485Sdavidcs	0x2307c085, 0x00010001, 	/* cond: prs.PRTY_STS_H_1 */
5601316485Sdavidcs	0x2307c086, 0x00010001, 	/* cond: prs.PRTY_MASK_H_1 */
5602316485Sdavidcs	0x2307c2d4, 0x00010001, 	/* cond: prs.prs_pkt_ct */
5603316485Sdavidcs	0x2307c3c3, 0x00010001, 	/* cond: prs.tcm_current_credit */
5604316485Sdavidcs	0x3008e30e, 0x00010001, 	/* cond: rss.empty_status */
5605316485Sdavidcs	0x3008e30f, 0x00010001, 	/* cond: rss.full_status */
5606316485Sdavidcs	0x3008e310, 0x00010001, 	/* cond: rss.counters_status */
5607316485Sdavidcs	0x3008e311, 0x00010001, 	/* cond: rss.state_machines */
5608316485Sdavidcs	0x33132013, 0x00010001, 	/* cond: yuld.pending_msg_to_ext_ev_1_ctr */
5609316485Sdavidcs	0x33132014, 0x00010001, 	/* cond: yuld.pending_msg_to_ext_ev_2_ctr */
5610316485Sdavidcs	0x33132015, 0x00010001, 	/* cond: yuld.pending_msg_to_ext_ev_3_ctr */
5611316485Sdavidcs	0x33132016, 0x00010001, 	/* cond: yuld.pending_msg_to_ext_ev_4_ctr */
5612316485Sdavidcs	0x33132017, 0x00010001, 	/* cond: yuld.pending_msg_to_ext_ev_5_ctr */
5613316485Sdavidcs	0x33132018, 0x00010001, 	/* cond: yuld.foc_remain_credits */
5614316485Sdavidcs	0x33132003, 0x00010001, 	/* cond: yuld.foci_foc_credits */
5615316485Sdavidcs	0x3313202c, 0x00010001, 	/* cond: yuld.dbg_pending_ccfc_req */
5616316485Sdavidcs	0x3313202d, 0x00010001, 	/* cond: yuld.dbg_pending_tcfc_req */
5617316485Sdavidcs	0x33132080, 0x00010001, 	/* cond: yuld.PRTY_STS_H_0 */
5618316485Sdavidcs	0x33132081, 0x00010001, 	/* cond: yuld.PRTY_MASK_H_0 */
5619316485Sdavidcs	0x4b140394, 0x00010001, 	/* cond: nig.tx_macfifo_empty */
5620316485Sdavidcs	0x3c360080, 0x00010001, 	/* cond: pbf.PRTY_STS_H_0 */
5621316485Sdavidcs	0x3c360081, 0x00010001, 	/* cond: pbf.PRTY_MASK_H_0 */
5622316485Sdavidcs	0x3c360084, 0x00010001, 	/* cond: pbf.PRTY_STS_H_1 */
5623316485Sdavidcs	0x3c360085, 0x00010001, 	/* cond: pbf.PRTY_MASK_H_1 */
5624316485Sdavidcs	0x1d4402ab, 0x00010001, 	/* cond: pcm.pbf_length_mis */
5625316485Sdavidcs	0x1d4402b4, 0x00010001, 	/* cond: pcm.is_pbf_fill_lvl */
5626316485Sdavidcs	0x184602ad, 0x00010001, 	/* cond: tcm.prs_length_mis */
5627316485Sdavidcs	0x184602c4, 0x00010001, 	/* cond: tcm.is_prs_fill_lvl */
5628316485Sdavidcs	0x1a4a02b2, 0x00010001, 	/* cond: ucm.yuld_length_mis */
5629316485Sdavidcs	0x1a4a02cd, 0x00010001, 	/* cond: ucm.is_yuld_fill_lvl */
5630316485Sdavidcs	0x2d500080, 0x00010001, 	/* cond: xsem.PRTY_STS_H_0 */
5631316485Sdavidcs	0x2d500081, 0x00010001, 	/* cond: xsem.PRTY_MASK_H_0 */
5632316485Sdavidcs	0x2d5002c1, 0x00010001, 	/* cond: xsem.thread_error */
5633316485Sdavidcs	0x2d5002c2, 0x00010001, 	/* cond: xsem.thread_rdy */
5634316485Sdavidcs	0x2d5002c4, 0x00010001, 	/* cond: xsem.thread_valid */
5635316485Sdavidcs	0x2d500440, 0x00010001, 	/* cond: xsem.dra_empty */
5636316485Sdavidcs	0x2d500450, 0x00010001, 	/* cond: xsem.slow_dbg_empty */
5637316485Sdavidcs	0x2d500451, 0x00010001, 	/* cond: xsem.slow_dra_fin_empty */
5638316485Sdavidcs	0x2d500452, 0x00010001, 	/* cond: xsem.slow_dra_rd_empty */
5639316485Sdavidcs	0x2d500453, 0x00010001, 	/* cond: xsem.slow_dra_wr_empty */
5640320164Sdavidcs	0x2d500456, 0x00010001, 	/* cond: xsem.slow_ram_rd_empty */
5641316485Sdavidcs	0x2d500459, 0x00010001, 	/* cond: xsem.thread_fifo_empty */
5642316485Sdavidcs	0x2d500490, 0x00010001, 	/* cond: xsem.pas_if_full */
5643316485Sdavidcs	0x2d5004c2, 0x00010001, 	/* cond: xsem.thread_orun_num */
5644316485Sdavidcs	0x2d500505, 0x00010001, 	/* cond: xsem.dbg_if_full */
5645316485Sdavidcs	0x2e540080, 0x00010001, 	/* cond: ysem.PRTY_STS_H_0 */
5646316485Sdavidcs	0x2e540081, 0x00010001, 	/* cond: ysem.PRTY_MASK_H_0 */
5647316485Sdavidcs	0x2e5402c1, 0x00010001, 	/* cond: ysem.thread_error */
5648316485Sdavidcs	0x2e5402c2, 0x00010001, 	/* cond: ysem.thread_rdy */
5649316485Sdavidcs	0x2e5402c4, 0x00010001, 	/* cond: ysem.thread_valid */
5650316485Sdavidcs	0x2e540440, 0x00010001, 	/* cond: ysem.dra_empty */
5651316485Sdavidcs	0x2e540450, 0x00010001, 	/* cond: ysem.slow_dbg_empty */
5652316485Sdavidcs	0x2e540451, 0x00010001, 	/* cond: ysem.slow_dra_fin_empty */
5653316485Sdavidcs	0x2e540452, 0x00010001, 	/* cond: ysem.slow_dra_rd_empty */
5654316485Sdavidcs	0x2e540453, 0x00010001, 	/* cond: ysem.slow_dra_wr_empty */
5655320164Sdavidcs	0x2e540456, 0x00010001, 	/* cond: ysem.slow_ram_rd_empty */
5656316485Sdavidcs	0x2e540459, 0x00010001, 	/* cond: ysem.thread_fifo_empty */
5657316485Sdavidcs	0x2e540490, 0x00010001, 	/* cond: ysem.pas_if_full */
5658316485Sdavidcs	0x2e5404c2, 0x00010001, 	/* cond: ysem.thread_orun_num */
5659316485Sdavidcs	0x2e540505, 0x00010001, 	/* cond: ysem.dbg_if_full */
5660316485Sdavidcs	0x2f580080, 0x00010001, 	/* cond: psem.PRTY_STS_H_0 */
5661316485Sdavidcs	0x2f580081, 0x00010001, 	/* cond: psem.PRTY_MASK_H_0 */
5662316485Sdavidcs	0x2f5802c1, 0x00010001, 	/* cond: psem.thread_error */
5663316485Sdavidcs	0x2f5802c2, 0x00010001, 	/* cond: psem.thread_rdy */
5664316485Sdavidcs	0x2f5802c4, 0x00010001, 	/* cond: psem.thread_valid */
5665316485Sdavidcs	0x2f580440, 0x00010001, 	/* cond: psem.dra_empty */
5666316485Sdavidcs	0x2f580450, 0x00010001, 	/* cond: psem.slow_dbg_empty */
5667316485Sdavidcs	0x2f580451, 0x00010001, 	/* cond: psem.slow_dra_fin_empty */
5668316485Sdavidcs	0x2f580452, 0x00010001, 	/* cond: psem.slow_dra_rd_empty */
5669316485Sdavidcs	0x2f580453, 0x00010001, 	/* cond: psem.slow_dra_wr_empty */
5670320164Sdavidcs	0x2f580456, 0x00010001, 	/* cond: psem.slow_ram_rd_empty */
5671316485Sdavidcs	0x2f580459, 0x00010001, 	/* cond: psem.thread_fifo_empty */
5672316485Sdavidcs	0x2f580490, 0x00010001, 	/* cond: psem.pas_if_full */
5673316485Sdavidcs	0x2f5804c2, 0x00010001, 	/* cond: psem.thread_orun_num */
5674316485Sdavidcs	0x2f580505, 0x00010001, 	/* cond: psem.dbg_if_full */
5675316485Sdavidcs	0x2a5c0080, 0x00010001, 	/* cond: tsem.PRTY_STS_H_0 */
5676316485Sdavidcs	0x2a5c0081, 0x00010001, 	/* cond: tsem.PRTY_MASK_H_0 */
5677316485Sdavidcs	0x2a5c02c1, 0x00010001, 	/* cond: tsem.thread_error */
5678316485Sdavidcs	0x2a5c02c2, 0x00010001, 	/* cond: tsem.thread_rdy */
5679316485Sdavidcs	0x2a5c02c4, 0x00010001, 	/* cond: tsem.thread_valid */
5680316485Sdavidcs	0x2a5c0440, 0x00010001, 	/* cond: tsem.dra_empty */
5681316485Sdavidcs	0x2a5c0450, 0x00010001, 	/* cond: tsem.slow_dbg_empty */
5682316485Sdavidcs	0x2a5c0451, 0x00010001, 	/* cond: tsem.slow_dra_fin_empty */
5683316485Sdavidcs	0x2a5c0452, 0x00010001, 	/* cond: tsem.slow_dra_rd_empty */
5684316485Sdavidcs	0x2a5c0453, 0x00010001, 	/* cond: tsem.slow_dra_wr_empty */
5685320164Sdavidcs	0x2a5c0456, 0x00010001, 	/* cond: tsem.slow_ram_rd_empty */
5686316485Sdavidcs	0x2a5c0459, 0x00010001, 	/* cond: tsem.thread_fifo_empty */
5687316485Sdavidcs	0x2a5c0490, 0x00010001, 	/* cond: tsem.pas_if_full */
5688316485Sdavidcs	0x2a5c04c2, 0x00010001, 	/* cond: tsem.thread_orun_num */
5689316485Sdavidcs	0x2a5c0505, 0x00010001, 	/* cond: tsem.dbg_if_full */
5690316485Sdavidcs	0x2b6002c1, 0x00010001, 	/* cond: msem.thread_error */
5691316485Sdavidcs	0x2b6002c2, 0x00010001, 	/* cond: msem.thread_rdy */
5692316485Sdavidcs	0x2b6002c4, 0x00010001, 	/* cond: msem.thread_valid */
5693316485Sdavidcs	0x2b600440, 0x00010001, 	/* cond: msem.dra_empty */
5694316485Sdavidcs	0x2b600450, 0x00010001, 	/* cond: msem.slow_dbg_empty */
5695316485Sdavidcs	0x2b600451, 0x00010001, 	/* cond: msem.slow_dra_fin_empty */
5696316485Sdavidcs	0x2b600452, 0x00010001, 	/* cond: msem.slow_dra_rd_empty */
5697316485Sdavidcs	0x2b600453, 0x00010001, 	/* cond: msem.slow_dra_wr_empty */
5698320164Sdavidcs	0x2b600456, 0x00010001, 	/* cond: msem.slow_ram_rd_empty */
5699316485Sdavidcs	0x2b600459, 0x00010001, 	/* cond: msem.thread_fifo_empty */
5700316485Sdavidcs	0x2b600490, 0x00010001, 	/* cond: msem.pas_if_full */
5701316485Sdavidcs	0x2b6004c2, 0x00010001, 	/* cond: msem.thread_orun_num */
5702316485Sdavidcs	0x2b600505, 0x00010001, 	/* cond: msem.dbg_if_full */
5703316485Sdavidcs	0x2c640080, 0x00010001, 	/* cond: usem.PRTY_STS_H_0 */
5704316485Sdavidcs	0x2c640081, 0x00010001, 	/* cond: usem.PRTY_MASK_H_0 */
5705316485Sdavidcs	0x2c6402c1, 0x00010001, 	/* cond: usem.thread_error */
5706316485Sdavidcs	0x2c6402c2, 0x00010001, 	/* cond: usem.thread_rdy */
5707316485Sdavidcs	0x2c6402c4, 0x00010001, 	/* cond: usem.thread_valid */
5708316485Sdavidcs	0x2c640440, 0x00010001, 	/* cond: usem.dra_empty */
5709316485Sdavidcs	0x2c640450, 0x00010001, 	/* cond: usem.slow_dbg_empty */
5710316485Sdavidcs	0x2c640451, 0x00010001, 	/* cond: usem.slow_dra_fin_empty */
5711316485Sdavidcs	0x2c640452, 0x00010001, 	/* cond: usem.slow_dra_rd_empty */
5712316485Sdavidcs	0x2c640453, 0x00010001, 	/* cond: usem.slow_dra_wr_empty */
5713320164Sdavidcs	0x2c640456, 0x00010001, 	/* cond: usem.slow_ram_rd_empty */
5714316485Sdavidcs	0x2c640459, 0x00010001, 	/* cond: usem.thread_fifo_empty */
5715316485Sdavidcs	0x2c640490, 0x00010001, 	/* cond: usem.pas_if_full */
5716316485Sdavidcs	0x2c6404c2, 0x00010001, 	/* cond: usem.thread_orun_num */
5717316485Sdavidcs	0x2c640505, 0x00010001, 	/* cond: usem.dbg_if_full */
5718316485Sdavidcs	0x0c014810, 0x00010001, 	/* cond: mcp2.PRTY_STS */
5719316485Sdavidcs	0x0c014811, 0x00010001, 	/* cond: mcp2.PRTY_MASK */
5720320164Sdavidcs	0x0c014881, 0x00010001, 	/* cond: mcp2.PRTY_STS_H_0 */
5721320164Sdavidcs	0x0c014882, 0x00010001, 	/* cond: mcp2.PRTY_MASK_H_0 */
5722316485Sdavidcs	0x07010000, 0x00010001, 	/* cond: ncsi.PRTY_STS_H_0 */
5723316485Sdavidcs	0x07010001, 0x00010001, 	/* cond: ncsi.PRTY_MASK_H_0 */
5724316485Sdavidcs	0x48014460, 0x00010001, 	/* cond: umac.INT_STS */
5725316485Sdavidcs	0x48014460, 0x00010001, 	/* cond: umac.INT_STS */
5726316485Sdavidcs	0x501c8180, 0x00010001, 	/* cond: nws.nws_cmu.phy0_top_err_ctrl0 */
5727316485Sdavidcs	0x501c8181, 0x00010001, 	/* cond: nws.nws_cmu.phy0_top_err_ctrl1 */
5728316485Sdavidcs	0x501c8182, 0x00010001, 	/* cond: nws.nws_cmu.phy0_top_err_ctrl2 */
5729316485Sdavidcs	0x501c8188, 0x00010001, 	/* cond: nws.nws_cmu.phy0_top_regbus_err_info_status0 */
5730316485Sdavidcs	0x501c8188, 0x00010001, 	/* cond: nws.nws_cmu.phy0_top_regbus_err_info_status0 */
5731316485Sdavidcs	0x501c8188, 0x00010001, 	/* cond: nws.nws_cmu.phy0_top_regbus_err_info_status0 */
5732316485Sdavidcs	0x501c8188, 0x00010001, 	/* cond: nws.nws_cmu.phy0_top_regbus_err_info_status0 */
5733316485Sdavidcs	0x501c8189, 0x00010001, 	/* cond: nws.nws_cmu.phy0_top_regbus_err_info_status1 */
5734316485Sdavidcs	0x501c818a, 0x00010001, 	/* cond: nws.nws_cmu.phy0_top_regbus_err_info_status2 */
5735316485Sdavidcs	0x501c818b, 0x00010001, 	/* cond: nws.nws_cmu.phy0_top_regbus_err_info_status3 */
5736316485Sdavidcs	0x501c8852, 0x00010001, 	/* cond: nws.nws_cmu.cmu_lc0_top_phy_if_status */
5737316485Sdavidcs	0x501c8880, 0x00010001, 	/* cond: nws.nws_cmu.cmu_lc0_top_err_ctrl1 */
5738316485Sdavidcs	0x501c8881, 0x00010001, 	/* cond: nws.nws_cmu.cmu_lc0_top_err_ctrl2 */
5739316485Sdavidcs	0x501c8882, 0x00010001, 	/* cond: nws.nws_cmu.cmu_lc0_top_err_ctrl3 */
5740316485Sdavidcs	0x501c8c52, 0x00010001, 	/* cond: nws.nws_cmu.cmu_r0_top_phy_if_status */
5741316485Sdavidcs	0x501c8c80, 0x00010001, 	/* cond: nws.nws_cmu.cmu_r0_top_err_ctrl1 */
5742316485Sdavidcs	0x501c8c81, 0x00010001, 	/* cond: nws.nws_cmu.cmu_r0_top_err_ctrl2 */
5743316485Sdavidcs	0x501c8c82, 0x00010001, 	/* cond: nws.nws_cmu.cmu_r0_top_err_ctrl3 */
5744316485Sdavidcs	0x501c9850, 0x00010001, 	/* cond: nws.nws_cmu.ln0_top_err_ctrl1 */
5745316485Sdavidcs	0x501c9851, 0x00010001, 	/* cond: nws.nws_cmu.ln0_top_err_ctrl2 */
5746316485Sdavidcs	0x501c9852, 0x00010001, 	/* cond: nws.nws_cmu.ln0_top_err_ctrl3 */
5747316485Sdavidcs	0x501ca050, 0x00010001, 	/* cond: nws.nws_cmu.ln1_top_err_ctrl1 */
5748316485Sdavidcs	0x501ca051, 0x00010001, 	/* cond: nws.nws_cmu.ln1_top_err_ctrl2 */
5749316485Sdavidcs	0x501ca052, 0x00010001, 	/* cond: nws.nws_cmu.ln1_top_err_ctrl3 */
5750316485Sdavidcs	0x501ca850, 0x00010001, 	/* cond: nws.nws_cmu.ln2_top_err_ctrl1 */
5751316485Sdavidcs	0x501ca851, 0x00010001, 	/* cond: nws.nws_cmu.ln2_top_err_ctrl2 */
5752316485Sdavidcs	0x501ca852, 0x00010001, 	/* cond: nws.nws_cmu.ln2_top_err_ctrl3 */
5753316485Sdavidcs	0x501cb050, 0x00010001, 	/* cond: nws.nws_cmu.ln3_top_err_ctrl1 */
5754316485Sdavidcs	0x501cb051, 0x00010001, 	/* cond: nws.nws_cmu.ln3_top_err_ctrl2 */
5755316485Sdavidcs	0x501cb052, 0x00010001, 	/* cond: nws.nws_cmu.ln3_top_err_ctrl3 */
5756316485Sdavidcs	0x4e008147, 0x00010001, 	/* cond: ipc.PRTY_STS */
5757316485Sdavidcs	0x4e008148, 0x00010001, 	/* cond: ipc.PRTY_MASK */
5758316485Sdavidcs};
5759320164Sdavidcs/* Data size: 13904 bytes */
5760316485Sdavidcs
5761316485Sdavidcs/* Idle check immediates */
5762316485Sdavidcsstatic const u32 idle_chk_imms[] = {
5763316485Sdavidcs	0x00000000, 0x000000b0, 0x00000000, 0x0000000f, 0x00000000, 0x34000000,
5764316485Sdavidcs	0x00000000, 0x00000060, 0x00000000, 0x00000005, 0x00000010, 0x00000002,
5765316485Sdavidcs	0x00000000, 0x00000001, 0x00000000, 0x00000020, 0x000000b7, 0x00000004,
5766320164Sdavidcs	0x00000008, 0x00000003, 0x00022aab, 0x0000eaaa, 0x0001bc01, 0x00000000,
5767320164Sdavidcs	0x0076417c, 0x00000000, 0x00000011, 0x00000001, 0x00000000, 0xffffffff,
5768320164Sdavidcs	0x007fffff, 0x80000000, 0x7f800000, 0x0000041e, 0x00000030, 0x000000ff,
5769316485Sdavidcs	0x000fffff, 0x0000ffff, 0x000000ff, 0x00000000, 0x00000007, 0x00000000,
5770316485Sdavidcs	0x00001ffe, 0x0000002e, 0x000001ff, 0x00000040, 0x00000028, 0x0000002a,
5771320164Sdavidcs	0x00000034, 0x0000001d, 0x0000003f, 0x0000000a, 0x0000000e, 0x0000001a,
5772320164Sdavidcs	0x00000026, 0x00000017, 0x0000002c, 0x0000003c, 0x00000032, 0x00003f02,
5773316485Sdavidcs	0x00000000, 0x3fffffc0, 0x00000006, 0xc0000000, 0x0000001e, 0x003fffff,
5774316485Sdavidcs	0x00000002, 0x00000030, 0x00000004, 0x00000003, 0x000003e1, 0x00000381,
5775320164Sdavidcs	0x00002000, 0x00000000, 0x000fd010, 0x00000000, 0x00100000, 0x00000000,
5776320164Sdavidcs	0x0000e001, 0x00000000, 0x00000004, 0x00000004, 0x00000002, 0x00000002,
5777316485Sdavidcs	0x00000001, 0x0000000e, 0x00000001, 0x00000001, 0x00000001, 0x0000000f,
5778316485Sdavidcs	0x00000001, 0x00000001, 0x00000001, 0x00000010, 0x00000001, 0x00000001,
5779316485Sdavidcs	0x00000001, 0x00000011, 0x00000001, 0x00000001, 0x000001fe, 0x00000000,
5780316485Sdavidcs	0x02000000, 0x00000000, 0x02040902, 0x00000000, 0x10240902, 0x00000000,
5781316485Sdavidcs	0x0000001f, 0x00000004, 0x00000000,
5782316485Sdavidcs};
5783316485Sdavidcs/* Data size: 444 bytes */
5784316485Sdavidcs
5785316485Sdavidcs/* Idle check rules */
5786316485Sdavidcsstatic const u32 idle_chk_rules[] = {
5787320164Sdavidcs	0x0bb80000, 	/* mode all */
5788316485Sdavidcs	0x00000000, 0x00010002, 0x00000000, 	/* ((r1&~r2)!=0), r1=misc.INT_STS, r2=misc.INT_MASK,  */
5789316485Sdavidcs	0x01000001, 0x00010101, 0x00000002, 	/* (r1!=0), r1=misc.aeu_after_invert_1_igu,  */
5790316485Sdavidcs	0x04000002, 0x00020101, 0x00010004, 	/* ((r1&~0xB0)!=0), r1=misc.aeu_after_invert_2_igu,  */
5791316485Sdavidcs	0x04000003, 0x00020101, 0x00030006, 	/* ((r1&~0xF)!=0), r1=misc.aeu_after_invert_4_igu,  */
5792316485Sdavidcs	0x01000004, 0x00010101, 0x00000008, 	/* (r1!=0), r1=misc.aeu_after_invert_5_igu,  */
5793316485Sdavidcs	0x01000005, 0x00010101, 0x0000000a, 	/* (r1!=0), r1=misc.aeu_after_invert_6_igu,  */
5794316485Sdavidcs	0x01000006, 0x00010101, 0x0000000c, 	/* (r1!=0), r1=misc.aeu_after_invert_7_igu,  */
5795316485Sdavidcs	0x04000007, 0x00020101, 0x0005000e, 	/* ((r1&~0x34000000)!=0), r1=misc.aeu_after_invert_8_igu,  */
5796316485Sdavidcs	0x04000008, 0x00020101, 0x00070010, 	/* ((r1&~0x60)!=0), r1=misc.aeu_after_invert_9_igu,  */
5797316485Sdavidcs	0x01000009, 0x00010101, 0x00000012, 	/* (r1!=0), r1=misc.aeu_after_invert_1_mcp,  */
5798316485Sdavidcs	0x0400000a, 0x00020101, 0x00010014, 	/* ((r1&~0xB0)!=0), r1=misc.aeu_after_invert_2_mcp,  */
5799316485Sdavidcs	0x0400000b, 0x00020101, 0x00030016, 	/* ((r1&~0xF)!=0), r1=misc.aeu_after_invert_4_mcp,  */
5800316485Sdavidcs	0x0100000c, 0x00010101, 0x00000018, 	/* (r1!=0), r1=misc.aeu_after_invert_5_mcp,  */
5801316485Sdavidcs	0x0100000d, 0x00010101, 0x0000001a, 	/* (r1!=0), r1=misc.aeu_after_invert_6_mcp,  */
5802316485Sdavidcs	0x0100000e, 0x00010101, 0x0000001c, 	/* (r1!=0), r1=misc.aeu_after_invert_7_mcp,  */
5803316485Sdavidcs	0x0400000f, 0x00020101, 0x0005001e, 	/* ((r1&~0x34000000)!=0), r1=misc.aeu_after_invert_8_mcp,  */
5804316485Sdavidcs	0x04000010, 0x00020101, 0x00070020, 	/* ((r1&~0x60)!=0), r1=misc.aeu_after_invert_9_mcp,  */
5805316485Sdavidcs	0x01000011, 0x00010001, 0x00000022, 	/* (r1!=0), r1=misc.aeu_sys_kill_occurred,  */
5806316485Sdavidcs	0x00000012, 0x00010002, 0x00000023, 	/* ((r1&~r2)!=0), r1=miscs.INT_STS_0, r2=miscs.INT_MASK_0,  */
5807316485Sdavidcs	0x01020013, 0x00010001, 0x00000025, 	/* (r1!=0), r1=miscs.pcie_hot_reset,  */
5808316485Sdavidcs	0x01010014, 0x00010001, 0x00000026, 	/* (r1!=0), r1=dmae.go_c0,  */
5809316485Sdavidcs	0x01010015, 0x00010001, 0x00000027, 	/* (r1!=0), r1=dmae.go_c1,  */
5810316485Sdavidcs	0x01010016, 0x00010001, 0x00000028, 	/* (r1!=0), r1=dmae.go_c2,  */
5811316485Sdavidcs	0x01010017, 0x00010001, 0x00000029, 	/* (r1!=0), r1=dmae.go_c3,  */
5812316485Sdavidcs	0x01010018, 0x00010001, 0x0000002a, 	/* (r1!=0), r1=dmae.go_c4,  */
5813316485Sdavidcs	0x01010019, 0x00010001, 0x0000002b, 	/* (r1!=0), r1=dmae.go_c5,  */
5814316485Sdavidcs	0x0101001a, 0x00010001, 0x0000002c, 	/* (r1!=0), r1=dmae.go_c6,  */
5815316485Sdavidcs	0x0101001b, 0x00010001, 0x0000002d, 	/* (r1!=0), r1=dmae.go_c7,  */
5816316485Sdavidcs	0x0101001c, 0x00010001, 0x0000002e, 	/* (r1!=0), r1=dmae.go_c8,  */
5817316485Sdavidcs	0x0101001d, 0x00010001, 0x0000002f, 	/* (r1!=0), r1=dmae.go_c9,  */
5818316485Sdavidcs	0x0101001e, 0x00010001, 0x00000030, 	/* (r1!=0), r1=dmae.go_c10,  */
5819316485Sdavidcs	0x0101001f, 0x00010001, 0x00000031, 	/* (r1!=0), r1=dmae.go_c11,  */
5820316485Sdavidcs	0x01010020, 0x00010001, 0x00000032, 	/* (r1!=0), r1=dmae.go_c12,  */
5821316485Sdavidcs	0x01010021, 0x00010001, 0x00000033, 	/* (r1!=0), r1=dmae.go_c13,  */
5822316485Sdavidcs	0x01010022, 0x00010001, 0x00000034, 	/* (r1!=0), r1=dmae.go_c14,  */
5823316485Sdavidcs	0x01010023, 0x00010001, 0x00000035, 	/* (r1!=0), r1=dmae.go_c15,  */
5824316485Sdavidcs	0x01010024, 0x00010001, 0x00000036, 	/* (r1!=0), r1=dmae.go_c16,  */
5825316485Sdavidcs	0x01010025, 0x00010001, 0x00000037, 	/* (r1!=0), r1=dmae.go_c17,  */
5826316485Sdavidcs	0x01010026, 0x00010001, 0x00000038, 	/* (r1!=0), r1=dmae.go_c18,  */
5827316485Sdavidcs	0x01010027, 0x00010001, 0x00000039, 	/* (r1!=0), r1=dmae.go_c19,  */
5828316485Sdavidcs	0x01010028, 0x00010001, 0x0000003a, 	/* (r1!=0), r1=dmae.go_c20,  */
5829316485Sdavidcs	0x01010029, 0x00010001, 0x0000003b, 	/* (r1!=0), r1=dmae.go_c21,  */
5830316485Sdavidcs	0x0101002a, 0x00010001, 0x0000003c, 	/* (r1!=0), r1=dmae.go_c22,  */
5831316485Sdavidcs	0x0101002b, 0x00010001, 0x0000003d, 	/* (r1!=0), r1=dmae.go_c23,  */
5832316485Sdavidcs	0x0101002c, 0x00010001, 0x0000003e, 	/* (r1!=0), r1=dmae.go_c24,  */
5833316485Sdavidcs	0x0101002d, 0x00010001, 0x0000003f, 	/* (r1!=0), r1=dmae.go_c25,  */
5834316485Sdavidcs	0x0101002e, 0x00010001, 0x00000040, 	/* (r1!=0), r1=dmae.go_c26,  */
5835316485Sdavidcs	0x0101002f, 0x00010001, 0x00000041, 	/* (r1!=0), r1=dmae.go_c27,  */
5836316485Sdavidcs	0x01010030, 0x00010001, 0x00000042, 	/* (r1!=0), r1=dmae.go_c28,  */
5837316485Sdavidcs	0x01010031, 0x00010001, 0x00000043, 	/* (r1!=0), r1=dmae.go_c29,  */
5838316485Sdavidcs	0x01010032, 0x00010001, 0x00000044, 	/* (r1!=0), r1=dmae.go_c30,  */
5839316485Sdavidcs	0x01010033, 0x00010001, 0x00000045, 	/* (r1!=0), r1=dmae.go_c31,  */
5840320164Sdavidcs	0x00000034, 0x00010002, 0x00000046, 	/* ((r1&~r2)!=0), r1=dmae.PRTY_STS_H_0, r2=dmae.PRTY_MASK_H_0,  */
5841320164Sdavidcs	0x00000035, 0x00010002, 0x00000048, 	/* ((r1&~r2)!=0), r1=dbg.PRTY_STS_H_0, r2=dbg.PRTY_MASK_H_0,  */
5842320164Sdavidcs	0x01020036, 0x00010001, 0x0000004a, 	/* (r1!=0), r1=grc.trace_fifo_valid_data,  */
5843320164Sdavidcs	0x00000037, 0x00010002, 0x0000004b, 	/* ((r1&~r2)!=0), r1=grc.INT_STS_0, r2=grc.INT_MASK_0,  */
5844320164Sdavidcs	0x00000038, 0x00010002, 0x0000004d, 	/* ((r1&~r2)!=0), r1=grc.PRTY_STS_H_0, r2=grc.PRTY_MASK_H_0,  */
5845320164Sdavidcs	0x00000039, 0x00010002, 0x0000004f, 	/* ((r1&~r2)!=0), r1=dorq.INT_STS, r2=dorq.INT_MASK,  */
5846320164Sdavidcs	0x0000003a, 0x00010002, 0x00000051, 	/* ((r1&~r2)!=0), r1=dorq.PRTY_STS_H_0, r2=dorq.PRTY_MASK_H_0,  */
5847320164Sdavidcs	0x0100003b, 0x00010001, 0x00090053, 	/* (r1!=reset1), r1=dorq.xcm_msg_init_crd,  */
5848320164Sdavidcs	0x0100003c, 0x00010001, 0x00090054, 	/* (r1!=reset1), r1=dorq.tcm_msg_init_crd,  */
5849320164Sdavidcs	0x0100003d, 0x00010001, 0x00090055, 	/* (r1!=reset1), r1=dorq.ucm_msg_init_crd,  */
5850320164Sdavidcs	0x0100003e, 0x00010001, 0x000a0056, 	/* (r1!=reset1), r1=dorq.pbf_cmd_init_crd,  */
5851320164Sdavidcs	0x0100003f, 0x00010001, 0x00000057, 	/* (r1!=0), r1=dorq.pf_usage_cnt,  */
5852320164Sdavidcs	0x01000040, 0x00010001, 0x00000058, 	/* (r1!=0), r1=dorq.vf_usage_cnt,  */
5853320164Sdavidcs	0x01000041, 0x00010001, 0x00000059, 	/* (r1!=0), r1=dorq.cfc_ld_req_fifo_fill_lvl,  */
5854320164Sdavidcs	0x01000042, 0x00010001, 0x0000005a, 	/* (r1!=0), r1=dorq.dorq_fifo_fill_lvl,  */
5855320164Sdavidcs	0x01020043, 0x00010201, 0x0000005b, 	/* (r1!=0), r1=dorq.db_drop_cnt,  */
5856320164Sdavidcs	0x01020044, 0x00010201, 0x0000005e, 	/* (r1!=0), r1=dorq.dpm_abort_cnt,  */
5857320164Sdavidcs	0x01000045, 0x00010001, 0x00000061, 	/* (r1!=0), r1=dorq.dpm_tbl_fill_lvl,  */
5858320164Sdavidcs	0x00000046, 0x00010002, 0x00000062, 	/* ((r1&~r2)!=0), r1=igu.PRTY_STS, r2=igu.PRTY_MASK,  */
5859320164Sdavidcs	0x00000047, 0x00010002, 0x00000064, 	/* ((r1&~r2)!=0), r1=igu.PRTY_STS_H_0, r2=igu.PRTY_MASK_H_0,  */
5860320164Sdavidcs	0x01010048, 0x00010001, 0x00000066, 	/* (r1!=0), r1=igu.attn_write_done_pending,  */
5861320164Sdavidcs	0x01020049, 0x00010001, 0x00000067, 	/* (r1!=0), r1=igu.Interrupt_status,  */
5862320164Sdavidcs	0x0100004a, 0x00010001, 0x00000068, 	/* (r1!=0), r1=igu.error_handling_data_valid,  */
5863320164Sdavidcs	0x0100004b, 0x00010001, 0x00000069, 	/* (r1!=0), r1=igu.silent_drop,  */
5864320164Sdavidcs	0x0102004c, 0x00010001, 0x0000006a, 	/* (r1!=0), r1=igu.sb_ctrl_fsm,  */
5865320164Sdavidcs	0x0102004d, 0x00010001, 0x0000006b, 	/* (r1!=0), r1=igu.int_handle_fsm,  */
5866320164Sdavidcs	0x0402004e, 0x00020001, 0x000b006c, 	/* ((r1&~0x2)!=0), r1=igu.attn_fsm,  */
5867320164Sdavidcs	0x0402004f, 0x00020001, 0x000d006d, 	/* ((r1&~0x1)!=0), r1=igu.ctrl_fsm,  */
5868320164Sdavidcs	0x04020050, 0x00020001, 0x000d006e, 	/* ((r1&~0x1)!=0), r1=igu.pxp_arb_fsm,  */
5869320164Sdavidcs	0x00000051, 0x00010002, 0x0000006f, 	/* ((r1&~r2)!=0), r1=cau.PRTY_STS_H_0, r2=cau.PRTY_MASK_H_0,  */
5870320164Sdavidcs	0x01010052, 0x00010001, 0x000d0071, 	/* (r1!=1), r1=cau.igu_req_credit_status,  */
5871320164Sdavidcs	0x01010053, 0x00010001, 0x000d0072, 	/* (r1!=1), r1=cau.igu_cmd_credit_status,  */
5872320164Sdavidcs	0x01010054, 0x00010001, 0x00000073, 	/* (r1!=0), r1=cau.debug_fifo_status,  */
5873320164Sdavidcs	0x01000055, 0x00010001, 0x00000074, 	/* (r1!=0), r1=cau.error_pxp_req,  */
5874320164Sdavidcs	0x01000056, 0x00010101, 0x00000075, 	/* (r1!=0), r1=cau.error_fsm_line,  */
5875320164Sdavidcs	0x01000057, 0x00010001, 0x00000077, 	/* (r1!=0), r1=cau.parity_latch_status,  */
5876320164Sdavidcs	0x01000058, 0x00010001, 0x00000078, 	/* (r1!=0), r1=cau.error_cleanup_cmd_reg,  */
5877320164Sdavidcs	0x03010059, 0x00000002, 0x00000079, 	/* (r1!=r2), r1=cau.req_counter, r2=cau.ack_counter,  */
5878320164Sdavidcs	0x0301005a, 0x00000002, 0x0000007b, 	/* (r1!=r2), r1=cau.req_counter, r2=cau.wdone_counter,  */
5879320164Sdavidcs	0x0102005b, 0x00010001, 0x0000007d, 	/* (r1!=0), r1=cau.main_fsm_status,  */
5880320164Sdavidcs	0x0102005c, 0x00010001, 0x0000007e, 	/* (r1!=0), r1=cau.var_read_fsm_status,  */
5881320164Sdavidcs	0x0102005d, 0x00010001, 0x0000007f, 	/* (r1!=0), r1=cau.igu_dma_fsm_status,  */
5882320164Sdavidcs	0x0000005e, 0x00010302, 0x00000080, 	/* ((r1&~r2)!=0), r1=prs.INT_STS_0, r2=prs.INT_MASK_0,  */
5883320164Sdavidcs	0x0000005f, 0x00010002, 0x00000085, 	/* ((r1&~r2)!=0), r1=prs.PRTY_STS, r2=prs.PRTY_MASK,  */
5884320164Sdavidcs	0x00000060, 0x00010002, 0x00000087, 	/* ((r1&~r2)!=0), r1=prs.PRTY_STS_H_0, r2=prs.PRTY_MASK_H_0,  */
5885320164Sdavidcs	0x01010061, 0x00010001, 0x00000089, 	/* (r1!=0), r1=prs.queue_pkt_avail_status,  */
5886320164Sdavidcs	0x01010062, 0x00010001, 0x0000008a, 	/* (r1!=0), r1=prs.storm_bkprs_status,  */
5887320164Sdavidcs	0x01010063, 0x00010001, 0x0000008b, 	/* (r1!=0), r1=prs.stop_parsing_status,  */
5888320164Sdavidcs	0x01010064, 0x00010001, 0x0000008c, 	/* (r1!=0), r1=prs.ccfc_search_current_credit,  */
5889320164Sdavidcs	0x01010065, 0x00010001, 0x0000008d, 	/* (r1!=0), r1=prs.tcfc_search_current_credit,  */
5890320164Sdavidcs	0x01010066, 0x00010001, 0x0000008e, 	/* (r1!=0), r1=prs.ccfc_load_current_credit,  */
5891320164Sdavidcs	0x01010067, 0x00010001, 0x0000008f, 	/* (r1!=0), r1=prs.tcfc_load_current_credit,  */
5892320164Sdavidcs	0x01010068, 0x00010001, 0x00000090, 	/* (r1!=0), r1=prs.ccfc_search_req_ct,  */
5893320164Sdavidcs	0x01010069, 0x00010001, 0x00000091, 	/* (r1!=0), r1=prs.tcfc_search_req_ct,  */
5894320164Sdavidcs	0x0101006a, 0x00010001, 0x00000092, 	/* (r1!=0), r1=prs.ccfc_load_req_ct,  */
5895320164Sdavidcs	0x0101006b, 0x00010001, 0x00000093, 	/* (r1!=0), r1=prs.tcfc_load_req_ct,  */
5896320164Sdavidcs	0x0101006c, 0x00010001, 0x00000094, 	/* (r1!=0), r1=prs.sop_req_ct,  */
5897320164Sdavidcs	0x0101006d, 0x00010001, 0x00000095, 	/* (r1!=0), r1=prs.eop_req_ct,  */
5898320164Sdavidcs	0x0000006e, 0x00010002, 0x00000096, 	/* ((r1&~r2)!=0), r1=prm.INT_STS, r2=prm.INT_MASK,  */
5899320164Sdavidcs	0x0000006f, 0x00010002, 0x00000098, 	/* ((r1&~r2)!=0), r1=prm.PRTY_STS_H_0, r2=prm.PRTY_MASK_H_0,  */
5900320164Sdavidcs	0x01000070, 0x00010001, 0x000d009a, 	/* (r1!=1), r1=rss.rss_init_done,  */
5901320164Sdavidcs	0x00000071, 0x00010002, 0x0000009b, 	/* ((r1&~r2)!=0), r1=rss.INT_STS, r2=rss.INT_MASK,  */
5902320164Sdavidcs	0x00000072, 0x00010002, 0x0000009d, 	/* ((r1&~r2)!=0), r1=rss.PRTY_STS_H_0, r2=rss.PRTY_MASK_H_0,  */
5903320164Sdavidcs	0x01010073, 0x00010001, 0x000f009f, 	/* (r1!=0x20), r1=rss.tmld_credit,  */
5904320164Sdavidcs	0x01000074, 0x00010001, 0x000d00a0, 	/* (r1!=1), r1=pswrq2.rbc_done,  */
5905320164Sdavidcs	0x01000075, 0x00010001, 0x000d00a1, 	/* (r1!=1), r1=pswrq2.cfg_done,  */
5906320164Sdavidcs	0x00020076, 0x00010002, 0x000000a2, 	/* ((r1&~r2)!=0), r1=pswrq2.INT_STS, r2=pswrq2.INT_MASK,  */
5907320164Sdavidcs	0x00000077, 0x00010002, 0x000000a4, 	/* ((r1&~r2)!=0), r1=pswrq2.PRTY_STS_H_0, r2=pswrq2.PRTY_MASK_H_0,  */
5908320164Sdavidcs	0x01010078, 0x00010001, 0x000000a6, 	/* (r1!=0), r1=pswrq2.vq0_entry_cnt[0:31],  */
5909320164Sdavidcs	0x01000079, 0x00010001, 0x001000a7, 	/* (r1!=0xb7), r1=pswrq2.BW_CREDIT,  */
5910320164Sdavidcs	0x0101007a, 0x00010001, 0x000000a8, 	/* (r1!=0), r1=pswrq2.treq_fifo_fill_lvl,  */
5911320164Sdavidcs	0x0101007b, 0x00010001, 0x000000a9, 	/* (r1!=0), r1=pswrq2.icpl_fifo_fill_lvl,  */
5912320164Sdavidcs	0x0100007c, 0x00010001, 0x000000aa, 	/* (r1!=0), r1=pswrq2.l2p_err_add_31_0,  */
5913320164Sdavidcs	0x0100007d, 0x00010001, 0x000000ab, 	/* (r1!=0), r1=pswrq2.l2p_err_add_63_32,  */
5914320164Sdavidcs	0x0100007e, 0x00010001, 0x000000ac, 	/* (r1!=0), r1=pswrq2.l2p_err_details,  */
5915320164Sdavidcs	0x0100007f, 0x00010001, 0x000000ad, 	/* (r1!=0), r1=pswrq2.l2p_err_details2,  */
5916320164Sdavidcs	0x08010080, 0x00010002, 0x001100ae, 	/* (r1<(r2-4)), r1=pswrq2.sr_cnt, r2=pswrq2.sr_num_cfg,  */
5917320164Sdavidcs	0x03010081, 0x00000002, 0x000000b0, 	/* (r1!=r2), r1=pswrq2.sr_cnt, r2=pswrq2.sr_num_cfg,  */
5918320164Sdavidcs	0x03010082, 0x00000002, 0x000000b2, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_0, r2=pswrq2.max_srs_vq0,  */
5919320164Sdavidcs	0x03010083, 0x00000002, 0x000000b4, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_1, r2=pswrq2.max_srs_vq1,  */
5920320164Sdavidcs	0x03010084, 0x00000002, 0x000000b6, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_2, r2=pswrq2.max_srs_vq2,  */
5921320164Sdavidcs	0x03010085, 0x00000002, 0x000000b8, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_3, r2=pswrq2.max_srs_vq3,  */
5922320164Sdavidcs	0x03010086, 0x00000002, 0x000000ba, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_4, r2=pswrq2.max_srs_vq4,  */
5923320164Sdavidcs	0x03010087, 0x00000002, 0x000000bc, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_5, r2=pswrq2.max_srs_vq5,  */
5924320164Sdavidcs	0x03010088, 0x00000002, 0x000000be, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_6, r2=pswrq2.max_srs_vq6,  */
5925320164Sdavidcs	0x03010089, 0x00000002, 0x000000c0, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_9, r2=pswrq2.max_srs_vq9,  */
5926320164Sdavidcs	0x0301008a, 0x00000002, 0x000000c2, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_11, r2=pswrq2.max_srs_vq11,  */
5927320164Sdavidcs	0x0301008b, 0x00000002, 0x000000c4, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_12, r2=pswrq2.max_srs_vq12,  */
5928320164Sdavidcs	0x0301008c, 0x00000002, 0x000000c6, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_15, r2=pswrq2.max_srs_vq15,  */
5929320164Sdavidcs	0x0301008d, 0x00000002, 0x000000c8, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_16, r2=pswrq2.max_srs_vq16,  */
5930320164Sdavidcs	0x0301008e, 0x00000002, 0x000000ca, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_17, r2=pswrq2.max_srs_vq17,  */
5931320164Sdavidcs	0x0301008f, 0x00000002, 0x000000cc, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_18, r2=pswrq2.max_srs_vq18,  */
5932320164Sdavidcs	0x03010090, 0x00000002, 0x000000ce, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_19, r2=pswrq2.max_srs_vq19,  */
5933320164Sdavidcs	0x03010091, 0x00000002, 0x000000d0, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_21, r2=pswrq2.max_srs_vq21,  */
5934320164Sdavidcs	0x03010092, 0x00000002, 0x000000d2, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_22, r2=pswrq2.max_srs_vq22,  */
5935320164Sdavidcs	0x03010093, 0x00000002, 0x000000d4, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_23, r2=pswrq2.max_srs_vq23,  */
5936320164Sdavidcs	0x03010094, 0x00000002, 0x000000d6, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_24, r2=pswrq2.max_srs_vq24,  */
5937320164Sdavidcs	0x03010095, 0x00000002, 0x000000d8, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_26, r2=pswrq2.max_srs_vq26,  */
5938320164Sdavidcs	0x03010096, 0x00000002, 0x000000da, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_28, r2=pswrq2.max_srs_vq28,  */
5939320164Sdavidcs	0x03010097, 0x00000002, 0x000000dc, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_29, r2=pswrq2.max_srs_vq29,  */
5940320164Sdavidcs	0x03010098, 0x00000002, 0x000000de, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_30, r2=pswrq2.max_srs_vq30,  */
5941320164Sdavidcs	0x08010099, 0x00010002, 0x001200e0, 	/* (r1<(r2-8)), r1=pswrq2.blk_cnt, r2=pswrq2.blk_num_cfg,  */
5942320164Sdavidcs	0x0301009a, 0x00000002, 0x000000e2, 	/* (r1!=r2), r1=pswrq2.blk_cnt, r2=pswrq2.blk_num_cfg,  */
5943320164Sdavidcs	0x0301009b, 0x00000002, 0x000000e4, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_0, r2=pswrq2.max_blks_vq0,  */
5944320164Sdavidcs	0x0301009c, 0x00000002, 0x000000e6, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_1, r2=pswrq2.max_blks_vq1,  */
5945320164Sdavidcs	0x0301009d, 0x00000002, 0x000000e8, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_2, r2=pswrq2.max_blks_vq2,  */
5946320164Sdavidcs	0x0301009e, 0x00000002, 0x000000ea, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_3, r2=pswrq2.max_blks_vq3,  */
5947320164Sdavidcs	0x0301009f, 0x00000002, 0x000000ec, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_4, r2=pswrq2.max_blks_vq4,  */
5948320164Sdavidcs	0x030100a0, 0x00000002, 0x000000ee, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_5, r2=pswrq2.max_blks_vq5,  */
5949320164Sdavidcs	0x030100a1, 0x00000002, 0x000000f0, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_6, r2=pswrq2.max_blks_vq6,  */
5950320164Sdavidcs	0x030100a2, 0x00000002, 0x000000f2, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_9, r2=pswrq2.max_blks_vq9,  */
5951320164Sdavidcs	0x030100a3, 0x00000002, 0x000000f4, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_11, r2=pswrq2.max_blks_vq11,  */
5952320164Sdavidcs	0x030100a4, 0x00000002, 0x000000f6, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_12, r2=pswrq2.max_blks_vq12,  */
5953320164Sdavidcs	0x030100a5, 0x00000002, 0x000000f8, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_15, r2=pswrq2.max_blks_vq15,  */
5954320164Sdavidcs	0x030100a6, 0x00000002, 0x000000fa, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_16, r2=pswrq2.max_blks_vq16,  */
5955320164Sdavidcs	0x030100a7, 0x00000002, 0x000000fc, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_17, r2=pswrq2.max_blks_vq17,  */
5956320164Sdavidcs	0x030100a8, 0x00000002, 0x000000fe, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_18, r2=pswrq2.max_blks_vq18,  */
5957320164Sdavidcs	0x030100a9, 0x00000002, 0x00000100, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_19, r2=pswrq2.max_blks_vq19,  */
5958320164Sdavidcs	0x030100aa, 0x00000002, 0x00000102, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_21, r2=pswrq2.max_blks_vq21,  */
5959320164Sdavidcs	0x030100ab, 0x00000002, 0x00000104, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_22, r2=pswrq2.max_blks_vq22,  */
5960320164Sdavidcs	0x030100ac, 0x00000002, 0x00000106, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_23, r2=pswrq2.max_blks_vq23,  */
5961320164Sdavidcs	0x030100ad, 0x00000002, 0x00000108, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_24, r2=pswrq2.max_blks_vq24,  */
5962320164Sdavidcs	0x030100ae, 0x00000002, 0x0000010a, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_26, r2=pswrq2.max_blks_vq26,  */
5963320164Sdavidcs	0x030100af, 0x00000002, 0x0000010c, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_28, r2=pswrq2.max_blks_vq28,  */
5964320164Sdavidcs	0x030100b0, 0x00000002, 0x0000010e, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_29, r2=pswrq2.max_blks_vq29,  */
5965320164Sdavidcs	0x030100b1, 0x00000002, 0x00000110, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_30, r2=pswrq2.max_blks_vq30,  */
5966320164Sdavidcs	0x010000b2, 0x00010001, 0x00000112, 	/* (r1!=0), r1=pswrq2.l2p_close_gate_sts,  */
5967320164Sdavidcs	0x010000b3, 0x00010001, 0x00000113, 	/* (r1!=0), r1=pswrq2.misc_close_gate_sts,  */
5968320164Sdavidcs	0x010200b4, 0x00010001, 0x00000114, 	/* (r1!=0), r1=pswrq2.misc_stall_mem_sts,  */
5969320164Sdavidcs	0x000200b5, 0x00010002, 0x00000115, 	/* ((r1&~r2)!=0), r1=pswrq.INT_STS, r2=pswrq.INT_MASK,  */
5970320164Sdavidcs	0x000200b6, 0x00010002, 0x00000117, 	/* ((r1&~r2)!=0), r1=pswwr.INT_STS, r2=pswwr.INT_MASK,  */
5971320164Sdavidcs	0x010000b7, 0x00010001, 0x00000119, 	/* (r1!=0), r1=pswwr2.pglue_eop_err_details,  */
5972320164Sdavidcs	0x010100b8, 0x00010001, 0x0000011a, 	/* (r1!=0), r1=pswwr2.prm_curr_fill_level,  */
5973320164Sdavidcs	0x010100b9, 0x00010001, 0x0000011b, 	/* (r1!=0), r1=pswwr2.cdu_curr_fill_level,  */
5974320164Sdavidcs	0x000200ba, 0x00010002, 0x0000011c, 	/* ((r1&~r2)!=0), r1=pswwr2.INT_STS, r2=pswwr2.INT_MASK,  */
5975320164Sdavidcs	0x000000bb, 0x00010002, 0x0000011e, 	/* ((r1&~r2)!=0), r1=pswwr2.PRTY_STS_H_0, r2=pswwr2.PRTY_MASK_H_0,  */
5976320164Sdavidcs	0x000000bc, 0x00010002, 0x00000120, 	/* ((r1&~r2)!=0), r1=pswwr2.PRTY_STS_H_1, r2=pswwr2.PRTY_MASK_H_1,  */
5977320164Sdavidcs	0x000000bd, 0x00010002, 0x00000122, 	/* ((r1&~r2)!=0), r1=pswwr2.PRTY_STS_H_2, r2=pswwr2.PRTY_MASK_H_2,  */
5978320164Sdavidcs	0x000000be, 0x00010002, 0x00000124, 	/* ((r1&~r2)!=0), r1=pswwr2.PRTY_STS_H_3, r2=pswwr2.PRTY_MASK_H_3,  */
5979320164Sdavidcs	0x010000bf, 0x00010001, 0x00000126, 	/* (r1!=0), r1=pswrd.fifo_full_status,  */
5980320164Sdavidcs	0x000200c0, 0x00010002, 0x00000127, 	/* ((r1&~r2)!=0), r1=pswrd.INT_STS, r2=pswrd.INT_MASK,  */
5981320164Sdavidcs	0x010000c1, 0x00010001, 0x000d0129, 	/* (r1!=1), r1=pswrd2.start_init,  */
5982320164Sdavidcs	0x010000c2, 0x00010001, 0x000d012a, 	/* (r1!=1), r1=pswrd2.init_done,  */
5983320164Sdavidcs	0x010200c3, 0x00010001, 0x0000012b, 	/* (r1!=0), r1=pswrd2.cpl_err_details,  */
5984320164Sdavidcs	0x010200c4, 0x00010001, 0x0000012c, 	/* (r1!=0), r1=pswrd2.cpl_err_details2,  */
5985320164Sdavidcs	0x010100c5, 0x00010001, 0x000d012d, 	/* (r1!=1), r1=pswrd2.port_is_idle_0,  */
5986320164Sdavidcs	0x010100c6, 0x00010001, 0x000d012e, 	/* (r1!=1), r1=pswrd2.port_is_idle_1,  */
5987320164Sdavidcs	0x010000c7, 0x00010001, 0x0000012f, 	/* (r1!=0), r1=pswrd2.almost_full_0[0:14],  */
5988320164Sdavidcs	0x000200c8, 0x00010002, 0x00000130, 	/* ((r1&~r2)!=0), r1=pswrd2.INT_STS, r2=pswrd2.INT_MASK,  */
5989320164Sdavidcs	0x000000c9, 0x00010002, 0x00000132, 	/* ((r1&~r2)!=0), r1=pswrd2.PRTY_STS_H_0, r2=pswrd2.PRTY_MASK_H_0,  */
5990320164Sdavidcs	0x000000ca, 0x00010002, 0x00000134, 	/* ((r1&~r2)!=0), r1=pswrd2.PRTY_STS_H_1, r2=pswrd2.PRTY_MASK_H_1,  */
5991320164Sdavidcs	0x010000cb, 0x00010001, 0x00000136, 	/* (r1!=0), r1=pswrd2.disable_inputs,  */
5992320164Sdavidcs	0x010100cc, 0x00010001, 0x00000137, 	/* (r1!=0), r1=pswhst2.header_fifo_status,  */
5993320164Sdavidcs	0x010100cd, 0x00010001, 0x00000138, 	/* (r1!=0), r1=pswhst2.data_fifo_status,  */
5994320164Sdavidcs	0x000200ce, 0x00010002, 0x00000139, 	/* ((r1&~r2)!=0), r1=pswhst2.INT_STS, r2=pswhst2.INT_MASK,  */
5995320164Sdavidcs	0x010200cf, 0x00010001, 0x0000013b, 	/* (r1!=0), r1=pswhst.discard_internal_writes_status,  */
5996320164Sdavidcs	0x010200d0, 0x00010001, 0x0000013c, 	/* (r1!=0), r1=pswhst.discard_doorbells_status,  */
5997320164Sdavidcs	0x010200d1, 0x00010001, 0x0013013d, 	/* (r1!=3), r1=pswhst.arb_is_idle,  */
5998320164Sdavidcs	0x010200d2, 0x00010301, 0x0000013e, 	/* (r1!=0), r1=pswhst.incorrect_access_valid,  */
5999320164Sdavidcs	0x010200d3, 0x00010101, 0x00000142, 	/* (r1!=0), r1=pswhst.per_violation_valid,  */
6000320164Sdavidcs	0x010200d4, 0x00010001, 0x00140144, 	/* (r1!=reset1), r1=pswhst.source_credits_avail,  */
6001320164Sdavidcs	0x010200d5, 0x00010101, 0x00000145, 	/* (r1!=0), r1=pswhst.source_credit_viol_valid,  */
6002320164Sdavidcs	0x010200d6, 0x00010001, 0x00150147, 	/* (r1!=60074), r1=pswhst.dest_credits_avail,  */
6003320164Sdavidcs	0x010200d7, 0x00010001, 0x00000148, 	/* (r1!=0), r1=pswhst.is_in_drain_mode,  */
6004320164Sdavidcs	0x010200d8, 0x00010101, 0x00000149, 	/* (r1!=0), r1=pswhst.timeout_valid,  */
6005320164Sdavidcs	0x010200d9, 0x00010101, 0x0000014b, 	/* (r1!=0), r1=pswhst.timeout_valid,  */
6006320164Sdavidcs	0x010200da, 0x00010001, 0x0000014d, 	/* (r1!=0), r1=pswhst.clients_waiting_to_source_arb[0:7],  */
6007320164Sdavidcs	0x000200db, 0x00010002, 0x0000014e, 	/* ((r1&~r2)!=0), r1=pswhst.INT_STS, r2=pswhst.INT_MASK,  */
6008320164Sdavidcs	0x000000dc, 0x00010002, 0x00000150, 	/* ((r1&~r2)!=0), r1=pswhst.PRTY_STS_H_0, r2=pswhst.PRTY_MASK_H_0,  */
6009320164Sdavidcs	0x060000dd, 0x00020001, 0x00160152, 	/* ((r1&0x01BC01)!=0), r1=pglue_b.INT_STS,  */
6010320164Sdavidcs	0x060200de, 0x00020001, 0x00180153, 	/* ((r1&0x76417C)!=0), r1=pglue_b.INT_STS,  */
6011320164Sdavidcs	0x000000df, 0x00010002, 0x00000154, 	/* ((r1&~r2)!=0), r1=pglue_b.PRTY_STS_H_0, r2=pglue_b.PRTY_MASK_H_0,  */
6012320164Sdavidcs	0x010000e0, 0x00010001, 0x00000156, 	/* (r1!=0), r1=pglue_b.pgl_write_blocked,  */
6013320164Sdavidcs	0x010000e1, 0x00010001, 0x00000157, 	/* (r1!=0), r1=pglue_b.pgl_read_blocked,  */
6014320164Sdavidcs	0x010100e2, 0x00010001, 0x00000158, 	/* (r1!=0), r1=pglue_b.read_fifo_occupancy_level,  */
6015320164Sdavidcs	0x010200e3, 0x00010001, 0x00000159, 	/* (r1!=0), r1=pglue_b.rx_legacy_errors,  */
6016320164Sdavidcs	0x070100e4, 0x00030001, 0x001a015a, 	/* (((r1>>17)&1)!=0), r1=pglue_b.pgl_txw_cdts,  */
6017320164Sdavidcs	0x010200e5, 0x00010001, 0x0000015b, 	/* (r1!=0), r1=pglue_b.cfg_space_a_request,  */
6018320164Sdavidcs	0x010200e6, 0x00010001, 0x0000015c, 	/* (r1!=0), r1=pglue_b.cfg_space_b_request,  */
6019320164Sdavidcs	0x010200e7, 0x00010001, 0x0000015d, 	/* (r1!=0), r1=pglue_b.flr_request_vf_31_0,  */
6020320164Sdavidcs	0x010200e8, 0x00010001, 0x0000015e, 	/* (r1!=0), r1=pglue_b.flr_request_vf_63_32,  */
6021320164Sdavidcs	0x010200e9, 0x00010001, 0x0000015f, 	/* (r1!=0), r1=pglue_b.flr_request_vf_95_64,  */
6022320164Sdavidcs	0x010200ea, 0x00010001, 0x00000160, 	/* (r1!=0), r1=pglue_b.flr_request_vf_127_96,  */
6023320164Sdavidcs	0x010200eb, 0x00010001, 0x00000161, 	/* (r1!=0), r1=pglue_b.flr_request_vf_159_128,  */
6024320164Sdavidcs	0x010200ec, 0x00010001, 0x00000162, 	/* (r1!=0), r1=pglue_b.flr_request_vf_191_160,  */
6025320164Sdavidcs	0x010200ed, 0x00010001, 0x00000163, 	/* (r1!=0), r1=pglue_b.flr_request_pf_31_0,  */
6026320164Sdavidcs	0x010200ee, 0x00010001, 0x00000164, 	/* (r1!=0), r1=pglue_b.sr_iov_disabled_request,  */
6027320164Sdavidcs	0x010200ef, 0x00010001, 0x00000165, 	/* (r1!=0), r1=pglue_b.was_error_vf_31_0,  */
6028320164Sdavidcs	0x010200f0, 0x00010001, 0x00000166, 	/* (r1!=0), r1=pglue_b.was_error_vf_63_32,  */
6029320164Sdavidcs	0x010200f1, 0x00010001, 0x00000167, 	/* (r1!=0), r1=pglue_b.was_error_vf_95_64,  */
6030320164Sdavidcs	0x010200f2, 0x00010001, 0x00000168, 	/* (r1!=0), r1=pglue_b.was_error_vf_127_96,  */
6031320164Sdavidcs	0x010200f3, 0x00010001, 0x00000169, 	/* (r1!=0), r1=pglue_b.was_error_vf_159_128,  */
6032320164Sdavidcs	0x010200f4, 0x00010001, 0x0000016a, 	/* (r1!=0), r1=pglue_b.was_error_vf_191_160,  */
6033320164Sdavidcs	0x010200f5, 0x00010001, 0x0000016b, 	/* (r1!=0), r1=pglue_b.was_error_pf_31_0,  */
6034320164Sdavidcs	0x010200f6, 0x00010001, 0x0000016c, 	/* (r1!=0), r1=pglue_b.rx_err_details,  */
6035320164Sdavidcs	0x010200f7, 0x00010001, 0x0000016d, 	/* (r1!=0), r1=pglue_b.rx_tcpl_err_details,  */
6036320164Sdavidcs	0x010200f8, 0x00010001, 0x0000016e, 	/* (r1!=0), r1=pglue_b.tx_err_wr_add_31_0,  */
6037320164Sdavidcs	0x010200f9, 0x00010001, 0x0000016f, 	/* (r1!=0), r1=pglue_b.tx_err_wr_add_63_32,  */
6038320164Sdavidcs	0x010200fa, 0x00010001, 0x00000170, 	/* (r1!=0), r1=pglue_b.tx_err_wr_details,  */
6039320164Sdavidcs	0x010200fb, 0x00010001, 0x00000171, 	/* (r1!=0), r1=pglue_b.tx_err_wr_details2,  */
6040320164Sdavidcs	0x010200fc, 0x00010001, 0x00000172, 	/* (r1!=0), r1=pglue_b.tx_err_rd_add_31_0,  */
6041320164Sdavidcs	0x010200fd, 0x00010001, 0x00000173, 	/* (r1!=0), r1=pglue_b.tx_err_rd_add_63_32,  */
6042320164Sdavidcs	0x010200fe, 0x00010001, 0x00000174, 	/* (r1!=0), r1=pglue_b.tx_err_rd_details,  */
6043320164Sdavidcs	0x010200ff, 0x00010001, 0x00000175, 	/* (r1!=0), r1=pglue_b.tx_err_rd_details2,  */
6044320164Sdavidcs	0x01020100, 0x00010001, 0x00000176, 	/* (r1!=0), r1=pglue_b.vf_length_violation_details,  */
6045320164Sdavidcs	0x01020101, 0x00010001, 0x00000177, 	/* (r1!=0), r1=pglue_b.vf_length_violation_details2,  */
6046320164Sdavidcs	0x01020102, 0x00010001, 0x00000178, 	/* (r1!=0), r1=pglue_b.vf_grc_space_violation_details,  */
6047320164Sdavidcs	0x01020103, 0x00010001, 0x00000179, 	/* (r1!=0), r1=pglue_b.master_zlr_err_add_31_0,  */
6048320164Sdavidcs	0x01020104, 0x00010001, 0x0000017a, 	/* (r1!=0), r1=pglue_b.master_zlr_err_add_63_32,  */
6049320164Sdavidcs	0x01020105, 0x00010001, 0x0000017b, 	/* (r1!=0), r1=pglue_b.master_zlr_err_details,  */
6050320164Sdavidcs	0x01020106, 0x00010001, 0x0000017c, 	/* (r1!=0), r1=pglue_b.admin_window_violation_details,  */
6051320164Sdavidcs	0x01000107, 0x00010001, 0x0000017d, 	/* (r1!=0), r1=pglue_b.out_of_range_function_in_pretend_details,  */
6052320164Sdavidcs	0x01000108, 0x00010001, 0x0000017e, 	/* (r1!=0), r1=pglue_b.out_of_range_function_in_pretend_address,  */
6053320164Sdavidcs	0x01010109, 0x00010001, 0x0000017f, 	/* (r1!=0), r1=pglue_b.write_fifo_occupancy_level,  */
6054320164Sdavidcs	0x0102010a, 0x00010001, 0x00000180, 	/* (r1!=0), r1=pglue_b.illegal_address_add_31_0,  */
6055320164Sdavidcs	0x0102010b, 0x00010001, 0x00000181, 	/* (r1!=0), r1=pglue_b.illegal_address_add_63_32,  */
6056320164Sdavidcs	0x0102010c, 0x00010001, 0x00000182, 	/* (r1!=0), r1=pglue_b.illegal_address_details,  */
6057320164Sdavidcs	0x0102010d, 0x00010001, 0x00000183, 	/* (r1!=0), r1=pglue_b.illegal_address_details2,  */
6058320164Sdavidcs	0x0102010e, 0x00010001, 0x001d0184, 	/* (r1!=0xffffffff), r1=pglue_b.tags_31_0,  */
6059320164Sdavidcs	0x0102010f, 0x00010001, 0x001d0185, 	/* (r1!=0xffffffff), r1=pglue_b.tags_63_32,  */
6060320164Sdavidcs	0x01020110, 0x00010001, 0x001d0186, 	/* (r1!=0xffffffff), r1=pglue_b.tags_95_64,  */
6061320164Sdavidcs	0x01020111, 0x00010001, 0x001d0187, 	/* (r1!=0xffffffff), r1=pglue_b.tags_127_96,  */
6062320164Sdavidcs	0x01020112, 0x00010001, 0x00000188, 	/* (r1!=0), r1=pglue_b.vf_ilt_err_add_31_0,  */
6063320164Sdavidcs	0x01020113, 0x00010001, 0x00000189, 	/* (r1!=0), r1=pglue_b.vf_ilt_err_add_63_32,  */
6064320164Sdavidcs	0x01020114, 0x00010001, 0x0000018a, 	/* (r1!=0), r1=pglue_b.vf_ilt_err_details,  */
6065320164Sdavidcs	0x01020115, 0x00010001, 0x0000018b, 	/* (r1!=0), r1=pglue_b.vf_ilt_err_details2,  */
6066320164Sdavidcs	0x0d000116, 0x00010001, 0x001e018c, 	/* (r1&0x7FFFFF), r1=tm.INT_STS_0,  */
6067320164Sdavidcs	0x0d020117, 0x00010001, 0x001f018d, 	/* (r1&0x80000000), r1=tm.INT_STS_0,  */
6068320164Sdavidcs	0x0d000118, 0x00010001, 0x0020018e, 	/* (r1&0x7F800000), r1=tm.INT_STS_0,  */
6069320164Sdavidcs	0x0d000119, 0x00010001, 0x0021018f, 	/* (r1&0x41E), r1=tm.INT_STS_1,  */
6070320164Sdavidcs	0x0000011a, 0x00010002, 0x00000190, 	/* ((r1&~r2)!=0), r1=tm.PRTY_STS_H_0, r2=tm.PRTY_MASK_H_0,  */
6071320164Sdavidcs	0x0101011b, 0x00010001, 0x00000192, 	/* (r1!=0), r1=tm.pxp_read_data_fifo_status,  */
6072320164Sdavidcs	0x0101011c, 0x00010001, 0x00000193, 	/* (r1!=0), r1=tm.pxp_read_ctrl_fifo_status,  */
6073320164Sdavidcs	0x0101011d, 0x00010001, 0x00000194, 	/* (r1!=0), r1=tm.cfc_load_echo_fifo_status,  */
6074320164Sdavidcs	0x0101011e, 0x00010001, 0x00000195, 	/* (r1!=0), r1=tm.client_out_fifo_status,  */
6075320164Sdavidcs	0x0101011f, 0x00010001, 0x00000196, 	/* (r1!=0), r1=tm.client_in_pbf_fifo_status,  */
6076320164Sdavidcs	0x01010120, 0x00010001, 0x00000197, 	/* (r1!=0), r1=tm.client_in_xcm_fifo_status,  */
6077320164Sdavidcs	0x01010121, 0x00010001, 0x00000198, 	/* (r1!=0), r1=tm.client_in_tcm_fifo_status,  */
6078320164Sdavidcs	0x01010122, 0x00010001, 0x00000199, 	/* (r1!=0), r1=tm.client_in_ucm_fifo_status,  */
6079320164Sdavidcs	0x01010123, 0x00010001, 0x0000019a, 	/* (r1!=0), r1=tm.expiration_cmd_fifo_status,  */
6080320164Sdavidcs	0x01010124, 0x00010001, 0x0000019b, 	/* (r1!=0), r1=tm.ac_command_fifo_status,  */
6081320164Sdavidcs	0x01000125, 0x00010001, 0x000d019c, 	/* (r1!=1), r1=tcfc.ll_init_done,  */
6082320164Sdavidcs	0x01000126, 0x00010001, 0x000d019d, 	/* (r1!=1), r1=tcfc.ac_init_done,  */
6083320164Sdavidcs	0x01000127, 0x00010001, 0x000d019e, 	/* (r1!=1), r1=tcfc.cam_init_done,  */
6084320164Sdavidcs	0x01000128, 0x00010001, 0x000d019f, 	/* (r1!=1), r1=tcfc.tidram_init_done,  */
6085320164Sdavidcs	0x00000129, 0x00010502, 0x000001a0, 	/* ((r1&~r2)!=0), r1=tcfc.INT_STS_0, r2=tcfc.INT_MASK_0,  */
6086320164Sdavidcs	0x0000012a, 0x00010002, 0x000001a7, 	/* ((r1&~r2)!=0), r1=tcfc.PRTY_STS_H_0, r2=tcfc.PRTY_MASK_H_0,  */
6087320164Sdavidcs	0x0101012b, 0x00010001, 0x000001a9, 	/* (r1!=0x0), r1=tcfc.lstate_arriving,  */
6088320164Sdavidcs	0x0101012c, 0x00010001, 0x000001aa, 	/* (r1!=0x0), r1=tcfc.lstate_leaving,  */
6089320164Sdavidcs	0x0101012d, 0x00010001, 0x002201ab, 	/* (r1!=0x30), r1=tcfc.cduld_credit,  */
6090320164Sdavidcs	0x0100012e, 0x00010001, 0x000d01ac, 	/* (r1!=1), r1=ccfc.ll_init_done,  */
6091320164Sdavidcs	0x0100012f, 0x00010001, 0x000d01ad, 	/* (r1!=1), r1=ccfc.ac_init_done,  */
6092320164Sdavidcs	0x01000130, 0x00010001, 0x000d01ae, 	/* (r1!=1), r1=ccfc.cam_init_done,  */
6093320164Sdavidcs	0x01000131, 0x00010001, 0x000d01af, 	/* (r1!=1), r1=ccfc.tidram_init_done,  */
6094320164Sdavidcs	0x00000132, 0x00010502, 0x000001b0, 	/* ((r1&~r2)!=0), r1=ccfc.INT_STS_0, r2=ccfc.INT_MASK_0,  */
6095320164Sdavidcs	0x00000133, 0x00010002, 0x000001b7, 	/* ((r1&~r2)!=0), r1=ccfc.PRTY_STS_H_0, r2=ccfc.PRTY_MASK_H_0,  */
6096320164Sdavidcs	0x00000134, 0x00010002, 0x000001b9, 	/* ((r1&~r2)!=0), r1=ccfc.PRTY_STS, r2=ccfc.PRTY_MASK,  */
6097320164Sdavidcs	0x01010135, 0x00010001, 0x000001bb, 	/* (r1!=0x0), r1=ccfc.lstate_arriving,  */
6098320164Sdavidcs	0x01010136, 0x00010001, 0x000001bc, 	/* (r1!=0x0), r1=ccfc.lstate_leaving,  */
6099320164Sdavidcs	0x01010137, 0x00010001, 0x002201bd, 	/* (r1!=0x30), r1=ccfc.cduld_credit,  */
6100320164Sdavidcs	0x01010138, 0x00010001, 0x000a01be, 	/* (r1!=0x10), r1=ccfc.cduwb_credit,  */
6101320164Sdavidcs	0x00000139, 0x00010002, 0x000001bf, 	/* ((r1&~r2)!=0), r1=qm.INT_STS, r2=qm.INT_MASK,  */
6102320164Sdavidcs	0x0000013a, 0x00010002, 0x000001c1, 	/* ((r1&~r2)!=0), r1=qm.PRTY_STS, r2=qm.PRTY_MASK,  */
6103320164Sdavidcs	0x0000013b, 0x00010002, 0x000001c3, 	/* ((r1&~r2)!=0), r1=qm.PRTY_STS_H_0, r2=qm.PRTY_MASK_H_0,  */
6104320164Sdavidcs	0x0000013c, 0x00010002, 0x000001c5, 	/* ((r1&~r2)!=0), r1=qm.PRTY_STS_H_1, r2=qm.PRTY_MASK_H_1,  */
6105320164Sdavidcs	0x0000013d, 0x00010002, 0x000001c7, 	/* ((r1&~r2)!=0), r1=qm.PRTY_STS_H_2, r2=qm.PRTY_MASK_H_2,  */
6106320164Sdavidcs	0x0100013e, 0x00010001, 0x000001c9, 	/* (r1!=0), r1=qm.wrc_fifolvl_0[0:5],  */
6107320164Sdavidcs	0x0300013f, 0x00000002, 0x000001ca, 	/* (r1!=r2), r1=qm.OutLdReqCrdConnTx, r2=qm.OutLdReqSizeConnTx,  */
6108320164Sdavidcs	0x03000140, 0x00000002, 0x000001cc, 	/* (r1!=r2), r1=qm.OutLdReqCrdConnOther, r2=qm.OutLdReqSizeConnOther,  */
6109320164Sdavidcs	0x01000141, 0x00010001, 0x000001ce, 	/* (r1!=0), r1=qm.OvfQNumTx,  */
6110320164Sdavidcs	0x01000142, 0x00010101, 0x000001cf, 	/* (r1!=0), r1=qm.OvfErrorTx,  */
6111320164Sdavidcs	0x01000143, 0x00010001, 0x000001d1, 	/* (r1!=0), r1=qm.OvfQNumOther,  */
6112320164Sdavidcs	0x01000144, 0x00010101, 0x000001d2, 	/* (r1!=0), r1=qm.OvfErrorOther,  */
6113320164Sdavidcs	0x03010145, 0x00000002, 0x000001d4, 	/* (r1!=r2), r1=qm.CmCrd_0, r2=qm.CmInitCrd_0,  */
6114320164Sdavidcs	0x03010146, 0x00000002, 0x000001d6, 	/* (r1!=r2), r1=qm.CmCrd_1, r2=qm.CmInitCrd_1,  */
6115320164Sdavidcs	0x03010147, 0x00000002, 0x000001d8, 	/* (r1!=r2), r1=qm.CmCrd_2, r2=qm.CmInitCrd_2,  */
6116320164Sdavidcs	0x03010148, 0x00000002, 0x000001da, 	/* (r1!=r2), r1=qm.CmCrd_3, r2=qm.CmInitCrd_3,  */
6117320164Sdavidcs	0x03010149, 0x00000002, 0x000001dc, 	/* (r1!=r2), r1=qm.CmCrd_4, r2=qm.CmInitCrd_4,  */
6118320164Sdavidcs	0x0301014a, 0x00000002, 0x000001de, 	/* (r1!=r2), r1=qm.CmCrd_5, r2=qm.CmInitCrd_5,  */
6119320164Sdavidcs	0x0301014b, 0x00000002, 0x000001e0, 	/* (r1!=r2), r1=qm.CmCrd_6, r2=qm.CmInitCrd_6,  */
6120320164Sdavidcs	0x0301014c, 0x00000002, 0x000001e2, 	/* (r1!=r2), r1=qm.CmCrd_7, r2=qm.CmInitCrd_7,  */
6121320164Sdavidcs	0x0301014d, 0x00000002, 0x000001e4, 	/* (r1!=r2), r1=qm.CmCrd_8, r2=qm.CmInitCrd_8,  */
6122320164Sdavidcs	0x0301014e, 0x00000002, 0x000001e6, 	/* (r1!=r2), r1=qm.CmCrd_9, r2=qm.CmInitCrd_9,  */
6123320164Sdavidcs	0x0000014f, 0x00010002, 0x000001e8, 	/* ((r1&~r2)!=0), r1=rdif.INT_STS, r2=rdif.INT_MASK,  */
6124320164Sdavidcs	0x00000150, 0x00010002, 0x000001ea, 	/* ((r1&~r2)!=0), r1=tdif.INT_STS, r2=tdif.INT_MASK,  */
6125320164Sdavidcs	0x00000151, 0x00010002, 0x000001ec, 	/* ((r1&~r2)!=0), r1=tdif.PRTY_STS_H_0, r2=tdif.PRTY_MASK_H_0,  */
6126320164Sdavidcs	0x00000152, 0x00010202, 0x000001ee, 	/* ((r1&~r2)!=0), r1=brb.INT_STS_0, r2=brb.INT_MASK_0,  */
6127320164Sdavidcs	0x00000153, 0x00010002, 0x000001f2, 	/* ((r1&~r2)!=0), r1=brb.INT_STS_1, r2=brb.INT_MASK_1,  */
6128320164Sdavidcs	0x00000154, 0x00010002, 0x000001f4, 	/* ((r1&~r2)!=0), r1=brb.INT_STS_2, r2=brb.INT_MASK_2,  */
6129320164Sdavidcs	0x00000155, 0x00010002, 0x000001f6, 	/* ((r1&~r2)!=0), r1=brb.INT_STS_3, r2=brb.INT_MASK_3,  */
6130320164Sdavidcs	0x00000156, 0x00010202, 0x000001f8, 	/* ((r1&~r2)!=0), r1=brb.INT_STS_4, r2=brb.INT_MASK_4,  */
6131320164Sdavidcs	0x00000157, 0x00010002, 0x000001fc, 	/* ((r1&~r2)!=0), r1=brb.PRTY_STS_H_0, r2=brb.PRTY_MASK_H_0,  */
6132320164Sdavidcs	0x00000158, 0x00010002, 0x000001fe, 	/* ((r1&~r2)!=0), r1=brb.PRTY_STS_H_1, r2=brb.PRTY_MASK_H_1,  */
6133320164Sdavidcs	0x01010159, 0x00010001, 0x00000200, 	/* (r1!=0), r1=brb.wc_bandwidth_if_full,  */
6134320164Sdavidcs	0x0101015a, 0x00010001, 0x00000201, 	/* (r1!=0), r1=brb.rc_pkt_if_full,  */
6135320164Sdavidcs	0x0101015b, 0x00010001, 0x00230202, 	/* (r1!=255), r1=brb.rc_pkt_empty_0[0:4],  */
6136320164Sdavidcs	0x0101015c, 0x00010001, 0x00030203, 	/* (r1!=15), r1=brb.rc_sop_empty,  */
6137320164Sdavidcs	0x0101015d, 0x00010001, 0x000b0204, 	/* (r1!=2), r1=brb.ll_arb_empty,  */
6138320164Sdavidcs	0x0101015e, 0x00010001, 0x00000205, 	/* (r1!=0), r1=brb.stop_packet_counter,  */
6139320164Sdavidcs	0x0101015f, 0x00010001, 0x00000206, 	/* (r1!=0), r1=brb.stop_byte_counter,  */
6140320164Sdavidcs	0x01010160, 0x00010001, 0x00000207, 	/* (r1!=0), r1=brb.rc_pkt_state,  */
6141320164Sdavidcs	0x01010161, 0x00010001, 0x00000208, 	/* (r1!=0), r1=brb.mac0_tc_occupancy_0,  */
6142320164Sdavidcs	0x01010162, 0x00010001, 0x00000209, 	/* (r1!=0), r1=brb.mac0_tc_occupancy_1,  */
6143320164Sdavidcs	0x01010163, 0x00010001, 0x0000020a, 	/* (r1!=0), r1=brb.mac0_tc_occupancy_2,  */
6144320164Sdavidcs	0x01010164, 0x00010001, 0x0000020b, 	/* (r1!=0), r1=brb.mac0_tc_occupancy_3,  */
6145320164Sdavidcs	0x01010165, 0x00010001, 0x0000020c, 	/* (r1!=0), r1=brb.mac0_tc_occupancy_4,  */
6146320164Sdavidcs	0x01010166, 0x00010001, 0x0000020d, 	/* (r1!=0), r1=brb.mac0_tc_occupancy_5,  */
6147320164Sdavidcs	0x01010167, 0x00010001, 0x0000020e, 	/* (r1!=0), r1=brb.mac0_tc_occupancy_6,  */
6148320164Sdavidcs	0x01010168, 0x00010001, 0x0000020f, 	/* (r1!=0), r1=brb.mac0_tc_occupancy_7,  */
6149320164Sdavidcs	0x01010169, 0x00010001, 0x00000210, 	/* (r1!=0), r1=brb.mac0_tc_occupancy_8,  */
6150320164Sdavidcs	0x0101016a, 0x00010001, 0x00000211, 	/* (r1!=0), r1=brb.mac1_tc_occupancy_0,  */
6151320164Sdavidcs	0x0101016b, 0x00010001, 0x00000212, 	/* (r1!=0), r1=brb.mac1_tc_occupancy_1,  */
6152320164Sdavidcs	0x0101016c, 0x00010001, 0x00000213, 	/* (r1!=0), r1=brb.mac1_tc_occupancy_2,  */
6153320164Sdavidcs	0x0101016d, 0x00010001, 0x00000214, 	/* (r1!=0), r1=brb.mac1_tc_occupancy_3,  */
6154320164Sdavidcs	0x0101016e, 0x00010001, 0x00000215, 	/* (r1!=0), r1=brb.mac1_tc_occupancy_4,  */
6155320164Sdavidcs	0x0101016f, 0x00010001, 0x00000216, 	/* (r1!=0), r1=brb.mac1_tc_occupancy_5,  */
6156320164Sdavidcs	0x01010170, 0x00010001, 0x00000217, 	/* (r1!=0), r1=brb.mac1_tc_occupancy_6,  */
6157320164Sdavidcs	0x01010171, 0x00010001, 0x00000218, 	/* (r1!=0), r1=brb.mac1_tc_occupancy_7,  */
6158320164Sdavidcs	0x01010172, 0x00010001, 0x00000219, 	/* (r1!=0), r1=brb.mac1_tc_occupancy_8,  */
6159320164Sdavidcs	0x01010173, 0x00010001, 0x0000021a, 	/* (r1!=0), r1=xyld.pending_msg_to_ext_ev_1_ctr,  */
6160320164Sdavidcs	0x01010174, 0x00010001, 0x0000021b, 	/* (r1!=0), r1=xyld.pending_msg_to_ext_ev_2_ctr,  */
6161320164Sdavidcs	0x01010175, 0x00010001, 0x0000021c, 	/* (r1!=0), r1=xyld.pending_msg_to_ext_ev_3_ctr,  */
6162320164Sdavidcs	0x01010176, 0x00010001, 0x0000021d, 	/* (r1!=0), r1=xyld.pending_msg_to_ext_ev_4_ctr,  */
6163320164Sdavidcs	0x01010177, 0x00010001, 0x0000021e, 	/* (r1!=0), r1=xyld.pending_msg_to_ext_ev_5_ctr,  */
6164320164Sdavidcs	0x03010178, 0x00000002, 0x0000021f, 	/* (r1!=r2), r1=xyld.foc_remain_credits, r2=xyld.foci_foc_credits,  */
6165320164Sdavidcs	0x01010179, 0x00010001, 0x00000221, 	/* (r1!=0), r1=xyld.pci_pending_msg_ctr,  */
6166320164Sdavidcs	0x0101017a, 0x00010001, 0x00000222, 	/* (r1!=0), r1=xyld.dbg_pending_ccfc_req,  */
6167320164Sdavidcs	0x0101017b, 0x00010001, 0x00000223, 	/* (r1!=0), r1=xyld.dbg_pending_tcfc_req,  */
6168320164Sdavidcs	0x0000017c, 0x00010002, 0x00000224, 	/* ((r1&~r2)!=0), r1=xyld.PRTY_STS_H_0, r2=xyld.PRTY_MASK_H_0,  */
6169320164Sdavidcs	0x0101017d, 0x00010001, 0x00000226, 	/* (r1!=0), r1=tmld.pending_msg_to_ext_ev_1_ctr,  */
6170320164Sdavidcs	0x0101017e, 0x00010001, 0x00000227, 	/* (r1!=0), r1=tmld.pending_msg_to_ext_ev_2_ctr,  */
6171320164Sdavidcs	0x0101017f, 0x00010001, 0x00000228, 	/* (r1!=0), r1=tmld.pending_msg_to_ext_ev_3_ctr,  */
6172320164Sdavidcs	0x01010180, 0x00010001, 0x00000229, 	/* (r1!=0), r1=tmld.pending_msg_to_ext_ev_4_ctr,  */
6173320164Sdavidcs	0x01010181, 0x00010001, 0x0000022a, 	/* (r1!=0), r1=tmld.pending_msg_to_ext_ev_5_ctr,  */
6174320164Sdavidcs	0x03010182, 0x00000002, 0x0000022b, 	/* (r1!=r2), r1=tmld.foc_remain_credits, r2=tmld.foci_foc_credits,  */
6175320164Sdavidcs	0x01010183, 0x00010001, 0x0000022d, 	/* (r1!=0), r1=tmld.dbg_pending_ccfc_req,  */
6176320164Sdavidcs	0x01010184, 0x00010001, 0x0000022e, 	/* (r1!=0), r1=tmld.dbg_pending_tcfc_req,  */
6177320164Sdavidcs	0x00000185, 0x00010002, 0x0000022f, 	/* ((r1&~r2)!=0), r1=tmld.PRTY_STS_H_0, r2=tmld.PRTY_MASK_H_0,  */
6178320164Sdavidcs	0x01010186, 0x00010001, 0x00000231, 	/* (r1!=0), r1=muld.pending_msg_to_ext_ev_1_ctr,  */
6179320164Sdavidcs	0x01010187, 0x00010001, 0x00000232, 	/* (r1!=0), r1=muld.pending_msg_to_ext_ev_2_ctr,  */
6180320164Sdavidcs	0x01010188, 0x00010001, 0x00000233, 	/* (r1!=0), r1=muld.pending_msg_to_ext_ev_3_ctr,  */
6181320164Sdavidcs	0x01010189, 0x00010001, 0x00000234, 	/* (r1!=0), r1=muld.pending_msg_to_ext_ev_4_ctr,  */
6182320164Sdavidcs	0x0101018a, 0x00010001, 0x00000235, 	/* (r1!=0), r1=muld.pending_msg_to_ext_ev_5_ctr,  */
6183320164Sdavidcs	0x0301018b, 0x00000002, 0x00000236, 	/* (r1!=r2), r1=muld.foc_remain_credits, r2=muld.foci_foc_credits,  */
6184320164Sdavidcs	0x0101018c, 0x00010001, 0x00000238, 	/* (r1!=0), r1=muld.bd_pending_msg_ctr,  */
6185320164Sdavidcs	0x0101018d, 0x00010001, 0x00000239, 	/* (r1!=0), r1=muld.sge_pending_msg_ctr,  */
6186320164Sdavidcs	0x0101018e, 0x00010001, 0x0000023a, 	/* (r1!=0), r1=muld.pci_pending_msg_ctr,  */
6187320164Sdavidcs	0x0101018f, 0x00010001, 0x0000023b, 	/* (r1!=0), r1=muld.dbg_pending_ccfc_req,  */
6188320164Sdavidcs	0x01010190, 0x00010001, 0x0000023c, 	/* (r1!=0), r1=muld.dbg_pending_tcfc_req,  */
6189320164Sdavidcs	0x00000191, 0x00010002, 0x0000023d, 	/* ((r1&~r2)!=0), r1=muld.PRTY_STS_H_0, r2=muld.PRTY_MASK_H_0,  */
6190320164Sdavidcs	0x00000192, 0x00010002, 0x0000023f, 	/* ((r1&~r2)!=0), r1=nig.INT_STS_0, r2=nig.INT_MASK_0,  */
6191320164Sdavidcs	0x00000193, 0x00010002, 0x00000241, 	/* ((r1&~r2)!=0), r1=nig.INT_STS_1, r2=nig.INT_MASK_1,  */
6192320164Sdavidcs	0x00000194, 0x00010002, 0x00000243, 	/* ((r1&~r2)!=0), r1=nig.INT_STS_2, r2=nig.INT_MASK_2,  */
6193320164Sdavidcs	0x00020195, 0x00010202, 0x00000245, 	/* ((r1&~r2)!=0), r1=nig.INT_STS_3, r2=nig.INT_MASK_3,  */
6194320164Sdavidcs	0x00000196, 0x00010002, 0x00000249, 	/* ((r1&~r2)!=0), r1=nig.INT_STS_4, r2=nig.INT_MASK_4,  */
6195320164Sdavidcs	0x00020197, 0x00010202, 0x0000024b, 	/* ((r1&~r2)!=0), r1=nig.INT_STS_5, r2=nig.INT_MASK_5,  */
6196320164Sdavidcs	0x00000198, 0x00010002, 0x0000024f, 	/* ((r1&~r2)!=0), r1=nig.PRTY_STS_H_0, r2=nig.PRTY_MASK_H_0,  */
6197320164Sdavidcs	0x00000199, 0x00010002, 0x00000251, 	/* ((r1&~r2)!=0), r1=nig.PRTY_STS_H_1, r2=nig.PRTY_MASK_H_1,  */
6198320164Sdavidcs	0x0000019a, 0x00010002, 0x00000253, 	/* ((r1&~r2)!=0), r1=nig.PRTY_STS_H_2, r2=nig.PRTY_MASK_H_2,  */
6199320164Sdavidcs	0x0000019b, 0x00010002, 0x00000255, 	/* ((r1&~r2)!=0), r1=nig.PRTY_STS_H_3, r2=nig.PRTY_MASK_H_3,  */
6200320164Sdavidcs	0x0101019c, 0x00010001, 0x00240257, 	/* (r1!=0x000fffff), r1=nig.lb_sopq_empty,  */
6201320164Sdavidcs	0x0101019d, 0x00010001, 0x00250258, 	/* (r1!=0x0000ffff), r1=nig.tx_sopq_empty,  */
6202320164Sdavidcs	0x0101019e, 0x00010001, 0x000d0259, 	/* (r1!=1), r1=nig.rx_llh_rfifo_empty,  */
6203320164Sdavidcs	0x0101019f, 0x00010001, 0x000d025a, 	/* (r1!=1), r1=nig.lb_btb_fifo_empty,  */
6204320164Sdavidcs	0x010101a0, 0x00010001, 0x000d025b, 	/* (r1!=1), r1=nig.lb_llh_rfifo_empty,  */
6205320164Sdavidcs	0x050001a1, 0x00040002, 0x0026025c, 	/* (((r1&0xff)!=0)&&((r2&0x7)!=0)), r1=nig.rx_ptp_ts_msb_err, r2=nig.rx_ptp_en,  */
6206320164Sdavidcs	0x010101a2, 0x00010001, 0x000d025e, 	/* (r1!=1), r1=nig.tx_btb_fifo_empty,  */
6207320164Sdavidcs	0x010101a3, 0x00010001, 0x000d025f, 	/* (r1!=1), r1=nig.debug_fifo_empty,  */
6208320164Sdavidcs	0x010001a4, 0x00010001, 0x00000260, 	/* (r1!=0), r1=ptu.pxp_err_ctr,  */
6209320164Sdavidcs	0x010001a5, 0x00010001, 0x00000261, 	/* (r1!=0), r1=ptu.inv_err_ctr,  */
6210320164Sdavidcs	0x010001a6, 0x00010001, 0x00000262, 	/* (r1!=0), r1=ptu.pbf_fill_level,  */
6211320164Sdavidcs	0x010001a7, 0x00010001, 0x00000263, 	/* (r1!=0), r1=ptu.prm_fill_level,  */
6212320164Sdavidcs	0x000001a8, 0x00010002, 0x00000264, 	/* ((r1&~r2)!=0), r1=ptu.INT_STS, r2=ptu.INT_MASK,  */
6213320164Sdavidcs	0x000001a9, 0x00010002, 0x00000266, 	/* ((r1&~r2)!=0), r1=ptu.PRTY_STS_H_0, r2=ptu.PRTY_MASK_H_0,  */
6214320164Sdavidcs	0x000001aa, 0x00010602, 0x00000268, 	/* ((r1&~r2)!=0), r1=cdu.INT_STS, r2=cdu.INT_MASK,  */
6215320164Sdavidcs	0x000001ab, 0x00010002, 0x00000270, 	/* ((r1&~r2)!=0), r1=cdu.PRTY_STS_H_0, r2=cdu.PRTY_MASK_H_0,  */
6216320164Sdavidcs	0x010201ac, 0x00010001, 0x00000272, 	/* (r1!=0), r1=pbf.num_pkts_received_with_error,  */
6217320164Sdavidcs	0x010201ad, 0x00010001, 0x00000273, 	/* (r1!=0), r1=pbf.num_pkts_sent_with_error_to_btb,  */
6218320164Sdavidcs	0x010201ae, 0x00010001, 0x00000274, 	/* (r1!=0), r1=pbf.num_pkts_sent_with_drop_to_btb,  */
6219320164Sdavidcs	0x010101af, 0x00010001, 0x00000275, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq0,  */
6220320164Sdavidcs	0x010101b0, 0x00010001, 0x00000276, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq0,  */
6221320164Sdavidcs	0x010101b1, 0x00010001, 0x00000277, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq0,  */
6222320164Sdavidcs	0x010101b2, 0x00010001, 0x00000278, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq1,  */
6223320164Sdavidcs	0x010101b3, 0x00010001, 0x00000279, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq1,  */
6224320164Sdavidcs	0x010101b4, 0x00010001, 0x0000027a, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq1,  */
6225320164Sdavidcs	0x010101b5, 0x00010001, 0x0000027b, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq2,  */
6226320164Sdavidcs	0x010101b6, 0x00010001, 0x0000027c, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq2,  */
6227320164Sdavidcs	0x010101b7, 0x00010001, 0x0000027d, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq2,  */
6228320164Sdavidcs	0x010101b8, 0x00010001, 0x0000027e, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq3,  */
6229320164Sdavidcs	0x010101b9, 0x00010001, 0x0000027f, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq3,  */
6230320164Sdavidcs	0x010101ba, 0x00010001, 0x00000280, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq3,  */
6231320164Sdavidcs	0x010101bb, 0x00010001, 0x00000281, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq4,  */
6232320164Sdavidcs	0x010101bc, 0x00010001, 0x00000282, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq4,  */
6233320164Sdavidcs	0x010101bd, 0x00010001, 0x00000283, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq4,  */
6234320164Sdavidcs	0x010101be, 0x00010001, 0x00000284, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq5,  */
6235320164Sdavidcs	0x010101bf, 0x00010001, 0x00000285, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq5,  */
6236320164Sdavidcs	0x010101c0, 0x00010001, 0x00000286, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq5,  */
6237320164Sdavidcs	0x010101c1, 0x00010001, 0x00000287, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq6,  */
6238320164Sdavidcs	0x010101c2, 0x00010001, 0x00000288, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq6,  */
6239320164Sdavidcs	0x010101c3, 0x00010001, 0x00000289, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq6,  */
6240320164Sdavidcs	0x010101c4, 0x00010001, 0x0000028a, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq7,  */
6241320164Sdavidcs	0x010101c5, 0x00010001, 0x0000028b, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq7,  */
6242320164Sdavidcs	0x010101c6, 0x00010001, 0x0000028c, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq7,  */
6243320164Sdavidcs	0x010101c7, 0x00010001, 0x0000028d, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq8,  */
6244320164Sdavidcs	0x010101c8, 0x00010001, 0x0000028e, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq8,  */
6245320164Sdavidcs	0x010101c9, 0x00010001, 0x0000028f, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq8,  */
6246320164Sdavidcs	0x010101ca, 0x00010001, 0x00000290, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq9,  */
6247320164Sdavidcs	0x010101cb, 0x00010001, 0x00000291, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq9,  */
6248320164Sdavidcs	0x010101cc, 0x00010001, 0x00000292, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq9,  */
6249320164Sdavidcs	0x010101cd, 0x00010001, 0x00000293, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq10,  */
6250320164Sdavidcs	0x010101ce, 0x00010001, 0x00000294, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq10,  */
6251320164Sdavidcs	0x010101cf, 0x00010001, 0x00000295, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq10,  */
6252320164Sdavidcs	0x010101d0, 0x00010001, 0x00000296, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq11,  */
6253320164Sdavidcs	0x010101d1, 0x00010001, 0x00000297, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq11,  */
6254320164Sdavidcs	0x010101d2, 0x00010001, 0x00000298, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq11,  */
6255320164Sdavidcs	0x010101d3, 0x00010001, 0x00000299, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq12,  */
6256320164Sdavidcs	0x010101d4, 0x00010001, 0x0000029a, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq12,  */
6257320164Sdavidcs	0x010101d5, 0x00010001, 0x0000029b, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq12,  */
6258320164Sdavidcs	0x010101d6, 0x00010001, 0x0000029c, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq13,  */
6259320164Sdavidcs	0x010101d7, 0x00010001, 0x0000029d, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq13,  */
6260320164Sdavidcs	0x010101d8, 0x00010001, 0x0000029e, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq13,  */
6261320164Sdavidcs	0x010101d9, 0x00010001, 0x0000029f, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq14,  */
6262320164Sdavidcs	0x010101da, 0x00010001, 0x000002a0, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq14,  */
6263320164Sdavidcs	0x010101db, 0x00010001, 0x000002a1, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq14,  */
6264320164Sdavidcs	0x010101dc, 0x00010001, 0x000002a2, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq15,  */
6265320164Sdavidcs	0x010101dd, 0x00010001, 0x000002a3, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq15,  */
6266320164Sdavidcs	0x010101de, 0x00010001, 0x000002a4, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq15,  */
6267320164Sdavidcs	0x010101df, 0x00010001, 0x000002a5, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq16,  */
6268320164Sdavidcs	0x010101e0, 0x00010001, 0x000002a6, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq16,  */
6269320164Sdavidcs	0x010101e1, 0x00010001, 0x000002a7, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq16,  */
6270320164Sdavidcs	0x010101e2, 0x00010001, 0x000002a8, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq17,  */
6271320164Sdavidcs	0x010101e3, 0x00010001, 0x000002a9, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq17,  */
6272320164Sdavidcs	0x010101e4, 0x00010001, 0x000002aa, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq17,  */
6273320164Sdavidcs	0x010101e5, 0x00010001, 0x000002ab, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq18,  */
6274320164Sdavidcs	0x010101e6, 0x00010001, 0x000002ac, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq18,  */
6275320164Sdavidcs	0x010101e7, 0x00010001, 0x000002ad, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq18,  */
6276320164Sdavidcs	0x010101e8, 0x00010001, 0x000002ae, 	/* (r1!=0), r1=pbf.ycmd_qs_cmd_cnt_voq19,  */
6277320164Sdavidcs	0x010101e9, 0x00010001, 0x000002af, 	/* (r1!=0), r1=pbf.ycmd_qs_occupancy_voq19,  */
6278320164Sdavidcs	0x010101ea, 0x00010001, 0x000002b0, 	/* (r1!=0), r1=pbf.btb_allocated_blocks_voq19,  */
6279320164Sdavidcs	0x000001eb, 0x00010002, 0x000002b1, 	/* ((r1&~r2)!=0), r1=btb.INT_STS_1, r2=btb.INT_MASK_1,  */
6280320164Sdavidcs	0x000001ec, 0x00010002, 0x000002b3, 	/* ((r1&~r2)!=0), r1=btb.INT_STS_2, r2=btb.INT_MASK_2,  */
6281320164Sdavidcs	0x000001ed, 0x00010002, 0x000002b5, 	/* ((r1&~r2)!=0), r1=btb.INT_STS_3, r2=btb.INT_MASK_3,  */
6282320164Sdavidcs	0x000001ee, 0x00010002, 0x000002b7, 	/* ((r1&~r2)!=0), r1=btb.PRTY_STS_H_0, r2=btb.PRTY_MASK_H_0,  */
6283320164Sdavidcs	0x010101ef, 0x00010001, 0x000302b9, 	/* (r1!=15), r1=btb.wc_dup_empty,  */
6284320164Sdavidcs	0x010101f0, 0x00010001, 0x000002ba, 	/* (r1!=0), r1=btb.wc_dup_status,  */
6285320164Sdavidcs	0x010101f1, 0x00010001, 0x002a02bb, 	/* (r1!=8190), r1=btb.wc_empty_0,  */
6286320164Sdavidcs	0x010201f2, 0x00010001, 0x000002bc, 	/* (r1!=0), r1=btb.wc_bandwidth_if_full,  */
6287320164Sdavidcs	0x010201f3, 0x00010001, 0x000002bd, 	/* (r1!=0), r1=btb.rc_pkt_if_full,  */
6288320164Sdavidcs	0x010101f4, 0x00010001, 0x002302be, 	/* (r1!=255), r1=btb.rc_pkt_empty_0,  */
6289320164Sdavidcs	0x010101f5, 0x00010001, 0x002302bf, 	/* (r1!=255), r1=btb.rc_pkt_empty_1,  */
6290320164Sdavidcs	0x010101f6, 0x00010001, 0x002302c0, 	/* (r1!=255), r1=btb.rc_pkt_empty_2,  */
6291320164Sdavidcs	0x010101f7, 0x00010001, 0x002302c1, 	/* (r1!=255), r1=btb.rc_pkt_empty_3,  */
6292320164Sdavidcs	0x010101f8, 0x00010001, 0x000302c2, 	/* (r1!=15), r1=btb.rc_sop_empty,  */
6293320164Sdavidcs	0x010101f9, 0x00010001, 0x000b02c3, 	/* (r1!=2), r1=btb.ll_arb_empty,  */
6294320164Sdavidcs	0x020101fa, 0x00010001, 0x002b02c4, 	/* (r1>46), r1=btb.block_occupancy,  */
6295320164Sdavidcs	0x010101fb, 0x00010001, 0x000002c5, 	/* (r1!=0), r1=btb.rc_pkt_state,  */
6296320164Sdavidcs	0x010101fc, 0x00010001, 0x000b02c6, 	/* (r1!=2), r1=btb.wc_status_0 width=3 access=WB,  */
6297320164Sdavidcs	0x000001fd, 0x00010102, 0x000002c7, 	/* ((r1&~r2)!=0), r1=xsdm.INT_STS, r2=xsdm.INT_MASK,  */
6298320164Sdavidcs	0x000001fe, 0x00010002, 0x000002ca, 	/* ((r1&~r2)!=0), r1=xsdm.PRTY_STS_H_0, r2=xsdm.PRTY_MASK_H_0,  */
6299320164Sdavidcs	0x010101ff, 0x00010001, 0x000002cc, 	/* (r1!=0), r1=xsdm.qm_full,  */
6300320164Sdavidcs	0x01010200, 0x00010001, 0x000002cd, 	/* (r1!=0), r1=xsdm.rsp_brb_if_full,  */
6301320164Sdavidcs	0x01010201, 0x00010001, 0x000002ce, 	/* (r1!=0), r1=xsdm.rsp_pxp_if_full,  */
6302320164Sdavidcs	0x01010202, 0x00010001, 0x000002cf, 	/* (r1!=0), r1=xsdm.dst_pxp_if_full,  */
6303320164Sdavidcs	0x01010203, 0x00010001, 0x000002d0, 	/* (r1!=0), r1=xsdm.dst_int_ram_if_full,  */
6304320164Sdavidcs	0x01010204, 0x00010001, 0x000002d1, 	/* (r1!=0), r1=xsdm.dst_pas_buf_if_full,  */
6305320164Sdavidcs	0x01010205, 0x00010001, 0x000d02d2, 	/* (r1!=1), r1=xsdm.int_cmpl_pend_empty,  */
6306320164Sdavidcs	0x01010206, 0x00010001, 0x000d02d3, 	/* (r1!=1), r1=xsdm.int_cprm_pend_empty,  */
6307320164Sdavidcs	0x01010207, 0x00010001, 0x002c02d4, 	/* (r1!=511), r1=xsdm.queue_empty,  */
6308320164Sdavidcs	0x01010208, 0x00010001, 0x000d02d5, 	/* (r1!=1), r1=xsdm.delay_fifo_empty,  */
6309320164Sdavidcs	0x01010209, 0x00010001, 0x000d02d6, 	/* (r1!=1), r1=xsdm.rsp_pxp_rdata_empty,  */
6310320164Sdavidcs	0x0101020a, 0x00010001, 0x000d02d7, 	/* (r1!=1), r1=xsdm.rsp_brb_rdata_empty,  */
6311320164Sdavidcs	0x0101020b, 0x00010001, 0x000d02d8, 	/* (r1!=1), r1=xsdm.rsp_int_ram_rdata_empty,  */
6312320164Sdavidcs	0x0101020c, 0x00010001, 0x000d02d9, 	/* (r1!=1), r1=xsdm.rsp_brb_pend_empty,  */
6313320164Sdavidcs	0x0101020d, 0x00010001, 0x000d02da, 	/* (r1!=1), r1=xsdm.rsp_int_ram_pend_empty,  */
6314320164Sdavidcs	0x0101020e, 0x00010001, 0x000d02db, 	/* (r1!=1), r1=xsdm.dst_pxp_immed_empty,  */
6315320164Sdavidcs	0x0101020f, 0x00010001, 0x000d02dc, 	/* (r1!=1), r1=xsdm.dst_pxp_dst_pend_empty,  */
6316320164Sdavidcs	0x01010210, 0x00010001, 0x000d02dd, 	/* (r1!=1), r1=xsdm.dst_pxp_src_pend_empty,  */
6317320164Sdavidcs	0x01010211, 0x00010001, 0x000d02de, 	/* (r1!=1), r1=xsdm.dst_brb_src_pend_empty,  */
6318320164Sdavidcs	0x01010212, 0x00010001, 0x000d02df, 	/* (r1!=1), r1=xsdm.dst_brb_src_addr_empty,  */
6319320164Sdavidcs	0x01010213, 0x00010001, 0x000d02e0, 	/* (r1!=1), r1=xsdm.dst_pxp_link_empty,  */
6320320164Sdavidcs	0x01010214, 0x00010001, 0x000d02e1, 	/* (r1!=1), r1=xsdm.dst_int_ram_wait_empty,  */
6321320164Sdavidcs	0x01010215, 0x00010001, 0x000d02e2, 	/* (r1!=1), r1=xsdm.dst_pas_buf_wait_empty,  */
6322320164Sdavidcs	0x01010216, 0x00010001, 0x000d02e3, 	/* (r1!=1), r1=xsdm.sh_delay_empty,  */
6323320164Sdavidcs	0x01010217, 0x00010001, 0x000d02e4, 	/* (r1!=1), r1=xsdm.cm_delay_empty,  */
6324320164Sdavidcs	0x01010218, 0x00010001, 0x000d02e5, 	/* (r1!=1), r1=xsdm.cmsg_que_empty,  */
6325320164Sdavidcs	0x01010219, 0x00010001, 0x000d02e6, 	/* (r1!=1), r1=xsdm.ccfc_load_pend_empty,  */
6326320164Sdavidcs	0x0101021a, 0x00010001, 0x000d02e7, 	/* (r1!=1), r1=xsdm.tcfc_load_pend_empty,  */
6327320164Sdavidcs	0x0101021b, 0x00010001, 0x000d02e8, 	/* (r1!=1), r1=xsdm.async_host_empty,  */
6328320164Sdavidcs	0x0101021c, 0x00010001, 0x000d02e9, 	/* (r1!=1), r1=xsdm.prm_fifo_empty,  */
6329320164Sdavidcs	0x0000021d, 0x00010102, 0x000002ea, 	/* ((r1&~r2)!=0), r1=ysdm.INT_STS, r2=ysdm.INT_MASK,  */
6330320164Sdavidcs	0x0000021e, 0x00010002, 0x000002ed, 	/* ((r1&~r2)!=0), r1=ysdm.PRTY_STS_H_0, r2=ysdm.PRTY_MASK_H_0,  */
6331320164Sdavidcs	0x0101021f, 0x00010001, 0x000002ef, 	/* (r1!=0), r1=ysdm.qm_full,  */
6332320164Sdavidcs	0x01010220, 0x00010001, 0x000002f0, 	/* (r1!=0), r1=ysdm.rsp_brb_if_full,  */
6333320164Sdavidcs	0x01010221, 0x00010001, 0x000002f1, 	/* (r1!=0), r1=ysdm.rsp_pxp_if_full,  */
6334320164Sdavidcs	0x01010222, 0x00010001, 0x000002f2, 	/* (r1!=0), r1=ysdm.dst_pxp_if_full,  */
6335320164Sdavidcs	0x01010223, 0x00010001, 0x000002f3, 	/* (r1!=0), r1=ysdm.dst_int_ram_if_full,  */
6336320164Sdavidcs	0x01010224, 0x00010001, 0x000002f4, 	/* (r1!=0), r1=ysdm.dst_pas_buf_if_full,  */
6337320164Sdavidcs	0x01010225, 0x00010001, 0x000d02f5, 	/* (r1!=1), r1=ysdm.int_cmpl_pend_empty,  */
6338320164Sdavidcs	0x01010226, 0x00010001, 0x000d02f6, 	/* (r1!=1), r1=ysdm.int_cprm_pend_empty,  */
6339320164Sdavidcs	0x01010227, 0x00010001, 0x002c02f7, 	/* (r1!=511), r1=ysdm.queue_empty,  */
6340320164Sdavidcs	0x01010228, 0x00010001, 0x000d02f8, 	/* (r1!=1), r1=ysdm.delay_fifo_empty,  */
6341320164Sdavidcs	0x01010229, 0x00010001, 0x000d02f9, 	/* (r1!=1), r1=ysdm.rsp_pxp_rdata_empty,  */
6342320164Sdavidcs	0x0101022a, 0x00010001, 0x000d02fa, 	/* (r1!=1), r1=ysdm.rsp_brb_rdata_empty,  */
6343320164Sdavidcs	0x0101022b, 0x00010001, 0x000d02fb, 	/* (r1!=1), r1=ysdm.rsp_int_ram_rdata_empty,  */
6344320164Sdavidcs	0x0101022c, 0x00010001, 0x000d02fc, 	/* (r1!=1), r1=ysdm.rsp_brb_pend_empty,  */
6345320164Sdavidcs	0x0101022d, 0x00010001, 0x000d02fd, 	/* (r1!=1), r1=ysdm.rsp_int_ram_pend_empty,  */
6346320164Sdavidcs	0x0101022e, 0x00010001, 0x000d02fe, 	/* (r1!=1), r1=ysdm.dst_pxp_immed_empty,  */
6347320164Sdavidcs	0x0101022f, 0x00010001, 0x000d02ff, 	/* (r1!=1), r1=ysdm.dst_pxp_dst_pend_empty,  */
6348320164Sdavidcs	0x01010230, 0x00010001, 0x000d0300, 	/* (r1!=1), r1=ysdm.dst_pxp_src_pend_empty,  */
6349320164Sdavidcs	0x01010231, 0x00010001, 0x000d0301, 	/* (r1!=1), r1=ysdm.dst_brb_src_pend_empty,  */
6350320164Sdavidcs	0x01010232, 0x00010001, 0x000d0302, 	/* (r1!=1), r1=ysdm.dst_brb_src_addr_empty,  */
6351320164Sdavidcs	0x01010233, 0x00010001, 0x000d0303, 	/* (r1!=1), r1=ysdm.dst_pxp_link_empty,  */
6352320164Sdavidcs	0x01010234, 0x00010001, 0x000d0304, 	/* (r1!=1), r1=ysdm.dst_int_ram_wait_empty,  */
6353320164Sdavidcs	0x01010235, 0x00010001, 0x000d0305, 	/* (r1!=1), r1=ysdm.dst_pas_buf_wait_empty,  */
6354320164Sdavidcs	0x01010236, 0x00010001, 0x000d0306, 	/* (r1!=1), r1=ysdm.sh_delay_empty,  */
6355320164Sdavidcs	0x01010237, 0x00010001, 0x000d0307, 	/* (r1!=1), r1=ysdm.cm_delay_empty,  */
6356320164Sdavidcs	0x01010238, 0x00010001, 0x000d0308, 	/* (r1!=1), r1=ysdm.cmsg_que_empty,  */
6357320164Sdavidcs	0x01010239, 0x00010001, 0x000d0309, 	/* (r1!=1), r1=ysdm.ccfc_load_pend_empty,  */
6358320164Sdavidcs	0x0101023a, 0x00010001, 0x000d030a, 	/* (r1!=1), r1=ysdm.tcfc_load_pend_empty,  */
6359320164Sdavidcs	0x0101023b, 0x00010001, 0x000d030b, 	/* (r1!=1), r1=ysdm.async_host_empty,  */
6360320164Sdavidcs	0x0101023c, 0x00010001, 0x000d030c, 	/* (r1!=1), r1=ysdm.prm_fifo_empty,  */
6361320164Sdavidcs	0x0000023d, 0x00010102, 0x0000030d, 	/* ((r1&~r2)!=0), r1=psdm.INT_STS, r2=psdm.INT_MASK,  */
6362320164Sdavidcs	0x0000023e, 0x00010002, 0x00000310, 	/* ((r1&~r2)!=0), r1=psdm.PRTY_STS_H_0, r2=psdm.PRTY_MASK_H_0,  */
6363320164Sdavidcs	0x0101023f, 0x00010001, 0x00000312, 	/* (r1!=0), r1=psdm.qm_full,  */
6364320164Sdavidcs	0x01010240, 0x00010001, 0x00000313, 	/* (r1!=0), r1=psdm.rsp_brb_if_full,  */
6365320164Sdavidcs	0x01010241, 0x00010001, 0x00000314, 	/* (r1!=0), r1=psdm.rsp_pxp_if_full,  */
6366320164Sdavidcs	0x01010242, 0x00010001, 0x00000315, 	/* (r1!=0), r1=psdm.dst_pxp_if_full,  */
6367320164Sdavidcs	0x01010243, 0x00010001, 0x00000316, 	/* (r1!=0), r1=psdm.dst_int_ram_if_full,  */
6368320164Sdavidcs	0x01010244, 0x00010001, 0x00000317, 	/* (r1!=0), r1=psdm.dst_pas_buf_if_full,  */
6369320164Sdavidcs	0x01010245, 0x00010001, 0x000d0318, 	/* (r1!=1), r1=psdm.int_cmpl_pend_empty,  */
6370320164Sdavidcs	0x01010246, 0x00010001, 0x000d0319, 	/* (r1!=1), r1=psdm.int_cprm_pend_empty,  */
6371320164Sdavidcs	0x01010247, 0x00010001, 0x002c031a, 	/* (r1!=511), r1=psdm.queue_empty,  */
6372320164Sdavidcs	0x01010248, 0x00010001, 0x000d031b, 	/* (r1!=1), r1=psdm.delay_fifo_empty,  */
6373320164Sdavidcs	0x01010249, 0x00010001, 0x000d031c, 	/* (r1!=1), r1=psdm.rsp_pxp_rdata_empty,  */
6374320164Sdavidcs	0x0101024a, 0x00010001, 0x000d031d, 	/* (r1!=1), r1=psdm.rsp_brb_rdata_empty,  */
6375320164Sdavidcs	0x0101024b, 0x00010001, 0x000d031e, 	/* (r1!=1), r1=psdm.rsp_int_ram_rdata_empty,  */
6376320164Sdavidcs	0x0101024c, 0x00010001, 0x000d031f, 	/* (r1!=1), r1=psdm.rsp_brb_pend_empty,  */
6377320164Sdavidcs	0x0101024d, 0x00010001, 0x000d0320, 	/* (r1!=1), r1=psdm.rsp_int_ram_pend_empty,  */
6378320164Sdavidcs	0x0101024e, 0x00010001, 0x000d0321, 	/* (r1!=1), r1=psdm.dst_pxp_immed_empty,  */
6379320164Sdavidcs	0x0101024f, 0x00010001, 0x000d0322, 	/* (r1!=1), r1=psdm.dst_pxp_dst_pend_empty,  */
6380320164Sdavidcs	0x01010250, 0x00010001, 0x000d0323, 	/* (r1!=1), r1=psdm.dst_pxp_src_pend_empty,  */
6381320164Sdavidcs	0x01010251, 0x00010001, 0x000d0324, 	/* (r1!=1), r1=psdm.dst_brb_src_pend_empty,  */
6382320164Sdavidcs	0x01010252, 0x00010001, 0x000d0325, 	/* (r1!=1), r1=psdm.dst_brb_src_addr_empty,  */
6383320164Sdavidcs	0x01010253, 0x00010001, 0x000d0326, 	/* (r1!=1), r1=psdm.dst_pxp_link_empty,  */
6384320164Sdavidcs	0x01010254, 0x00010001, 0x000d0327, 	/* (r1!=1), r1=psdm.dst_int_ram_wait_empty,  */
6385320164Sdavidcs	0x01010255, 0x00010001, 0x000d0328, 	/* (r1!=1), r1=psdm.dst_pas_buf_wait_empty,  */
6386320164Sdavidcs	0x01010256, 0x00010001, 0x000d0329, 	/* (r1!=1), r1=psdm.sh_delay_empty,  */
6387320164Sdavidcs	0x01010257, 0x00010001, 0x000d032a, 	/* (r1!=1), r1=psdm.cm_delay_empty,  */
6388320164Sdavidcs	0x01010258, 0x00010001, 0x000d032b, 	/* (r1!=1), r1=psdm.cmsg_que_empty,  */
6389320164Sdavidcs	0x01010259, 0x00010001, 0x000d032c, 	/* (r1!=1), r1=psdm.ccfc_load_pend_empty,  */
6390320164Sdavidcs	0x0101025a, 0x00010001, 0x000d032d, 	/* (r1!=1), r1=psdm.tcfc_load_pend_empty,  */
6391320164Sdavidcs	0x0101025b, 0x00010001, 0x000d032e, 	/* (r1!=1), r1=psdm.async_host_empty,  */
6392320164Sdavidcs	0x0101025c, 0x00010001, 0x000d032f, 	/* (r1!=1), r1=psdm.prm_fifo_empty,  */
6393320164Sdavidcs	0x0000025d, 0x00010102, 0x00000330, 	/* ((r1&~r2)!=0), r1=tsdm.INT_STS, r2=tsdm.INT_MASK,  */
6394320164Sdavidcs	0x0000025e, 0x00010002, 0x00000333, 	/* ((r1&~r2)!=0), r1=tsdm.PRTY_STS_H_0, r2=tsdm.PRTY_MASK_H_0,  */
6395320164Sdavidcs	0x0101025f, 0x00010001, 0x00000335, 	/* (r1!=0), r1=tsdm.qm_full,  */
6396320164Sdavidcs	0x01010260, 0x00010001, 0x00000336, 	/* (r1!=0), r1=tsdm.rsp_brb_if_full,  */
6397320164Sdavidcs	0x01010261, 0x00010001, 0x00000337, 	/* (r1!=0), r1=tsdm.rsp_pxp_if_full,  */
6398320164Sdavidcs	0x01010262, 0x00010001, 0x00000338, 	/* (r1!=0), r1=tsdm.dst_pxp_if_full,  */
6399320164Sdavidcs	0x01010263, 0x00010001, 0x00000339, 	/* (r1!=0), r1=tsdm.dst_int_ram_if_full,  */
6400320164Sdavidcs	0x01010264, 0x00010001, 0x0000033a, 	/* (r1!=0), r1=tsdm.dst_pas_buf_if_full,  */
6401320164Sdavidcs	0x01010265, 0x00010001, 0x000d033b, 	/* (r1!=1), r1=tsdm.int_cmpl_pend_empty,  */
6402320164Sdavidcs	0x01010266, 0x00010001, 0x000d033c, 	/* (r1!=1), r1=tsdm.int_cprm_pend_empty,  */
6403320164Sdavidcs	0x01010267, 0x00010001, 0x002c033d, 	/* (r1!=511), r1=tsdm.queue_empty,  */
6404320164Sdavidcs	0x01010268, 0x00010001, 0x000d033e, 	/* (r1!=1), r1=tsdm.delay_fifo_empty,  */
6405320164Sdavidcs	0x01010269, 0x00010001, 0x000d033f, 	/* (r1!=1), r1=tsdm.rsp_pxp_rdata_empty,  */
6406320164Sdavidcs	0x0101026a, 0x00010001, 0x000d0340, 	/* (r1!=1), r1=tsdm.rsp_brb_rdata_empty,  */
6407320164Sdavidcs	0x0101026b, 0x00010001, 0x000d0341, 	/* (r1!=1), r1=tsdm.rsp_int_ram_rdata_empty,  */
6408320164Sdavidcs	0x0101026c, 0x00010001, 0x000d0342, 	/* (r1!=1), r1=tsdm.rsp_brb_pend_empty,  */
6409320164Sdavidcs	0x0101026d, 0x00010001, 0x000d0343, 	/* (r1!=1), r1=tsdm.rsp_int_ram_pend_empty,  */
6410320164Sdavidcs	0x0101026e, 0x00010001, 0x000d0344, 	/* (r1!=1), r1=tsdm.dst_pxp_immed_empty,  */
6411320164Sdavidcs	0x0101026f, 0x00010001, 0x000d0345, 	/* (r1!=1), r1=tsdm.dst_pxp_dst_pend_empty,  */
6412320164Sdavidcs	0x01010270, 0x00010001, 0x000d0346, 	/* (r1!=1), r1=tsdm.dst_pxp_src_pend_empty,  */
6413320164Sdavidcs	0x01010271, 0x00010001, 0x000d0347, 	/* (r1!=1), r1=tsdm.dst_brb_src_pend_empty,  */
6414320164Sdavidcs	0x01010272, 0x00010001, 0x000d0348, 	/* (r1!=1), r1=tsdm.dst_brb_src_addr_empty,  */
6415320164Sdavidcs	0x01010273, 0x00010001, 0x000d0349, 	/* (r1!=1), r1=tsdm.dst_pxp_link_empty,  */
6416320164Sdavidcs	0x01010274, 0x00010001, 0x000d034a, 	/* (r1!=1), r1=tsdm.dst_int_ram_wait_empty,  */
6417320164Sdavidcs	0x01010275, 0x00010001, 0x000d034b, 	/* (r1!=1), r1=tsdm.dst_pas_buf_wait_empty,  */
6418320164Sdavidcs	0x01010276, 0x00010001, 0x000d034c, 	/* (r1!=1), r1=tsdm.sh_delay_empty,  */
6419320164Sdavidcs	0x01010277, 0x00010001, 0x000d034d, 	/* (r1!=1), r1=tsdm.cm_delay_empty,  */
6420320164Sdavidcs	0x01010278, 0x00010001, 0x000d034e, 	/* (r1!=1), r1=tsdm.cmsg_que_empty,  */
6421320164Sdavidcs	0x01010279, 0x00010001, 0x000d034f, 	/* (r1!=1), r1=tsdm.ccfc_load_pend_empty,  */
6422320164Sdavidcs	0x0101027a, 0x00010001, 0x000d0350, 	/* (r1!=1), r1=tsdm.tcfc_load_pend_empty,  */
6423320164Sdavidcs	0x0101027b, 0x00010001, 0x000d0351, 	/* (r1!=1), r1=tsdm.async_host_empty,  */
6424320164Sdavidcs	0x0101027c, 0x00010001, 0x000d0352, 	/* (r1!=1), r1=tsdm.prm_fifo_empty,  */
6425320164Sdavidcs	0x0000027d, 0x00010102, 0x00000353, 	/* ((r1&~r2)!=0), r1=msdm.INT_STS, r2=msdm.INT_MASK,  */
6426320164Sdavidcs	0x0000027e, 0x00010002, 0x00000356, 	/* ((r1&~r2)!=0), r1=msdm.PRTY_STS_H_0, r2=msdm.PRTY_MASK_H_0,  */
6427320164Sdavidcs	0x0101027f, 0x00010001, 0x00000358, 	/* (r1!=0), r1=msdm.qm_full,  */
6428320164Sdavidcs	0x01010280, 0x00010001, 0x00000359, 	/* (r1!=0), r1=msdm.rsp_brb_if_full,  */
6429320164Sdavidcs	0x01010281, 0x00010001, 0x0000035a, 	/* (r1!=0), r1=msdm.rsp_pxp_if_full,  */
6430320164Sdavidcs	0x01010282, 0x00010001, 0x0000035b, 	/* (r1!=0), r1=msdm.dst_pxp_if_full,  */
6431320164Sdavidcs	0x01010283, 0x00010001, 0x0000035c, 	/* (r1!=0), r1=msdm.dst_int_ram_if_full,  */
6432320164Sdavidcs	0x01010284, 0x00010001, 0x0000035d, 	/* (r1!=0), r1=msdm.dst_pas_buf_if_full,  */
6433320164Sdavidcs	0x01010285, 0x00010001, 0x000d035e, 	/* (r1!=1), r1=msdm.int_cmpl_pend_empty,  */
6434320164Sdavidcs	0x01010286, 0x00010001, 0x000d035f, 	/* (r1!=1), r1=msdm.int_cprm_pend_empty,  */
6435320164Sdavidcs	0x01010287, 0x00010001, 0x002c0360, 	/* (r1!=511), r1=msdm.queue_empty,  */
6436320164Sdavidcs	0x01010288, 0x00010001, 0x000d0361, 	/* (r1!=1), r1=msdm.delay_fifo_empty,  */
6437320164Sdavidcs	0x01010289, 0x00010001, 0x000d0362, 	/* (r1!=1), r1=msdm.rsp_pxp_rdata_empty,  */
6438320164Sdavidcs	0x0101028a, 0x00010001, 0x000d0363, 	/* (r1!=1), r1=msdm.rsp_brb_rdata_empty,  */
6439320164Sdavidcs	0x0101028b, 0x00010001, 0x000d0364, 	/* (r1!=1), r1=msdm.rsp_int_ram_rdata_empty,  */
6440320164Sdavidcs	0x0101028c, 0x00010001, 0x000d0365, 	/* (r1!=1), r1=msdm.rsp_brb_pend_empty,  */
6441320164Sdavidcs	0x0101028d, 0x00010001, 0x000d0366, 	/* (r1!=1), r1=msdm.rsp_int_ram_pend_empty,  */
6442320164Sdavidcs	0x0101028e, 0x00010001, 0x000d0367, 	/* (r1!=1), r1=msdm.dst_pxp_immed_empty,  */
6443320164Sdavidcs	0x0101028f, 0x00010001, 0x000d0368, 	/* (r1!=1), r1=msdm.dst_pxp_dst_pend_empty,  */
6444320164Sdavidcs	0x01010290, 0x00010001, 0x000d0369, 	/* (r1!=1), r1=msdm.dst_pxp_src_pend_empty,  */
6445320164Sdavidcs	0x01010291, 0x00010001, 0x000d036a, 	/* (r1!=1), r1=msdm.dst_brb_src_pend_empty,  */
6446320164Sdavidcs	0x01010292, 0x00010001, 0x000d036b, 	/* (r1!=1), r1=msdm.dst_brb_src_addr_empty,  */
6447320164Sdavidcs	0x01010293, 0x00010001, 0x000d036c, 	/* (r1!=1), r1=msdm.dst_pxp_link_empty,  */
6448320164Sdavidcs	0x01010294, 0x00010001, 0x000d036d, 	/* (r1!=1), r1=msdm.dst_int_ram_wait_empty,  */
6449320164Sdavidcs	0x01010295, 0x00010001, 0x000d036e, 	/* (r1!=1), r1=msdm.dst_pas_buf_wait_empty,  */
6450320164Sdavidcs	0x01010296, 0x00010001, 0x000d036f, 	/* (r1!=1), r1=msdm.sh_delay_empty,  */
6451320164Sdavidcs	0x01010297, 0x00010001, 0x000d0370, 	/* (r1!=1), r1=msdm.cm_delay_empty,  */
6452320164Sdavidcs	0x01010298, 0x00010001, 0x000d0371, 	/* (r1!=1), r1=msdm.cmsg_que_empty,  */
6453320164Sdavidcs	0x01010299, 0x00010001, 0x000d0372, 	/* (r1!=1), r1=msdm.ccfc_load_pend_empty,  */
6454320164Sdavidcs	0x0101029a, 0x00010001, 0x000d0373, 	/* (r1!=1), r1=msdm.tcfc_load_pend_empty,  */
6455320164Sdavidcs	0x0101029b, 0x00010001, 0x000d0374, 	/* (r1!=1), r1=msdm.async_host_empty,  */
6456320164Sdavidcs	0x0101029c, 0x00010001, 0x000d0375, 	/* (r1!=1), r1=msdm.prm_fifo_empty,  */
6457320164Sdavidcs	0x0000029d, 0x00010102, 0x00000376, 	/* ((r1&~r2)!=0), r1=usdm.INT_STS, r2=usdm.INT_MASK,  */
6458320164Sdavidcs	0x0000029e, 0x00010002, 0x00000379, 	/* ((r1&~r2)!=0), r1=usdm.PRTY_STS_H_0, r2=usdm.PRTY_MASK_H_0,  */
6459320164Sdavidcs	0x0101029f, 0x00010001, 0x0000037b, 	/* (r1!=0), r1=usdm.qm_full,  */
6460320164Sdavidcs	0x010102a0, 0x00010001, 0x0000037c, 	/* (r1!=0), r1=usdm.rsp_brb_if_full,  */
6461320164Sdavidcs	0x010102a1, 0x00010001, 0x0000037d, 	/* (r1!=0), r1=usdm.rsp_pxp_if_full,  */
6462320164Sdavidcs	0x010102a2, 0x00010001, 0x0000037e, 	/* (r1!=0), r1=usdm.dst_pxp_if_full,  */
6463320164Sdavidcs	0x010102a3, 0x00010001, 0x0000037f, 	/* (r1!=0), r1=usdm.dst_int_ram_if_full,  */
6464320164Sdavidcs	0x010102a4, 0x00010001, 0x00000380, 	/* (r1!=0), r1=usdm.dst_pas_buf_if_full,  */
6465320164Sdavidcs	0x010102a5, 0x00010001, 0x000d0381, 	/* (r1!=1), r1=usdm.int_cmpl_pend_empty,  */
6466320164Sdavidcs	0x010102a6, 0x00010001, 0x000d0382, 	/* (r1!=1), r1=usdm.int_cprm_pend_empty,  */
6467320164Sdavidcs	0x010102a7, 0x00010001, 0x002c0383, 	/* (r1!=511), r1=usdm.queue_empty,  */
6468320164Sdavidcs	0x010102a8, 0x00010001, 0x000d0384, 	/* (r1!=1), r1=usdm.delay_fifo_empty,  */
6469320164Sdavidcs	0x010102a9, 0x00010001, 0x000d0385, 	/* (r1!=1), r1=usdm.rsp_pxp_rdata_empty,  */
6470320164Sdavidcs	0x010102aa, 0x00010001, 0x000d0386, 	/* (r1!=1), r1=usdm.rsp_brb_rdata_empty,  */
6471320164Sdavidcs	0x010102ab, 0x00010001, 0x000d0387, 	/* (r1!=1), r1=usdm.rsp_int_ram_rdata_empty,  */
6472320164Sdavidcs	0x010102ac, 0x00010001, 0x000d0388, 	/* (r1!=1), r1=usdm.rsp_brb_pend_empty,  */
6473320164Sdavidcs	0x010102ad, 0x00010001, 0x000d0389, 	/* (r1!=1), r1=usdm.rsp_int_ram_pend_empty,  */
6474320164Sdavidcs	0x010102ae, 0x00010001, 0x000d038a, 	/* (r1!=1), r1=usdm.dst_pxp_immed_empty,  */
6475320164Sdavidcs	0x010102af, 0x00010001, 0x000d038b, 	/* (r1!=1), r1=usdm.dst_pxp_dst_pend_empty,  */
6476320164Sdavidcs	0x010102b0, 0x00010001, 0x000d038c, 	/* (r1!=1), r1=usdm.dst_pxp_src_pend_empty,  */
6477320164Sdavidcs	0x010102b1, 0x00010001, 0x000d038d, 	/* (r1!=1), r1=usdm.dst_brb_src_pend_empty,  */
6478320164Sdavidcs	0x010102b2, 0x00010001, 0x000d038e, 	/* (r1!=1), r1=usdm.dst_brb_src_addr_empty,  */
6479320164Sdavidcs	0x010102b3, 0x00010001, 0x000d038f, 	/* (r1!=1), r1=usdm.dst_pxp_link_empty,  */
6480320164Sdavidcs	0x010102b4, 0x00010001, 0x000d0390, 	/* (r1!=1), r1=usdm.dst_int_ram_wait_empty,  */
6481320164Sdavidcs	0x010102b5, 0x00010001, 0x000d0391, 	/* (r1!=1), r1=usdm.dst_pas_buf_wait_empty,  */
6482320164Sdavidcs	0x010102b6, 0x00010001, 0x000d0392, 	/* (r1!=1), r1=usdm.sh_delay_empty,  */
6483320164Sdavidcs	0x010102b7, 0x00010001, 0x000d0393, 	/* (r1!=1), r1=usdm.cm_delay_empty,  */
6484320164Sdavidcs	0x010102b8, 0x00010001, 0x000d0394, 	/* (r1!=1), r1=usdm.cmsg_que_empty,  */
6485320164Sdavidcs	0x010102b9, 0x00010001, 0x000d0395, 	/* (r1!=1), r1=usdm.ccfc_load_pend_empty,  */
6486320164Sdavidcs	0x010102ba, 0x00010001, 0x000d0396, 	/* (r1!=1), r1=usdm.tcfc_load_pend_empty,  */
6487320164Sdavidcs	0x010102bb, 0x00010001, 0x000d0397, 	/* (r1!=1), r1=usdm.async_host_empty,  */
6488320164Sdavidcs	0x010102bc, 0x00010001, 0x000d0398, 	/* (r1!=1), r1=usdm.prm_fifo_empty,  */
6489320164Sdavidcs	0x000002bd, 0x00010002, 0x00000399, 	/* ((r1&~r2)!=0), r1=xcm.INT_STS_0, r2=xcm.INT_MASK_0,  */
6490320164Sdavidcs	0x000002be, 0x00010002, 0x0000039b, 	/* ((r1&~r2)!=0), r1=xcm.INT_STS_1, r2=xcm.INT_MASK_1,  */
6491320164Sdavidcs	0x000002bf, 0x00010102, 0x0000039d, 	/* ((r1&~r2)!=0), r1=xcm.INT_STS_2, r2=xcm.INT_MASK_2,  */
6492320164Sdavidcs	0x000002c0, 0x00010002, 0x000003a0, 	/* ((r1&~r2)!=0), r1=xcm.PRTY_STS_H_0, r2=xcm.PRTY_MASK_H_0,  */
6493320164Sdavidcs	0x000002c1, 0x00010002, 0x000003a2, 	/* ((r1&~r2)!=0), r1=xcm.PRTY_STS_H_1, r2=xcm.PRTY_MASK_H_1,  */
6494320164Sdavidcs	0x010002c2, 0x00010001, 0x000003a4, 	/* (r1!=0), r1=xcm.fi_desc_input_violate,  */
6495320164Sdavidcs	0x010102c3, 0x00010001, 0x000003a5, 	/* (r1!=0), r1=xcm.ia_agg_con_part_fill_lvl,  */
6496320164Sdavidcs	0x010102c4, 0x00010001, 0x000003a6, 	/* (r1!=0), r1=xcm.ia_sm_con_part_fill_lvl,  */
6497320164Sdavidcs	0x010102c5, 0x00010001, 0x000003a7, 	/* (r1!=0), r1=xcm.ia_trans_part_fill_lvl,  */
6498320164Sdavidcs	0x010102c6, 0x00010001, 0x002d03a8, 	/* (r1!=reset1), r1=xcm.xx_free_cnt,  */
6499320164Sdavidcs	0x010102c7, 0x00010001, 0x000003a9, 	/* (r1!=0), r1=xcm.xx_lcid_cam_fill_lvl,  */
6500320164Sdavidcs	0x010102c8, 0x00010001, 0x000003aa, 	/* (r1!=0), r1=xcm.xx_lock_cnt,  */
6501320164Sdavidcs	0x010102c9, 0x00010001, 0x000003ab, 	/* (r1!=0), r1=xcm.xx_cbyp_tbl_fill_lvl,  */
6502320164Sdavidcs	0x010102ca, 0x00010001, 0x000003ac, 	/* (r1!=0), r1=xcm.agg_con_fic_buf_fill_lvl,  */
6503320164Sdavidcs	0x010102cb, 0x00010001, 0x000003ad, 	/* (r1!=0), r1=xcm.sm_con_fic_buf_fill_lvl,  */
6504320164Sdavidcs	0x010102cc, 0x00010001, 0x000003ae, 	/* (r1!=0), r1=xcm.in_prcs_tbl_fill_lvl,  */
6505320164Sdavidcs	0x010102cd, 0x00010001, 0x000d03af, 	/* (r1!=reset1), r1=xcm.ccfc_init_crd,  */
6506320164Sdavidcs	0x010102ce, 0x00010001, 0x000a03b0, 	/* (r1!=reset1), r1=xcm.qm_init_crd0,  */
6507320164Sdavidcs	0x010102cf, 0x00010001, 0x000a03b1, 	/* (r1!=reset1), r1=xcm.qm_init_crd1,  */
6508320164Sdavidcs	0x010102d0, 0x00010001, 0x001103b2, 	/* (r1!=reset1), r1=xcm.tm_init_crd,  */
6509320164Sdavidcs	0x010102d1, 0x00010001, 0x002e03b3, 	/* (r1!=reset1), r1=xcm.fic_init_crd,  */
6510320164Sdavidcs	0x010002d2, 0x00010001, 0x000003b4, 	/* (r1!=0), r1=xcm.xsdm_length_mis,  */
6511320164Sdavidcs	0x010002d3, 0x00010001, 0x000003b5, 	/* (r1!=0), r1=xcm.ysdm_length_mis,  */
6512320164Sdavidcs	0x010002d4, 0x00010001, 0x000003b6, 	/* (r1!=0), r1=xcm.dorq_length_mis,  */
6513320164Sdavidcs	0x010002d5, 0x00010001, 0x000003b7, 	/* (r1!=0), r1=xcm.pbf_length_mis,  */
6514320164Sdavidcs	0x010102d6, 0x00010001, 0x000003b8, 	/* (r1!=0), r1=xcm.is_qm_p_fill_lvl,  */
6515320164Sdavidcs	0x010102d7, 0x00010001, 0x000003b9, 	/* (r1!=0), r1=xcm.is_qm_s_fill_lvl,  */
6516320164Sdavidcs	0x010102d8, 0x00010001, 0x000003ba, 	/* (r1!=0), r1=xcm.is_tm_fill_lvl,  */
6517320164Sdavidcs	0x010102d9, 0x00010001, 0x000003bb, 	/* (r1!=0), r1=xcm.is_storm_fill_lvl,  */
6518320164Sdavidcs	0x010102da, 0x00010001, 0x000003bc, 	/* (r1!=0), r1=xcm.is_xsdm_fill_lvl,  */
6519320164Sdavidcs	0x010102db, 0x00010001, 0x000003bd, 	/* (r1!=0), r1=xcm.is_ysdm_fill_lvl,  */
6520320164Sdavidcs	0x010102dc, 0x00010001, 0x000003be, 	/* (r1!=0), r1=xcm.is_msem_fill_lvl,  */
6521320164Sdavidcs	0x010102dd, 0x00010001, 0x000003bf, 	/* (r1!=0), r1=xcm.is_usem_fill_lvl,  */
6522320164Sdavidcs	0x010102de, 0x00010001, 0x000003c0, 	/* (r1!=0), r1=xcm.is_dorq_fill_lvl,  */
6523320164Sdavidcs	0x010102df, 0x00010001, 0x000003c1, 	/* (r1!=0), r1=xcm.is_pbf_fill_lvl,  */
6524320164Sdavidcs	0x000002e0, 0x00010002, 0x000003c2, 	/* ((r1&~r2)!=0), r1=ycm.INT_STS_0, r2=ycm.INT_MASK_0,  */
6525320164Sdavidcs	0x000002e1, 0x00010002, 0x000003c4, 	/* ((r1&~r2)!=0), r1=ycm.INT_STS_1, r2=ycm.INT_MASK_1,  */
6526320164Sdavidcs	0x000002e2, 0x00010002, 0x000003c6, 	/* ((r1&~r2)!=0), r1=ycm.PRTY_STS_H_0, r2=ycm.PRTY_MASK_H_0,  */
6527320164Sdavidcs	0x000002e3, 0x00010002, 0x000003c8, 	/* ((r1&~r2)!=0), r1=ycm.PRTY_STS_H_1, r2=ycm.PRTY_MASK_H_1,  */
6528320164Sdavidcs	0x010002e4, 0x00010001, 0x000003ca, 	/* (r1!=0), r1=ycm.fi_desc_input_violate,  */
6529320164Sdavidcs	0x010002e5, 0x00010001, 0x000003cb, 	/* (r1!=0), r1=ycm.se_desc_input_violate,  */
6530320164Sdavidcs	0x010102e6, 0x00010001, 0x000003cc, 	/* (r1!=0), r1=ycm.ia_sm_con_part_fill_lvl,  */
6531320164Sdavidcs	0x010102e7, 0x00010001, 0x000003cd, 	/* (r1!=0), r1=ycm.ia_agg_task_part_fill_lvl,  */
6532320164Sdavidcs	0x010102e8, 0x00010001, 0x000003ce, 	/* (r1!=0), r1=ycm.ia_sm_task_part_fill_lvl,  */
6533320164Sdavidcs	0x010102e9, 0x00010001, 0x000003cf, 	/* (r1!=0), r1=ycm.ia_trans_part_fill_lvl,  */
6534320164Sdavidcs	0x010102ea, 0x00010001, 0x002d03d0, 	/* (r1!=reset1), r1=ycm.xx_free_cnt,  */
6535320164Sdavidcs	0x010102eb, 0x00010001, 0x000003d1, 	/* (r1!=0), r1=ycm.xx_lcid_cam_fill_lvl,  */
6536320164Sdavidcs	0x010102ec, 0x00010001, 0x000003d2, 	/* (r1!=0), r1=ycm.xx_lock_cnt,  */
6537320164Sdavidcs	0x010102ed, 0x00010001, 0x000003d3, 	/* (r1!=0), r1=ycm.xx_cbyp_tbl_fill_lvl,  */
6538320164Sdavidcs	0x010102ee, 0x00010001, 0x000003d4, 	/* (r1!=0), r1=ycm.xx_tbyp_tbl_fill_lvl,  */
6539320164Sdavidcs	0x010102ef, 0x00010001, 0x000003d5, 	/* (r1!=0), r1=ycm.xx_tbyp_tbl_fill_lvl,  */
6540320164Sdavidcs	0x010102f0, 0x00010001, 0x000003d6, 	/* (r1!=0), r1=ycm.sm_con_fic_buf_fill_lvl,  */
6541320164Sdavidcs	0x010102f1, 0x00010001, 0x000003d7, 	/* (r1!=0), r1=ycm.agg_task_fic_buf_fill_lvl,  */
6542320164Sdavidcs	0x010102f2, 0x00010001, 0x000003d8, 	/* (r1!=0), r1=ycm.sm_task_fic_buf_fill_lvl,  */
6543320164Sdavidcs	0x010102f3, 0x00010001, 0x000003d9, 	/* (r1!=0), r1=ycm.in_prcs_tbl_fill_lvl,  */
6544320164Sdavidcs	0x010102f4, 0x00010001, 0x000d03da, 	/* (r1!=reset1), r1=ycm.ccfc_init_crd,  */
6545320164Sdavidcs	0x010102f5, 0x00010001, 0x000d03db, 	/* (r1!=reset1), r1=ycm.tcfc_init_crd,  */
6546320164Sdavidcs	0x010102f6, 0x00010001, 0x000a03dc, 	/* (r1!=reset1), r1=ycm.qm_init_crd0,  */
6547320164Sdavidcs	0x010102f7, 0x00010001, 0x002f03dd, 	/* (r1!=reset1), r1=ycm.fic_init_crd,  */
6548320164Sdavidcs	0x010002f8, 0x00010001, 0x000003de, 	/* (r1!=0), r1=ycm.ysdm_length_mis,  */
6549320164Sdavidcs	0x010002f9, 0x00010001, 0x000003df, 	/* (r1!=0), r1=ycm.pbf_length_mis,  */
6550320164Sdavidcs	0x010002fa, 0x00010001, 0x000003e0, 	/* (r1!=0), r1=ycm.xyld_length_mis,  */
6551320164Sdavidcs	0x010102fb, 0x00010001, 0x000003e1, 	/* (r1!=0), r1=ycm.is_qm_p_fill_lvl,  */
6552320164Sdavidcs	0x010102fc, 0x00010001, 0x000003e2, 	/* (r1!=0), r1=ycm.is_qm_s_fill_lvl,  */
6553320164Sdavidcs	0x010102fd, 0x00010001, 0x000003e3, 	/* (r1!=0), r1=ycm.is_storm_fill_lvl,  */
6554320164Sdavidcs	0x010102fe, 0x00010001, 0x000003e4, 	/* (r1!=0), r1=ycm.is_ysdm_fill_lvl,  */
6555320164Sdavidcs	0x010102ff, 0x00010001, 0x000003e5, 	/* (r1!=0), r1=ycm.is_xyld_fill_lvl,  */
6556320164Sdavidcs	0x01010300, 0x00010001, 0x000003e6, 	/* (r1!=0), r1=ycm.is_msem_fill_lvl,  */
6557320164Sdavidcs	0x01010301, 0x00010001, 0x000003e7, 	/* (r1!=0), r1=ycm.is_usem_fill_lvl,  */
6558320164Sdavidcs	0x01010302, 0x00010001, 0x000003e8, 	/* (r1!=0), r1=ycm.is_pbf_fill_lvl,  */
6559320164Sdavidcs	0x00000303, 0x00010002, 0x000003e9, 	/* ((r1&~r2)!=0), r1=pcm.INT_STS_0, r2=pcm.INT_MASK_0,  */
6560320164Sdavidcs	0x00000304, 0x00010002, 0x000003eb, 	/* ((r1&~r2)!=0), r1=pcm.INT_STS_1, r2=pcm.INT_MASK_1,  */
6561320164Sdavidcs	0x00000305, 0x00010002, 0x000003ed, 	/* ((r1&~r2)!=0), r1=pcm.PRTY_STS_H_0, r2=pcm.PRTY_MASK_H_0,  */
6562320164Sdavidcs	0x01000306, 0x00010001, 0x000003ef, 	/* (r1!=0), r1=pcm.fi_desc_input_violate,  */
6563320164Sdavidcs	0x01010307, 0x00010001, 0x000003f0, 	/* (r1!=0), r1=pcm.ia_sm_con_part_fill_lvl,  */
6564320164Sdavidcs	0x01010308, 0x00010001, 0x000003f1, 	/* (r1!=0), r1=pcm.ia_trans_part_fill_lvl,  */
6565320164Sdavidcs	0x01010309, 0x00010001, 0x001103f2, 	/* (r1!=reset1), r1=pcm.xx_free_cnt,  */
6566320164Sdavidcs	0x0101030a, 0x00010001, 0x000003f3, 	/* (r1!=0), r1=pcm.xx_lcid_cam_fill_lvl,  */
6567320164Sdavidcs	0x0101030b, 0x00010001, 0x000003f4, 	/* (r1!=0), r1=pcm.xx_lock_cnt,  */
6568320164Sdavidcs	0x0101030c, 0x00010001, 0x000003f5, 	/* (r1!=0), r1=pcm.sm_con_fic_buf_fill_lvl,  */
6569320164Sdavidcs	0x0101030d, 0x00010001, 0x000003f6, 	/* (r1!=0), r1=pcm.in_prcs_tbl_fill_lvl,  */
6570320164Sdavidcs	0x0101030e, 0x00010001, 0x000d03f7, 	/* (r1!=reset1), r1=pcm.ccfc_init_crd,  */
6571320164Sdavidcs	0x0101030f, 0x00010001, 0x002e03f8, 	/* (r1!=reset1), r1=pcm.fic_init_crd,  */
6572320164Sdavidcs	0x01010310, 0x00010001, 0x000003f9, 	/* (r1!=0), r1=pcm.is_storm_fill_lvl,  */
6573320164Sdavidcs	0x00000311, 0x00010002, 0x000003fa, 	/* ((r1&~r2)!=0), r1=tcm.INT_STS_0, r2=tcm.INT_MASK_0,  */
6574320164Sdavidcs	0x00000312, 0x00010002, 0x000003fc, 	/* ((r1&~r2)!=0), r1=tcm.INT_STS_1, r2=tcm.INT_MASK_1,  */
6575320164Sdavidcs	0x00000313, 0x00010002, 0x000003fe, 	/* ((r1&~r2)!=0), r1=tcm.PRTY_STS_H_0, r2=tcm.PRTY_MASK_H_0,  */
6576320164Sdavidcs	0x00000314, 0x00010002, 0x00000400, 	/* ((r1&~r2)!=0), r1=tcm.PRTY_STS_H_1, r2=tcm.PRTY_MASK_H_1,  */
6577320164Sdavidcs	0x01000315, 0x00010001, 0x00000402, 	/* (r1!=0), r1=tcm.fi_desc_input_violate,  */
6578320164Sdavidcs	0x01000316, 0x00010001, 0x00000403, 	/* (r1!=0), r1=tcm.se_desc_input_violate,  */
6579320164Sdavidcs	0x01010317, 0x00010001, 0x00000404, 	/* (r1!=0), r1=tcm.ia_agg_con_part_fill_lvl,  */
6580320164Sdavidcs	0x01010318, 0x00010001, 0x00000405, 	/* (r1!=0), r1=tcm.ia_sm_con_part_fill_lvl,  */
6581320164Sdavidcs	0x01010319, 0x00010001, 0x00000406, 	/* (r1!=0), r1=tcm.ia_agg_task_part_fill_lvl,  */
6582320164Sdavidcs	0x0101031a, 0x00010001, 0x00000407, 	/* (r1!=0), r1=tcm.ia_sm_task_part_fill_lvl,  */
6583320164Sdavidcs	0x0101031b, 0x00010001, 0x00000408, 	/* (r1!=0), r1=tcm.ia_trans_part_fill_lvl,  */
6584320164Sdavidcs	0x0101031c, 0x00010001, 0x002d0409, 	/* (r1!=reset1), r1=tcm.xx_free_cnt,  */
6585320164Sdavidcs	0x0101031d, 0x00010001, 0x0000040a, 	/* (r1!=0), r1=tcm.xx_lcid_cam_fill_lvl,  */
6586320164Sdavidcs	0x0101031e, 0x00010001, 0x0000040b, 	/* (r1!=0), r1=tcm.xx_lock_cnt,  */
6587320164Sdavidcs	0x0101031f, 0x00010001, 0x0000040c, 	/* (r1!=0), r1=tcm.xx_cbyp_tbl_fill_lvl,  */
6588320164Sdavidcs	0x01010320, 0x00010001, 0x0000040d, 	/* (r1!=0), r1=tcm.xx_tbyp_tbl_fill_lvl,  */
6589320164Sdavidcs	0x01010321, 0x00010001, 0x0000040e, 	/* (r1!=0), r1=tcm.xx_tbyp_tbl_fill_lvl,  */
6590320164Sdavidcs	0x01010322, 0x00010001, 0x0000040f, 	/* (r1!=0), r1=tcm.agg_con_fic_buf_fill_lvl,  */
6591320164Sdavidcs	0x01010323, 0x00010001, 0x00000410, 	/* (r1!=0), r1=tcm.sm_con_fic_buf_fill_lvl,  */
6592320164Sdavidcs	0x01010324, 0x00010001, 0x00000411, 	/* (r1!=0), r1=tcm.agg_task_fic_buf_fill_lvl,  */
6593320164Sdavidcs	0x01010325, 0x00010001, 0x00000412, 	/* (r1!=0), r1=tcm.sm_task_fic_buf_fill_lvl,  */
6594320164Sdavidcs	0x01010326, 0x00010001, 0x00000413, 	/* (r1!=0), r1=tcm.in_prcs_tbl_fill_lvl,  */
6595320164Sdavidcs	0x01010327, 0x00010001, 0x000d0414, 	/* (r1!=reset1), r1=tcm.ccfc_init_crd,  */
6596320164Sdavidcs	0x01010328, 0x00010001, 0x000d0415, 	/* (r1!=reset1), r1=tcm.tcfc_init_crd,  */
6597320164Sdavidcs	0x01010329, 0x00010001, 0x000a0416, 	/* (r1!=reset1), r1=tcm.qm_init_crd0,  */
6598320164Sdavidcs	0x0101032a, 0x00010001, 0x00110417, 	/* (r1!=reset1), r1=tcm.tm_init_crd,  */
6599320164Sdavidcs	0x0101032b, 0x00010001, 0x00300418, 	/* (r1!=reset1), r1=tcm.fic_init_crd,  */
6600320164Sdavidcs	0x0100032c, 0x00010001, 0x00000419, 	/* (r1!=0), r1=tcm.dorq_length_mis,  */
6601320164Sdavidcs	0x0100032d, 0x00010001, 0x0000041a, 	/* (r1!=0), r1=tcm.pbf_length_mis,  */
6602320164Sdavidcs	0x0101032e, 0x00010001, 0x0000041b, 	/* (r1!=0), r1=tcm.is_qm_p_fill_lvl,  */
6603320164Sdavidcs	0x0101032f, 0x00010001, 0x0000041c, 	/* (r1!=0), r1=tcm.is_qm_s_fill_lvl,  */
6604320164Sdavidcs	0x01010330, 0x00010001, 0x0000041d, 	/* (r1!=0), r1=tcm.is_tm_fill_lvl,  */
6605320164Sdavidcs	0x01010331, 0x00010001, 0x0000041e, 	/* (r1!=0), r1=tcm.is_storm_fill_lvl,  */
6606320164Sdavidcs	0x01010332, 0x00010001, 0x0000041f, 	/* (r1!=0), r1=tcm.is_msem_fill_lvl,  */
6607320164Sdavidcs	0x01010333, 0x00010001, 0x00000420, 	/* (r1!=0), r1=tcm.is_dorq_fill_lvl,  */
6608320164Sdavidcs	0x01010334, 0x00010001, 0x00000421, 	/* (r1!=0), r1=tcm.is_pbf_fill_lvl,  */
6609320164Sdavidcs	0x00000335, 0x00010002, 0x00000422, 	/* ((r1&~r2)!=0), r1=mcm.INT_STS_0, r2=mcm.INT_MASK_0,  */
6610320164Sdavidcs	0x00000336, 0x00010002, 0x00000424, 	/* ((r1&~r2)!=0), r1=mcm.INT_STS_1, r2=mcm.INT_MASK_1,  */
6611320164Sdavidcs	0x00000337, 0x00010002, 0x00000426, 	/* ((r1&~r2)!=0), r1=mcm.PRTY_STS_H_0, r2=mcm.PRTY_MASK_H_0,  */
6612320164Sdavidcs	0x00000338, 0x00010002, 0x00000428, 	/* ((r1&~r2)!=0), r1=mcm.PRTY_STS_H_1, r2=mcm.PRTY_MASK_H_1,  */
6613320164Sdavidcs	0x01000339, 0x00010001, 0x0000042a, 	/* (r1!=0), r1=mcm.fi_desc_input_violate,  */
6614320164Sdavidcs	0x0100033a, 0x00010001, 0x0000042b, 	/* (r1!=0), r1=mcm.se_desc_input_violate,  */
6615320164Sdavidcs	0x0101033b, 0x00010001, 0x0000042c, 	/* (r1!=0), r1=mcm.ia_agg_con_part_fill_lvl,  */
6616320164Sdavidcs	0x0101033c, 0x00010001, 0x0000042d, 	/* (r1!=0), r1=mcm.ia_sm_con_part_fill_lvl,  */
6617320164Sdavidcs	0x0101033d, 0x00010001, 0x0000042e, 	/* (r1!=0), r1=mcm.ia_agg_task_part_fill_lvl,  */
6618320164Sdavidcs	0x0101033e, 0x00010001, 0x0000042f, 	/* (r1!=0), r1=mcm.ia_sm_task_part_fill_lvl,  */
6619320164Sdavidcs	0x0101033f, 0x00010001, 0x00000430, 	/* (r1!=0), r1=mcm.ia_trans_part_fill_lvl,  */
6620320164Sdavidcs	0x01010340, 0x00010001, 0x002d0431, 	/* (r1!=reset1), r1=mcm.xx_free_cnt,  */
6621320164Sdavidcs	0x01010341, 0x00010001, 0x00000432, 	/* (r1!=0), r1=mcm.xx_lcid_cam_fill_lvl,  */
6622320164Sdavidcs	0x01010342, 0x00010001, 0x00000433, 	/* (r1!=0), r1=mcm.xx_lock_cnt,  */
6623320164Sdavidcs	0x01010343, 0x00010001, 0x00000434, 	/* (r1!=0), r1=mcm.xx_cbyp_tbl_fill_lvl,  */
6624320164Sdavidcs	0x01010344, 0x00010001, 0x00000435, 	/* (r1!=0), r1=mcm.xx_tbyp_tbl_fill_lvl,  */
6625320164Sdavidcs	0x01010345, 0x00010001, 0x00000436, 	/* (r1!=0), r1=mcm.xx_tbyp_tbl_fill_lvl,  */
6626320164Sdavidcs	0x01010346, 0x00010001, 0x00000437, 	/* (r1!=0), r1=mcm.agg_con_fic_buf_fill_lvl,  */
6627320164Sdavidcs	0x01010347, 0x00010001, 0x00000438, 	/* (r1!=0), r1=mcm.sm_con_fic_buf_fill_lvl,  */
6628320164Sdavidcs	0x01010348, 0x00010001, 0x00000439, 	/* (r1!=0), r1=mcm.agg_task_fic_buf_fill_lvl,  */
6629320164Sdavidcs	0x01010349, 0x00010001, 0x0000043a, 	/* (r1!=0), r1=mcm.sm_task_fic_buf_fill_lvl,  */
6630320164Sdavidcs	0x0101034a, 0x00010001, 0x0000043b, 	/* (r1!=0), r1=mcm.in_prcs_tbl_fill_lvl,  */
6631320164Sdavidcs	0x0101034b, 0x00010001, 0x000d043c, 	/* (r1!=reset1), r1=mcm.ccfc_init_crd,  */
6632320164Sdavidcs	0x0101034c, 0x00010001, 0x000d043d, 	/* (r1!=reset1), r1=mcm.tcfc_init_crd,  */
6633320164Sdavidcs	0x0101034d, 0x00010001, 0x000a043e, 	/* (r1!=reset1), r1=mcm.qm_init_crd0,  */
6634320164Sdavidcs	0x0101034e, 0x00010001, 0x0030043f, 	/* (r1!=reset1), r1=mcm.fic_init_crd,  */
6635320164Sdavidcs	0x0100034f, 0x00010001, 0x00000440, 	/* (r1!=0), r1=mcm.ysdm_length_mis,  */
6636320164Sdavidcs	0x01000350, 0x00010001, 0x00000441, 	/* (r1!=0), r1=mcm.usdm_length_mis,  */
6637320164Sdavidcs	0x01000351, 0x00010001, 0x00000442, 	/* (r1!=0), r1=mcm.pbf_length_mis,  */
6638320164Sdavidcs	0x01000352, 0x00010001, 0x00000443, 	/* (r1!=0), r1=mcm.tmld_length_mis,  */
6639320164Sdavidcs	0x01010353, 0x00010001, 0x00000444, 	/* (r1!=0), r1=mcm.is_qm_p_fill_lvl,  */
6640320164Sdavidcs	0x01010354, 0x00010001, 0x00000445, 	/* (r1!=0), r1=mcm.is_qm_s_fill_lvl,  */
6641320164Sdavidcs	0x01010355, 0x00010001, 0x00000446, 	/* (r1!=0), r1=mcm.is_storm_fill_lvl,  */
6642320164Sdavidcs	0x01010356, 0x00010001, 0x00000447, 	/* (r1!=0), r1=mcm.is_ysdm_fill_lvl,  */
6643320164Sdavidcs	0x01010357, 0x00010001, 0x00000448, 	/* (r1!=0), r1=mcm.is_usdm_fill_lvl,  */
6644320164Sdavidcs	0x01010358, 0x00010001, 0x00000449, 	/* (r1!=0), r1=mcm.is_tmld_fill_lvl,  */
6645320164Sdavidcs	0x01010359, 0x00010001, 0x0000044a, 	/* (r1!=0), r1=mcm.is_usem_fill_lvl,  */
6646320164Sdavidcs	0x0101035a, 0x00010001, 0x0000044b, 	/* (r1!=0), r1=mcm.is_pbf_fill_lvl,  */
6647320164Sdavidcs	0x0000035b, 0x00010002, 0x0000044c, 	/* ((r1&~r2)!=0), r1=ucm.INT_STS_0, r2=ucm.INT_MASK_0,  */
6648320164Sdavidcs	0x0000035c, 0x00010002, 0x0000044e, 	/* ((r1&~r2)!=0), r1=ucm.INT_STS_1, r2=ucm.INT_MASK_1,  */
6649320164Sdavidcs	0x0000035d, 0x00010002, 0x00000450, 	/* ((r1&~r2)!=0), r1=ucm.PRTY_STS_H_0, r2=ucm.PRTY_MASK_H_0,  */
6650320164Sdavidcs	0x0000035e, 0x00010002, 0x00000452, 	/* ((r1&~r2)!=0), r1=ucm.PRTY_STS_H_1, r2=ucm.PRTY_MASK_H_1,  */
6651320164Sdavidcs	0x0100035f, 0x00010001, 0x00000454, 	/* (r1!=0), r1=ucm.fi_desc_input_violate,  */
6652320164Sdavidcs	0x01000360, 0x00010001, 0x00000455, 	/* (r1!=0), r1=ucm.se_desc_input_violate,  */
6653320164Sdavidcs	0x01010361, 0x00010001, 0x00000456, 	/* (r1!=0), r1=ucm.ia_agg_con_part_fill_lvl,  */
6654320164Sdavidcs	0x01010362, 0x00010001, 0x00000457, 	/* (r1!=0), r1=ucm.ia_sm_con_part_fill_lvl,  */
6655320164Sdavidcs	0x01010363, 0x00010001, 0x00000458, 	/* (r1!=0), r1=ucm.ia_agg_task_part_fill_lvl,  */
6656320164Sdavidcs	0x01010364, 0x00010001, 0x00000459, 	/* (r1!=0), r1=ucm.ia_sm_task_part_fill_lvl,  */
6657320164Sdavidcs	0x01010365, 0x00010001, 0x0000045a, 	/* (r1!=0), r1=ucm.ia_trans_part_fill_lvl,  */
6658320164Sdavidcs	0x01010366, 0x00010001, 0x002d045b, 	/* (r1!=reset1), r1=ucm.xx_free_cnt,  */
6659320164Sdavidcs	0x01010367, 0x00010001, 0x0000045c, 	/* (r1!=0), r1=ucm.xx_lcid_cam_fill_lvl,  */
6660320164Sdavidcs	0x01010368, 0x00010001, 0x0000045d, 	/* (r1!=0), r1=ucm.xx_lock_cnt,  */
6661320164Sdavidcs	0x01010369, 0x00010001, 0x0000045e, 	/* (r1!=0), r1=ucm.xx_cbyp_tbl_fill_lvl,  */
6662320164Sdavidcs	0x0101036a, 0x00010001, 0x0000045f, 	/* (r1!=0), r1=ucm.xx_tbyp_tbl_fill_lvl,  */
6663320164Sdavidcs	0x0101036b, 0x00010001, 0x00000460, 	/* (r1!=0), r1=ucm.xx_tbyp_tbl_fill_lvl,  */
6664320164Sdavidcs	0x0101036c, 0x00010001, 0x00000461, 	/* (r1!=0), r1=ucm.agg_con_fic_buf_fill_lvl,  */
6665320164Sdavidcs	0x0101036d, 0x00010001, 0x00000462, 	/* (r1!=0), r1=ucm.sm_con_fic_buf_fill_lvl,  */
6666320164Sdavidcs	0x0101036e, 0x00010001, 0x00000463, 	/* (r1!=0), r1=ucm.agg_task_fic_buf_fill_lvl,  */
6667320164Sdavidcs	0x0101036f, 0x00010001, 0x00000464, 	/* (r1!=0), r1=ucm.sm_task_fic_buf_fill_lvl,  */
6668320164Sdavidcs	0x01010370, 0x00010001, 0x00000465, 	/* (r1!=0), r1=ucm.in_prcs_tbl_fill_lvl,  */
6669320164Sdavidcs	0x01010371, 0x00010001, 0x000d0466, 	/* (r1!=reset1), r1=ucm.ccfc_init_crd,  */
6670320164Sdavidcs	0x01010372, 0x00010001, 0x000d0467, 	/* (r1!=reset1), r1=ucm.tcfc_init_crd,  */
6671320164Sdavidcs	0x01010373, 0x00010001, 0x000a0468, 	/* (r1!=reset1), r1=ucm.qm_init_crd0,  */
6672320164Sdavidcs	0x01010374, 0x00010001, 0x00110469, 	/* (r1!=reset1), r1=ucm.tm_init_crd,  */
6673320164Sdavidcs	0x01010375, 0x00010001, 0x002f046a, 	/* (r1!=reset1), r1=ucm.fic_init_crd,  */
6674320164Sdavidcs	0x01000376, 0x00010001, 0x0000046b, 	/* (r1!=0), r1=ucm.ysdm_length_mis,  */
6675320164Sdavidcs	0x01000377, 0x00010001, 0x0000046c, 	/* (r1!=0), r1=ucm.usdm_length_mis,  */
6676320164Sdavidcs	0x01000378, 0x00010001, 0x0000046d, 	/* (r1!=0), r1=ucm.dorq_length_mis,  */
6677320164Sdavidcs	0x01000379, 0x00010001, 0x0000046e, 	/* (r1!=0), r1=ucm.pbf_length_mis,  */
6678320164Sdavidcs	0x0100037a, 0x00010001, 0x0000046f, 	/* (r1!=0), r1=ucm.rdif_length_mis,  */
6679320164Sdavidcs	0x0100037b, 0x00010001, 0x00000470, 	/* (r1!=0), r1=ucm.tdif_length_mis,  */
6680320164Sdavidcs	0x0100037c, 0x00010001, 0x00000471, 	/* (r1!=0), r1=ucm.muld_length_mis,  */
6681320164Sdavidcs	0x0101037d, 0x00010001, 0x00000472, 	/* (r1!=0), r1=ucm.is_qm_p_fill_lvl,  */
6682320164Sdavidcs	0x0101037e, 0x00010001, 0x00000473, 	/* (r1!=0), r1=ucm.is_qm_s_fill_lvl,  */
6683320164Sdavidcs	0x0101037f, 0x00010001, 0x00000474, 	/* (r1!=0), r1=ucm.is_tm_fill_lvl,  */
6684320164Sdavidcs	0x01010380, 0x00010001, 0x00000475, 	/* (r1!=0), r1=ucm.is_storm_fill_lvl,  */
6685320164Sdavidcs	0x01010381, 0x00010001, 0x00000476, 	/* (r1!=0), r1=ucm.is_ysdm_fill_lvl,  */
6686320164Sdavidcs	0x01010382, 0x00010001, 0x00000477, 	/* (r1!=0), r1=ucm.is_usdm_fill_lvl,  */
6687320164Sdavidcs	0x01010383, 0x00010001, 0x00000478, 	/* (r1!=0), r1=ucm.is_rdif_fill_lvl,  */
6688320164Sdavidcs	0x01010384, 0x00010001, 0x00000479, 	/* (r1!=0), r1=ucm.is_tdif_fill_lvl,  */
6689320164Sdavidcs	0x01010385, 0x00010001, 0x0000047a, 	/* (r1!=0), r1=ucm.is_muld_fill_lvl,  */
6690320164Sdavidcs	0x01010386, 0x00010001, 0x0000047b, 	/* (r1!=0), r1=ucm.is_dorq_fill_lvl,  */
6691320164Sdavidcs	0x01010387, 0x00010001, 0x0000047c, 	/* (r1!=0), r1=ucm.is_pbf_fill_lvl,  */
6692320164Sdavidcs	0x00000388, 0x00010002, 0x0000047d, 	/* ((r1&~r2)!=0), r1=xsem.INT_STS_0, r2=xsem.INT_MASK_0,  */
6693320164Sdavidcs	0x00000389, 0x00010002, 0x0000047f, 	/* ((r1&~r2)!=0), r1=xsem.INT_STS_1, r2=xsem.INT_MASK_1,  */
6694320164Sdavidcs	0x0000038a, 0x00010002, 0x00000481, 	/* ((r1&~r2)!=0), r1=xsem.PRTY_STS, r2=xsem.PRTY_MASK,  */
6695320164Sdavidcs	0x0102038b, 0x00010001, 0x00000483, 	/* (r1!=0), r1=xsem.pf_err_vector,  */
6696320164Sdavidcs	0x0101038c, 0x00010001, 0x00310484, 	/* (r1!=0x1D), r1=xsem.foc_credit[1],  */
6697320164Sdavidcs	0x0101038d, 0x00010001, 0x00320485, 	/* (r1!=0x3F), r1=xsem.foc_credit,  */
6698320164Sdavidcs	0x0101038e, 0x00010001, 0x000d0486, 	/* (r1!=1), r1=xsem.ext_pas_empty,  */
6699320164Sdavidcs	0x0101038f, 0x00010001, 0x000d0487, 	/* (r1!=1), r1=xsem.fic_empty[0:1],  */
6700320164Sdavidcs	0x01010390, 0x00010001, 0x000d0488, 	/* (r1!=1), r1=xsem.slow_ext_store_empty,  */
6701320164Sdavidcs	0x01010391, 0x00010001, 0x000d0489, 	/* (r1!=1), r1=xsem.slow_ext_load_empty,  */
6702320164Sdavidcs	0x01010392, 0x00010001, 0x000d048a, 	/* (r1!=1), r1=xsem.slow_ram_wr_empty,  */
6703320164Sdavidcs	0x01010393, 0x00010001, 0x000d048b, 	/* (r1!=1), r1=xsem.sync_dbg_empty,  */
6704320164Sdavidcs	0x01010394, 0x00010001, 0x0000048c, 	/* (r1!=0), r1=xsem.ext_store_if_full,  */
6705320164Sdavidcs	0x01010395, 0x00010001, 0x0000048d, 	/* (r1!=0), r1=xsem.ram_if_full,  */
6706320164Sdavidcs	0x00000396, 0x00010002, 0x0000048e, 	/* ((r1&~r2)!=0), r1=ysem.INT_STS_0, r2=ysem.INT_MASK_0,  */
6707320164Sdavidcs	0x00000397, 0x00010002, 0x00000490, 	/* ((r1&~r2)!=0), r1=ysem.INT_STS_1, r2=ysem.INT_MASK_1,  */
6708320164Sdavidcs	0x00000398, 0x00010002, 0x00000492, 	/* ((r1&~r2)!=0), r1=ysem.PRTY_STS, r2=ysem.PRTY_MASK,  */
6709320164Sdavidcs	0x01020399, 0x00010001, 0x00000494, 	/* (r1!=0), r1=ysem.pf_err_vector,  */
6710320164Sdavidcs	0x0101039a, 0x00010001, 0x00330495, 	/* (r1!=0xA), r1=ysem.foc_credit[2],  */
6711320164Sdavidcs	0x0101039b, 0x00010001, 0x00340496, 	/* (r1!=0xE), r1=ysem.foc_credit,  */
6712320164Sdavidcs	0x0101039c, 0x00010001, 0x00350497, 	/* (r1!=0x1A), r1=ysem.foc_credit[1],  */
6713320164Sdavidcs	0x0101039d, 0x00010001, 0x00280498, 	/* (r1!=0x7), r1=ysem.foc_credit[3],  */
6714320164Sdavidcs	0x0101039e, 0x00010001, 0x00360499, 	/* (r1!=0x26), r1=ysem.foc_credit[4],  */
6715320164Sdavidcs	0x0101039f, 0x00010001, 0x002e049a, 	/* (r1!=0x28), r1=ysem.foc_credit[5],  */
6716320164Sdavidcs	0x010103a0, 0x00010001, 0x000d049b, 	/* (r1!=1), r1=ysem.ext_pas_empty,  */
6717320164Sdavidcs	0x010103a1, 0x00010001, 0x000d049c, 	/* (r1!=1), r1=ysem.fic_empty[0:1],  */
6718320164Sdavidcs	0x010103a2, 0x00010001, 0x000d049d, 	/* (r1!=1), r1=ysem.slow_ext_store_empty,  */
6719320164Sdavidcs	0x010103a3, 0x00010001, 0x000d049e, 	/* (r1!=1), r1=ysem.slow_ext_load_empty,  */
6720320164Sdavidcs	0x010103a4, 0x00010001, 0x000d049f, 	/* (r1!=1), r1=ysem.slow_ram_wr_empty,  */
6721320164Sdavidcs	0x010103a5, 0x00010001, 0x000d04a0, 	/* (r1!=1), r1=ysem.sync_dbg_empty,  */
6722320164Sdavidcs	0x010103a6, 0x00010001, 0x000004a1, 	/* (r1!=0), r1=ysem.ext_store_if_full,  */
6723320164Sdavidcs	0x010103a7, 0x00010001, 0x000004a2, 	/* (r1!=0), r1=ysem.ram_if_full,  */
6724320164Sdavidcs	0x000003a8, 0x00010002, 0x000004a3, 	/* ((r1&~r2)!=0), r1=psem.INT_STS_0, r2=psem.INT_MASK_0,  */
6725320164Sdavidcs	0x000003a9, 0x00010002, 0x000004a5, 	/* ((r1&~r2)!=0), r1=psem.INT_STS_1, r2=psem.INT_MASK_1,  */
6726320164Sdavidcs	0x000003aa, 0x00010002, 0x000004a7, 	/* ((r1&~r2)!=0), r1=psem.PRTY_STS, r2=psem.PRTY_MASK,  */
6727320164Sdavidcs	0x010203ab, 0x00010001, 0x000004a9, 	/* (r1!=0), r1=psem.pf_err_vector,  */
6728320164Sdavidcs	0x010103ac, 0x00010001, 0x003704aa, 	/* (r1!=0x17), r1=psem.foc_credit,  */
6729320164Sdavidcs	0x010103ad, 0x00010001, 0x002d04ab, 	/* (r1!=0x40), r1=psem.foc_credit[1],  */
6730320164Sdavidcs	0x010103ae, 0x00010001, 0x000d04ac, 	/* (r1!=1), r1=psem.ext_pas_empty,  */
6731320164Sdavidcs	0x010103af, 0x00010001, 0x000d04ad, 	/* (r1!=1), r1=psem.fic_empty,  */
6732320164Sdavidcs	0x010103b0, 0x00010001, 0x000d04ae, 	/* (r1!=1), r1=psem.slow_ext_store_empty,  */
6733320164Sdavidcs	0x010103b1, 0x00010001, 0x000d04af, 	/* (r1!=1), r1=psem.slow_ext_load_empty,  */
6734320164Sdavidcs	0x010103b2, 0x00010001, 0x000d04b0, 	/* (r1!=1), r1=psem.slow_ram_wr_empty,  */
6735320164Sdavidcs	0x010103b3, 0x00010001, 0x000d04b1, 	/* (r1!=1), r1=psem.sync_dbg_empty,  */
6736320164Sdavidcs	0x010103b4, 0x00010001, 0x000004b2, 	/* (r1!=0), r1=psem.ext_store_if_full,  */
6737320164Sdavidcs	0x010103b5, 0x00010001, 0x000004b3, 	/* (r1!=0), r1=psem.ram_if_full,  */
6738320164Sdavidcs	0x000003b6, 0x00010002, 0x000004b4, 	/* ((r1&~r2)!=0), r1=tsem.INT_STS_0, r2=tsem.INT_MASK_0,  */
6739320164Sdavidcs	0x000003b7, 0x00010002, 0x000004b6, 	/* ((r1&~r2)!=0), r1=tsem.INT_STS_1, r2=tsem.INT_MASK_1,  */
6740320164Sdavidcs	0x000003b8, 0x00010002, 0x000004b8, 	/* ((r1&~r2)!=0), r1=tsem.PRTY_STS, r2=tsem.PRTY_MASK,  */
6741320164Sdavidcs	0x010203b9, 0x00010001, 0x000004ba, 	/* (r1!=0), r1=tsem.pf_err_vector,  */
6742320164Sdavidcs	0x010103ba, 0x00010001, 0x003804bb, 	/* (r1!=0x2C), r1=tsem.foc_credit,  */
6743320164Sdavidcs	0x010103bb, 0x00010001, 0x003904bc, 	/* (r1!=0x3C), r1=tsem.foc_credit[1],  */
6744320164Sdavidcs	0x010103bc, 0x00010001, 0x000d04bd, 	/* (r1!=1), r1=tsem.ext_pas_empty,  */
6745320164Sdavidcs	0x010103bd, 0x00010001, 0x000d04be, 	/* (r1!=1), r1=tsem.fic_empty,  */
6746320164Sdavidcs	0x010103be, 0x00010001, 0x000d04bf, 	/* (r1!=1), r1=tsem.slow_ext_store_empty,  */
6747320164Sdavidcs	0x010103bf, 0x00010001, 0x000d04c0, 	/* (r1!=1), r1=tsem.slow_ext_load_empty,  */
6748320164Sdavidcs	0x010103c0, 0x00010001, 0x000d04c1, 	/* (r1!=1), r1=tsem.slow_ram_wr_empty,  */
6749320164Sdavidcs	0x010103c1, 0x00010001, 0x000d04c2, 	/* (r1!=1), r1=tsem.sync_dbg_empty,  */
6750320164Sdavidcs	0x010103c2, 0x00010001, 0x000004c3, 	/* (r1!=0), r1=tsem.ext_store_if_full,  */
6751320164Sdavidcs	0x010103c3, 0x00010001, 0x000004c4, 	/* (r1!=0), r1=tsem.ram_if_full,  */
6752320164Sdavidcs	0x000003c4, 0x00010002, 0x000004c5, 	/* ((r1&~r2)!=0), r1=msem.INT_STS_0, r2=msem.INT_MASK_0,  */
6753320164Sdavidcs	0x000003c5, 0x00010002, 0x000004c7, 	/* ((r1&~r2)!=0), r1=msem.INT_STS_1, r2=msem.INT_MASK_1,  */
6754320164Sdavidcs	0x000003c6, 0x00010002, 0x000004c9, 	/* ((r1&~r2)!=0), r1=msem.PRTY_STS, r2=msem.PRTY_MASK,  */
6755320164Sdavidcs	0x000003c7, 0x00010002, 0x000004cb, 	/* ((r1&~r2)!=0), r1=msem.PRTY_STS_H_0, r2=msem.PRTY_MASK_H_0,  */
6756320164Sdavidcs	0x010203c8, 0x00010001, 0x000004cd, 	/* (r1!=0), r1=msem.pf_err_vector,  */
6757320164Sdavidcs	0x010103c9, 0x00010001, 0x001a04ce, 	/* (r1!=0x11), r1=msem.foc_credit[3],  */
6758320164Sdavidcs	0x010103ca, 0x00010001, 0x002804cf, 	/* (r1!=0x7), r1=msem.foc_credit[4],  */
6759320164Sdavidcs	0x010103cb, 0x00010001, 0x000f04d0, 	/* (r1!=0x20), r1=msem.foc_credit[5],  */
6760320164Sdavidcs	0x010103cc, 0x00010001, 0x000904d1, 	/* (r1!=0x5), r1=msem.foc_credit,  */
6761320164Sdavidcs	0x010103cd, 0x00010001, 0x003804d2, 	/* (r1!=0x2C), r1=msem.foc_credit[1],  */
6762320164Sdavidcs	0x010103ce, 0x00010001, 0x003704d3, 	/* (r1!=0x17), r1=msem.foc_credit[2],  */
6763320164Sdavidcs	0x010103cf, 0x00010001, 0x000d04d4, 	/* (r1!=1), r1=msem.ext_pas_empty,  */
6764320164Sdavidcs	0x010103d0, 0x00010001, 0x000d04d5, 	/* (r1!=1), r1=msem.fic_empty,  */
6765320164Sdavidcs	0x010103d1, 0x00010001, 0x000d04d6, 	/* (r1!=1), r1=msem.slow_ext_store_empty,  */
6766320164Sdavidcs	0x010103d2, 0x00010001, 0x000d04d7, 	/* (r1!=1), r1=msem.slow_ext_load_empty,  */
6767320164Sdavidcs	0x010103d3, 0x00010001, 0x000d04d8, 	/* (r1!=1), r1=msem.slow_ram_wr_empty,  */
6768320164Sdavidcs	0x010103d4, 0x00010001, 0x000d04d9, 	/* (r1!=1), r1=msem.sync_dbg_empty,  */
6769320164Sdavidcs	0x010103d5, 0x00010001, 0x000004da, 	/* (r1!=0), r1=msem.ext_store_if_full,  */
6770320164Sdavidcs	0x010103d6, 0x00010001, 0x000004db, 	/* (r1!=0), r1=msem.ram_if_full,  */
6771320164Sdavidcs	0x000003d7, 0x00010002, 0x000004dc, 	/* ((r1&~r2)!=0), r1=usem.INT_STS_0, r2=usem.INT_MASK_0,  */
6772320164Sdavidcs	0x000003d8, 0x00010002, 0x000004de, 	/* ((r1&~r2)!=0), r1=usem.INT_STS_1, r2=usem.INT_MASK_1,  */
6773320164Sdavidcs	0x000003d9, 0x00010002, 0x000004e0, 	/* ((r1&~r2)!=0), r1=usem.PRTY_STS, r2=usem.PRTY_MASK,  */
6774320164Sdavidcs	0x010203da, 0x00010001, 0x000004e2, 	/* (r1!=0), r1=usem.pf_err_vector,  */
6775320164Sdavidcs	0x010103db, 0x00010001, 0x003a04e3, 	/* (r1!=0x32), r1=usem.foc_credit[1],  */
6776320164Sdavidcs	0x010103dc, 0x00010001, 0x001304e4, 	/* (r1!=0x3), r1=usem.foc_credit[3],  */
6777320164Sdavidcs	0x010103dd, 0x00010001, 0x003304e5, 	/* (r1!=0xA), r1=usem.foc_credit[2],  */
6778320164Sdavidcs	0x010103de, 0x00010001, 0x000904e6, 	/* (r1!=0x5), r1=usem.foc_credit,  */
6779320164Sdavidcs	0x010103df, 0x00010001, 0x000f04e7, 	/* (r1!=0x20), r1=usem.foc_credit[4],  */
6780320164Sdavidcs	0x010103e0, 0x00010001, 0x000d04e8, 	/* (r1!=1), r1=usem.ext_pas_empty,  */
6781320164Sdavidcs	0x010103e1, 0x00010001, 0x000d04e9, 	/* (r1!=1), r1=usem.fic_empty,  */
6782320164Sdavidcs	0x010103e2, 0x00010001, 0x000d04ea, 	/* (r1!=1), r1=usem.slow_ext_store_empty,  */
6783320164Sdavidcs	0x010103e3, 0x00010001, 0x000d04eb, 	/* (r1!=1), r1=usem.slow_ext_load_empty,  */
6784320164Sdavidcs	0x010103e4, 0x00010001, 0x000d04ec, 	/* (r1!=1), r1=usem.slow_ram_wr_empty,  */
6785320164Sdavidcs	0x010103e5, 0x00010001, 0x000d04ed, 	/* (r1!=1), r1=usem.sync_dbg_empty,  */
6786320164Sdavidcs	0x010103e6, 0x00010001, 0x000004ee, 	/* (r1!=0), r1=usem.ext_store_if_full,  */
6787320164Sdavidcs	0x010103e7, 0x00010001, 0x000004ef, 	/* (r1!=0), r1=usem.ram_if_full,  */
6788320164Sdavidcs	0x009f0007, 	/* mode bb */
6789320164Sdavidcs	0x040003e8, 0x00020001, 0x003b04f0, 	/* ((r1&~0x3f02)!=0), r1=pcie.PRTY_STS_H_0,  */
6790320164Sdavidcs	0x010203e9, 0x00010001, 0x000004f1, 	/* (r1!=0), r1=igu.pending_bits_status[0:8],  */
6791320164Sdavidcs	0x010103ea, 0x00010001, 0x000004f2, 	/* (r1!=0), r1=igu.write_done_pending[0:8],  */
6792320164Sdavidcs	0x000003eb, 0x00010102, 0x000004f3, 	/* ((r1&~r2)!=0), r1=cnig.INT_STS, r2=cnig.INT_MASK,  */
6793320164Sdavidcs	0x000003ec, 0x00010002, 0x000004f6, 	/* ((r1&~r2)!=0), r1=cnig.PRTY_STS, r2=cnig.PRTY_MASK,  */
6794320164Sdavidcs	0x010203ed, 0x00010101, 0x000004f8, 	/* (r1!=0), r1=pswhst.vf_disabled_error_valid,  */
6795320164Sdavidcs	0x010203ee, 0x00010001, 0x000004fa, 	/* (r1!=0), r1=pglue_b.flr_request_vf_223_192,  */
6796320164Sdavidcs	0x010203ef, 0x00010001, 0x000004fb, 	/* (r1!=0), r1=pglue_b.flr_request_vf_255_224,  */
6797320164Sdavidcs	0x010003f0, 0x00010001, 0x000004fc, 	/* (r1!=0), r1=pglue_b.incorrect_rcv_details,  */
6798320164Sdavidcs	0x010203f1, 0x00010001, 0x000004fd, 	/* (r1!=0), r1=pglue_b.was_error_vf_223_192,  */
6799320164Sdavidcs	0x010203f2, 0x00010001, 0x000004fe, 	/* (r1!=0), r1=pglue_b.was_error_vf_255_224,  */
6800320164Sdavidcs	0x010203f3, 0x00010001, 0x001d04ff, 	/* (r1!=0xffffffff), r1=pglue_b.tags_159_128,  */
6801320164Sdavidcs	0x010203f4, 0x00010001, 0x001d0500, 	/* (r1!=0xffffffff), r1=pglue_b.tags_191_160,  */
6802320164Sdavidcs	0x010203f5, 0x00010001, 0x001d0501, 	/* (r1!=0xffffffff), r1=pglue_b.tags_223_192,  */
6803320164Sdavidcs	0x010203f6, 0x00010001, 0x001d0502, 	/* (r1!=0xffffffff), r1=pglue_b.tags_255_224,  */
6804320164Sdavidcs	0x010103f7, 0x00010001, 0x00220503, 	/* (r1!=reset1), r1=tcfc.cduwb_credit,  */
6805320164Sdavidcs	0x090103f8, 0x00060001, 0x003d0504, 	/* ((r1[0]&0x3FFFFFC0)>>6)!=(((r1[0]&0xC0000000)>>30)|((r1[1]&0x3FFFFF)<<2)), r1=qm.PtrTblOther[0:63] width=2 access=WB,  */
6806320164Sdavidcs	0x0a0103f9, 0x00030001, 0x00430505, 	/* ((r1&0x30)>>4)!=(r1&0x03), r1=qm.PtrTblOther[0:63] width=2 access=WB,  */
6807320164Sdavidcs	0x010003fa, 0x00010001, 0x00000506, 	/* (r1!=0), r1=qm.QstatusTx_0[0:13],  */
6808320164Sdavidcs	0x010003fb, 0x00010001, 0x00000507, 	/* (r1!=0), r1=qm.QstatusOther_0[0:1],  */
6809320164Sdavidcs	0x0b0103fc, 0x00010003, 0x00000508, 	/* (r1!=r2&&r3==0), r1=qm.VoqCrdLine[0:7], r2=qm.VoqInitCrdLine[0:7], r3=misc.port_mode,  */
6810320164Sdavidcs	0x0b0103fd, 0x00010003, 0x0000050b, 	/* (r1!=r2&&r3==0), r1=qm.VoqCrdLine[16], r2=qm.VoqInitCrdLine[16], r3=misc.port_mode,  */
6811320164Sdavidcs	0x0c0103fe, 0x00010003, 0x0000050e, 	/* (r1!=r2&&r3>0), r1=qm.VoqCrdLine[0:17], r2=qm.VoqInitCrdLine[0:17], r3=misc.port_mode,  */
6812320164Sdavidcs	0x0b0103ff, 0x00010003, 0x00000511, 	/* (r1!=r2&&r3==0), r1=qm.VoqCrdByte[0:7], r2=qm.VoqInitCrdByte[0:7], r3=misc.port_mode,  */
6813320164Sdavidcs	0x0b010400, 0x00010003, 0x00000514, 	/* (r1!=r2&&r3==0), r1=qm.VoqCrdByte[16], r2=qm.VoqInitCrdByte[16], r3=misc.port_mode,  */
6814320164Sdavidcs	0x0c010401, 0x00010003, 0x00000517, 	/* (r1!=r2&&r3>0), r1=qm.VoqCrdByte[0:17], r2=qm.VoqInitCrdByte[0:17], r3=misc.port_mode,  */
6815320164Sdavidcs	0x0a010402, 0x00030001, 0x0043051a, 	/* ((r1&0x30)>>4)!=(r1&0x03), r1=qm.PtrTblTx[0:447] width=2 access=WB,  */
6816320164Sdavidcs	0x09010403, 0x00060001, 0x003d051b, 	/* ((r1[0]&0x3FFFFFC0)>>6)!=(((r1[0]&0xC0000000)>>30)|((r1[1]&0x3FFFFF)<<2)), r1=qm.PtrTblTx[0:447] width=2 access=WB,  */
6817320164Sdavidcs	0x01010404, 0x00010001, 0x002a051c, 	/* (r1!=8190), r1=brb.wc_empty_0[0:3],  */
6818320164Sdavidcs	0x01010405, 0x00010001, 0x0013051d, 	/* (r1!=reset1), r1=brb.rc_eop_empty,  */
6819320164Sdavidcs	0x01010406, 0x00010001, 0x000b051e, 	/* (r1!=2), r1=brb.wc_status_0[0:3] width=3 access=WB,  */
6820320164Sdavidcs	0x00000407, 0x00010302, 0x0000051f, 	/* ((r1&~r2)!=0), r1=nig.PRTY_STS, r2=nig.PRTY_MASK,  */
6821320164Sdavidcs	0x00000408, 0x00010202, 0x00000524, 	/* ((r1&~r2)!=0), r1=btb.INT_STS_0, r2=btb.INT_MASK_0,  */
6822320164Sdavidcs	0x00000409, 0x00010202, 0x00000528, 	/* ((r1&~r2)!=0), r1=btb.INT_STS_4, r2=btb.INT_MASK_4,  */
6823320164Sdavidcs	0x0100040a, 0x00010001, 0x0000052c, 	/* (r1!=0), r1=xcm.msdm_length_mis,  */
6824320164Sdavidcs	0x0101040b, 0x00010001, 0x0000052d, 	/* (r1!=0), r1=xcm.is_msdm_fill_lvl,  */
6825320164Sdavidcs	0x0101040c, 0x00010001, 0x0000052e, 	/* (r1!=0), r1=xcm.is_ysem_fill_lvl,  */
6826320164Sdavidcs	0x0101040d, 0x00010001, 0x0000052f, 	/* (r1!=0), r1=xcm.qm_act_st_cnt[0:447],  */
6827320164Sdavidcs	0x0100040e, 0x00010001, 0x00000530, 	/* (r1!=0), r1=ycm.msdm_length_mis,  */
6828320164Sdavidcs	0x0101040f, 0x00010001, 0x00000531, 	/* (r1!=0), r1=ycm.is_msdm_fill_lvl,  */
6829320164Sdavidcs	0x01000410, 0x00010001, 0x00000532, 	/* (r1!=0), r1=pcm.psdm_length_mis,  */
6830320164Sdavidcs	0x01010411, 0x00010001, 0x00000533, 	/* (r1!=0), r1=pcm.is_psdm_fill_lvl,  */
6831320164Sdavidcs	0x01000412, 0x00010001, 0x00000534, 	/* (r1!=0), r1=tcm.tsdm_length_mis,  */
6832320164Sdavidcs	0x01010413, 0x00010001, 0x00000535, 	/* (r1!=0), r1=tcm.is_tsdm_fill_lvl,  */
6833320164Sdavidcs	0x01000414, 0x00010001, 0x00000536, 	/* (r1!=0), r1=mcm.msdm_length_mis,  */
6834320164Sdavidcs	0x01010415, 0x00010001, 0x00000537, 	/* (r1!=0), r1=mcm.is_msdm_fill_lvl,  */
6835320164Sdavidcs	0x01010416, 0x00010001, 0x00000538, 	/* (r1!=0), r1=mcm.is_ysem_fill_lvl,  */
6836320164Sdavidcs	0x01020417, 0x00010001, 0x00000539, 	/* (r1!=0), r1=xsem.vf_err_vector width=4 access=WB,  */
6837320164Sdavidcs	0x01020418, 0x00010001, 0x0000053a, 	/* (r1!=0), r1=ysem.vf_err_vector width=4 access=WB,  */
6838320164Sdavidcs	0x01020419, 0x00010001, 0x0000053b, 	/* (r1!=0), r1=psem.vf_err_vector width=4 access=WB,  */
6839320164Sdavidcs	0x0102041a, 0x00010001, 0x0000053c, 	/* (r1!=0), r1=tsem.vf_err_vector width=4 access=WB,  */
6840320164Sdavidcs	0x0102041b, 0x00010001, 0x0000053d, 	/* (r1!=0), r1=msem.vf_err_vector width=4 access=WB,  */
6841320164Sdavidcs	0x0102041c, 0x00010001, 0x0000053e, 	/* (r1!=0), r1=usem.vf_err_vector width=4 access=WB,  */
6842320164Sdavidcs	0x005a0009, 	/* mode k2 */
6843320164Sdavidcs	0x0000041d, 0x00010002, 0x0000053f, 	/* ((r1&~r2)!=0), r1=pcie.PRTY_STS_H_0, r2=pcie.PRTY_MASK_H_0,  */
6844320164Sdavidcs	0x0102041e, 0x00010001, 0x00000541, 	/* (r1!=0), r1=igu.pending_bits_status[0:11],  */
6845320164Sdavidcs	0x0101041f, 0x00010001, 0x00000542, 	/* (r1!=0), r1=igu.write_done_pending[0:11],  */
6846320164Sdavidcs	0x01020420, 0x00010101, 0x00000543, 	/* (r1!=0), r1=pswhst.vf_disabled_error_valid,  */
6847320164Sdavidcs	0x01010421, 0x00010001, 0x00220545, 	/* (r1!=reset1), r1=tcfc.cduwb_credit,  */
6848320164Sdavidcs	0x01000422, 0x00010001, 0x00000546, 	/* (r1!=0), r1=qm.QstatusTx_0[0:15],  */
6849320164Sdavidcs	0x01000423, 0x00010001, 0x00000547, 	/* (r1!=0), r1=qm.QstatusOther_0[0:3],  */
6850320164Sdavidcs	0x0b010424, 0x00010003, 0x00000548, 	/* (r1!=r2&&r3==0), r1=qm.VoqCrdLine[0:7], r2=qm.VoqInitCrdLine[0:7], r3=misc.port_mode,  */
6851320164Sdavidcs	0x0c010425, 0x00010003, 0x0000054b, 	/* (r1!=r2&&r3>0), r1=qm.VoqCrdLine[0:19], r2=qm.VoqInitCrdLine[0:19], r3=misc.port_mode,  */
6852320164Sdavidcs	0x0b010426, 0x00010003, 0x0000054e, 	/* (r1!=r2&&r3==0), r1=qm.VoqCrdLine[16], r2=qm.VoqInitCrdLine[16], r3=misc.port_mode,  */
6853320164Sdavidcs	0x0b010427, 0x00010003, 0x00000551, 	/* (r1!=r2&&r3==0), r1=qm.VoqCrdByte[16], r2=qm.VoqInitCrdByte[16], r3=misc.port_mode,  */
6854320164Sdavidcs	0x0c010428, 0x00010003, 0x00000554, 	/* (r1!=r2&&r3>0), r1=qm.VoqCrdByte[0:19], r2=qm.VoqInitCrdByte[0:19], r3=misc.port_mode,  */
6855320164Sdavidcs	0x0b010429, 0x00010003, 0x00000557, 	/* (r1!=r2&&r3==0), r1=qm.VoqCrdByte[0:7], r2=qm.VoqInitCrdByte[0:7], r3=misc.port_mode,  */
6856320164Sdavidcs	0x0101042a, 0x00010001, 0x002a055a, 	/* (r1!=8190), r1=brb.wc_empty_0[0:7],  */
6857320164Sdavidcs	0x0101042b, 0x00010001, 0x0003055b, 	/* (r1!=reset1), r1=brb.rc_eop_empty,  */
6858320164Sdavidcs	0x0101042c, 0x00010001, 0x000b055c, 	/* (r1!=2), r1=brb.wc_status_0[0:7] width=3 access=WB,  */
6859320164Sdavidcs	0x0000042d, 0x00010202, 0x0000055d, 	/* ((r1&~r2)!=0), r1=btb.INT_STS_0, r2=btb.INT_MASK_0,  */
6860320164Sdavidcs	0x0000042e, 0x00010202, 0x00000561, 	/* ((r1&~r2)!=0), r1=btb.INT_STS_4, r2=btb.INT_MASK_4,  */
6861320164Sdavidcs	0x0100042f, 0x00010001, 0x00000565, 	/* (r1!=0), r1=xcm.msdm_length_mis,  */
6862320164Sdavidcs	0x01010430, 0x00010001, 0x00000566, 	/* (r1!=0), r1=xcm.is_msdm_fill_lvl,  */
6863320164Sdavidcs	0x01010431, 0x00010001, 0x00000567, 	/* (r1!=0), r1=xcm.is_ysem_fill_lvl,  */
6864320164Sdavidcs	0x01000432, 0x00010001, 0x00000568, 	/* (r1!=0), r1=ycm.msdm_length_mis,  */
6865320164Sdavidcs	0x01010433, 0x00010001, 0x00000569, 	/* (r1!=0), r1=ycm.is_msdm_fill_lvl,  */
6866320164Sdavidcs	0x01000434, 0x00010001, 0x0000056a, 	/* (r1!=0), r1=pcm.psdm_length_mis,  */
6867320164Sdavidcs	0x01010435, 0x00010001, 0x0000056b, 	/* (r1!=0), r1=pcm.is_psdm_fill_lvl,  */
6868320164Sdavidcs	0x01000436, 0x00010001, 0x0000056c, 	/* (r1!=0), r1=tcm.tsdm_length_mis,  */
6869320164Sdavidcs	0x01010437, 0x00010001, 0x0000056d, 	/* (r1!=0), r1=tcm.is_tsdm_fill_lvl,  */
6870320164Sdavidcs	0x01000438, 0x00010001, 0x0000056e, 	/* (r1!=0), r1=mcm.msdm_length_mis,  */
6871320164Sdavidcs	0x01010439, 0x00010001, 0x0000056f, 	/* (r1!=0), r1=mcm.is_msdm_fill_lvl,  */
6872320164Sdavidcs	0x0101043a, 0x00010001, 0x00000570, 	/* (r1!=0), r1=mcm.is_ysem_fill_lvl,  */
6873320164Sdavidcs	0x003f0021, 	/* mode asic */
6874320164Sdavidcs	0x0301043b, 0x00000002, 0x00000571, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_7, r2=pswrq2.max_srs_vq7,  */
6875320164Sdavidcs	0x0301043c, 0x00000002, 0x00000573, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_8, r2=pswrq2.max_srs_vq8,  */
6876320164Sdavidcs	0x0301043d, 0x00000002, 0x00000575, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_10, r2=pswrq2.max_srs_vq10,  */
6877320164Sdavidcs	0x0301043e, 0x00000002, 0x00000577, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_13, r2=pswrq2.max_srs_vq13,  */
6878320164Sdavidcs	0x0301043f, 0x00000002, 0x00000579, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_14, r2=pswrq2.max_srs_vq14,  */
6879320164Sdavidcs	0x03010440, 0x00000002, 0x0000057b, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_20, r2=pswrq2.max_srs_vq20,  */
6880320164Sdavidcs	0x03010441, 0x00000002, 0x0000057d, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_25, r2=pswrq2.max_srs_vq25,  */
6881320164Sdavidcs	0x03010442, 0x00000002, 0x0000057f, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_27, r2=pswrq2.max_srs_vq27,  */
6882320164Sdavidcs	0x03010443, 0x00000002, 0x00000581, 	/* (r1!=r2), r1=pswrq2.sr_cnt_per_vq_31, r2=pswrq2.max_srs_vq31,  */
6883320164Sdavidcs	0x03010444, 0x00000002, 0x00000583, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_7, r2=pswrq2.max_blks_vq7,  */
6884320164Sdavidcs	0x03010445, 0x00000002, 0x00000585, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_8, r2=pswrq2.max_blks_vq8,  */
6885320164Sdavidcs	0x03010446, 0x00000002, 0x00000587, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_10, r2=pswrq2.max_blks_vq10,  */
6886320164Sdavidcs	0x03010447, 0x00000002, 0x00000589, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_13, r2=pswrq2.max_blks_vq13,  */
6887320164Sdavidcs	0x03010448, 0x00000002, 0x0000058b, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_14, r2=pswrq2.max_blks_vq14,  */
6888320164Sdavidcs	0x03010449, 0x00000002, 0x0000058d, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_20, r2=pswrq2.max_blks_vq20,  */
6889320164Sdavidcs	0x0301044a, 0x00000002, 0x0000058f, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_25, r2=pswrq2.max_blks_vq25,  */
6890320164Sdavidcs	0x0301044b, 0x00000002, 0x00000591, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_27, r2=pswrq2.max_blks_vq27,  */
6891320164Sdavidcs	0x0301044c, 0x00000002, 0x00000593, 	/* (r1!=r2), r1=pswrq2.blk_cnt_per_vq_31, r2=pswrq2.max_blks_vq31,  */
6892320164Sdavidcs	0x0d02044d, 0x00010001, 0x00460595, 	/* (r1&0x3E1), r1=tm.INT_STS_1,  */
6893320164Sdavidcs	0x0000044e, 0x00010002, 0x00000596, 	/* ((r1&~r2)!=0), r1=bmb.PRTY_STS_H_0, r2=bmb.PRTY_MASK_H_0,  */
6894320164Sdavidcs	0x0000044f, 0x00010002, 0x00000598, 	/* ((r1&~r2)!=0), r1=bmb.PRTY_STS_H_1, r2=bmb.PRTY_MASK_H_1,  */
6895316485Sdavidcs	0x0003001f, 	/* mode !asic */
6896320164Sdavidcs	0x0d020450, 0x00010001, 0x0047059a, 	/* (r1&0x381), r1=tm.INT_STS_1,  */
6897320164Sdavidcs	0x00ab0025, 	/* mode !bb */
6898320164Sdavidcs	0x06020451, 0x00020001, 0x0048059b, 	/* ((r1&0x2000)!=0), r1=pglcs.pgl_cs.UNCORR_ERR_STATUS_OFF,  */
6899320164Sdavidcs	0x06000452, 0x00020001, 0x004a059c, 	/* ((r1&0x0FD010)!=0), r1=pglcs.pgl_cs.UNCORR_ERR_STATUS_OFF,  */
6900320164Sdavidcs	0x06020453, 0x00020001, 0x004c059d, 	/* ((r1&0x100000)!=0), r1=pglcs.pgl_cs.UNCORR_ERR_STATUS_OFF,  */
6901320164Sdavidcs	0x01020454, 0x00010001, 0x0000059e, 	/* (r1!=0), r1=pglcs.pgl_cs.HDR_LOG_0_OFF[0:3],  */
6902320164Sdavidcs	0x01000455, 0x00010001, 0x0000059f, 	/* (r1!=0), r1=pglcs.syncfifo_pop_underflow,  */
6903320164Sdavidcs	0x01000456, 0x00010001, 0x000005a0, 	/* (r1!=0), r1=pglcs.syncfifo_push_overflow,  */
6904320164Sdavidcs	0x01010457, 0x00010001, 0x000005a1, 	/* (r1!=0), r1=pglcs.tx_syncfifo_pop_status,  */
6905320164Sdavidcs	0x06000458, 0x00020001, 0x004e05a2, 	/* ((r1&0xE001)!=0), r1=pcie.INT_STS,  */
6906320164Sdavidcs	0x00000459, 0x00010102, 0x000005a3, 	/* ((r1&~r2)!=0), r1=cnig.INT_STS, r2=cnig.INT_MASK,  */
6907320164Sdavidcs	0x0000045a, 0x00010002, 0x000005a6, 	/* ((r1&~r2)!=0), r1=cnig.PRTY_STS, r2=cnig.PRTY_MASK,  */
6908320164Sdavidcs	0x0100045b, 0x00010001, 0x000005a8, 	/* (r1!=0), r1=pglue_b.syncfifo_push_overflow,  */
6909320164Sdavidcs	0x0100045c, 0x00010001, 0x000005a9, 	/* (r1!=0), r1=pglue_b.syncfifo_pop_underflow,  */
6910320164Sdavidcs	0x0101045d, 0x00010001, 0x000005aa, 	/* (r1!=0), r1=pglue_b.rxh_syncfifo_pop_status,  */
6911320164Sdavidcs	0x0101045e, 0x00010101, 0x000005ab, 	/* (r1!=0), r1=pglue_b.rxd_syncfifo_pop_status,  */
6912320164Sdavidcs	0x0901045f, 0x00060001, 0x003d05ad, 	/* ((r1[0]&0x3FFFFFC0)>>6)!=(((r1[0]&0xC0000000)>>30)|((r1[1]&0x3FFFFF)<<2)), r1=qm.PtrTblOther[0:127] width=2 access=WB,  */
6913320164Sdavidcs	0x0a010460, 0x00030001, 0x004305ae, 	/* ((r1&0x30)>>4)!=(r1&0x03), r1=qm.PtrTblOther[0:127] width=2 access=WB,  */
6914320164Sdavidcs	0x09010461, 0x00060001, 0x003d05af, 	/* ((r1[0]&0x3FFFFFC0)>>6)!=(((r1[0]&0xC0000000)>>30)|((r1[1]&0x3FFFFF)<<2)), r1=qm.PtrTblTx[0:511] width=2 access=WB,  */
6915320164Sdavidcs	0x0a010462, 0x00030001, 0x004305b0, 	/* ((r1&0x30)>>4)!=(r1&0x03), r1=qm.PtrTblTx[0:511] width=2 access=WB,  */
6916320164Sdavidcs	0x01010463, 0x00010001, 0x000005b1, 	/* (r1!=0), r1=brb.mac2_tc_occupancy_0,  */
6917320164Sdavidcs	0x01010464, 0x00010001, 0x000005b2, 	/* (r1!=0), r1=brb.mac2_tc_occupancy_1,  */
6918320164Sdavidcs	0x01010465, 0x00010001, 0x000005b3, 	/* (r1!=0), r1=brb.mac2_tc_occupancy_2,  */
6919320164Sdavidcs	0x01010466, 0x00010001, 0x000005b4, 	/* (r1!=0), r1=brb.mac2_tc_occupancy_3,  */
6920320164Sdavidcs	0x01010467, 0x00010001, 0x000005b5, 	/* (r1!=0), r1=brb.mac2_tc_occupancy_4,  */
6921320164Sdavidcs	0x01010468, 0x00010001, 0x000005b6, 	/* (r1!=0), r1=brb.mac3_tc_occupancy_0,  */
6922320164Sdavidcs	0x01010469, 0x00010001, 0x000005b7, 	/* (r1!=0), r1=brb.mac3_tc_occupancy_1,  */
6923320164Sdavidcs	0x0101046a, 0x00010001, 0x000005b8, 	/* (r1!=0), r1=brb.mac3_tc_occupancy_2,  */
6924320164Sdavidcs	0x0101046b, 0x00010001, 0x000005b9, 	/* (r1!=0), r1=brb.mac3_tc_occupancy_3,  */
6925320164Sdavidcs	0x0101046c, 0x00010001, 0x000005ba, 	/* (r1!=0), r1=brb.mac3_tc_occupancy_4,  */
6926320164Sdavidcs	0x0000046d, 0x00010002, 0x000005bb, 	/* ((r1&~r2)!=0), r1=nig.INT_STS_6, r2=nig.INT_MASK_6,  */
6927320164Sdavidcs	0x0002046e, 0x00010202, 0x000005bd, 	/* ((r1&~r2)!=0), r1=nig.INT_STS_7, r2=nig.INT_MASK_7,  */
6928320164Sdavidcs	0x0000046f, 0x00010002, 0x000005c1, 	/* ((r1&~r2)!=0), r1=nig.INT_STS_8, r2=nig.INT_MASK_8,  */
6929320164Sdavidcs	0x00020470, 0x00010202, 0x000005c3, 	/* ((r1&~r2)!=0), r1=nig.INT_STS_9, r2=nig.INT_MASK_9,  */
6930320164Sdavidcs	0x00000471, 0x00010302, 0x000005c7, 	/* ((r1&~r2)!=0), r1=nig.PRTY_STS, r2=nig.PRTY_MASK,  */
6931320164Sdavidcs	0x06000472, 0x00020001, 0x005005cc, 	/* ((r1&0x00000004)!=4), r1=nws.common_status,  */
6932320164Sdavidcs	0x06000473, 0x00020001, 0x005205cd, 	/* ((r1&0x00000002)!=2), r1=nws.common_status,  */
6933320164Sdavidcs	0x06000474, 0x00020001, 0x000d05ce, 	/* ((r1&0x00000001)!=0), r1=nws.INT_STS_0,  */
6934320164Sdavidcs	0x00000475, 0x00010002, 0x000005cf, 	/* ((r1&~r2)!=0), r1=nws.PRTY_STS_H_0, r2=nws.PRTY_MASK_H_0,  */
6935320164Sdavidcs	0x0e020476, 0x00040002, 0x005405d1, 	/* ((r1!=1)&&(((r2>>14)&1)==1)), r1=nws.nws_cmu.ln0_top_phy_if_status, r2=nws.common_control,  */
6936320164Sdavidcs	0x0e020477, 0x00040002, 0x005805d3, 	/* ((r1!=1)&&(((r2>>15)&1)==1)), r1=nws.nws_cmu.ln1_top_phy_if_status, r2=nws.common_control,  */
6937320164Sdavidcs	0x0e020478, 0x00040002, 0x005c05d5, 	/* ((r1!=1)&&(((r2>>16)&1)==1)), r1=nws.nws_cmu.ln2_top_phy_if_status, r2=nws.common_control,  */
6938320164Sdavidcs	0x0e020479, 0x00040002, 0x006005d7, 	/* ((r1!=1)&&(((r2>>17)&1)==1)), r1=nws.nws_cmu.ln3_top_phy_if_status, r2=nws.common_control,  */
6939320164Sdavidcs	0x0600047a, 0x00020001, 0x000d05d9, 	/* ((r1&0x00000001)!=0), r1=nwm.INT_STS,  */
6940320164Sdavidcs	0x0600047b, 0x00020001, 0x006405da, 	/* ((r1&0x000001FE)!=0), r1=nwm.INT_STS,  */
6941320164Sdavidcs	0x0000047c, 0x00010002, 0x000005db, 	/* ((r1&~r2)!=0), r1=nwm.PRTY_STS_H_0, r2=nwm.PRTY_MASK_H_0,  */
6942320164Sdavidcs	0x0000047d, 0x00010002, 0x000005dd, 	/* ((r1&~r2)!=0), r1=nwm.PRTY_STS_H_1, r2=nwm.PRTY_MASK_H_1,  */
6943320164Sdavidcs	0x0000047e, 0x00010002, 0x000005df, 	/* ((r1&~r2)!=0), r1=nwm.PRTY_STS_H_2, r2=nwm.PRTY_MASK_H_2,  */
6944320164Sdavidcs	0x0101047f, 0x00010001, 0x002305e1, 	/* (r1!=255), r1=btb.rc_pkt_empty_4,  */
6945320164Sdavidcs	0x01010480, 0x00010001, 0x002305e2, 	/* (r1!=255), r1=btb.rc_pkt_empty_5,  */
6946320164Sdavidcs	0x01010481, 0x00010001, 0x002305e3, 	/* (r1!=255), r1=btb.rc_pkt_empty_6,  */
6947320164Sdavidcs	0x01010482, 0x00010001, 0x002305e4, 	/* (r1!=255), r1=btb.rc_pkt_empty_7,  */
6948320164Sdavidcs	0x01010483, 0x00010001, 0x000005e5, 	/* (r1!=0), r1=xcm.qm_act_st_cnt[0:511],  */
6949320164Sdavidcs	0x01020484, 0x00010001, 0x000005e6, 	/* (r1!=0), r1=xsem.vf_err_vector width=8 access=WB,  */
6950320164Sdavidcs	0x01020485, 0x00010001, 0x000005e7, 	/* (r1!=0), r1=ysem.vf_err_vector width=8 access=WB,  */
6951320164Sdavidcs	0x01020486, 0x00010001, 0x000005e8, 	/* (r1!=0), r1=psem.vf_err_vector width=8 access=WB,  */
6952320164Sdavidcs	0x01020487, 0x00010001, 0x000005e9, 	/* (r1!=0), r1=tsem.vf_err_vector width=8 access=WB,  */
6953320164Sdavidcs	0x01020488, 0x00010001, 0x000005ea, 	/* (r1!=0), r1=msem.vf_err_vector width=8 access=WB,  */
6954320164Sdavidcs	0x01020489, 0x00010001, 0x000005eb, 	/* (r1!=0), r1=usem.vf_err_vector width=8 access=WB,  */
6955320164Sdavidcs	0x00480003, 	/* mode !(bb|k2) */
6956320164Sdavidcs	0x0102048a, 0x00010001, 0x000005ec, 	/* (r1!=0), r1=igu.pending_bits_status[0:15],  */
6957320164Sdavidcs	0x0101048b, 0x00010001, 0x000005ed, 	/* (r1!=0), r1=igu.write_done_pending[0:15],  */
6958320164Sdavidcs	0x0101048c, 0x00010001, 0x002d05ee, 	/* (r1!=reset1), r1=tcfc.cduwb_credit,  */
6959320164Sdavidcs	0x0c01048d, 0x00010003, 0x000005ef, 	/* (r1!=r2&&r3>0), r1=qm.VoqCrdLine[0:35], r2=qm.VoqInitCrdLine[0:35], r3=misc.port_mode,  */
6960320164Sdavidcs	0x0b01048e, 0x00010003, 0x000005f2, 	/* (r1!=r2&&r3==0), r1=qm.VoqCrdLine[0:7], r2=qm.VoqInitCrdLine[0:7], r3=misc.port_mode,  */
6961320164Sdavidcs	0x0b01048f, 0x00010003, 0x000005f5, 	/* (r1!=r2&&r3==0), r1=qm.VoqCrdLine[16], r2=qm.VoqInitCrdLine[16], r3=misc.port_mode,  */
6962320164Sdavidcs	0x0b010490, 0x00010003, 0x000005f8, 	/* (r1!=r2&&r3==0), r1=qm.VoqCrdByte[16], r2=qm.VoqInitCrdByte[16], r3=misc.port_mode,  */
6963320164Sdavidcs	0x0b010491, 0x00010003, 0x000005fb, 	/* (r1!=r2&&r3==0), r1=qm.VoqCrdByte[0:7], r2=qm.VoqInitCrdByte[0:7], r3=misc.port_mode,  */
6964320164Sdavidcs	0x0c010492, 0x00010003, 0x000005fe, 	/* (r1!=r2&&r3>0), r1=qm.VoqCrdByte[0:35], r2=qm.VoqInitCrdByte[0:35], r3=misc.port_mode,  */
6965320164Sdavidcs	0x01010493, 0x00010001, 0x000d0601, 	/* (r1!=reset1), r1=brb.rc_eop_empty,  */
6966320164Sdavidcs	0x00000494, 0x00010202, 0x00000602, 	/* ((r1&~r2)!=0), r1=btb.INT_STS_0, r2=btb.INT_MASK_0,  */
6967320164Sdavidcs	0x00000495, 0x00010202, 0x00000606, 	/* ((r1&~r2)!=0), r1=btb.INT_STS_4, r2=btb.INT_MASK_4,  */
6968320164Sdavidcs	0x01000496, 0x00010001, 0x0000060a, 	/* (r1!=0), r1=xcm.msdm_length_mis,  */
6969320164Sdavidcs	0x01010497, 0x00010001, 0x0000060b, 	/* (r1!=0), r1=xcm.is_msdm_fill_lvl,  */
6970320164Sdavidcs	0x01010498, 0x00010001, 0x0000060c, 	/* (r1!=0), r1=xcm.is_ysem_fill_lvl,  */
6971320164Sdavidcs	0x01000499, 0x00010001, 0x0000060d, 	/* (r1!=0), r1=ycm.msdm_length_mis,  */
6972320164Sdavidcs	0x0101049a, 0x00010001, 0x0000060e, 	/* (r1!=0), r1=ycm.is_msdm_fill_lvl,  */
6973320164Sdavidcs	0x0100049b, 0x00010001, 0x0000060f, 	/* (r1!=0), r1=pcm.psdm_length_mis,  */
6974320164Sdavidcs	0x0101049c, 0x00010001, 0x00000610, 	/* (r1!=0), r1=pcm.is_psdm_fill_lvl,  */
6975320164Sdavidcs	0x0100049d, 0x00010001, 0x00000611, 	/* (r1!=0), r1=tcm.tsdm_length_mis,  */
6976320164Sdavidcs	0x0101049e, 0x00010001, 0x00000612, 	/* (r1!=0), r1=tcm.is_tsdm_fill_lvl,  */
6977320164Sdavidcs	0x0100049f, 0x00010001, 0x00000613, 	/* (r1!=0), r1=mcm.msdm_length_mis,  */
6978320164Sdavidcs	0x010104a0, 0x00010001, 0x00000614, 	/* (r1!=0), r1=mcm.is_msdm_fill_lvl,  */
6979320164Sdavidcs	0x010104a1, 0x00010001, 0x00000615, 	/* (r1!=0), r1=mcm.is_ysem_fill_lvl,  */
6980316485Sdavidcs	0x00240015, 	/* mode !(k2|e5) */
6981320164Sdavidcs	0x060004a2, 0x00020001, 0x004a0616, 	/* ((r1&0x0FD010)!=0), r1=pglcs.pgl_cs.uc_err_status,  */
6982320164Sdavidcs	0x060204a3, 0x00020001, 0x00480617, 	/* ((r1&0x2000)!=0), r1=pglcs.pgl_cs.uc_err_status,  */
6983320164Sdavidcs	0x060204a4, 0x00020001, 0x004c0618, 	/* ((r1&0x100000)!=0), r1=pglcs.pgl_cs.uc_err_status,  */
6984320164Sdavidcs	0x010204a5, 0x00010001, 0x00000619, 	/* (r1!=0), r1=pglcs.pgl_cs.header_log1[0:3],  */
6985320164Sdavidcs	0x060204a6, 0x00020001, 0x0066061a, 	/* ((r1&0x2000000)!=0), r1=pglcs.pgl_cs.tl_ctrlstat_5,  */
6986320164Sdavidcs	0x040004a7, 0x00020001, 0x0068061b, 	/* ((r1&~0x2040902)!=0), r1=pglcs.pgl_cs.tl_ctrlstat_5,  */
6987320164Sdavidcs	0x040004a8, 0x00020001, 0x006a061c, 	/* ((r1&~0x10240902)!=0), r1=pglcs.pgl_cs.tl_func345_stat,  */
6988320164Sdavidcs	0x040004a9, 0x00020001, 0x006a061d, 	/* ((r1&~0x10240902)!=0), r1=pglcs.pgl_cs.tl_func678_stat,  */
6989320164Sdavidcs	0x060004aa, 0x00020001, 0x000b061e, 	/* ((r1&0x2)!=0), r1=pglcs.pgl_cs.DLATTN_VEC,  */
6990320164Sdavidcs	0x000004ab, 0x00010002, 0x0000061f, 	/* ((r1&~r2)!=0), r1=miscs.INT_STS_1, r2=miscs.INT_MASK_1,  */
6991320164Sdavidcs	0x000004ac, 0x00010002, 0x00000621, 	/* ((r1&~r2)!=0), r1=igu.PRTY_STS_H_1, r2=igu.PRTY_MASK_H_1,  */
6992320164Sdavidcs	0x010104ad, 0x00010001, 0x000d0623, 	/* (r1!=1), r1=nig.rx_macfifo_empty,  */
6993320164Sdavidcs	0x01590043, 	/* mode !e5 */
6994320164Sdavidcs	0x000004ae, 0x00010002, 0x00000624, 	/* ((r1&~r2)!=0), r1=opte.PRTY_STS_H_0, r2=opte.PRTY_MASK_H_0,  */
6995320164Sdavidcs	0x010204af, 0x00010001, 0x00000626, 	/* (r1!=0), r1=cau.agg_units_0to15_state,  */
6996320164Sdavidcs	0x010204b0, 0x00010001, 0x00000627, 	/* (r1!=0), r1=cau.agg_units_16to31_state,  */
6997320164Sdavidcs	0x010204b1, 0x00010001, 0x00000628, 	/* (r1!=0), r1=cau.agg_units_32to47_state,  */
6998320164Sdavidcs	0x010204b2, 0x00010001, 0x00000629, 	/* (r1!=0), r1=cau.agg_units_48to63_state,  */
6999320164Sdavidcs	0x010204b3, 0x00010001, 0x0000062a, 	/* (r1!=0), r1=cau.igu_cqe_cmd_fsm_status,  */
7000320164Sdavidcs	0x010204b4, 0x00010001, 0x0000062b, 	/* (r1!=0), r1=cau.igu_cqe_agg_fsm_status,  */
7001320164Sdavidcs	0x000004b5, 0x00010002, 0x0000062c, 	/* ((r1&~r2)!=0), r1=prs.PRTY_STS_H_1, r2=prs.PRTY_MASK_H_1,  */
7002320164Sdavidcs	0x010104b6, 0x00010001, 0x0000062e, 	/* (r1!=0), r1=prs.prs_pkt_ct,  */
7003320164Sdavidcs	0x010104b7, 0x00010001, 0x0000062f, 	/* (r1!=0), r1=prs.tcm_current_credit,  */
7004320164Sdavidcs	0x010204b8, 0x00010001, 0x006c0630, 	/* (r1!=31), r1=rss.empty_status,  */
7005320164Sdavidcs	0x010204b9, 0x00010001, 0x00000631, 	/* (r1!=0), r1=rss.full_status,  */
7006320164Sdavidcs	0x010204ba, 0x00010001, 0x00000632, 	/* (r1!=0), r1=rss.counters_status,  */
7007320164Sdavidcs	0x010104bb, 0x00010001, 0x00000633, 	/* (r1!=0), r1=rss.state_machines,  */
7008320164Sdavidcs	0x010104bc, 0x00010001, 0x00000634, 	/* (r1!=0), r1=yuld.pending_msg_to_ext_ev_1_ctr,  */
7009320164Sdavidcs	0x010104bd, 0x00010001, 0x00000635, 	/* (r1!=0), r1=yuld.pending_msg_to_ext_ev_2_ctr,  */
7010320164Sdavidcs	0x010104be, 0x00010001, 0x00000636, 	/* (r1!=0), r1=yuld.pending_msg_to_ext_ev_3_ctr,  */
7011320164Sdavidcs	0x010104bf, 0x00010001, 0x00000637, 	/* (r1!=0), r1=yuld.pending_msg_to_ext_ev_4_ctr,  */
7012320164Sdavidcs	0x010104c0, 0x00010001, 0x00000638, 	/* (r1!=0), r1=yuld.pending_msg_to_ext_ev_5_ctr,  */
7013320164Sdavidcs	0x030104c1, 0x00000002, 0x00000639, 	/* (r1!=r2), r1=yuld.foc_remain_credits, r2=yuld.foci_foc_credits,  */
7014320164Sdavidcs	0x010104c2, 0x00010001, 0x0000063b, 	/* (r1!=0), r1=yuld.dbg_pending_ccfc_req,  */
7015320164Sdavidcs	0x010104c3, 0x00010001, 0x0000063c, 	/* (r1!=0), r1=yuld.dbg_pending_tcfc_req,  */
7016320164Sdavidcs	0x000004c4, 0x00010002, 0x0000063d, 	/* ((r1&~r2)!=0), r1=yuld.PRTY_STS_H_0, r2=yuld.PRTY_MASK_H_0,  */
7017320164Sdavidcs	0x010104c5, 0x00010001, 0x000d063f, 	/* (r1!=1), r1=nig.tx_macfifo_empty,  */
7018320164Sdavidcs	0x000004c6, 0x00010002, 0x00000640, 	/* ((r1&~r2)!=0), r1=pbf.PRTY_STS_H_0, r2=pbf.PRTY_MASK_H_0,  */
7019320164Sdavidcs	0x000004c7, 0x00010002, 0x00000642, 	/* ((r1&~r2)!=0), r1=pbf.PRTY_STS_H_1, r2=pbf.PRTY_MASK_H_1,  */
7020320164Sdavidcs	0x010004c8, 0x00010001, 0x00000644, 	/* (r1!=0), r1=pcm.pbf_length_mis,  */
7021320164Sdavidcs	0x010104c9, 0x00010001, 0x00000645, 	/* (r1!=0), r1=pcm.is_pbf_fill_lvl,  */
7022320164Sdavidcs	0x010004ca, 0x00010001, 0x00000646, 	/* (r1!=0), r1=tcm.prs_length_mis,  */
7023320164Sdavidcs	0x010104cb, 0x00010001, 0x00000647, 	/* (r1!=0), r1=tcm.is_prs_fill_lvl,  */
7024320164Sdavidcs	0x010004cc, 0x00010001, 0x00000648, 	/* (r1!=0), r1=ucm.yuld_length_mis,  */
7025320164Sdavidcs	0x010104cd, 0x00010001, 0x00000649, 	/* (r1!=0), r1=ucm.is_yuld_fill_lvl,  */
7026320164Sdavidcs	0x000004ce, 0x00010002, 0x0000064a, 	/* ((r1&~r2)!=0), r1=xsem.PRTY_STS_H_0, r2=xsem.PRTY_MASK_H_0,  */
7027320164Sdavidcs	0x010204cf, 0x00010001, 0x0000064c, 	/* (r1!=0), r1=xsem.thread_error,  */
7028320164Sdavidcs	0x010104d0, 0x00010001, 0x0000064d, 	/* (r1!=0), r1=xsem.thread_rdy,  */
7029320164Sdavidcs	0x010104d1, 0x00010001, 0x0000064e, 	/* (r1!=0), r1=xsem.thread_valid,  */
7030320164Sdavidcs	0x010104d2, 0x00010001, 0x000d064f, 	/* (r1!=1), r1=xsem.dra_empty,  */
7031320164Sdavidcs	0x010104d3, 0x00010001, 0x000d0650, 	/* (r1!=1), r1=xsem.slow_dbg_empty,  */
7032320164Sdavidcs	0x010104d4, 0x00010001, 0x000d0651, 	/* (r1!=1), r1=xsem.slow_dra_fin_empty,  */
7033320164Sdavidcs	0x010104d5, 0x00010001, 0x000d0652, 	/* (r1!=1), r1=xsem.slow_dra_rd_empty,  */
7034320164Sdavidcs	0x010104d6, 0x00010001, 0x000d0653, 	/* (r1!=1), r1=xsem.slow_dra_wr_empty,  */
7035320164Sdavidcs	0x010104d7, 0x00010001, 0x000d0654, 	/* (r1!=1), r1=xsem.slow_ram_rd_empty,  */
7036320164Sdavidcs	0x010104d8, 0x00010001, 0x000d0655, 	/* (r1!=1), r1=xsem.thread_fifo_empty,  */
7037320164Sdavidcs	0x010104d9, 0x00010001, 0x00000656, 	/* (r1!=0), r1=xsem.pas_if_full,  */
7038320164Sdavidcs	0x010204da, 0x00010001, 0x00000657, 	/* (r1!=0), r1=xsem.thread_orun_num,  */
7039320164Sdavidcs	0x010104db, 0x00010001, 0x00000658, 	/* (r1!=0), r1=xsem.dbg_if_full,  */
7040320164Sdavidcs	0x000004dc, 0x00010002, 0x00000659, 	/* ((r1&~r2)!=0), r1=ysem.PRTY_STS_H_0, r2=ysem.PRTY_MASK_H_0,  */
7041320164Sdavidcs	0x010204dd, 0x00010001, 0x0000065b, 	/* (r1!=0), r1=ysem.thread_error,  */
7042320164Sdavidcs	0x010104de, 0x00010001, 0x0000065c, 	/* (r1!=0), r1=ysem.thread_rdy,  */
7043320164Sdavidcs	0x010104df, 0x00010001, 0x0000065d, 	/* (r1!=0), r1=ysem.thread_valid,  */
7044320164Sdavidcs	0x010104e0, 0x00010001, 0x000d065e, 	/* (r1!=1), r1=ysem.dra_empty,  */
7045320164Sdavidcs	0x010104e1, 0x00010001, 0x000d065f, 	/* (r1!=1), r1=ysem.slow_dbg_empty,  */
7046320164Sdavidcs	0x010104e2, 0x00010001, 0x000d0660, 	/* (r1!=1), r1=ysem.slow_dra_fin_empty,  */
7047320164Sdavidcs	0x010104e3, 0x00010001, 0x000d0661, 	/* (r1!=1), r1=ysem.slow_dra_rd_empty,  */
7048320164Sdavidcs	0x010104e4, 0x00010001, 0x000d0662, 	/* (r1!=1), r1=ysem.slow_dra_wr_empty,  */
7049320164Sdavidcs	0x010104e5, 0x00010001, 0x000d0663, 	/* (r1!=1), r1=ysem.slow_ram_rd_empty,  */
7050320164Sdavidcs	0x010104e6, 0x00010001, 0x000d0664, 	/* (r1!=1), r1=ysem.thread_fifo_empty,  */
7051320164Sdavidcs	0x010104e7, 0x00010001, 0x00000665, 	/* (r1!=0), r1=ysem.pas_if_full,  */
7052320164Sdavidcs	0x010204e8, 0x00010001, 0x00000666, 	/* (r1!=0), r1=ysem.thread_orun_num,  */
7053320164Sdavidcs	0x010104e9, 0x00010001, 0x00000667, 	/* (r1!=0), r1=ysem.dbg_if_full,  */
7054320164Sdavidcs	0x000004ea, 0x00010002, 0x00000668, 	/* ((r1&~r2)!=0), r1=psem.PRTY_STS_H_0, r2=psem.PRTY_MASK_H_0,  */
7055320164Sdavidcs	0x010204eb, 0x00010001, 0x0000066a, 	/* (r1!=0), r1=psem.thread_error,  */
7056320164Sdavidcs	0x010104ec, 0x00010001, 0x0000066b, 	/* (r1!=0), r1=psem.thread_rdy,  */
7057320164Sdavidcs	0x010104ed, 0x00010001, 0x0000066c, 	/* (r1!=0), r1=psem.thread_valid,  */
7058320164Sdavidcs	0x010104ee, 0x00010001, 0x000d066d, 	/* (r1!=1), r1=psem.dra_empty,  */
7059320164Sdavidcs	0x010104ef, 0x00010001, 0x000d066e, 	/* (r1!=1), r1=psem.slow_dbg_empty,  */
7060320164Sdavidcs	0x010104f0, 0x00010001, 0x000d066f, 	/* (r1!=1), r1=psem.slow_dra_fin_empty,  */
7061320164Sdavidcs	0x010104f1, 0x00010001, 0x000d0670, 	/* (r1!=1), r1=psem.slow_dra_rd_empty,  */
7062320164Sdavidcs	0x010104f2, 0x00010001, 0x000d0671, 	/* (r1!=1), r1=psem.slow_dra_wr_empty,  */
7063320164Sdavidcs	0x010104f3, 0x00010001, 0x000d0672, 	/* (r1!=1), r1=psem.slow_ram_rd_empty,  */
7064320164Sdavidcs	0x010104f4, 0x00010001, 0x000d0673, 	/* (r1!=1), r1=psem.thread_fifo_empty,  */
7065320164Sdavidcs	0x010104f5, 0x00010001, 0x00000674, 	/* (r1!=0), r1=psem.pas_if_full,  */
7066320164Sdavidcs	0x010204f6, 0x00010001, 0x00000675, 	/* (r1!=0), r1=psem.thread_orun_num,  */
7067320164Sdavidcs	0x010104f7, 0x00010001, 0x00000676, 	/* (r1!=0), r1=psem.dbg_if_full,  */
7068320164Sdavidcs	0x000004f8, 0x00010002, 0x00000677, 	/* ((r1&~r2)!=0), r1=tsem.PRTY_STS_H_0, r2=tsem.PRTY_MASK_H_0,  */
7069320164Sdavidcs	0x010204f9, 0x00010001, 0x00000679, 	/* (r1!=0), r1=tsem.thread_error,  */
7070320164Sdavidcs	0x010104fa, 0x00010001, 0x0000067a, 	/* (r1!=0), r1=tsem.thread_rdy,  */
7071320164Sdavidcs	0x010104fb, 0x00010001, 0x0000067b, 	/* (r1!=0), r1=tsem.thread_valid,  */
7072320164Sdavidcs	0x010104fc, 0x00010001, 0x000d067c, 	/* (r1!=1), r1=tsem.dra_empty,  */
7073320164Sdavidcs	0x010104fd, 0x00010001, 0x000d067d, 	/* (r1!=1), r1=tsem.slow_dbg_empty,  */
7074320164Sdavidcs	0x010104fe, 0x00010001, 0x000d067e, 	/* (r1!=1), r1=tsem.slow_dra_fin_empty,  */
7075320164Sdavidcs	0x010104ff, 0x00010001, 0x000d067f, 	/* (r1!=1), r1=tsem.slow_dra_rd_empty,  */
7076320164Sdavidcs	0x01010500, 0x00010001, 0x000d0680, 	/* (r1!=1), r1=tsem.slow_dra_wr_empty,  */
7077320164Sdavidcs	0x01010501, 0x00010001, 0x000d0681, 	/* (r1!=1), r1=tsem.slow_ram_rd_empty,  */
7078320164Sdavidcs	0x01010502, 0x00010001, 0x000d0682, 	/* (r1!=1), r1=tsem.thread_fifo_empty,  */
7079320164Sdavidcs	0x01010503, 0x00010001, 0x00000683, 	/* (r1!=0), r1=tsem.pas_if_full,  */
7080320164Sdavidcs	0x01020504, 0x00010001, 0x00000684, 	/* (r1!=0), r1=tsem.thread_orun_num,  */
7081320164Sdavidcs	0x01010505, 0x00010001, 0x00000685, 	/* (r1!=0), r1=tsem.dbg_if_full,  */
7082320164Sdavidcs	0x01020506, 0x00010001, 0x00000686, 	/* (r1!=0), r1=msem.thread_error,  */
7083320164Sdavidcs	0x01010507, 0x00010001, 0x00000687, 	/* (r1!=0), r1=msem.thread_rdy,  */
7084320164Sdavidcs	0x01010508, 0x00010001, 0x00000688, 	/* (r1!=0), r1=msem.thread_valid,  */
7085320164Sdavidcs	0x01010509, 0x00010001, 0x000d0689, 	/* (r1!=1), r1=msem.dra_empty,  */
7086320164Sdavidcs	0x0101050a, 0x00010001, 0x000d068a, 	/* (r1!=1), r1=msem.slow_dbg_empty,  */
7087320164Sdavidcs	0x0101050b, 0x00010001, 0x000d068b, 	/* (r1!=1), r1=msem.slow_dra_fin_empty,  */
7088320164Sdavidcs	0x0101050c, 0x00010001, 0x000d068c, 	/* (r1!=1), r1=msem.slow_dra_rd_empty,  */
7089320164Sdavidcs	0x0101050d, 0x00010001, 0x000d068d, 	/* (r1!=1), r1=msem.slow_dra_wr_empty,  */
7090320164Sdavidcs	0x0101050e, 0x00010001, 0x000d068e, 	/* (r1!=1), r1=msem.slow_ram_rd_empty,  */
7091320164Sdavidcs	0x0101050f, 0x00010001, 0x000d068f, 	/* (r1!=1), r1=msem.thread_fifo_empty,  */
7092320164Sdavidcs	0x01010510, 0x00010001, 0x00000690, 	/* (r1!=0), r1=msem.pas_if_full,  */
7093320164Sdavidcs	0x01020511, 0x00010001, 0x00000691, 	/* (r1!=0), r1=msem.thread_orun_num,  */
7094320164Sdavidcs	0x01010512, 0x00010001, 0x00000692, 	/* (r1!=0), r1=msem.dbg_if_full,  */
7095320164Sdavidcs	0x00000513, 0x00010002, 0x00000693, 	/* ((r1&~r2)!=0), r1=usem.PRTY_STS_H_0, r2=usem.PRTY_MASK_H_0,  */
7096320164Sdavidcs	0x01020514, 0x00010001, 0x00000695, 	/* (r1!=0), r1=usem.thread_error,  */
7097320164Sdavidcs	0x01010515, 0x00010001, 0x00000696, 	/* (r1!=0), r1=usem.thread_rdy,  */
7098320164Sdavidcs	0x01010516, 0x00010001, 0x00000697, 	/* (r1!=0), r1=usem.thread_valid,  */
7099320164Sdavidcs	0x01010517, 0x00010001, 0x000d0698, 	/* (r1!=1), r1=usem.dra_empty,  */
7100320164Sdavidcs	0x01010518, 0x00010001, 0x000d0699, 	/* (r1!=1), r1=usem.slow_dbg_empty,  */
7101320164Sdavidcs	0x01010519, 0x00010001, 0x000d069a, 	/* (r1!=1), r1=usem.slow_dra_fin_empty,  */
7102320164Sdavidcs	0x0101051a, 0x00010001, 0x000d069b, 	/* (r1!=1), r1=usem.slow_dra_rd_empty,  */
7103320164Sdavidcs	0x0101051b, 0x00010001, 0x000d069c, 	/* (r1!=1), r1=usem.slow_dra_wr_empty,  */
7104320164Sdavidcs	0x0101051c, 0x00010001, 0x000d069d, 	/* (r1!=1), r1=usem.slow_ram_rd_empty,  */
7105320164Sdavidcs	0x0101051d, 0x00010001, 0x000d069e, 	/* (r1!=1), r1=usem.thread_fifo_empty,  */
7106320164Sdavidcs	0x0101051e, 0x00010001, 0x0000069f, 	/* (r1!=0), r1=usem.pas_if_full,  */
7107320164Sdavidcs	0x0102051f, 0x00010001, 0x000006a0, 	/* (r1!=0), r1=usem.thread_orun_num,  */
7108320164Sdavidcs	0x01010520, 0x00010001, 0x000006a1, 	/* (r1!=0), r1=usem.dbg_if_full,  */
7109320164Sdavidcs	0x0006001d, 	/* mode !(!asic) */
7110320164Sdavidcs	0x00000521, 0x00010002, 0x000006a2, 	/* ((r1&~r2)!=0), r1=mcp2.PRTY_STS, r2=mcp2.PRTY_MASK,  */
7111320164Sdavidcs	0x00000522, 0x00010002, 0x000006a4, 	/* ((r1&~r2)!=0), r1=mcp2.PRTY_STS_H_0, r2=mcp2.PRTY_MASK_H_0,  */
7112320164Sdavidcs	0x0003000b, 	/* mode !(emul_reduced|fpga) */
7113320164Sdavidcs	0x00000523, 0x00010002, 0x000006a6, 	/* ((r1&~r2)!=0), r1=ncsi.PRTY_STS_H_0, r2=ncsi.PRTY_MASK_H_0,  */
7114320164Sdavidcs	0x006000c3, 	/* mode (!bb)&(!(!asic)) */
7115320164Sdavidcs	0x06000524, 0x00020001, 0x000b06a8, 	/* ((r1&0x00000002)!=0), r1=umac.INT_STS,  */
7116320164Sdavidcs	0x06000525, 0x00020001, 0x000d06a9, 	/* ((r1&0x00000001)!=0), r1=umac.INT_STS,  */
7117320164Sdavidcs	0x01020526, 0x00010001, 0x000006aa, 	/* (r1!=0), r1=nws.nws_cmu.phy0_top_err_ctrl0,  */
7118320164Sdavidcs	0x01020527, 0x00010001, 0x000006ab, 	/* (r1!=0), r1=nws.nws_cmu.phy0_top_err_ctrl1,  */
7119320164Sdavidcs	0x01020528, 0x00010001, 0x000006ac, 	/* (r1!=0), r1=nws.nws_cmu.phy0_top_err_ctrl2,  */
7120320164Sdavidcs	0x06000529, 0x00020001, 0x006d06ad, 	/* ((r1&0x00000004)!=0), r1=nws.nws_cmu.phy0_top_regbus_err_info_status0,  */
7121320164Sdavidcs	0x0600052a, 0x00020001, 0x000b06ae, 	/* ((r1&0x00000002)!=0), r1=nws.nws_cmu.phy0_top_regbus_err_info_status0,  */
7122320164Sdavidcs	0x0600052b, 0x00020001, 0x000d06af, 	/* ((r1&0x00000001)!=0), r1=nws.nws_cmu.phy0_top_regbus_err_info_status0,  */
7123320164Sdavidcs	0x0100052c, 0x00010001, 0x000006b0, 	/* (r1!=0), r1=nws.nws_cmu.phy0_top_regbus_err_info_status0,  */
7124320164Sdavidcs	0x0100052d, 0x00010001, 0x000006b1, 	/* (r1!=0), r1=nws.nws_cmu.phy0_top_regbus_err_info_status1,  */
7125320164Sdavidcs	0x0100052e, 0x00010001, 0x000006b2, 	/* (r1!=0), r1=nws.nws_cmu.phy0_top_regbus_err_info_status2,  */
7126320164Sdavidcs	0x0100052f, 0x00010001, 0x000006b3, 	/* (r1!=0), r1=nws.nws_cmu.phy0_top_regbus_err_info_status3,  */
7127320164Sdavidcs	0x01000530, 0x00010001, 0x000d06b4, 	/* (r1!=1), r1=nws.nws_cmu.cmu_lc0_top_phy_if_status,  */
7128320164Sdavidcs	0x01000531, 0x00010001, 0x000006b5, 	/* (r1!=0), r1=nws.nws_cmu.cmu_lc0_top_err_ctrl1,  */
7129320164Sdavidcs	0x01000532, 0x00010001, 0x000006b6, 	/* (r1!=0), r1=nws.nws_cmu.cmu_lc0_top_err_ctrl2,  */
7130320164Sdavidcs	0x01000533, 0x00010001, 0x000006b7, 	/* (r1!=0), r1=nws.nws_cmu.cmu_lc0_top_err_ctrl3,  */
7131320164Sdavidcs	0x01000534, 0x00010001, 0x000d06b8, 	/* (r1!=1), r1=nws.nws_cmu.cmu_r0_top_phy_if_status,  */
7132320164Sdavidcs	0x01000535, 0x00010001, 0x000006b9, 	/* (r1!=0), r1=nws.nws_cmu.cmu_r0_top_err_ctrl1,  */
7133320164Sdavidcs	0x01000536, 0x00010001, 0x000006ba, 	/* (r1!=0), r1=nws.nws_cmu.cmu_r0_top_err_ctrl2,  */
7134320164Sdavidcs	0x01000537, 0x00010001, 0x000006bb, 	/* (r1!=0), r1=nws.nws_cmu.cmu_r0_top_err_ctrl3,  */
7135320164Sdavidcs	0x01020538, 0x00010001, 0x000006bc, 	/* (r1!=0), r1=nws.nws_cmu.ln0_top_err_ctrl1,  */
7136320164Sdavidcs	0x01020539, 0x00010001, 0x000006bd, 	/* (r1!=0), r1=nws.nws_cmu.ln0_top_err_ctrl2,  */
7137320164Sdavidcs	0x0102053a, 0x00010001, 0x000006be, 	/* (r1!=0), r1=nws.nws_cmu.ln0_top_err_ctrl3,  */
7138320164Sdavidcs	0x0102053b, 0x00010001, 0x000006bf, 	/* (r1!=0), r1=nws.nws_cmu.ln1_top_err_ctrl1,  */
7139320164Sdavidcs	0x0102053c, 0x00010001, 0x000006c0, 	/* (r1!=0), r1=nws.nws_cmu.ln1_top_err_ctrl2,  */
7140320164Sdavidcs	0x0102053d, 0x00010001, 0x000006c1, 	/* (r1!=0), r1=nws.nws_cmu.ln1_top_err_ctrl3,  */
7141320164Sdavidcs	0x0102053e, 0x00010001, 0x000006c2, 	/* (r1!=0), r1=nws.nws_cmu.ln2_top_err_ctrl1,  */
7142320164Sdavidcs	0x0102053f, 0x00010001, 0x000006c3, 	/* (r1!=0), r1=nws.nws_cmu.ln2_top_err_ctrl2,  */
7143320164Sdavidcs	0x01020540, 0x00010001, 0x000006c4, 	/* (r1!=0), r1=nws.nws_cmu.ln2_top_err_ctrl3,  */
7144320164Sdavidcs	0x01020541, 0x00010001, 0x000006c5, 	/* (r1!=0), r1=nws.nws_cmu.ln3_top_err_ctrl1,  */
7145320164Sdavidcs	0x01020542, 0x00010001, 0x000006c6, 	/* (r1!=0), r1=nws.nws_cmu.ln3_top_err_ctrl2,  */
7146320164Sdavidcs	0x01020543, 0x00010001, 0x000006c7, 	/* (r1!=0), r1=nws.nws_cmu.ln3_top_err_ctrl3,  */
7147320164Sdavidcs	0x0003018d, 	/* mode bb&asic */
7148320164Sdavidcs	0x00000544, 0x00010002, 0x000006c8, 	/* ((r1&~r2)!=0), r1=ipc.PRTY_STS, r2=ipc.PRTY_MASK,  */
7149316485Sdavidcs};
7150320164Sdavidcs/* Data size: 16240 bytes */
7151316485Sdavidcs
7152316485Sdavidcs/* Array of attentions data per register */
7153316485Sdavidcsstatic const u32 attn_reg[] = {
7154316485Sdavidcs	0x00000000, 0x05014060, 0x00014063, 0x00014061, 	/* grc.INT_STS_0 */
7155316485Sdavidcs	0x00000000, 0x02014080, 0x00014083, 0x00014081, 	/* grc.PRTY_STS_H_0 */
7156316485Sdavidcs	0x00000000, 0x03002460, 0x00002463, 0x00002461, 	/* miscs.INT_STS_0 */
7157316485Sdavidcs	0x00030015, 0x0b002464, 0x00002467, 0x00002465, 	/* miscs.INT_STS_1, mode !(k2|e5) */
7158316485Sdavidcs	0x00000000, 0x01002468, 0x0000246b, 0x00002469, 	/* miscs.PRTY_STS_0 */
7159316485Sdavidcs	0x00000000, 0x01002060, 0x00002063, 0x00002061, 	/* misc.INT_STS */
7160316485Sdavidcs	0x00000000, 0x180aa060, 0x000aa063, 0x000aa061, 	/* pglue_b.INT_STS */
7161316485Sdavidcs	0x00000000, 0x010aa064, 0x000aa067, 0x000aa065, 	/* pglue_b.PRTY_STS */
7162316485Sdavidcs	0x012a0007, 0x160aa080, 0x000aa083, 0x000aa081, 	/* pglue_b.PRTY_STS_H_0, mode bb */
7163320164Sdavidcs	0x00010025, 0x1f0aa080, 0x000aa083, 0x000aa081, 	/* pglue_b.PRTY_STS_H_0, mode !bb */
7164320164Sdavidcs	0x00200025, 0x030aa084, 0x000aa087, 0x000aa085, 	/* pglue_b.PRTY_STS_H_1, mode !bb */
7165316485Sdavidcs	0x01400007, 0x060860ba, 0x000860bd, 0x000860bb, 	/* cnig.INT_STS, mode bb */
7166316485Sdavidcs	0x00000025, 0x07086086, 0x00086089, 0x00086087, 	/* cnig.INT_STS, mode !bb */
7167316485Sdavidcs	0x01460007, 0x020860d2, 0x000860d5, 0x000860d3, 	/* cnig.PRTY_STS, mode bb */
7168316485Sdavidcs	0x01480025, 0x0208608b, 0x0008608e, 0x0008608c, 	/* cnig.PRTY_STS, mode !bb */
7169316485Sdavidcs	0x00000000, 0x0100c0f8, 0x0000c0fb, 0x0000c0f9, 	/* cpmu.INT_STS_0 */
7170316485Sdavidcs	0x00000000, 0x01010133, 0x00010136, 0x00010134, 	/* ncsi.INT_STS_0 */
7171320164Sdavidcs	0x00000000, 0x03010000, 0x00010003, 0x00010001, 	/* ncsi.PRTY_STS_H_0 */
7172320164Sdavidcs	0x00000043, 0x01014c82, 0x00014c85, 0x00014c83, 	/* opte.PRTY_STS, mode !e5 */
7173320164Sdavidcs	0x00010043, 0x0b014c00, 0x00014c03, 0x00014c01, 	/* opte.PRTY_STS_H_0, mode !e5 */
7174316485Sdavidcs	0x014a0000, 0x17150030, 0x00150033, 0x00150031, 	/* bmb.INT_STS_0 */
7175316485Sdavidcs	0x01610000, 0x20150036, 0x00150039, 0x00150037, 	/* bmb.INT_STS_1 */
7176316485Sdavidcs	0x01810000, 0x1c15003c, 0x0015003f, 0x0015003d, 	/* bmb.INT_STS_2 */
7177316485Sdavidcs	0x019d0000, 0x20150042, 0x00150045, 0x00150043, 	/* bmb.INT_STS_3 */
7178316485Sdavidcs	0x01bd0000, 0x1d150048, 0x0015004b, 0x00150049, 	/* bmb.INT_STS_4 */
7179316485Sdavidcs	0x01da0000, 0x2015004e, 0x00150051, 0x0015004f, 	/* bmb.INT_STS_5 */
7180316485Sdavidcs	0x01fa0000, 0x20150054, 0x00150057, 0x00150055, 	/* bmb.INT_STS_6 */
7181316485Sdavidcs	0x00bb0000, 0x2015005a, 0x0015005d, 0x0015005b, 	/* bmb.INT_STS_7 */
7182316485Sdavidcs	0x00db0000, 0x20150061, 0x00150064, 0x00150062, 	/* bmb.INT_STS_8 */
7183316485Sdavidcs	0x021a0000, 0x25150067, 0x0015006a, 0x00150068, 	/* bmb.INT_STS_9 */
7184316485Sdavidcs	0x023f0000, 0x0715006d, 0x00150070, 0x0015006e, 	/* bmb.INT_STS_10 */
7185316485Sdavidcs	0x02460000, 0x08150073, 0x00150076, 0x00150074, 	/* bmb.INT_STS_11 */
7186316485Sdavidcs	0x00000000, 0x05150077, 0x0015007a, 0x00150078, 	/* bmb.PRTY_STS */
7187320164Sdavidcs	0x024e0000, 0x2e150100, 0x00150103, 0x00150101, 	/* bmb.PRTY_STS_H_0 */
7188320164Sdavidcs	0x027c0007, 0x0f150104, 0x00150107, 0x00150105, 	/* bmb.PRTY_STS_H_1, mode bb */
7189320164Sdavidcs	0x027c0009, 0x0f150104, 0x00150107, 0x00150105, 	/* bmb.PRTY_STS_H_1, mode k2 */
7190320164Sdavidcs	0x028b0003, 0x17150104, 0x00150107, 0x00150105, 	/* bmb.PRTY_STS_H_1, mode !(bb|k2) */
7191316485Sdavidcs	0x00000025, 0x110151e8, 0x000151eb, 0x000151e9, 	/* pcie.INT_STS, mode !bb */
7192316485Sdavidcs	0x00000025, 0x030151ec, 0x000151ef, 0x000151ed, 	/* pcie.PRTY_STS, mode !bb */
7193320164Sdavidcs	0x02a20007, 0x11015000, 0x00015003, 0x00015001, 	/* pcie.PRTY_STS_H_0, mode bb */
7194316485Sdavidcs	0x00030025, 0x08015000, 0x00015003, 0x00015001, 	/* pcie.PRTY_STS_H_0, mode !bb */
7195316485Sdavidcs	0x00000000, 0x01014810, 0x00014813, 0x00014811, 	/* mcp2.PRTY_STS */
7196320164Sdavidcs	0x00010000, 0x0c014881, 0x00014884, 0x00014882, 	/* mcp2.PRTY_STS_H_0 */
7197316485Sdavidcs	0x00000000, 0x120a8060, 0x000a8063, 0x000a8061, 	/* pswhst.INT_STS */
7198316485Sdavidcs	0x00000000, 0x010a8064, 0x000a8067, 0x000a8065, 	/* pswhst.PRTY_STS */
7199320164Sdavidcs	0x00010000, 0x110a8080, 0x000a8083, 0x000a8081, 	/* pswhst.PRTY_STS_H_0 */
7200316485Sdavidcs	0x00000000, 0x050a7860, 0x000a7863, 0x000a7861, 	/* pswhst2.INT_STS */
7201316485Sdavidcs	0x00000000, 0x010a7864, 0x000a7867, 0x000a7865, 	/* pswhst2.PRTY_STS */
7202316485Sdavidcs	0x00000000, 0x030a7060, 0x000a7063, 0x000a7061, 	/* pswrd.INT_STS */
7203316485Sdavidcs	0x00000000, 0x010a7064, 0x000a7067, 0x000a7065, 	/* pswrd.PRTY_STS */
7204316485Sdavidcs	0x00000000, 0x050a7460, 0x000a7463, 0x000a7461, 	/* pswrd2.INT_STS */
7205316485Sdavidcs	0x00000000, 0x010a7464, 0x000a7467, 0x000a7465, 	/* pswrd2.PRTY_STS */
7206320164Sdavidcs	0x02b30007, 0x1f0a7480, 0x000a7483, 0x000a7481, 	/* pswrd2.PRTY_STS_H_0, mode bb */
7207320164Sdavidcs	0x02b30009, 0x1f0a7480, 0x000a7483, 0x000a7481, 	/* pswrd2.PRTY_STS_H_0, mode k2 */
7208320164Sdavidcs	0x00010003, 0x1f0a7480, 0x000a7483, 0x000a7481, 	/* pswrd2.PRTY_STS_H_0, mode !(bb|k2) */
7209320164Sdavidcs	0x02d20007, 0x030a7484, 0x000a7487, 0x000a7485, 	/* pswrd2.PRTY_STS_H_1, mode bb */
7210320164Sdavidcs	0x02d20009, 0x030a7484, 0x000a7487, 0x000a7485, 	/* pswrd2.PRTY_STS_H_1, mode k2 */
7211320164Sdavidcs	0x02d50003, 0x030a7484, 0x000a7487, 0x000a7485, 	/* pswrd2.PRTY_STS_H_1, mode !(bb|k2) */
7212316485Sdavidcs	0x00000000, 0x130a6860, 0x000a6863, 0x000a6861, 	/* pswwr.INT_STS */
7213316485Sdavidcs	0x00000000, 0x010a6864, 0x000a6867, 0x000a6865, 	/* pswwr.PRTY_STS */
7214316485Sdavidcs	0x00000000, 0x160a6c60, 0x000a6c63, 0x000a6c61, 	/* pswwr2.INT_STS */
7215316485Sdavidcs	0x00000000, 0x010a6c64, 0x000a6c67, 0x000a6c65, 	/* pswwr2.PRTY_STS */
7216320164Sdavidcs	0x02d80007, 0x1f0a6c80, 0x000a6c83, 0x000a6c81, 	/* pswwr2.PRTY_STS_H_0, mode bb */
7217320164Sdavidcs	0x02d80009, 0x1f0a6c80, 0x000a6c83, 0x000a6c81, 	/* pswwr2.PRTY_STS_H_0, mode k2 */
7218320164Sdavidcs	0x00010003, 0x1f0a6c80, 0x000a6c83, 0x000a6c81, 	/* pswwr2.PRTY_STS_H_0, mode !(bb|k2) */
7219320164Sdavidcs	0x02f70007, 0x1f0a6c84, 0x000a6c87, 0x000a6c85, 	/* pswwr2.PRTY_STS_H_1, mode bb */
7220320164Sdavidcs	0x02f70009, 0x1f0a6c84, 0x000a6c87, 0x000a6c85, 	/* pswwr2.PRTY_STS_H_1, mode k2 */
7221320164Sdavidcs	0x03160003, 0x1f0a6c84, 0x000a6c87, 0x000a6c85, 	/* pswwr2.PRTY_STS_H_1, mode !(bb|k2) */
7222320164Sdavidcs	0x03350007, 0x1f0a6c88, 0x000a6c8b, 0x000a6c89, 	/* pswwr2.PRTY_STS_H_2, mode bb */
7223320164Sdavidcs	0x03350009, 0x1f0a6c88, 0x000a6c8b, 0x000a6c89, 	/* pswwr2.PRTY_STS_H_2, mode k2 */
7224320164Sdavidcs	0x03540003, 0x1f0a6c88, 0x000a6c8b, 0x000a6c89, 	/* pswwr2.PRTY_STS_H_2, mode !(bb|k2) */
7225320164Sdavidcs	0x03730007, 0x140a6c8c, 0x000a6c8f, 0x000a6c8d, 	/* pswwr2.PRTY_STS_H_3, mode bb */
7226320164Sdavidcs	0x03730009, 0x140a6c8c, 0x000a6c8f, 0x000a6c8d, 	/* pswwr2.PRTY_STS_H_3, mode k2 */
7227320164Sdavidcs	0x03870003, 0x1f0a6c8c, 0x000a6c8f, 0x000a6c8d, 	/* pswwr2.PRTY_STS_H_3, mode !(bb|k2) */
7228320164Sdavidcs	0x03a60003, 0x0d0a6c90, 0x000a6c93, 0x000a6c91, 	/* pswwr2.PRTY_STS_H_4, mode !(bb|k2) */
7229316485Sdavidcs	0x00000000, 0x170a0060, 0x000a0063, 0x000a0061, 	/* pswrq.INT_STS */
7230316485Sdavidcs	0x00000000, 0x010a0064, 0x000a0067, 0x000a0065, 	/* pswrq.PRTY_STS */
7231316485Sdavidcs	0x00000000, 0x0f090060, 0x00090063, 0x00090061, 	/* pswrq2.INT_STS */
7232320164Sdavidcs	0x03b30007, 0x09090080, 0x00090083, 0x00090081, 	/* pswrq2.PRTY_STS_H_0, mode bb */
7233316485Sdavidcs	0x00000025, 0x0a090080, 0x00090083, 0x00090081, 	/* pswrq2.PRTY_STS_H_0, mode !bb */
7234316485Sdavidcs	0x00000000, 0x02000740, 0x00000743, 0x00000741, 	/* pglcs.INT_STS */
7235316485Sdavidcs	0x00000000, 0x02003060, 0x00003063, 0x00003061, 	/* dmae.INT_STS */
7236320164Sdavidcs	0x00000000, 0x03003080, 0x00003083, 0x00003081, 	/* dmae.PRTY_STS_H_0 */
7237316485Sdavidcs	0x00000000, 0x08158060, 0x00158063, 0x00158061, 	/* ptu.INT_STS */
7238320164Sdavidcs	0x03bc0007, 0x12158080, 0x00158083, 0x00158081, 	/* ptu.PRTY_STS_H_0, mode bb */
7239320164Sdavidcs	0x03bc0009, 0x12158080, 0x00158083, 0x00158081, 	/* ptu.PRTY_STS_H_0, mode k2 */
7240320164Sdavidcs	0x00000003, 0x11158080, 0x00158083, 0x00158081, 	/* ptu.PRTY_STS_H_0, mode !(bb|k2) */
7241320164Sdavidcs	0x03ce0007, 0x08460060, 0x00460063, 0x00460061, 	/* tcm.INT_STS_0, mode bb */
7242320164Sdavidcs	0x03ce0009, 0x08460060, 0x00460063, 0x00460061, 	/* tcm.INT_STS_0, mode k2 */
7243320164Sdavidcs	0x00000003, 0x0e460060, 0x00460063, 0x00460061, 	/* tcm.INT_STS_0, mode !(bb|k2) */
7244320164Sdavidcs	0x03d60000, 0x22460064, 0x00460067, 0x00460065, 	/* tcm.INT_STS_1 */
7245320164Sdavidcs	0x00300000, 0x01460068, 0x0046006b, 0x00460069, 	/* tcm.INT_STS_2 */
7246320164Sdavidcs	0x03f80007, 0x1f460080, 0x00460083, 0x00460081, 	/* tcm.PRTY_STS_H_0, mode bb */
7247320164Sdavidcs	0x04170009, 0x1f460080, 0x00460083, 0x00460081, 	/* tcm.PRTY_STS_H_0, mode k2 */
7248320164Sdavidcs	0x00000003, 0x1f460080, 0x00460083, 0x00460081, 	/* tcm.PRTY_STS_H_0, mode !(bb|k2) */
7249320164Sdavidcs	0x00390007, 0x02460084, 0x00460087, 0x00460085, 	/* tcm.PRTY_STS_H_1, mode bb */
7250320164Sdavidcs	0x04360009, 0x03460084, 0x00460087, 0x00460085, 	/* tcm.PRTY_STS_H_1, mode k2 */
7251320164Sdavidcs	0x04390003, 0x0a460084, 0x00460087, 0x00460085, 	/* tcm.PRTY_STS_H_1, mode !(bb|k2) */
7252320164Sdavidcs	0x04430007, 0x0e480060, 0x00480063, 0x00480061, 	/* mcm.INT_STS_0, mode bb */
7253320164Sdavidcs	0x04430009, 0x0e480060, 0x00480063, 0x00480061, 	/* mcm.INT_STS_0, mode k2 */
7254320164Sdavidcs	0x00000003, 0x14480060, 0x00480063, 0x00480061, 	/* mcm.INT_STS_0, mode !(bb|k2) */
7255320164Sdavidcs	0x00140000, 0x1a480064, 0x00480067, 0x00480065, 	/* mcm.INT_STS_1 */
7256320164Sdavidcs	0x002e0000, 0x01480068, 0x0048006b, 0x00480069, 	/* mcm.INT_STS_2 */
7257320164Sdavidcs	0x04510007, 0x1f480080, 0x00480083, 0x00480081, 	/* mcm.PRTY_STS_H_0, mode bb */
7258320164Sdavidcs	0x04510009, 0x1f480080, 0x00480083, 0x00480081, 	/* mcm.PRTY_STS_H_0, mode k2 */
7259320164Sdavidcs	0x00000003, 0x1f480080, 0x00480083, 0x00480081, 	/* mcm.PRTY_STS_H_0, mode !(bb|k2) */
7260320164Sdavidcs	0x00300007, 0x04480084, 0x00480087, 0x00480085, 	/* mcm.PRTY_STS_H_1, mode bb */
7261320164Sdavidcs	0x00300009, 0x04480084, 0x00480087, 0x00480085, 	/* mcm.PRTY_STS_H_1, mode k2 */
7262320164Sdavidcs	0x04700003, 0x0a480084, 0x00480087, 0x00480085, 	/* mcm.PRTY_STS_H_1, mode !(bb|k2) */
7263320164Sdavidcs	0x047a0000, 0x144a0060, 0x004a0063, 0x004a0061, 	/* ucm.INT_STS_0 */
7264320164Sdavidcs	0x00150007, 0x1d4a0064, 0x004a0067, 0x004a0065, 	/* ucm.INT_STS_1, mode bb */
7265320164Sdavidcs	0x00150009, 0x1d4a0064, 0x004a0067, 0x004a0065, 	/* ucm.INT_STS_1, mode k2 */
7266320164Sdavidcs	0x00140003, 0x1e4a0064, 0x004a0067, 0x004a0065, 	/* ucm.INT_STS_1, mode !(bb|k2) */
7267320164Sdavidcs	0x00320000, 0x014a0068, 0x004a006b, 0x004a0069, 	/* ucm.INT_STS_2 */
7268320164Sdavidcs	0x048e0007, 0x1f4a0080, 0x004a0083, 0x004a0081, 	/* ucm.PRTY_STS_H_0, mode bb */
7269320164Sdavidcs	0x048e0009, 0x1f4a0080, 0x004a0083, 0x004a0081, 	/* ucm.PRTY_STS_H_0, mode k2 */
7270320164Sdavidcs	0x00000003, 0x1f4a0080, 0x004a0083, 0x004a0081, 	/* ucm.PRTY_STS_H_0, mode !(bb|k2) */
7271320164Sdavidcs	0x002a0000, 0x074a0084, 0x004a0087, 0x004a0085, 	/* ucm.PRTY_STS_H_1 */
7272320164Sdavidcs	0x00000000, 0x12400060, 0x00400063, 0x00400061, 	/* xcm.INT_STS_0 */
7273320164Sdavidcs	0x00120000, 0x19400064, 0x00400067, 0x00400065, 	/* xcm.INT_STS_1 */
7274320164Sdavidcs	0x002b0000, 0x08400068, 0x0040006b, 0x00400069, 	/* xcm.INT_STS_2 */
7275320164Sdavidcs	0x04ad0007, 0x1f400080, 0x00400083, 0x00400081, 	/* xcm.PRTY_STS_H_0, mode bb */
7276320164Sdavidcs	0x04cc0009, 0x1f400080, 0x00400083, 0x00400081, 	/* xcm.PRTY_STS_H_0, mode k2 */
7277320164Sdavidcs	0x00000003, 0x1f400080, 0x00400083, 0x00400081, 	/* xcm.PRTY_STS_H_0, mode !(bb|k2) */
7278320164Sdavidcs	0x04eb0007, 0x0b400084, 0x00400087, 0x00400085, 	/* xcm.PRTY_STS_H_1, mode bb */
7279320164Sdavidcs	0x04f60009, 0x0c400084, 0x00400087, 0x00400085, 	/* xcm.PRTY_STS_H_1, mode k2 */
7280320164Sdavidcs	0x05020003, 0x0d400084, 0x00400087, 0x00400085, 	/* xcm.PRTY_STS_H_1, mode !(bb|k2) */
7281320164Sdavidcs	0x00000000, 0x0f420060, 0x00420063, 0x00420061, 	/* ycm.INT_STS_0 */
7282320164Sdavidcs	0x000f0000, 0x17420064, 0x00420067, 0x00420065, 	/* ycm.INT_STS_1 */
7283320164Sdavidcs	0x00260000, 0x01420068, 0x0042006b, 0x00420069, 	/* ycm.INT_STS_2 */
7284320164Sdavidcs	0x050f0007, 0x1f420080, 0x00420083, 0x00420081, 	/* ycm.PRTY_STS_H_0, mode bb */
7285320164Sdavidcs	0x052e0009, 0x1f420080, 0x00420083, 0x00420081, 	/* ycm.PRTY_STS_H_0, mode k2 */
7286320164Sdavidcs	0x00000003, 0x1f420080, 0x00420083, 0x00420081, 	/* ycm.PRTY_STS_H_0, mode !(bb|k2) */
7287320164Sdavidcs	0x00370007, 0x03420084, 0x00420087, 0x00420085, 	/* ycm.PRTY_STS_H_1, mode bb */
7288320164Sdavidcs	0x054d0009, 0x04420084, 0x00420087, 0x00420085, 	/* ycm.PRTY_STS_H_1, mode k2 */
7289320164Sdavidcs	0x05510003, 0x09420084, 0x00420087, 0x00420085, 	/* ycm.PRTY_STS_H_1, mode !(bb|k2) */
7290320164Sdavidcs	0x00000000, 0x09440060, 0x00440063, 0x00440061, 	/* pcm.INT_STS_0 */
7291320164Sdavidcs	0x055a0007, 0x0e440064, 0x00440067, 0x00440065, 	/* pcm.INT_STS_1, mode bb */
7292320164Sdavidcs	0x055a0009, 0x0e440064, 0x00440067, 0x00440065, 	/* pcm.INT_STS_1, mode k2 */
7293320164Sdavidcs	0x00090003, 0x0c440064, 0x00440067, 0x00440065, 	/* pcm.INT_STS_1, mode !(bb|k2) */
7294320164Sdavidcs	0x00170000, 0x01440068, 0x0044006b, 0x00440069, 	/* pcm.INT_STS_2 */
7295320164Sdavidcs	0x05680007, 0x0b440080, 0x00440083, 0x00440081, 	/* pcm.PRTY_STS_H_0, mode bb */
7296320164Sdavidcs	0x05730009, 0x0f440080, 0x00440083, 0x00440081, 	/* pcm.PRTY_STS_H_0, mode k2 */
7297320164Sdavidcs	0x00000003, 0x11440080, 0x00440083, 0x00440081, 	/* pcm.PRTY_STS_H_0, mode !(bb|k2) */
7298316485Sdavidcs	0x00000000, 0x160bc060, 0x000bc063, 0x000bc061, 	/* qm.INT_STS */
7299316485Sdavidcs	0x00000000, 0x0b0bc064, 0x000bc067, 0x000bc065, 	/* qm.PRTY_STS */
7300320164Sdavidcs	0x05820007, 0x1f0bc080, 0x000bc083, 0x000bc081, 	/* qm.PRTY_STS_H_0, mode bb */
7301320164Sdavidcs	0x05820009, 0x1f0bc080, 0x000bc083, 0x000bc081, 	/* qm.PRTY_STS_H_0, mode k2 */
7302320164Sdavidcs	0x000b0003, 0x1f0bc080, 0x000bc083, 0x000bc081, 	/* qm.PRTY_STS_H_0, mode !(bb|k2) */
7303320164Sdavidcs	0x05a10007, 0x1f0bc084, 0x000bc087, 0x000bc085, 	/* qm.PRTY_STS_H_1, mode bb */
7304320164Sdavidcs	0x05a10009, 0x1f0bc084, 0x000bc087, 0x000bc085, 	/* qm.PRTY_STS_H_1, mode k2 */
7305320164Sdavidcs	0x05c00003, 0x1f0bc084, 0x000bc087, 0x000bc085, 	/* qm.PRTY_STS_H_1, mode !(bb|k2) */
7306320164Sdavidcs	0x05df0007, 0x0b0bc088, 0x000bc08b, 0x000bc089, 	/* qm.PRTY_STS_H_2, mode bb */
7307320164Sdavidcs	0x00000025, 0x000bc088, 0x000bc08b, 0x000bc089, 	/* qm.PRTY_STS_H_2, mode !bb */
7308316485Sdavidcs	0x00000000, 0x200b0060, 0x000b0063, 0x000b0061, 	/* tm.INT_STS_0 */
7309316485Sdavidcs	0x00200000, 0x0b0b0064, 0x000b0067, 0x000b0065, 	/* tm.INT_STS_1 */
7310320164Sdavidcs	0x05ea0007, 0x110b0080, 0x000b0083, 0x000b0081, 	/* tm.PRTY_STS_H_0, mode bb */
7311320164Sdavidcs	0x05ea0009, 0x110b0080, 0x000b0083, 0x000b0081, 	/* tm.PRTY_STS_H_0, mode k2 */
7312320164Sdavidcs	0x00000003, 0x0f0b0080, 0x000b0083, 0x000b0081, 	/* tm.PRTY_STS_H_0, mode !(bb|k2) */
7313316485Sdavidcs	0x00000000, 0x0c040060, 0x00040063, 0x00040061, 	/* dorq.INT_STS */
7314316485Sdavidcs	0x00000000, 0x01040064, 0x00040067, 0x00040065, 	/* dorq.PRTY_STS */
7315320164Sdavidcs	0x05fb0007, 0x06040080, 0x00040083, 0x00040081, 	/* dorq.PRTY_STS_H_0, mode bb */
7316320164Sdavidcs	0x05fb0009, 0x06040080, 0x00040083, 0x00040081, 	/* dorq.PRTY_STS_H_0, mode k2 */
7317320164Sdavidcs	0x00010003, 0x0c040080, 0x00040083, 0x00040081, 	/* dorq.PRTY_STS_H_0, mode !(bb|k2) */
7318316485Sdavidcs	0x00000000, 0x200d0030, 0x000d0033, 0x000d0031, 	/* brb.INT_STS_0 */
7319320164Sdavidcs	0x06010000, 0x200d0036, 0x000d0039, 0x000d0037, 	/* brb.INT_STS_1 */
7320316485Sdavidcs	0x003e0000, 0x1c0d003c, 0x000d003f, 0x000d003d, 	/* brb.INT_STS_2 */
7321320164Sdavidcs	0x06210000, 0x200d0042, 0x000d0045, 0x000d0043, 	/* brb.INT_STS_3 */
7322320164Sdavidcs	0x06410000, 0x1c0d0048, 0x000d004b, 0x000d0049, 	/* brb.INT_STS_4 */
7323316485Sdavidcs	0x00940000, 0x010d004e, 0x000d0051, 0x000d004f, 	/* brb.INT_STS_5 */
7324320164Sdavidcs	0x065d0000, 0x0a0d0054, 0x000d0057, 0x000d0055, 	/* brb.INT_STS_6 */
7325316485Sdavidcs	0x009d0000, 0x200d005a, 0x000d005d, 0x000d005b, 	/* brb.INT_STS_7 */
7326316485Sdavidcs	0x00bd0000, 0x110d0061, 0x000d0064, 0x000d0062, 	/* brb.INT_STS_8 */
7327316485Sdavidcs	0x00ce0000, 0x010d0067, 0x000d006a, 0x000d0068, 	/* brb.INT_STS_9 */
7328320164Sdavidcs	0x06670000, 0x150d006d, 0x000d0070, 0x000d006e, 	/* brb.INT_STS_10 */
7329320164Sdavidcs	0x067c0000, 0x090d0073, 0x000d0076, 0x000d0074, 	/* brb.INT_STS_11 */
7330316485Sdavidcs	0x00000000, 0x050d0077, 0x000d007a, 0x000d0078, 	/* brb.PRTY_STS */
7331320164Sdavidcs	0x06850007, 0x1f0d0100, 0x000d0103, 0x000d0101, 	/* brb.PRTY_STS_H_0, mode bb */
7332320164Sdavidcs	0x06a40009, 0x1f0d0100, 0x000d0103, 0x000d0101, 	/* brb.PRTY_STS_H_0, mode k2 */
7333320164Sdavidcs	0x00050003, 0x1f0d0100, 0x000d0103, 0x000d0101, 	/* brb.PRTY_STS_H_0, mode !(bb|k2) */
7334320164Sdavidcs	0x06c30007, 0x0e0d0104, 0x000d0107, 0x000d0105, 	/* brb.PRTY_STS_H_1, mode bb */
7335320164Sdavidcs	0x06d10009, 0x1e0d0104, 0x000d0107, 0x000d0105, 	/* brb.PRTY_STS_H_1, mode k2 */
7336320164Sdavidcs	0x06ef0003, 0x1f0d0104, 0x000d0107, 0x000d0105, 	/* brb.PRTY_STS_H_1, mode !(bb|k2) */
7337320164Sdavidcs	0x00520003, 0x010d0108, 0x000d010b, 0x000d0109, 	/* brb.PRTY_STS_H_2, mode !(bb|k2) */
7338316485Sdavidcs	0x00000000, 0x0108e076, 0x0008e077, 0x0008e079, 	/* src.INT_STS */
7339316485Sdavidcs	0x00000000, 0x0207c010, 0x0007c013, 0x0007c011, 	/* prs.INT_STS_0 */
7340316485Sdavidcs	0x00000000, 0x0207c014, 0x0007c017, 0x0007c015, 	/* prs.PRTY_STS */
7341320164Sdavidcs	0x070e0007, 0x1f07c081, 0x0007c084, 0x0007c082, 	/* prs.PRTY_STS_H_0, mode bb */
7342320164Sdavidcs	0x072d0009, 0x1f07c081, 0x0007c084, 0x0007c082, 	/* prs.PRTY_STS_H_0, mode k2 */
7343320164Sdavidcs	0x00020003, 0x1707c081, 0x0007c084, 0x0007c082, 	/* prs.PRTY_STS_H_0, mode !(bb|k2) */
7344320164Sdavidcs	0x074c0007, 0x0507c085, 0x0007c088, 0x0007c086, 	/* prs.PRTY_STS_H_1, mode bb */
7345320164Sdavidcs	0x0751011b, 0x1f07c085, 0x0007c088, 0x0007c086, 	/* prs.PRTY_STS_H_1, mode !(bb|e5) */
7346316485Sdavidcs	0x00000000, 0x1c3ec010, 0x003ec013, 0x003ec011, 	/* tsdm.INT_STS */
7347320164Sdavidcs	0x07700007, 0x0a3ec080, 0x003ec083, 0x003ec081, 	/* tsdm.PRTY_STS_H_0, mode bb */
7348320164Sdavidcs	0x07700009, 0x0a3ec080, 0x003ec083, 0x003ec081, 	/* tsdm.PRTY_STS_H_0, mode k2 */
7349320164Sdavidcs	0x00000003, 0x0b3ec080, 0x003ec083, 0x003ec081, 	/* tsdm.PRTY_STS_H_0, mode !(bb|k2) */
7350316485Sdavidcs	0x00000000, 0x1c3f0010, 0x003f0013, 0x003f0011, 	/* msdm.INT_STS */
7351320164Sdavidcs	0x077a0007, 0x0b3f0080, 0x003f0083, 0x003f0081, 	/* msdm.PRTY_STS_H_0, mode bb */
7352320164Sdavidcs	0x077a0009, 0x0b3f0080, 0x003f0083, 0x003f0081, 	/* msdm.PRTY_STS_H_0, mode k2 */
7353320164Sdavidcs	0x00000003, 0x0c3f0080, 0x003f0083, 0x003f0081, 	/* msdm.PRTY_STS_H_0, mode !(bb|k2) */
7354316485Sdavidcs	0x00000000, 0x1c3f4010, 0x003f4013, 0x003f4011, 	/* usdm.INT_STS */
7355320164Sdavidcs	0x07850007, 0x0a3f4080, 0x003f4083, 0x003f4081, 	/* usdm.PRTY_STS_H_0, mode bb */
7356320164Sdavidcs	0x07850009, 0x0a3f4080, 0x003f4083, 0x003f4081, 	/* usdm.PRTY_STS_H_0, mode k2 */
7357320164Sdavidcs	0x00000003, 0x0b3f4080, 0x003f4083, 0x003f4081, 	/* usdm.PRTY_STS_H_0, mode !(bb|k2) */
7358316485Sdavidcs	0x00000000, 0x1c3e0010, 0x003e0013, 0x003e0011, 	/* xsdm.INT_STS */
7359320164Sdavidcs	0x078f0007, 0x0a3e0080, 0x003e0083, 0x003e0081, 	/* xsdm.PRTY_STS_H_0, mode bb */
7360320164Sdavidcs	0x078f0009, 0x0a3e0080, 0x003e0083, 0x003e0081, 	/* xsdm.PRTY_STS_H_0, mode k2 */
7361320164Sdavidcs	0x00000003, 0x0b3e0080, 0x003e0083, 0x003e0081, 	/* xsdm.PRTY_STS_H_0, mode !(bb|k2) */
7362316485Sdavidcs	0x00000000, 0x1c3e4010, 0x003e4013, 0x003e4011, 	/* ysdm.INT_STS */
7363320164Sdavidcs	0x078f0007, 0x093e4080, 0x003e4083, 0x003e4081, 	/* ysdm.PRTY_STS_H_0, mode bb */
7364320164Sdavidcs	0x078f0009, 0x093e4080, 0x003e4083, 0x003e4081, 	/* ysdm.PRTY_STS_H_0, mode k2 */
7365320164Sdavidcs	0x00000003, 0x0a3e4080, 0x003e4083, 0x003e4081, 	/* ysdm.PRTY_STS_H_0, mode !(bb|k2) */
7366316485Sdavidcs	0x00000000, 0x1c3e8010, 0x003e8013, 0x003e8011, 	/* psdm.INT_STS */
7367320164Sdavidcs	0x07990007, 0x093e8080, 0x003e8083, 0x003e8081, 	/* psdm.PRTY_STS_H_0, mode bb */
7368320164Sdavidcs	0x07990009, 0x093e8080, 0x003e8083, 0x003e8081, 	/* psdm.PRTY_STS_H_0, mode k2 */
7369320164Sdavidcs	0x00000003, 0x0a3e8080, 0x003e8083, 0x003e8081, 	/* psdm.PRTY_STS_H_0, mode !(bb|k2) */
7370320164Sdavidcs	0x07a20007, 0x205c0010, 0x005c0013, 0x005c0011, 	/* tsem.INT_STS_0, mode bb */
7371320164Sdavidcs	0x07a20009, 0x205c0010, 0x005c0013, 0x005c0011, 	/* tsem.INT_STS_0, mode k2 */
7372320164Sdavidcs	0x00000003, 0x1f5c0010, 0x005c0013, 0x005c0011, 	/* tsem.INT_STS_0, mode !(bb|k2) */
7373320164Sdavidcs	0x07c20000, 0x2d5c0014, 0x005c0017, 0x005c0015, 	/* tsem.INT_STS_1 */
7374320164Sdavidcs	0x07ef0003, 0x1f5c0018, 0x005c001b, 0x005c0019, 	/* tsem.INT_STS_2, mode !(bb|k2) */
7375316485Sdavidcs	0x00000000, 0x015d0010, 0x005d0013, 0x005d0011, 	/* tsem.fast_memory.INT_STS */
7376320164Sdavidcs	0x080e0007, 0x035c0032, 0x005c0035, 0x005c0033, 	/* tsem.PRTY_STS, mode bb */
7377320164Sdavidcs	0x080e0009, 0x035c0032, 0x005c0035, 0x005c0033, 	/* tsem.PRTY_STS, mode k2 */
7378320164Sdavidcs	0x00000003, 0x055c0032, 0x005c0035, 0x005c0033, 	/* tsem.PRTY_STS, mode !(bb|k2) */
7379320164Sdavidcs	0x00060043, 0x065c0080, 0x005c0083, 0x005c0081, 	/* tsem.PRTY_STS_H_0, mode !e5 */
7380320164Sdavidcs	0x08110009, 0x075d0080, 0x005d0083, 0x005d0081, 	/* tsem.fast_memory.PRTY_STS_H_0, mode k2 */
7381320164Sdavidcs	0x000c0003, 0x085d0080, 0x005d0083, 0x005d0081, 	/* tsem.fast_memory.PRTY_STS_H_0, mode !(bb|k2) */
7382320164Sdavidcs	0x08180000, 0x075d2880, 0x005d2883, 0x005d2881, 	/* tsem.fast_memory.vfc_config.PRTY_STS_H_0 */
7383320164Sdavidcs	0x07a20007, 0x20600010, 0x00600013, 0x00600011, 	/* msem.INT_STS_0, mode bb */
7384320164Sdavidcs	0x07a20009, 0x20600010, 0x00600013, 0x00600011, 	/* msem.INT_STS_0, mode k2 */
7385320164Sdavidcs	0x00000003, 0x1f600010, 0x00600013, 0x00600011, 	/* msem.INT_STS_0, mode !(bb|k2) */
7386320164Sdavidcs	0x07c20000, 0x2d600014, 0x00600017, 0x00600015, 	/* msem.INT_STS_1 */
7387320164Sdavidcs	0x07ef0003, 0x1f600018, 0x0060001b, 0x00600019, 	/* msem.INT_STS_2, mode !(bb|k2) */
7388316485Sdavidcs	0x00000000, 0x01610010, 0x00610013, 0x00610011, 	/* msem.fast_memory.INT_STS */
7389320164Sdavidcs	0x080e0007, 0x03600032, 0x00600035, 0x00600033, 	/* msem.PRTY_STS, mode bb */
7390320164Sdavidcs	0x080e0009, 0x03600032, 0x00600035, 0x00600033, 	/* msem.PRTY_STS, mode k2 */
7391320164Sdavidcs	0x00000003, 0x05600032, 0x00600035, 0x00600033, 	/* msem.PRTY_STS, mode !(bb|k2) */
7392320164Sdavidcs	0x081f0007, 0x06600080, 0x00600083, 0x00600081, 	/* msem.PRTY_STS_H_0, mode bb */
7393320164Sdavidcs	0x081f0009, 0x06600080, 0x00600083, 0x00600081, 	/* msem.PRTY_STS_H_0, mode k2 */
7394320164Sdavidcs	0x00060003, 0x10600080, 0x00600083, 0x00600081, 	/* msem.PRTY_STS_H_0, mode !(bb|k2) */
7395320164Sdavidcs	0x08250009, 0x07610080, 0x00610083, 0x00610081, 	/* msem.fast_memory.PRTY_STS_H_0, mode k2 */
7396320164Sdavidcs	0x00160003, 0x08610080, 0x00610083, 0x00610081, 	/* msem.fast_memory.PRTY_STS_H_0, mode !(bb|k2) */
7397320164Sdavidcs	0x07a20007, 0x20640010, 0x00640013, 0x00640011, 	/* usem.INT_STS_0, mode bb */
7398320164Sdavidcs	0x07a20009, 0x20640010, 0x00640013, 0x00640011, 	/* usem.INT_STS_0, mode k2 */
7399320164Sdavidcs	0x00000003, 0x1f640010, 0x00640013, 0x00640011, 	/* usem.INT_STS_0, mode !(bb|k2) */
7400320164Sdavidcs	0x07c20000, 0x2d640014, 0x00640017, 0x00640015, 	/* usem.INT_STS_1 */
7401320164Sdavidcs	0x07ef0003, 0x1f640018, 0x0064001b, 0x00640019, 	/* usem.INT_STS_2, mode !(bb|k2) */
7402316485Sdavidcs	0x00000000, 0x01650010, 0x00650013, 0x00650011, 	/* usem.fast_memory.INT_STS */
7403320164Sdavidcs	0x080e0007, 0x03640032, 0x00640035, 0x00640033, 	/* usem.PRTY_STS, mode bb */
7404320164Sdavidcs	0x080e0009, 0x03640032, 0x00640035, 0x00640033, 	/* usem.PRTY_STS, mode k2 */
7405320164Sdavidcs	0x00000003, 0x05640032, 0x00640035, 0x00640033, 	/* usem.PRTY_STS, mode !(bb|k2) */
7406320164Sdavidcs	0x00060043, 0x06640080, 0x00640083, 0x00640081, 	/* usem.PRTY_STS_H_0, mode !e5 */
7407320164Sdavidcs	0x08110009, 0x07650080, 0x00650083, 0x00650081, 	/* usem.fast_memory.PRTY_STS_H_0, mode k2 */
7408320164Sdavidcs	0x000c0003, 0x08650080, 0x00650083, 0x00650081, 	/* usem.fast_memory.PRTY_STS_H_0, mode !(bb|k2) */
7409320164Sdavidcs	0x07a20007, 0x20500010, 0x00500013, 0x00500011, 	/* xsem.INT_STS_0, mode bb */
7410320164Sdavidcs	0x07a20009, 0x20500010, 0x00500013, 0x00500011, 	/* xsem.INT_STS_0, mode k2 */
7411320164Sdavidcs	0x00000003, 0x1f500010, 0x00500013, 0x00500011, 	/* xsem.INT_STS_0, mode !(bb|k2) */
7412320164Sdavidcs	0x07c20000, 0x2d500014, 0x00500017, 0x00500015, 	/* xsem.INT_STS_1 */
7413320164Sdavidcs	0x07ef0003, 0x1f500018, 0x0050001b, 0x00500019, 	/* xsem.INT_STS_2, mode !(bb|k2) */
7414316485Sdavidcs	0x00000000, 0x01510010, 0x00510013, 0x00510011, 	/* xsem.fast_memory.INT_STS */
7415320164Sdavidcs	0x080e0007, 0x03500032, 0x00500035, 0x00500033, 	/* xsem.PRTY_STS, mode bb */
7416320164Sdavidcs	0x080e0009, 0x03500032, 0x00500035, 0x00500033, 	/* xsem.PRTY_STS, mode k2 */
7417320164Sdavidcs	0x00000003, 0x05500032, 0x00500035, 0x00500033, 	/* xsem.PRTY_STS, mode !(bb|k2) */
7418320164Sdavidcs	0x00060043, 0x07500080, 0x00500083, 0x00500081, 	/* xsem.PRTY_STS_H_0, mode !e5 */
7419320164Sdavidcs	0x082c0009, 0x07510080, 0x00510083, 0x00510081, 	/* xsem.fast_memory.PRTY_STS_H_0, mode k2 */
7420320164Sdavidcs	0x000d0003, 0x08510080, 0x00510083, 0x00510081, 	/* xsem.fast_memory.PRTY_STS_H_0, mode !(bb|k2) */
7421320164Sdavidcs	0x07a20007, 0x20540010, 0x00540013, 0x00540011, 	/* ysem.INT_STS_0, mode bb */
7422320164Sdavidcs	0x07a20009, 0x20540010, 0x00540013, 0x00540011, 	/* ysem.INT_STS_0, mode k2 */
7423320164Sdavidcs	0x00000003, 0x1f540010, 0x00540013, 0x00540011, 	/* ysem.INT_STS_0, mode !(bb|k2) */
7424320164Sdavidcs	0x07c20000, 0x2d540014, 0x00540017, 0x00540015, 	/* ysem.INT_STS_1 */
7425320164Sdavidcs	0x07ef0003, 0x1f540018, 0x0054001b, 0x00540019, 	/* ysem.INT_STS_2, mode !(bb|k2) */
7426316485Sdavidcs	0x00000000, 0x01550010, 0x00550013, 0x00550011, 	/* ysem.fast_memory.INT_STS */
7427320164Sdavidcs	0x080e0007, 0x03540032, 0x00540035, 0x00540033, 	/* ysem.PRTY_STS, mode bb */
7428320164Sdavidcs	0x080e0009, 0x03540032, 0x00540035, 0x00540033, 	/* ysem.PRTY_STS, mode k2 */
7429320164Sdavidcs	0x00000003, 0x05540032, 0x00540035, 0x00540033, 	/* ysem.PRTY_STS, mode !(bb|k2) */
7430320164Sdavidcs	0x00060043, 0x07540080, 0x00540083, 0x00540081, 	/* ysem.PRTY_STS_H_0, mode !e5 */
7431320164Sdavidcs	0x082c0009, 0x07550080, 0x00550083, 0x00550081, 	/* ysem.fast_memory.PRTY_STS_H_0, mode k2 */
7432320164Sdavidcs	0x000d0003, 0x08550080, 0x00550083, 0x00550081, 	/* ysem.fast_memory.PRTY_STS_H_0, mode !(bb|k2) */
7433320164Sdavidcs	0x07a20007, 0x20580010, 0x00580013, 0x00580011, 	/* psem.INT_STS_0, mode bb */
7434320164Sdavidcs	0x07a20009, 0x20580010, 0x00580013, 0x00580011, 	/* psem.INT_STS_0, mode k2 */
7435320164Sdavidcs	0x00000003, 0x1f580010, 0x00580013, 0x00580011, 	/* psem.INT_STS_0, mode !(bb|k2) */
7436320164Sdavidcs	0x07c20000, 0x2d580014, 0x00580017, 0x00580015, 	/* psem.INT_STS_1 */
7437320164Sdavidcs	0x07ef0003, 0x1f580018, 0x0058001b, 0x00580019, 	/* psem.INT_STS_2, mode !(bb|k2) */
7438316485Sdavidcs	0x00000000, 0x01590010, 0x00590013, 0x00590011, 	/* psem.fast_memory.INT_STS */
7439320164Sdavidcs	0x080e0007, 0x03580032, 0x00580035, 0x00580033, 	/* psem.PRTY_STS, mode bb */
7440320164Sdavidcs	0x080e0009, 0x03580032, 0x00580035, 0x00580033, 	/* psem.PRTY_STS, mode k2 */
7441320164Sdavidcs	0x00000003, 0x05580032, 0x00580035, 0x00580033, 	/* psem.PRTY_STS, mode !(bb|k2) */
7442320164Sdavidcs	0x00060043, 0x06580080, 0x00580083, 0x00580081, 	/* psem.PRTY_STS_H_0, mode !e5 */
7443320164Sdavidcs	0x08110009, 0x07590080, 0x00590083, 0x00590081, 	/* psem.fast_memory.PRTY_STS_H_0, mode k2 */
7444320164Sdavidcs	0x000c0003, 0x08590080, 0x00590083, 0x00590081, 	/* psem.fast_memory.PRTY_STS_H_0, mode !(bb|k2) */
7445320164Sdavidcs	0x08180000, 0x07592880, 0x00592883, 0x00592881, 	/* psem.fast_memory.vfc_config.PRTY_STS_H_0 */
7446320164Sdavidcs	0x08330000, 0x1608e260, 0x0008e263, 0x0008e261, 	/* rss.INT_STS */
7447320164Sdavidcs	0x08490000, 0x0a08e280, 0x0008e283, 0x0008e281, 	/* rss.PRTY_STS_H_0 */
7448316485Sdavidcs	0x00000000, 0x06134060, 0x00134063, 0x00134061, 	/* tmld.INT_STS */
7449320164Sdavidcs	0x08530007, 0x08134080, 0x00134083, 0x00134081, 	/* tmld.PRTY_STS_H_0, mode bb */
7450320164Sdavidcs	0x08530009, 0x08134080, 0x00134083, 0x00134081, 	/* tmld.PRTY_STS_H_0, mode k2 */
7451320164Sdavidcs	0x00000003, 0x0e134080, 0x00134083, 0x00134081, 	/* tmld.PRTY_STS_H_0, mode !(bb|k2) */
7452316485Sdavidcs	0x00000000, 0x06138060, 0x00138063, 0x00138061, 	/* muld.INT_STS */
7453320164Sdavidcs	0x085b0007, 0x0a138080, 0x00138083, 0x00138081, 	/* muld.PRTY_STS_H_0, mode bb */
7454320164Sdavidcs	0x085b0009, 0x0a138080, 0x00138083, 0x00138081, 	/* muld.PRTY_STS_H_0, mode k2 */
7455320164Sdavidcs	0x00000003, 0x10138080, 0x00138083, 0x00138081, 	/* muld.PRTY_STS_H_0, mode !(bb|k2) */
7456320164Sdavidcs	0x00000043, 0x06132060, 0x00132063, 0x00132061, 	/* yuld.INT_STS, mode !e5 */
7457320164Sdavidcs	0x00000043, 0x06132080, 0x00132083, 0x00132081, 	/* yuld.PRTY_STS_H_0, mode !e5 */
7458316485Sdavidcs	0x00000000, 0x06130060, 0x00130063, 0x00130061, 	/* xyld.INT_STS */
7459320164Sdavidcs	0x08650007, 0x09130080, 0x00130083, 0x00130081, 	/* xyld.PRTY_STS_H_0, mode bb */
7460320164Sdavidcs	0x08650009, 0x09130080, 0x00130083, 0x00130081, 	/* xyld.PRTY_STS_H_0, mode k2 */
7461320164Sdavidcs	0x00000003, 0x0f130080, 0x00130083, 0x00130081, 	/* xyld.PRTY_STS_H_0, mode !(bb|k2) */
7462320164Sdavidcs	0x00000003, 0x06168060, 0x00168063, 0x00168061, 	/* ptld.INT_STS, mode !(bb|k2) */
7463320164Sdavidcs	0x00000003, 0x08168080, 0x00168083, 0x00168081, 	/* ptld.PRTY_STS_H_0, mode !(bb|k2) */
7464320164Sdavidcs	0x00000003, 0x06170060, 0x00170063, 0x00170061, 	/* ypld.INT_STS, mode !(bb|k2) */
7465320164Sdavidcs	0x00000003, 0x08170080, 0x00170083, 0x00170081, 	/* ypld.PRTY_STS_H_0, mode !(bb|k2) */
7466320164Sdavidcs	0x086e0000, 0x0b08c010, 0x0008c013, 0x0008c011, 	/* prm.INT_STS */
7467316485Sdavidcs	0x00000000, 0x0108c014, 0x0008c017, 0x0008c015, 	/* prm.PRTY_STS */
7468320164Sdavidcs	0x08790007, 0x1808c080, 0x0008c083, 0x0008c081, 	/* prm.PRTY_STS_H_0, mode bb */
7469320164Sdavidcs	0x08910009, 0x1708c080, 0x0008c083, 0x0008c081, 	/* prm.PRTY_STS_H_0, mode k2 */
7470320164Sdavidcs	0x00010003, 0x1808c080, 0x0008c083, 0x0008c081, 	/* prm.PRTY_STS_H_0, mode !(bb|k2) */
7471316485Sdavidcs	0x00000000, 0x09368010, 0x00368013, 0x00368011, 	/* pbf_pb1.INT_STS */
7472316485Sdavidcs	0x00000000, 0x01368014, 0x00368017, 0x00368015, 	/* pbf_pb1.PRTY_STS */
7473316485Sdavidcs	0x00000000, 0x09369010, 0x00369013, 0x00369011, 	/* pbf_pb2.INT_STS */
7474316485Sdavidcs	0x00000000, 0x01369014, 0x00369017, 0x00369015, 	/* pbf_pb2.PRTY_STS */
7475316485Sdavidcs	0x00000000, 0x0908f010, 0x0008f013, 0x0008f011, 	/* rpb.INT_STS */
7476316485Sdavidcs	0x00000000, 0x0108f014, 0x0008f017, 0x0008f015, 	/* rpb.PRTY_STS */
7477320164Sdavidcs	0x08a80000, 0x1a36c030, 0x0036c033, 0x0036c031, 	/* btb.INT_STS_0 */
7478320164Sdavidcs	0x08c20000, 0x1236c036, 0x0036c039, 0x0036c037, 	/* btb.INT_STS_1 */
7479320164Sdavidcs	0x08d40000, 0x0536c03c, 0x0036c03f, 0x0036c03d, 	/* btb.INT_STS_2 */
7480316485Sdavidcs	0x00240000, 0x2036c042, 0x0036c045, 0x0036c043, 	/* btb.INT_STS_3 */
7481320164Sdavidcs	0x08d90000, 0x1c36c048, 0x0036c04b, 0x0036c049, 	/* btb.INT_STS_4 */
7482316485Sdavidcs	0x005b0000, 0x2036c04e, 0x0036c051, 0x0036c04f, 	/* btb.INT_STS_5 */
7483316485Sdavidcs	0x007b0000, 0x0136c054, 0x0036c057, 0x0036c055, 	/* btb.INT_STS_6 */
7484316485Sdavidcs	0x007c0000, 0x0136c061, 0x0036c064, 0x0036c062, 	/* btb.INT_STS_8 */
7485316485Sdavidcs	0x007d0000, 0x0136c067, 0x0036c06a, 0x0036c068, 	/* btb.INT_STS_9 */
7486320164Sdavidcs	0x08f50000, 0x0236c06d, 0x0036c070, 0x0036c06e, 	/* btb.INT_STS_10 */
7487320164Sdavidcs	0x08f70000, 0x0436c073, 0x0036c076, 0x0036c074, 	/* btb.INT_STS_11 */
7488316485Sdavidcs	0x00000000, 0x0536c077, 0x0036c07a, 0x0036c078, 	/* btb.PRTY_STS */
7489320164Sdavidcs	0x08fb0007, 0x1736c100, 0x0036c103, 0x0036c101, 	/* btb.PRTY_STS_H_0, mode bb */
7490320164Sdavidcs	0x09120009, 0x1f36c100, 0x0036c103, 0x0036c101, 	/* btb.PRTY_STS_H_0, mode k2 */
7491320164Sdavidcs	0x00050003, 0x1f36c100, 0x0036c103, 0x0036c101, 	/* btb.PRTY_STS_H_0, mode !(bb|k2) */
7492320164Sdavidcs	0x00240003, 0x0836c104, 0x0036c107, 0x0036c105, 	/* btb.PRTY_STS_H_1, mode !(bb|k2) */
7493316485Sdavidcs	0x00000000, 0x01360060, 0x00360063, 0x00360061, 	/* pbf.INT_STS */
7494316485Sdavidcs	0x00000000, 0x01360064, 0x00360067, 0x00360065, 	/* pbf.PRTY_STS */
7495320164Sdavidcs	0x00010043, 0x1f360080, 0x00360083, 0x00360081, 	/* pbf.PRTY_STS_H_0, mode !e5 */
7496320164Sdavidcs	0x00200043, 0x1b360084, 0x00360087, 0x00360085, 	/* pbf.PRTY_STS_H_1, mode !e5 */
7497316485Sdavidcs	0x00000000, 0x090c0060, 0x000c0063, 0x000c0061, 	/* rdif.INT_STS */
7498316485Sdavidcs	0x01480000, 0x020c0064, 0x000c0067, 0x000c0065, 	/* rdif.PRTY_STS */
7499316485Sdavidcs	0x00000000, 0x090c4060, 0x000c4063, 0x000c4061, 	/* tdif.INT_STS */
7500316485Sdavidcs	0x01480000, 0x020c4064, 0x000c4067, 0x000c4065, 	/* tdif.PRTY_STS */
7501320164Sdavidcs	0x09310007, 0x0b0c4080, 0x000c4083, 0x000c4081, 	/* tdif.PRTY_STS_H_0, mode bb */
7502320164Sdavidcs	0x09310009, 0x0b0c4080, 0x000c4083, 0x000c4081, 	/* tdif.PRTY_STS_H_0, mode k2 */
7503320164Sdavidcs	0x00010003, 0x0c0c4080, 0x000c4083, 0x000c4081, 	/* tdif.PRTY_STS_H_0, mode !(bb|k2) */
7504316485Sdavidcs	0x00000000, 0x08160070, 0x00160071, 0x00160073, 	/* cdu.INT_STS */
7505320164Sdavidcs	0x093c0007, 0x05160080, 0x00160083, 0x00160081, 	/* cdu.PRTY_STS_H_0, mode bb */
7506320164Sdavidcs	0x093c0009, 0x05160080, 0x00160083, 0x00160081, 	/* cdu.PRTY_STS_H_0, mode k2 */
7507320164Sdavidcs	0x00000003, 0x04160080, 0x00160083, 0x00160081, 	/* cdu.PRTY_STS_H_0, mode !(bb|k2) */
7508316485Sdavidcs	0x00000000, 0x020b8060, 0x000b8063, 0x000b8061, 	/* ccfc.INT_STS_0 */
7509316485Sdavidcs	0x00000000, 0x060b8179, 0x000b817c, 0x000b817a, 	/* ccfc.PRTY_STS */
7510320164Sdavidcs	0x07970007, 0x020b8080, 0x000b8083, 0x000b8081, 	/* ccfc.PRTY_STS_H_0, mode bb */
7511320164Sdavidcs	0x07970009, 0x020b8080, 0x000b8083, 0x000b8081, 	/* ccfc.PRTY_STS_H_0, mode k2 */
7512320164Sdavidcs	0x00060003, 0x040b8080, 0x000b8083, 0x000b8081, 	/* ccfc.PRTY_STS_H_0, mode !(bb|k2) */
7513316485Sdavidcs	0x00000000, 0x020b4060, 0x000b4063, 0x000b4061, 	/* tcfc.INT_STS_0 */
7514316485Sdavidcs	0x00000000, 0x060b4179, 0x000b417c, 0x000b417a, 	/* tcfc.PRTY_STS */
7515320164Sdavidcs	0x00080007, 0x020b4080, 0x000b4083, 0x000b4081, 	/* tcfc.PRTY_STS_H_0, mode bb */
7516320164Sdavidcs	0x00080009, 0x020b4080, 0x000b4083, 0x000b4081, 	/* tcfc.PRTY_STS_H_0, mode k2 */
7517320164Sdavidcs	0x00060003, 0x040b4080, 0x000b4083, 0x000b4081, 	/* tcfc.PRTY_STS_H_0, mode !(bb|k2) */
7518316485Sdavidcs	0x00000000, 0x0b060060, 0x00060063, 0x00060061, 	/* igu.INT_STS */
7519316485Sdavidcs	0x00000000, 0x01060064, 0x00060067, 0x00060065, 	/* igu.PRTY_STS */
7520320164Sdavidcs	0x09410007, 0x1f060080, 0x00060083, 0x00060081, 	/* igu.PRTY_STS_H_0, mode bb */
7521320164Sdavidcs	0x09600009, 0x1c060080, 0x00060083, 0x00060081, 	/* igu.PRTY_STS_H_0, mode k2 */
7522320164Sdavidcs	0x00010003, 0x1c060080, 0x00060083, 0x00060081, 	/* igu.PRTY_STS_H_0, mode !(bb|k2) */
7523320164Sdavidcs	0x001e0015, 0x01060084, 0x00060087, 0x00060085, 	/* igu.PRTY_STS_H_1, mode !(k2|e5) */
7524320164Sdavidcs	0x097c0000, 0x0b070035, 0x00070036, 0x00070038, 	/* cau.INT_STS */
7525320164Sdavidcs	0x09870007, 0x0d070080, 0x00070083, 0x00070081, 	/* cau.PRTY_STS_H_0, mode bb */
7526320164Sdavidcs	0x09940009, 0x0d070080, 0x00070083, 0x00070081, 	/* cau.PRTY_STS_H_0, mode k2 */
7527320164Sdavidcs	0x00000003, 0x0a070080, 0x00070083, 0x00070081, 	/* cau.PRTY_STS_H_0, mode !(bb|k2) */
7528320164Sdavidcs	0x00000003, 0x023c0060, 0x003c0063, 0x003c0061, 	/* rgfs.INT_STS, mode !(bb|k2) */
7529320164Sdavidcs	0x00000003, 0x013c0064, 0x003c0067, 0x003c0065, 	/* rgfs.PRTY_STS, mode !(bb|k2) */
7530316485Sdavidcs	0x00000003, 0x010c8060, 0x000c8063, 0x000c8061, 	/* rgsrc.INT_STS, mode !(bb|k2) */
7531320164Sdavidcs	0x00000003, 0x020c8081, 0x000c8084, 0x000c8082, 	/* rgsrc.PRTY_STS_H_0, mode !(bb|k2) */
7532320164Sdavidcs	0x00000003, 0x02340060, 0x00340063, 0x00340061, 	/* tgfs.INT_STS, mode !(bb|k2) */
7533320164Sdavidcs	0x00000003, 0x01340064, 0x00340067, 0x00340065, 	/* tgfs.PRTY_STS, mode !(bb|k2) */
7534316485Sdavidcs	0x00000003, 0x010c8860, 0x000c8863, 0x000c8861, 	/* tgsrc.INT_STS, mode !(bb|k2) */
7535320164Sdavidcs	0x00000003, 0x020c8881, 0x000c8884, 0x000c8882, 	/* tgsrc.PRTY_STS_H_0, mode !(bb|k2) */
7536316485Sdavidcs	0x00000025, 0x02014460, 0x00014463, 0x00014461, 	/* umac.INT_STS, mode !bb */
7537316485Sdavidcs	0x00000000, 0x01004060, 0x00004063, 0x00004061, 	/* dbg.INT_STS */
7538320164Sdavidcs	0x00000000, 0x01004080, 0x00004083, 0x00004081, 	/* dbg.PRTY_STS_H_0 */
7539316485Sdavidcs	0x00000000, 0x0e140010, 0x00140013, 0x00140011, 	/* nig.INT_STS_0 */
7540316485Sdavidcs	0x000e0000, 0x20140014, 0x00140017, 0x00140015, 	/* nig.INT_STS_1 */
7541316485Sdavidcs	0x002e0000, 0x16140018, 0x0014001b, 0x00140019, 	/* nig.INT_STS_2 */
7542316485Sdavidcs	0x00440000, 0x1214001c, 0x0014001f, 0x0014001d, 	/* nig.INT_STS_3 */
7543316485Sdavidcs	0x00560000, 0x16140020, 0x00140023, 0x00140021, 	/* nig.INT_STS_4 */
7544316485Sdavidcs	0x006c0000, 0x12140024, 0x00140027, 0x00140025, 	/* nig.INT_STS_5 */
7545316485Sdavidcs	0x007e0025, 0x14140028, 0x0014002b, 0x00140029, 	/* nig.INT_STS_6, mode !bb */
7546316485Sdavidcs	0x00940025, 0x1214002c, 0x0014002f, 0x0014002d, 	/* nig.INT_STS_7, mode !bb */
7547316485Sdavidcs	0x00a60025, 0x14140030, 0x00140033, 0x00140031, 	/* nig.INT_STS_8, mode !bb */
7548316485Sdavidcs	0x00bc0025, 0x12140034, 0x00140037, 0x00140035, 	/* nig.INT_STS_9, mode !bb */
7549316485Sdavidcs	0x00ce0003, 0x1014003c, 0x0014003f, 0x0014003d, 	/* nig.INT_STS_10, mode !(bb|k2) */
7550316485Sdavidcs	0x00000007, 0x01140028, 0x0014002b, 0x00140029, 	/* nig.PRTY_STS, mode bb */
7551316485Sdavidcs	0x00000025, 0x01140038, 0x0014003b, 0x00140039, 	/* nig.PRTY_STS, mode !bb */
7552320164Sdavidcs	0x09a10007, 0x1f140080, 0x00140083, 0x00140081, 	/* nig.PRTY_STS_H_0, mode bb */
7553320164Sdavidcs	0x09c00009, 0x1f140080, 0x00140083, 0x00140081, 	/* nig.PRTY_STS_H_0, mode k2 */
7554320164Sdavidcs	0x00010003, 0x1f140080, 0x00140083, 0x00140081, 	/* nig.PRTY_STS_H_0, mode !(bb|k2) */
7555320164Sdavidcs	0x09df0007, 0x1f140084, 0x00140087, 0x00140085, 	/* nig.PRTY_STS_H_1, mode bb */
7556320164Sdavidcs	0x09fe0009, 0x1f140084, 0x00140087, 0x00140085, 	/* nig.PRTY_STS_H_1, mode k2 */
7557320164Sdavidcs	0x0a1d0003, 0x1f140084, 0x00140087, 0x00140085, 	/* nig.PRTY_STS_H_1, mode !(bb|k2) */
7558320164Sdavidcs	0x0a3c0007, 0x1f140088, 0x0014008b, 0x00140089, 	/* nig.PRTY_STS_H_2, mode bb */
7559320164Sdavidcs	0x0a5b0009, 0x1f140088, 0x0014008b, 0x00140089, 	/* nig.PRTY_STS_H_2, mode k2 */
7560320164Sdavidcs	0x0a7a0003, 0x1f140088, 0x0014008b, 0x00140089, 	/* nig.PRTY_STS_H_2, mode !(bb|k2) */
7561320164Sdavidcs	0x0a990007, 0x1114008c, 0x0014008f, 0x0014008d, 	/* nig.PRTY_STS_H_3, mode bb */
7562320164Sdavidcs	0x0aaa0009, 0x0e14008c, 0x0014008f, 0x0014008d, 	/* nig.PRTY_STS_H_3, mode k2 */
7563320164Sdavidcs	0x0ab80003, 0x1914008c, 0x0014008f, 0x0014008d, 	/* nig.PRTY_STS_H_3, mode !(bb|k2) */
7564316485Sdavidcs	0x00000025, 0x01180010, 0x00180013, 0x00180011, 	/* wol.INT_STS_0, mode !bb */
7565320164Sdavidcs	0x00000025, 0x18180080, 0x00180083, 0x00180081, 	/* wol.PRTY_STS_H_0, mode !bb */
7566316485Sdavidcs	0x00000025, 0x01184010, 0x00184013, 0x00184011, 	/* bmbn.INT_STS_0, mode !bb */
7567320164Sdavidcs	0x0ad10007, 0x0e008143, 0x00008146, 0x00008144, 	/* ipc.INT_STS_0, mode bb */
7568320164Sdavidcs	0x0ad10025, 0x060080b7, 0x000080ba, 0x000080b8, 	/* ipc.INT_STS_0, mode !bb */
7569316485Sdavidcs	0x00000015, 0x01008147, 0x0000814a, 0x00008148, 	/* ipc.PRTY_STS, mode !(k2|e5) */
7570320164Sdavidcs	0x0adf0025, 0x12200001, 0x00200004, 0x00200002, 	/* nwm.INT_STS, mode !bb */
7571316485Sdavidcs	0x00000025, 0x1f200080, 0x00200083, 0x00200081, 	/* nwm.PRTY_STS_H_0, mode !bb */
7572316485Sdavidcs	0x001f0025, 0x1f200084, 0x00200087, 0x00200085, 	/* nwm.PRTY_STS_H_1, mode !bb */
7573316485Sdavidcs	0x003e0025, 0x0a200088, 0x0020008b, 0x00200089, 	/* nwm.PRTY_STS_H_2, mode !bb */
7574316485Sdavidcs	0x00000025, 0x0a1c0060, 0x001c0063, 0x001c0061, 	/* nws.INT_STS_0, mode !bb */
7575320164Sdavidcs	0x0af10025, 0x0a1c0064, 0x001c0067, 0x001c0065, 	/* nws.INT_STS_1, mode !bb */
7576320164Sdavidcs	0x0afb0025, 0x0a1c0068, 0x001c006b, 0x001c0069, 	/* nws.INT_STS_2, mode !bb */
7577320164Sdavidcs	0x0b050025, 0x0a1c006c, 0x001c006f, 0x001c006d, 	/* nws.INT_STS_3, mode !bb */
7578316485Sdavidcs	0x00000025, 0x041c0080, 0x001c0083, 0x001c0081, 	/* nws.PRTY_STS_H_0, mode !bb */
7579316485Sdavidcs	0x00000025, 0x011a8060, 0x001a8063, 0x001a8061, 	/* ms.INT_STS, mode !bb */
7580316485Sdavidcs	0x00000025, 0x011ae060, 0x001ae063, 0x001ae061, 	/* led.INT_STS_0, mode !bb */
7581320164Sdavidcs	0x0b0f011b, 0x031ad002, 0x001ad005, 0x001ad003, 	/* avs_wrap.INT_STS, mode !(bb|e5) */
7582320164Sdavidcs	0x0000011b, 0x031ad006, 0x001ad009, 0x001ad007, 	/* avs_wrap.PRTY_STS, mode !(bb|e5) */
7583316485Sdavidcs};
7584320164Sdavidcs/* Data size: 6864 bytes */
7585316485Sdavidcs
7586316485Sdavidcs/* Array of attentions data per block */
7587316485Sdavidcsstatic const u32 attn_block[] = {
7588316485Sdavidcs	0x00000000, 0x00000001, 0x00000005, 0x00010001, 	/* block grc, 1 interrupt regs (5 attentions), 1 parity regs (2 attentions) */
7589316485Sdavidcs	0x00000007, 0x00020002, 0x00000015, 0x00040001, 	/* block miscs, 2 interrupt regs (14 attentions), 1 parity regs (1 attentions) */
7590316485Sdavidcs	0x00000000, 0x00050001, 0x00000000, 0x00060000, 	/* block misc, 1 interrupt regs (1 attentions) */
7591316485Sdavidcs	0x00000000, 0x00060000, 0x00000000, 0x00060000, 	/* block dbu */
7592316485Sdavidcs	0x00000016, 0x00060001, 0x0000002e, 0x00070004, 	/* block pglue_b, 1 interrupt regs (24 attentions), 4 parity regs (35 attentions) */
7593316485Sdavidcs	0x00000051, 0x000b0002, 0x0000005b, 0x000d0002, 	/* block cnig, 2 interrupt regs (10 attentions), 2 parity regs (2 attentions) */
7594316485Sdavidcs	0x00000000, 0x000f0001, 0x00000000, 0x00100000, 	/* block cpmu, 1 interrupt regs (1 attentions) */
7595320164Sdavidcs	0x00000000, 0x00100001, 0x0000005d, 0x00110001, 	/* block ncsi, 1 interrupt regs (1 attentions), 1 parity regs (3 attentions) */
7596320164Sdavidcs	0x00000000, 0x00120000, 0x00000060, 0x00120002, 	/* block opte, 2 parity regs (12 attentions) */
7597320164Sdavidcs	0x0000006c, 0x0014000c, 0x00000196, 0x00200005, 	/* block bmb, 12 interrupt regs (298 attentions), 5 parity regs (66 attentions) */
7598320164Sdavidcs	0x000001d8, 0x00250001, 0x000001e9, 0x00260003, 	/* block pcie, 1 interrupt regs (17 attentions), 3 parity regs (24 attentions) */
7599320164Sdavidcs	0x00000000, 0x00290000, 0x00000000, 0x00290000, 	/* block mcp */
7600320164Sdavidcs	0x00000000, 0x00290000, 0x00000201, 0x00290002, 	/* block mcp2, 2 parity regs (13 attentions) */
7601320164Sdavidcs	0x0000020e, 0x002b0001, 0x00000220, 0x002c0002, 	/* block pswhst, 1 interrupt regs (18 attentions), 2 parity regs (18 attentions) */
7602320164Sdavidcs	0x00000232, 0x002e0001, 0x0000002e, 0x002f0001, 	/* block pswhst2, 1 interrupt regs (5 attentions), 1 parity regs (1 attentions) */
7603320164Sdavidcs	0x00000237, 0x00300001, 0x0000002e, 0x00310001, 	/* block pswrd, 1 interrupt regs (3 attentions), 1 parity regs (1 attentions) */
7604320164Sdavidcs	0x0000023a, 0x00320001, 0x0000023f, 0x00330007, 	/* block pswrd2, 1 interrupt regs (5 attentions), 7 parity regs (40 attentions) */
7605320164Sdavidcs	0x00000267, 0x003a0001, 0x0000002e, 0x003b0001, 	/* block pswwr, 1 interrupt regs (19 attentions), 1 parity regs (1 attentions) */
7606320164Sdavidcs	0x0000027a, 0x003c0001, 0x00000290, 0x003d000e, 	/* block pswwr2, 1 interrupt regs (22 attentions), 14 parity regs (161 attentions) */
7607320164Sdavidcs	0x00000331, 0x004b0001, 0x00000348, 0x004c0001, 	/* block pswrq, 1 interrupt regs (23 attentions), 1 parity regs (1 attentions) */
7608320164Sdavidcs	0x00000349, 0x004d0001, 0x00000358, 0x004e0002, 	/* block pswrq2, 1 interrupt regs (15 attentions), 2 parity regs (11 attentions) */
7609320164Sdavidcs	0x00000363, 0x00500001, 0x00000000, 0x00510000, 	/* block pglcs, 1 interrupt regs (2 attentions) */
7610320164Sdavidcs	0x00000365, 0x00510001, 0x0000005d, 0x00520001, 	/* block dmae, 1 interrupt regs (2 attentions), 1 parity regs (3 attentions) */
7611320164Sdavidcs	0x00000367, 0x00530001, 0x0000036f, 0x00540003, 	/* block ptu, 1 interrupt regs (8 attentions), 3 parity regs (20 attentions) */
7612320164Sdavidcs	0x00000383, 0x00570005, 0x000003b4, 0x005c0006, 	/* block tcm, 5 interrupt regs (49 attentions), 6 parity regs (59 attentions) */
7613320164Sdavidcs	0x000003ef, 0x00620005, 0x0000041e, 0x00670006, 	/* block mcm, 5 interrupt regs (47 attentions), 6 parity regs (52 attentions) */
7614320164Sdavidcs	0x00000452, 0x006d0005, 0x00000485, 0x00720004, 	/* block ucm, 5 interrupt regs (51 attentions), 4 parity regs (49 attentions) */
7615320164Sdavidcs	0x000004b6, 0x00760003, 0x000004e9, 0x00790006, 	/* block xcm, 3 interrupt regs (51 attentions), 6 parity regs (54 attentions) */
7616320164Sdavidcs	0x0000051f, 0x007f0003, 0x00000546, 0x00820006, 	/* block ycm, 3 interrupt regs (39 attentions), 6 parity regs (58 attentions) */
7617320164Sdavidcs	0x00000580, 0x00880005, 0x00000598, 0x008d0003, 	/* block pcm, 5 interrupt regs (24 attentions), 3 parity regs (24 attentions) */
7618320164Sdavidcs	0x000005b0, 0x00900001, 0x000005c6, 0x00910009, 	/* block qm, 1 interrupt regs (22 attentions), 9 parity regs (116 attentions) */
7619320164Sdavidcs	0x0000063a, 0x009a0002, 0x00000665, 0x009c0003, 	/* block tm, 2 interrupt regs (43 attentions), 3 parity regs (20 attentions) */
7620320164Sdavidcs	0x00000679, 0x009f0001, 0x00000685, 0x00a00004, 	/* block dorq, 1 interrupt regs (12 attentions), 4 parity regs (13 attentions) */
7621320164Sdavidcs	0x00000692, 0x00a4000c, 0x0000077b, 0x00b00008, 	/* block brb, 12 interrupt regs (233 attentions), 8 parity regs (83 attentions) */
7622320164Sdavidcs	0x00000000, 0x00b80001, 0x00000000, 0x00b90000, 	/* block src, 1 interrupt regs (1 attentions) */
7623320164Sdavidcs	0x000007ce, 0x00b90001, 0x000007d0, 0x00ba0006, 	/* block prs, 1 interrupt regs (2 attentions), 6 parity regs (78 attentions) */
7624320164Sdavidcs	0x0000081e, 0x00c00001, 0x0000083a, 0x00c10003, 	/* block tsdm, 1 interrupt regs (28 attentions), 3 parity regs (11 attentions) */
7625320164Sdavidcs	0x0000081e, 0x00c40001, 0x00000845, 0x00c50003, 	/* block msdm, 1 interrupt regs (28 attentions), 3 parity regs (14 attentions) */
7626320164Sdavidcs	0x0000081e, 0x00c80001, 0x00000853, 0x00c90003, 	/* block usdm, 1 interrupt regs (28 attentions), 3 parity regs (11 attentions) */
7627320164Sdavidcs	0x0000081e, 0x00cc0001, 0x0000085e, 0x00cd0003, 	/* block xsdm, 1 interrupt regs (28 attentions), 3 parity regs (11 attentions) */
7628320164Sdavidcs	0x0000081e, 0x00d00001, 0x00000869, 0x00d10003, 	/* block ysdm, 1 interrupt regs (28 attentions), 3 parity regs (10 attentions) */
7629320164Sdavidcs	0x0000081e, 0x00d40001, 0x00000873, 0x00d50003, 	/* block psdm, 1 interrupt regs (28 attentions), 3 parity regs (12 attentions) */
7630320164Sdavidcs	0x0000087f, 0x00d80006, 0x00000901, 0x00de0007, 	/* block tsem, 6 interrupt regs (130 attentions), 7 parity regs (24 attentions) */
7631320164Sdavidcs	0x0000087f, 0x00e50006, 0x00000919, 0x00eb0008, 	/* block msem, 6 interrupt regs (130 attentions), 8 parity regs (30 attentions) */
7632320164Sdavidcs	0x0000087f, 0x00f30006, 0x00000901, 0x00f90006, 	/* block usem, 6 interrupt regs (130 attentions), 6 parity regs (20 attentions) */
7633320164Sdavidcs	0x0000087f, 0x00ff0006, 0x00000937, 0x01050006, 	/* block xsem, 6 interrupt regs (130 attentions), 6 parity regs (21 attentions) */
7634320164Sdavidcs	0x0000087f, 0x010b0006, 0x00000937, 0x01110006, 	/* block ysem, 6 interrupt regs (130 attentions), 6 parity regs (21 attentions) */
7635320164Sdavidcs	0x0000087f, 0x01170006, 0x00000901, 0x011d0007, 	/* block psem, 6 interrupt regs (130 attentions), 7 parity regs (24 attentions) */
7636320164Sdavidcs	0x0000094c, 0x01240001, 0x00000962, 0x01250001, 	/* block rss, 1 interrupt regs (22 attentions), 1 parity regs (10 attentions) */
7637320164Sdavidcs	0x0000096c, 0x01260001, 0x00000972, 0x01270003, 	/* block tmld, 1 interrupt regs (6 attentions), 3 parity regs (15 attentions) */
7638320164Sdavidcs	0x0000096c, 0x012a0001, 0x00000981, 0x012b0003, 	/* block muld, 1 interrupt regs (6 attentions), 3 parity regs (19 attentions) */
7639320164Sdavidcs	0x0000096c, 0x012e0001, 0x00000994, 0x012f0001, 	/* block yuld, 1 interrupt regs (6 attentions), 1 parity regs (6 attentions) */
7640320164Sdavidcs	0x0000096c, 0x01300001, 0x0000099a, 0x01310003, 	/* block xyld, 1 interrupt regs (6 attentions), 3 parity regs (17 attentions) */
7641320164Sdavidcs	0x0000096c, 0x01340001, 0x000009ab, 0x01350001, 	/* block ptld, 1 interrupt regs (6 attentions), 1 parity regs (8 attentions) */
7642320164Sdavidcs	0x0000096c, 0x01360001, 0x000009ab, 0x01370001, 	/* block ypld, 1 interrupt regs (6 attentions), 1 parity regs (8 attentions) */
7643320164Sdavidcs	0x000009b3, 0x01380001, 0x000009be, 0x01390004, 	/* block prm, 1 interrupt regs (11 attentions), 4 parity regs (30 attentions) */
7644320164Sdavidcs	0x000009dc, 0x013d0001, 0x0000002e, 0x013e0001, 	/* block pbf_pb1, 1 interrupt regs (9 attentions), 1 parity regs (1 attentions) */
7645320164Sdavidcs	0x000009dc, 0x013f0001, 0x0000002e, 0x01400001, 	/* block pbf_pb2, 1 interrupt regs (9 attentions), 1 parity regs (1 attentions) */
7646320164Sdavidcs	0x000009dc, 0x01410001, 0x0000002e, 0x01420001, 	/* block rpb, 1 interrupt regs (9 attentions), 1 parity regs (1 attentions) */
7647320164Sdavidcs	0x000009e5, 0x0143000b, 0x00000a66, 0x014e0005, 	/* block btb, 11 interrupt regs (129 attentions), 5 parity regs (44 attentions) */
7648320164Sdavidcs	0x00000000, 0x01530001, 0x00000a92, 0x01540003, 	/* block pbf, 1 interrupt regs (1 attentions), 3 parity regs (59 attentions) */
7649320164Sdavidcs	0x00000acd, 0x01570001, 0x0000002e, 0x01580001, 	/* block rdif, 1 interrupt regs (9 attentions), 1 parity regs (1 attentions) */
7650320164Sdavidcs	0x00000acd, 0x01590001, 0x00000ad6, 0x015a0004, 	/* block tdif, 1 interrupt regs (9 attentions), 4 parity regs (13 attentions) */
7651320164Sdavidcs	0x00000ae3, 0x015e0001, 0x00000aeb, 0x015f0003, 	/* block cdu, 1 interrupt regs (8 attentions), 3 parity regs (5 attentions) */
7652320164Sdavidcs	0x00000af0, 0x01620001, 0x00000af2, 0x01630004, 	/* block ccfc, 1 interrupt regs (2 attentions), 4 parity regs (11 attentions) */
7653320164Sdavidcs	0x00000af0, 0x01670001, 0x00000afd, 0x01680004, 	/* block tcfc, 1 interrupt regs (2 attentions), 4 parity regs (10 attentions) */
7654320164Sdavidcs	0x00000b07, 0x016c0001, 0x00000b12, 0x016d0005, 	/* block igu, 1 interrupt regs (11 attentions), 5 parity regs (54 attentions) */
7655320164Sdavidcs	0x00000b48, 0x01720001, 0x00000b53, 0x01730003, 	/* block cau, 1 interrupt regs (11 attentions), 3 parity regs (16 attentions) */
7656320164Sdavidcs	0x000005b0, 0x01760001, 0x000005c6, 0x01770001, 	/* block rgfs, 1 interrupt regs (2 attentions), 1 parity regs (1 attentions) */
7657320164Sdavidcs	0x00000000, 0x01780001, 0x00000968, 0x01790001, 	/* block rgsrc, 1 interrupt regs (1 attentions), 1 parity regs (2 attentions) */
7658320164Sdavidcs	0x000005b0, 0x017a0001, 0x000005c6, 0x017b0001, 	/* block tgfs, 1 interrupt regs (2 attentions), 1 parity regs (1 attentions) */
7659320164Sdavidcs	0x00000000, 0x017c0001, 0x00000968, 0x017d0001, 	/* block tgsrc, 1 interrupt regs (1 attentions), 1 parity regs (2 attentions) */
7660320164Sdavidcs	0x00000b63, 0x017e0001, 0x00000000, 0x017f0000, 	/* block umac, 1 interrupt regs (2 attentions) */
7661320164Sdavidcs	0x00000000, 0x017f0000, 0x00000000, 0x017f0000, 	/* block xmac */
7662320164Sdavidcs	0x00000000, 0x017f0001, 0x00000050, 0x01800001, 	/* block dbg, 1 interrupt regs (1 attentions), 1 parity regs (1 attentions) */
7663320164Sdavidcs	0x00000b65, 0x0181000b, 0x00000c43, 0x018c000e, 	/* block nig, 11 interrupt regs (222 attentions), 14 parity regs (121 attentions) */
7664320164Sdavidcs	0x00000000, 0x019a0001, 0x00000cbc, 0x019b0001, 	/* block wol, 1 interrupt regs (1 attentions), 1 parity regs (24 attentions) */
7665320164Sdavidcs	0x00000000, 0x019c0001, 0x00000000, 0x019d0000, 	/* block bmbn, 1 interrupt regs (1 attentions) */
7666320164Sdavidcs	0x00000cd4, 0x019d0002, 0x00000ce1, 0x019f0001, 	/* block ipc, 2 interrupt regs (13 attentions), 1 parity regs (1 attentions) */
7667320164Sdavidcs	0x00000ce2, 0x01a00001, 0x00000cf3, 0x01a10003, 	/* block nwm, 1 interrupt regs (17 attentions), 3 parity regs (72 attentions) */
7668320164Sdavidcs	0x00000d3b, 0x01a40004, 0x00000d60, 0x01a80001, 	/* block nws, 4 interrupt regs (37 attentions), 1 parity regs (4 attentions) */
7669320164Sdavidcs	0x00000000, 0x01a90001, 0x00000000, 0x01aa0000, 	/* block ms, 1 interrupt regs (1 attentions) */
7670320164Sdavidcs	0x00000000, 0x01aa0000, 0x00000000, 0x01aa0000, 	/* block phy_pcie */
7671320164Sdavidcs	0x00000000, 0x01aa0001, 0x00000000, 0x01ab0000, 	/* block led, 1 interrupt regs (1 attentions) */
7672320164Sdavidcs	0x00000d64, 0x01ab0001, 0x00000d66, 0x01ac0001, 	/* block avs_wrap, 1 interrupt regs (2 attentions), 1 parity regs (3 attentions) */
7673320164Sdavidcs	0x00000000, 0x01ad0000, 0x00000000, 0x01ad0000, 	/* block misc_aeu */
7674320164Sdavidcs	0x00000000, 0x01ad0000, 0x00000000, 0x01ad0000, 	/* block bar0_map */
7675316485Sdavidcs};
7676316485Sdavidcs/* Data size: 1392 bytes */
7677316485Sdavidcs
7678316485Sdavidcs/* Debug Bus lines */
7679316485Sdavidcsstatic const u32 dbg_bus_lines[] = {
7680316485Sdavidcs	0x0301, 0x0202, 0x0202, 0x0202, 0x0202, 0x0202, 0x0202, 0x0202, 0x0202,
7681316485Sdavidcs	0x0202, 0x0202, 0x0301, 0x0103, 0x0004, 0x0004, 0x0301, 0x0502, 0x1003,
7682316485Sdavidcs	0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0002, 0x0301, 0x0301,
7683316485Sdavidcs	0x0301, 0x0311, 0x0311, 0x0311, 0x0311, 0x0502, 0x0311, 0x0311, 0x0311,
7684316485Sdavidcs	0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311,
7685316485Sdavidcs	0x0311, 0x0311, 0x0502, 0x0301, 0x0301, 0x0003, 0x0301, 0x0101, 0x0102,
7686316485Sdavidcs	0x0301, 0x0502, 0x1003, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301,
7687316485Sdavidcs	0x0002, 0x0301, 0x0301, 0x0301, 0x0311, 0x0311, 0x0311, 0x0311, 0x0502,
7688316485Sdavidcs	0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311,
7689316485Sdavidcs	0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0502, 0x0301, 0x0301, 0x0003,
7690316485Sdavidcs	0x0301, 0x0101, 0x0102, 0x0502, 0x0502, 0x0502, 0x0502, 0x0502, 0x0101,
7691316485Sdavidcs	0x0101, 0x0101, 0x0101, 0x0101, 0x0101, 0x0311, 0x0311, 0x0311, 0x0311,
7692316485Sdavidcs	0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x1003, 0x1003, 0x1003,
7693316485Sdavidcs	0x1003, 0x1003, 0x1003, 0x1003, 0x1003, 0x1003, 0x1003, 0x0101, 0x0101,
7694316485Sdavidcs	0x0101, 0x0101, 0x0101, 0x0101, 0x0101, 0x0101, 0x0101, 0x0101, 0x0311,
7695316485Sdavidcs	0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311,
7696316485Sdavidcs	0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301,
7697316485Sdavidcs	0x0301, 0x0101, 0x0101, 0x0101, 0x0101, 0x0101, 0x0101, 0x0101, 0x0101,
7698316485Sdavidcs	0x0101, 0x0101, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301,
7699316485Sdavidcs	0x0301, 0x0301, 0x0301, 0x0101, 0x0101, 0x0101, 0x0101, 0x0101, 0x0101,
7700316485Sdavidcs	0x0101, 0x0101, 0x0101, 0x0101, 0x0301, 0x0101, 0x0101, 0x0001, 0x0001,
7701316485Sdavidcs	0x0301, 0x0101, 0x0101, 0x0101, 0x0101, 0x0001, 0x0001, 0x0001, 0x0001,
7702316485Sdavidcs	0x0001, 0x0001, 0x0001, 0x0001, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301,
7703316485Sdavidcs	0x0301, 0x0001, 0x0301, 0x0301, 0x0502, 0x0311, 0x0311, 0x0311, 0x0311,
7704316485Sdavidcs	0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311,
7705316485Sdavidcs	0x0311, 0x0311, 0x0311, 0x0001, 0x0502, 0x0301, 0x0301, 0x0502, 0x0301,
7706316485Sdavidcs	0x0301, 0x0502, 0x0502, 0x0301, 0x0014, 0x0502, 0x0512, 0x0301, 0x0301,
7707316485Sdavidcs	0x0512, 0x0502, 0x0402, 0x0502, 0x0001, 0x0311, 0x0311, 0x0502, 0x0301,
7708316485Sdavidcs	0x0002, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311,
7709316485Sdavidcs	0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0202,
7710316485Sdavidcs	0x0502, 0x0502, 0x0502, 0x0502, 0x0502, 0x0502, 0x0301, 0x0311, 0x0311,
7711316485Sdavidcs	0x0001, 0x0301, 0x0001, 0x0101, 0x0301, 0x0103, 0x0102, 0x0301, 0x0301,
7712316485Sdavidcs	0x0301, 0x0301, 0x0101, 0x0301, 0x0301, 0x0301, 0x0301, 0x0512, 0x0512,
7713316485Sdavidcs	0x0502, 0x0301, 0x0311, 0x0512, 0x0512, 0x0502, 0x0301, 0x0301, 0x0301,
7714316485Sdavidcs	0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301,
7715316485Sdavidcs	0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301,
7716316485Sdavidcs	0x0301, 0x0301, 0x0101, 0x0301, 0x1003, 0x0502, 0x0502, 0x0202, 0x0202,
7717316485Sdavidcs	0x1003, 0x0004, 0x1003, 0x0004, 0x0001, 0x0301, 0x0001, 0x0002, 0x0002,
7718316485Sdavidcs	0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0101, 0x0301, 0x0301, 0x0101,
7719316485Sdavidcs	0x0001, 0x0301, 0x0502, 0x0502, 0x0301, 0x0301, 0x0301, 0x0301, 0x0502,
7720316485Sdavidcs	0x0301, 0x0301, 0x0402, 0x0502, 0x0502, 0x0402, 0x0502, 0x0502, 0x0502,
7721316485Sdavidcs	0x0502, 0x0002, 0x0102, 0x0502, 0x0002, 0x0102, 0x0502, 0x0002, 0x0102,
7722316485Sdavidcs	0x0502, 0x1003, 0x0301, 0x0301, 0x0301, 0x0101, 0x0301, 0x0002, 0x0502,
7723316485Sdavidcs	0x0502, 0x0101, 0x0301, 0x0301, 0x0301, 0x0301, 0x0101, 0x0301, 0x0301,
7724316485Sdavidcs	0x0301, 0x0101, 0x0301, 0x0301, 0x0301, 0x0101, 0x0301, 0x0301, 0x0301,
7725316485Sdavidcs	0x0101, 0x0301, 0x0301, 0x0301, 0x0101, 0x0502, 0x0002, 0x0001, 0x0301,
7726316485Sdavidcs	0x0502, 0x0301, 0x0301, 0x0301, 0x0001, 0x1003, 0x0003, 0x0301, 0x0502,
7727316485Sdavidcs	0x0402, 0x0001, 0x0101, 0x0402, 0x0102, 0x0301, 0x0301, 0x0301, 0x0301,
7728316485Sdavidcs	0x0301, 0x0301, 0x0002, 0x0201, 0x0201, 0x0201, 0x0201, 0x0201, 0x0004,
7729316485Sdavidcs	0x0201, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301,
7730316485Sdavidcs	0x0301, 0x0301, 0x0301, 0x0502, 0x0502, 0x0201, 0x0301, 0x0502, 0x0201,
7731316485Sdavidcs	0x0201, 0x0201, 0x0301, 0x0301, 0x0201, 0x0301, 0x0201, 0x0301, 0x0502,
7732316485Sdavidcs	0x0202, 0x0103, 0x0103, 0x0301, 0x0103, 0x0301, 0x0301, 0x0301, 0x0301,
7733316485Sdavidcs	0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301,
7734316485Sdavidcs	0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301,
7735316485Sdavidcs	0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0502, 0x0014, 0x0311, 0x0014,
7736316485Sdavidcs	0x0011, 0x0101, 0x0301, 0x0201, 0x0301, 0x0101, 0x0301, 0x0101, 0x0001,
7737316485Sdavidcs	0x0001, 0x0301, 0x0101, 0x0001, 0x0001, 0x0301, 0x0101, 0x0301, 0x0101,
7738316485Sdavidcs	0x0001, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301,
7739316485Sdavidcs	0x0301, 0x0301, 0x0101, 0x0301, 0x0301, 0x0301, 0x0301, 0x0201, 0x0101,
7740316485Sdavidcs	0x0301, 0x0201, 0x0201, 0x0101, 0x0301, 0x0101, 0x0301, 0x0201, 0x0101,
7741316485Sdavidcs	0x0301, 0x0201, 0x0301, 0x0301, 0x0301, 0x0301, 0x0001, 0x0001, 0x0001,
7742316485Sdavidcs	0x0111, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301,
7743316485Sdavidcs	0x0003, 0x0301, 0x0301, 0x0301, 0x0001, 0x0301, 0x0001, 0x0301, 0x0001,
7744316485Sdavidcs	0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0502, 0x0004, 0x0512,
7745316485Sdavidcs	0x0512, 0x0301, 0x0301, 0x0502, 0x0004, 0x0301, 0x0512, 0x0301, 0x0301,
7746316485Sdavidcs	0x0502, 0x0004, 0x0301, 0x0512, 0x0301, 0x0301, 0x0301, 0x0301, 0x0111,
7747316485Sdavidcs	0x0311, 0x0301, 0x0101, 0x0301, 0x0201, 0x0001, 0x0311, 0x0311, 0x0311,
7748316485Sdavidcs	0x0311, 0x0301, 0x0301, 0x0301, 0x0111, 0x0311, 0x0301, 0x0101, 0x0301,
7749316485Sdavidcs	0x0201, 0x0001, 0x0311, 0x0311, 0x0311, 0x0311, 0x0301, 0x0301, 0x0311,
7750316485Sdavidcs	0x0311, 0x0301, 0x0301, 0x0001, 0x0001, 0x0301, 0x0301, 0x0301, 0x0301,
7751316485Sdavidcs	0x0301, 0x0101, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301,
7752316485Sdavidcs	0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0311, 0x0301, 0x0301, 0x0301,
7753316485Sdavidcs	0x0301, 0x0301, 0x0311, 0x0311, 0x0301, 0x0301, 0x0311, 0x0301, 0x0301,
7754316485Sdavidcs	0x0502, 0x0311, 0x0502, 0x0301, 0x0301, 0x0301, 0x0502, 0x0311, 0x0301,
7755316485Sdavidcs	0x0502, 0x0301, 0x0014, 0x0502, 0x0311, 0x0502, 0x0502, 0x0502, 0x0502,
7756316485Sdavidcs	0x0502, 0x0502, 0x0502, 0x0502, 0x0502, 0x0502, 0x0502, 0x0014, 0x0502,
7757316485Sdavidcs	0x0311, 0x0004, 0x0004, 0x0004, 0x0001, 0x0014, 0x0014, 0x0014, 0x0012,
7758316485Sdavidcs	0x0101, 0x0512, 0x0512, 0x0502, 0x0301, 0x0004, 0x0004, 0x0004, 0x0001,
7759316485Sdavidcs	0x0014, 0x0014, 0x0014, 0x0012, 0x0101, 0x0512, 0x0512, 0x0502, 0x0301,
7760316485Sdavidcs	0x0004, 0x0004, 0x0004, 0x0002, 0x0301, 0x0102, 0x0502, 0x0301, 0x0311,
7761316485Sdavidcs	0x0402, 0x0201, 0x0502, 0x0502, 0x0402, 0x0301, 0x0201, 0x0101, 0x0802,
7762316485Sdavidcs	0x0301, 0x0201, 0x0301, 0x0502, 0x0502, 0x0301, 0x0301, 0x0101, 0x0101,
7763316485Sdavidcs	0x0201, 0x0201, 0x0301, 0x0802, 0x0301, 0x0202, 0x0301, 0x0202, 0x0301,
7764316485Sdavidcs	0x0301, 0x0802, 0x0301, 0x0802, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301,
7765316485Sdavidcs	0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0402, 0x0102, 0x0502, 0x0502,
7766316485Sdavidcs	0x0502, 0x0201, 0x0301, 0x0301, 0x0301, 0x0301, 0x0301, 0x0502, 0x0502,
7767316485Sdavidcs	0x0502, 0x0502, 0x0502, 0x0111, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311,
7768316485Sdavidcs	0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311,
7769316485Sdavidcs	0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311,
7770316485Sdavidcs	0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311,
7771316485Sdavidcs	0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311,
7772316485Sdavidcs	0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311, 0x0311,
7773316485Sdavidcs	0x0311, 0x0004, 0x0004, 0x0301, 0x0301, 0x0311, 0x0311, 0x0311, 0x0311,
7774316485Sdavidcs	0x0311, 0x0311, 0x0311, 0x0311, 0x0004, 0x0004, 0x0004, 0x0004, 0x0004,
7775316485Sdavidcs	0x0004, 0x0004, 0x0004, 0x0004, 0x0004, 0x0004, 0x0004, 0x0004, 0x0004,
7776316485Sdavidcs	0x0004, 0x0004, 0x0004, 0x0004, 0x0004, 0x0004, 0x0004, 0x0004, 0x0004,
7777316485Sdavidcs	0x0004, 0x0004, 0x0004,
7778316485Sdavidcs};
7779316485Sdavidcs/* Data size: 1752 bytes */
7780316485Sdavidcs
7781316485Sdavidcs/* Debug Bus blocks */
7782316485Sdavidcsstatic const u32 dbg_bus_blocks[] = {
7783316485Sdavidcs	0x0000000f, 	/* grc, bb, 15 lines */
7784316485Sdavidcs	0x0000000f, 	/* grc, k2, 15 lines */
7785316485Sdavidcs	0x00000000, 	/* grc, e5, 0 lines */
7786316485Sdavidcs	0x00000000, 	/* miscs, bb, 0 lines */
7787316485Sdavidcs	0x00000000, 	/* miscs, k2, 0 lines */
7788316485Sdavidcs	0x00000000, 	/* miscs, e5, 0 lines */
7789316485Sdavidcs	0x00000000, 	/* misc, bb, 0 lines */
7790316485Sdavidcs	0x00000000, 	/* misc, k2, 0 lines */
7791316485Sdavidcs	0x00000000, 	/* misc, e5, 0 lines */
7792316485Sdavidcs	0x00000000, 	/* dbu, bb, 0 lines */
7793316485Sdavidcs	0x00000000, 	/* dbu, k2, 0 lines */
7794316485Sdavidcs	0x00000000, 	/* dbu, e5, 0 lines */
7795316485Sdavidcs	0x000f0127, 	/* pglue_b, bb, 39 lines */
7796316485Sdavidcs	0x0036012a, 	/* pglue_b, k2, 42 lines */
7797316485Sdavidcs	0x00000000, 	/* pglue_b, e5, 0 lines */
7798316485Sdavidcs	0x00000000, 	/* cnig, bb, 0 lines */
7799316485Sdavidcs	0x00120102, 	/* cnig, k2, 2 lines */
7800316485Sdavidcs	0x00000000, 	/* cnig, e5, 0 lines */
7801316485Sdavidcs	0x00000000, 	/* cpmu, bb, 0 lines */
7802316485Sdavidcs	0x00000000, 	/* cpmu, k2, 0 lines */
7803316485Sdavidcs	0x00000000, 	/* cpmu, e5, 0 lines */
7804316485Sdavidcs	0x00000001, 	/* ncsi, bb, 1 lines */
7805316485Sdavidcs	0x00000001, 	/* ncsi, k2, 1 lines */
7806316485Sdavidcs	0x00000000, 	/* ncsi, e5, 0 lines */
7807316485Sdavidcs	0x00000000, 	/* opte, bb, 0 lines */
7808316485Sdavidcs	0x00000000, 	/* opte, k2, 0 lines */
7809316485Sdavidcs	0x00000000, 	/* opte, e5, 0 lines */
7810316485Sdavidcs	0x00600085, 	/* bmb, bb, 133 lines */
7811316485Sdavidcs	0x00600085, 	/* bmb, k2, 133 lines */
7812316485Sdavidcs	0x00000000, 	/* bmb, e5, 0 lines */
7813316485Sdavidcs	0x00000000, 	/* pcie, bb, 0 lines */
7814316485Sdavidcs	0x00210008, 	/* pcie, k2, 8 lines */
7815316485Sdavidcs	0x00000000, 	/* pcie, e5, 0 lines */
7816316485Sdavidcs	0x00000000, 	/* mcp, bb, 0 lines */
7817316485Sdavidcs	0x00000000, 	/* mcp, k2, 0 lines */
7818316485Sdavidcs	0x00000000, 	/* mcp, e5, 0 lines */
7819316485Sdavidcs	0x00e50009, 	/* mcp2, bb, 9 lines */
7820316485Sdavidcs	0x00e50009, 	/* mcp2, k2, 9 lines */
7821316485Sdavidcs	0x00000000, 	/* mcp2, e5, 0 lines */
7822316485Sdavidcs	0x00ee0104, 	/* pswhst, bb, 4 lines */
7823316485Sdavidcs	0x00ee0104, 	/* pswhst, k2, 4 lines */
7824316485Sdavidcs	0x00000000, 	/* pswhst, e5, 0 lines */
7825316485Sdavidcs	0x00f20103, 	/* pswhst2, bb, 3 lines */
7826316485Sdavidcs	0x00f20103, 	/* pswhst2, k2, 3 lines */
7827316485Sdavidcs	0x00000000, 	/* pswhst2, e5, 0 lines */
7828316485Sdavidcs	0x00340101, 	/* pswrd, bb, 1 lines */
7829316485Sdavidcs	0x00340101, 	/* pswrd, k2, 1 lines */
7830316485Sdavidcs	0x00000000, 	/* pswrd, e5, 0 lines */
7831316485Sdavidcs	0x00f50119, 	/* pswrd2, bb, 25 lines */
7832316485Sdavidcs	0x00f50119, 	/* pswrd2, k2, 25 lines */
7833316485Sdavidcs	0x00000000, 	/* pswrd2, e5, 0 lines */
7834316485Sdavidcs	0x010e0109, 	/* pswwr, bb, 9 lines */
7835316485Sdavidcs	0x010e0109, 	/* pswwr, k2, 9 lines */
7836316485Sdavidcs	0x00000000, 	/* pswwr, e5, 0 lines */
7837316485Sdavidcs	0x00000000, 	/* pswwr2, bb, 0 lines */
7838316485Sdavidcs	0x00000000, 	/* pswwr2, k2, 0 lines */
7839316485Sdavidcs	0x00000000, 	/* pswwr2, e5, 0 lines */
7840316485Sdavidcs	0x001c0001, 	/* pswrq, bb, 1 lines */
7841316485Sdavidcs	0x001c0001, 	/* pswrq, k2, 1 lines */
7842316485Sdavidcs	0x00000000, 	/* pswrq, e5, 0 lines */
7843316485Sdavidcs	0x01170015, 	/* pswrq2, bb, 21 lines */
7844316485Sdavidcs	0x01170015, 	/* pswrq2, k2, 21 lines */
7845316485Sdavidcs	0x00000000, 	/* pswrq2, e5, 0 lines */
7846316485Sdavidcs	0x00000000, 	/* pglcs, bb, 0 lines */
7847316485Sdavidcs	0x00120006, 	/* pglcs, k2, 6 lines */
7848316485Sdavidcs	0x00000000, 	/* pglcs, e5, 0 lines */
7849316485Sdavidcs	0x00100001, 	/* dmae, bb, 1 lines */
7850316485Sdavidcs	0x00100001, 	/* dmae, k2, 1 lines */
7851316485Sdavidcs	0x00000000, 	/* dmae, e5, 0 lines */
7852316485Sdavidcs	0x012c0105, 	/* ptu, bb, 5 lines */
7853316485Sdavidcs	0x012c0105, 	/* ptu, k2, 5 lines */
7854316485Sdavidcs	0x00000000, 	/* ptu, e5, 0 lines */
7855316485Sdavidcs	0x01310120, 	/* tcm, bb, 32 lines */
7856316485Sdavidcs	0x01310120, 	/* tcm, k2, 32 lines */
7857316485Sdavidcs	0x00000000, 	/* tcm, e5, 0 lines */
7858316485Sdavidcs	0x01310120, 	/* mcm, bb, 32 lines */
7859316485Sdavidcs	0x01310120, 	/* mcm, k2, 32 lines */
7860316485Sdavidcs	0x00000000, 	/* mcm, e5, 0 lines */
7861316485Sdavidcs	0x01310120, 	/* ucm, bb, 32 lines */
7862316485Sdavidcs	0x01310120, 	/* ucm, k2, 32 lines */
7863316485Sdavidcs	0x00000000, 	/* ucm, e5, 0 lines */
7864316485Sdavidcs	0x01310120, 	/* xcm, bb, 32 lines */
7865316485Sdavidcs	0x01310120, 	/* xcm, k2, 32 lines */
7866316485Sdavidcs	0x00000000, 	/* xcm, e5, 0 lines */
7867316485Sdavidcs	0x01310120, 	/* ycm, bb, 32 lines */
7868316485Sdavidcs	0x01310120, 	/* ycm, k2, 32 lines */
7869316485Sdavidcs	0x00000000, 	/* ycm, e5, 0 lines */
7870316485Sdavidcs	0x01310120, 	/* pcm, bb, 32 lines */
7871316485Sdavidcs	0x01310120, 	/* pcm, k2, 32 lines */
7872316485Sdavidcs	0x00000000, 	/* pcm, e5, 0 lines */
7873316485Sdavidcs	0x01510062, 	/* qm, bb, 98 lines */
7874316485Sdavidcs	0x01510062, 	/* qm, k2, 98 lines */
7875316485Sdavidcs	0x00000000, 	/* qm, e5, 0 lines */
7876316485Sdavidcs	0x01b30021, 	/* tm, bb, 33 lines */
7877316485Sdavidcs	0x01b30021, 	/* tm, k2, 33 lines */
7878316485Sdavidcs	0x00000000, 	/* tm, e5, 0 lines */
7879316485Sdavidcs	0x01d40107, 	/* dorq, bb, 7 lines */
7880316485Sdavidcs	0x01d40107, 	/* dorq, k2, 7 lines */
7881316485Sdavidcs	0x00000000, 	/* dorq, e5, 0 lines */
7882316485Sdavidcs	0x00600185, 	/* brb, bb, 133 lines */
7883316485Sdavidcs	0x00600185, 	/* brb, k2, 133 lines */
7884316485Sdavidcs	0x00000000, 	/* brb, e5, 0 lines */
7885316485Sdavidcs	0x01db0019, 	/* src, bb, 25 lines */
7886316485Sdavidcs	0x01d9001a, 	/* src, k2, 26 lines */
7887316485Sdavidcs	0x00000000, 	/* src, e5, 0 lines */
7888316485Sdavidcs	0x01f40104, 	/* prs, bb, 4 lines */
7889316485Sdavidcs	0x01f40104, 	/* prs, k2, 4 lines */
7890316485Sdavidcs	0x00000000, 	/* prs, e5, 0 lines */
7891316485Sdavidcs	0x01f80133, 	/* tsdm, bb, 51 lines */
7892316485Sdavidcs	0x01f80133, 	/* tsdm, k2, 51 lines */
7893316485Sdavidcs	0x00000000, 	/* tsdm, e5, 0 lines */
7894316485Sdavidcs	0x01f80133, 	/* msdm, bb, 51 lines */
7895316485Sdavidcs	0x01f80133, 	/* msdm, k2, 51 lines */
7896316485Sdavidcs	0x00000000, 	/* msdm, e5, 0 lines */
7897316485Sdavidcs	0x01f80133, 	/* usdm, bb, 51 lines */
7898316485Sdavidcs	0x01f80133, 	/* usdm, k2, 51 lines */
7899316485Sdavidcs	0x00000000, 	/* usdm, e5, 0 lines */
7900316485Sdavidcs	0x01f80133, 	/* xsdm, bb, 51 lines */
7901316485Sdavidcs	0x01f80133, 	/* xsdm, k2, 51 lines */
7902316485Sdavidcs	0x00000000, 	/* xsdm, e5, 0 lines */
7903316485Sdavidcs	0x01f80133, 	/* ysdm, bb, 51 lines */
7904316485Sdavidcs	0x01f80133, 	/* ysdm, k2, 51 lines */
7905316485Sdavidcs	0x00000000, 	/* ysdm, e5, 0 lines */
7906316485Sdavidcs	0x01f80133, 	/* psdm, bb, 51 lines */
7907316485Sdavidcs	0x01f80133, 	/* psdm, k2, 51 lines */
7908316485Sdavidcs	0x00000000, 	/* psdm, e5, 0 lines */
7909316485Sdavidcs	0x022b010c, 	/* tsem, bb, 12 lines */
7910316485Sdavidcs	0x022b010c, 	/* tsem, k2, 12 lines */
7911316485Sdavidcs	0x00000000, 	/* tsem, e5, 0 lines */
7912316485Sdavidcs	0x022b010c, 	/* msem, bb, 12 lines */
7913316485Sdavidcs	0x022b010c, 	/* msem, k2, 12 lines */
7914316485Sdavidcs	0x00000000, 	/* msem, e5, 0 lines */
7915316485Sdavidcs	0x022b010c, 	/* usem, bb, 12 lines */
7916316485Sdavidcs	0x022b010c, 	/* usem, k2, 12 lines */
7917316485Sdavidcs	0x00000000, 	/* usem, e5, 0 lines */
7918316485Sdavidcs	0x022b010c, 	/* xsem, bb, 12 lines */
7919316485Sdavidcs	0x022b010c, 	/* xsem, k2, 12 lines */
7920316485Sdavidcs	0x00000000, 	/* xsem, e5, 0 lines */
7921316485Sdavidcs	0x022b010c, 	/* ysem, bb, 12 lines */
7922316485Sdavidcs	0x022b010c, 	/* ysem, k2, 12 lines */
7923316485Sdavidcs	0x00000000, 	/* ysem, e5, 0 lines */
7924316485Sdavidcs	0x022b010c, 	/* psem, bb, 12 lines */
7925316485Sdavidcs	0x022b010c, 	/* psem, k2, 12 lines */
7926316485Sdavidcs	0x00000000, 	/* psem, e5, 0 lines */
7927316485Sdavidcs	0x0237000d, 	/* rss, bb, 13 lines */
7928316485Sdavidcs	0x0237000d, 	/* rss, k2, 13 lines */
7929316485Sdavidcs	0x00000000, 	/* rss, e5, 0 lines */
7930316485Sdavidcs	0x02440106, 	/* tmld, bb, 6 lines */
7931316485Sdavidcs	0x02440106, 	/* tmld, k2, 6 lines */
7932316485Sdavidcs	0x00000000, 	/* tmld, e5, 0 lines */
7933316485Sdavidcs	0x024a0106, 	/* muld, bb, 6 lines */
7934316485Sdavidcs	0x024a0106, 	/* muld, k2, 6 lines */
7935316485Sdavidcs	0x00000000, 	/* muld, e5, 0 lines */
7936316485Sdavidcs	0x02440005, 	/* yuld, bb, 5 lines */
7937316485Sdavidcs	0x02440005, 	/* yuld, k2, 5 lines */
7938316485Sdavidcs	0x00000000, 	/* yuld, e5, 0 lines */
7939316485Sdavidcs	0x02500107, 	/* xyld, bb, 7 lines */
7940316485Sdavidcs	0x024a0107, 	/* xyld, k2, 7 lines */
7941316485Sdavidcs	0x00000000, 	/* xyld, e5, 0 lines */
7942316485Sdavidcs	0x00000000, 	/* ptld, bb, 0 lines */
7943316485Sdavidcs	0x00000000, 	/* ptld, k2, 0 lines */
7944316485Sdavidcs	0x00000000, 	/* ptld, e5, 0 lines */
7945316485Sdavidcs	0x00000000, 	/* ypld, bb, 0 lines */
7946316485Sdavidcs	0x00000000, 	/* ypld, k2, 0 lines */
7947316485Sdavidcs	0x00000000, 	/* ypld, e5, 0 lines */
7948316485Sdavidcs	0x0257010e, 	/* prm, bb, 14 lines */
7949316485Sdavidcs	0x02650110, 	/* prm, k2, 16 lines */
7950316485Sdavidcs	0x00000000, 	/* prm, e5, 0 lines */
7951316485Sdavidcs	0x0275000d, 	/* pbf_pb1, bb, 13 lines */
7952316485Sdavidcs	0x0275000d, 	/* pbf_pb1, k2, 13 lines */
7953316485Sdavidcs	0x00000000, 	/* pbf_pb1, e5, 0 lines */
7954316485Sdavidcs	0x0275000d, 	/* pbf_pb2, bb, 13 lines */
7955316485Sdavidcs	0x0275000d, 	/* pbf_pb2, k2, 13 lines */
7956316485Sdavidcs	0x00000000, 	/* pbf_pb2, e5, 0 lines */
7957316485Sdavidcs	0x0275000d, 	/* rpb, bb, 13 lines */
7958316485Sdavidcs	0x0275000d, 	/* rpb, k2, 13 lines */
7959316485Sdavidcs	0x00000000, 	/* rpb, e5, 0 lines */
7960316485Sdavidcs	0x00600185, 	/* btb, bb, 133 lines */
7961316485Sdavidcs	0x00600185, 	/* btb, k2, 133 lines */
7962316485Sdavidcs	0x00000000, 	/* btb, e5, 0 lines */
7963316485Sdavidcs	0x02820117, 	/* pbf, bb, 23 lines */
7964316485Sdavidcs	0x02820117, 	/* pbf, k2, 23 lines */
7965316485Sdavidcs	0x00000000, 	/* pbf, e5, 0 lines */
7966316485Sdavidcs	0x02990006, 	/* rdif, bb, 6 lines */
7967316485Sdavidcs	0x02990006, 	/* rdif, k2, 6 lines */
7968316485Sdavidcs	0x00000000, 	/* rdif, e5, 0 lines */
7969316485Sdavidcs	0x029f0006, 	/* tdif, bb, 6 lines */
7970316485Sdavidcs	0x029f0006, 	/* tdif, k2, 6 lines */
7971316485Sdavidcs	0x00000000, 	/* tdif, e5, 0 lines */
7972316485Sdavidcs	0x02a50003, 	/* cdu, bb, 3 lines */
7973316485Sdavidcs	0x02a8000e, 	/* cdu, k2, 14 lines */
7974316485Sdavidcs	0x00000000, 	/* cdu, e5, 0 lines */
7975316485Sdavidcs	0x02b6010d, 	/* ccfc, bb, 13 lines */
7976316485Sdavidcs	0x02c30117, 	/* ccfc, k2, 23 lines */
7977316485Sdavidcs	0x00000000, 	/* ccfc, e5, 0 lines */
7978316485Sdavidcs	0x02b6010d, 	/* tcfc, bb, 13 lines */
7979316485Sdavidcs	0x02c30117, 	/* tcfc, k2, 23 lines */
7980316485Sdavidcs	0x00000000, 	/* tcfc, e5, 0 lines */
7981316485Sdavidcs	0x02da0133, 	/* igu, bb, 51 lines */
7982316485Sdavidcs	0x02da0133, 	/* igu, k2, 51 lines */
7983316485Sdavidcs	0x00000000, 	/* igu, e5, 0 lines */
7984316485Sdavidcs	0x030d0106, 	/* cau, bb, 6 lines */
7985316485Sdavidcs	0x030d0106, 	/* cau, k2, 6 lines */
7986316485Sdavidcs	0x00000000, 	/* cau, e5, 0 lines */
7987316485Sdavidcs	0x00000000, 	/* rgfs, bb, 0 lines */
7988316485Sdavidcs	0x00000000, 	/* rgfs, k2, 0 lines */
7989316485Sdavidcs	0x00000000, 	/* rgfs, e5, 0 lines */
7990316485Sdavidcs	0x00000000, 	/* rgsrc, bb, 0 lines */
7991316485Sdavidcs	0x00000000, 	/* rgsrc, k2, 0 lines */
7992316485Sdavidcs	0x00000000, 	/* rgsrc, e5, 0 lines */
7993316485Sdavidcs	0x00000000, 	/* tgfs, bb, 0 lines */
7994316485Sdavidcs	0x00000000, 	/* tgfs, k2, 0 lines */
7995316485Sdavidcs	0x00000000, 	/* tgfs, e5, 0 lines */
7996316485Sdavidcs	0x00000000, 	/* tgsrc, bb, 0 lines */
7997316485Sdavidcs	0x00000000, 	/* tgsrc, k2, 0 lines */
7998316485Sdavidcs	0x00000000, 	/* tgsrc, e5, 0 lines */
7999316485Sdavidcs	0x00000000, 	/* umac, bb, 0 lines */
8000316485Sdavidcs	0x00120006, 	/* umac, k2, 6 lines */
8001316485Sdavidcs	0x00000000, 	/* umac, e5, 0 lines */
8002316485Sdavidcs	0x00000000, 	/* xmac, bb, 0 lines */
8003316485Sdavidcs	0x00000000, 	/* xmac, k2, 0 lines */
8004316485Sdavidcs	0x00000000, 	/* xmac, e5, 0 lines */
8005316485Sdavidcs	0x00000000, 	/* dbg, bb, 0 lines */
8006316485Sdavidcs	0x00000000, 	/* dbg, k2, 0 lines */
8007316485Sdavidcs	0x00000000, 	/* dbg, e5, 0 lines */
8008316485Sdavidcs	0x0313012b, 	/* nig, bb, 43 lines */
8009316485Sdavidcs	0x0313011d, 	/* nig, k2, 29 lines */
8010316485Sdavidcs	0x00000000, 	/* nig, e5, 0 lines */
8011316485Sdavidcs	0x00000000, 	/* wol, bb, 0 lines */
8012316485Sdavidcs	0x001c0002, 	/* wol, k2, 2 lines */
8013316485Sdavidcs	0x00000000, 	/* wol, e5, 0 lines */
8014316485Sdavidcs	0x00000000, 	/* bmbn, bb, 0 lines */
8015316485Sdavidcs	0x00210008, 	/* bmbn, k2, 8 lines */
8016316485Sdavidcs	0x00000000, 	/* bmbn, e5, 0 lines */
8017316485Sdavidcs	0x00000000, 	/* ipc, bb, 0 lines */
8018316485Sdavidcs	0x00000000, 	/* ipc, k2, 0 lines */
8019316485Sdavidcs	0x00000000, 	/* ipc, e5, 0 lines */
8020316485Sdavidcs	0x00000000, 	/* nwm, bb, 0 lines */
8021316485Sdavidcs	0x033e000b, 	/* nwm, k2, 11 lines */
8022316485Sdavidcs	0x00000000, 	/* nwm, e5, 0 lines */
8023316485Sdavidcs	0x00000000, 	/* nws, bb, 0 lines */
8024316485Sdavidcs	0x03490009, 	/* nws, k2, 9 lines */
8025316485Sdavidcs	0x00000000, 	/* nws, e5, 0 lines */
8026316485Sdavidcs	0x00000000, 	/* ms, bb, 0 lines */
8027316485Sdavidcs	0x00120004, 	/* ms, k2, 4 lines */
8028316485Sdavidcs	0x00000000, 	/* ms, e5, 0 lines */
8029316485Sdavidcs	0x00000000, 	/* phy_pcie, bb, 0 lines */
8030316485Sdavidcs	0x0352001a, 	/* phy_pcie, k2, 26 lines */
8031316485Sdavidcs	0x00000000, 	/* phy_pcie, e5, 0 lines */
8032316485Sdavidcs	0x00000000, 	/* led, bb, 0 lines */
8033316485Sdavidcs	0x00000000, 	/* led, k2, 0 lines */
8034316485Sdavidcs	0x00000000, 	/* led, e5, 0 lines */
8035316485Sdavidcs	0x00000000, 	/* avs_wrap, bb, 0 lines */
8036316485Sdavidcs	0x00000000, 	/* avs_wrap, k2, 0 lines */
8037316485Sdavidcs	0x00000000, 	/* avs_wrap, e5, 0 lines */
8038316485Sdavidcs	0x00000000, 	/* bar0_map, bb, 0 lines */
8039316485Sdavidcs	0x00000000, 	/* bar0_map, k2, 0 lines */
8040316485Sdavidcs	0x00000000, 	/* bar0_map, e5, 0 lines */
8041316485Sdavidcs};
8042316485Sdavidcs/* Data size: 1032 bytes */
8043316485Sdavidcs
8044316485Sdavidcs#endif /* __DBG_VALUES_H__ */
8045