Makefile revision 344220
1# $FreeBSD: stable/11/share/man/man9/Makefile 344220 2019-02-17 02:39:17Z kevans $
2
3.include <src.opts.mk>
4
5PACKAGE=runtime-manuals
6
7MAN=	accept_filter.9 \
8	accf_data.9 \
9	accf_dns.9 \
10	accf_http.9 \
11	acl.9 \
12	alq.9 \
13	altq.9 \
14	atomic.9 \
15	bios.9 \
16	bitset.9 \
17	boot.9 \
18	bpf.9 \
19	buf.9 \
20	buf_ring.9 \
21	BUF_ISLOCKED.9 \
22	BUF_LOCK.9 \
23	BUF_LOCKFREE.9 \
24	BUF_LOCKINIT.9 \
25	BUF_RECURSED.9 \
26	BUF_TIMELOCK.9 \
27	BUF_UNLOCK.9 \
28	bus_activate_resource.9 \
29	BUS_ADD_CHILD.9 \
30	bus_adjust_resource.9 \
31	bus_alloc_resource.9 \
32	BUS_BIND_INTR.9 \
33	bus_child_present.9 \
34	BUS_CHILD_DELETED.9 \
35	BUS_CHILD_DETACHED.9 \
36	BUS_CONFIG_INTR.9 \
37	BUS_DESCRIBE_INTR.9 \
38	bus_dma.9 \
39	bus_generic_attach.9 \
40	bus_generic_detach.9 \
41	bus_generic_new_pass.9 \
42	bus_generic_print_child.9 \
43	bus_generic_read_ivar.9 \
44	bus_generic_shutdown.9 \
45	BUS_GET_CPUS.9 \
46	bus_get_resource.9 \
47	bus_map_resource.9 \
48	BUS_NEW_PASS.9 \
49	BUS_PRINT_CHILD.9 \
50	BUS_READ_IVAR.9 \
51	BUS_RESCAN.9 \
52	bus_release_resource.9 \
53	bus_set_pass.9 \
54	bus_set_resource.9 \
55	BUS_SETUP_INTR.9 \
56	bus_space.9 \
57	byteorder.9 \
58	casuword.9 \
59	cd.9 \
60	cnv.9 \
61	condvar.9 \
62	config_intrhook.9 \
63	contigmalloc.9 \
64	copy.9 \
65	counter.9 \
66	cpuset.9 \
67	cr_cansee.9 \
68	critical_enter.9 \
69	cr_seeothergids.9 \
70	cr_seeotheruids.9 \
71	crypto.9 \
72	CTASSERT.9 \
73	DB_COMMAND.9 \
74	DECLARE_GEOM_CLASS.9 \
75	DECLARE_MODULE.9 \
76	DELAY.9 \
77	devclass.9 \
78	devclass_find.9 \
79	devclass_get_device.9 \
80	devclass_get_devices.9 \
81	devclass_get_drivers.9 \
82	devclass_get_maxunit.9 \
83	devclass_get_name.9 \
84	devclass_get_softc.9 \
85	dev_clone.9 \
86	devfs_set_cdevpriv.9 \
87	device.9 \
88	device_add_child.9 \
89	DEVICE_ATTACH.9 \
90	device_delete_child.9 \
91	DEVICE_DETACH.9 \
92	device_enable.9 \
93	device_find_child.9 \
94	device_get_children.9 \
95	device_get_devclass.9 \
96	device_get_driver.9 \
97	device_get_ivars.9 \
98	device_get_name.9 \
99	device_get_parent.9 \
100	device_get_softc.9 \
101	device_get_state.9 \
102	device_get_sysctl.9 \
103	device_get_unit.9 \
104	DEVICE_IDENTIFY.9 \
105	device_printf.9 \
106	DEVICE_PROBE.9 \
107	device_probe_and_attach.9 \
108	device_quiet.9 \
109	device_set_desc.9 \
110	device_set_driver.9 \
111	device_set_flags.9 \
112	DEVICE_SHUTDOWN.9 \
113	DEV_MODULE.9 \
114	devstat.9 \
115	devtoname.9 \
116	disk.9 \
117	domain.9 \
118	drbr.9 \
119	driver.9 \
120	DRIVER_MODULE.9 \
121	EVENTHANDLER.9 \
122	eventtimers.9 \
123	extattr.9 \
124	fail.9 \
125	fdt_pinctrl.9 \
126	fetch.9 \
127	firmware.9 \
128	fpu_kern.9 \
129	g_access.9 \
130	g_attach.9 \
131	g_bio.9 \
132	g_consumer.9 \
133	g_data.9 \
134	get_cyclecount.9 \
135	getenv.9 \
136	getnewvnode.9 \
137	g_event.9 \
138	g_geom.9 \
139	g_provider.9 \
140	g_provider_by_name.9 \
141	groupmember.9 \
142	g_wither_geom.9 \
143	hash.9 \
144	hashinit.9 \
145	hexdump.9 \
146	hhook.9 \
147	ieee80211.9 \
148	ieee80211_amrr.9 \
149	ieee80211_beacon.9 \
150	ieee80211_bmiss.9 \
151	ieee80211_crypto.9 \
152	ieee80211_ddb.9 \
153	ieee80211_input.9 \
154	ieee80211_node.9 \
155	ieee80211_output.9 \
156	ieee80211_proto.9 \
157	ieee80211_radiotap.9 \
158	ieee80211_regdomain.9 \
159	ieee80211_scan.9 \
160	ieee80211_vap.9 \
161	ifnet.9 \
162	inittodr.9 \
163	insmntque.9 \
164	intro.9 \
165	ithread.9 \
166	KASSERT.9 \
167	kern_testfrwk.9 \
168	kernacc.9 \
169	kernel_mount.9 \
170	khelp.9 \
171	kobj.9 \
172	kproc.9 \
173	kqueue.9 \
174	kthread.9 \
175	ktr.9 \
176	lock.9 \
177	locking.9 \
178	LOCK_PROFILING.9 \
179	mac.9 \
180	make_dev.9 \
181	malloc.9 \
182	mbchain.9 \
183	mbpool.9 \
184	mbuf.9 \
185	mbuf_tags.9 \
186	MD5.9 \
187	mdchain.9 \
188	memcchr.9 \
189	memguard.9 \
190	microseq.9 \
191	microtime.9 \
192	microuptime.9 \
193	mi_switch.9 \
194	mod_cc.9 \
195	module.9 \
196	MODULE_DEPEND.9 \
197	MODULE_VERSION.9 \
198	mtx_pool.9 \
199	mutex.9 \
200	namei.9 \
201	netisr.9 \
202	nv.9 \
203	osd.9 \
204	owll.9 \
205	own.9 \
206	panic.9 \
207	pbuf.9 \
208	PCBGROUP.9 \
209	p_candebug.9 \
210	p_cansee.9 \
211	pci.9 \
212	PCI_IOV_ADD_VF.9 \
213	PCI_IOV_INIT.9 \
214	pci_iov_schema.9 \
215	PCI_IOV_UNINIT.9 \
216	pfil.9 \
217	pfind.9 \
218	pget.9 \
219	pgfind.9 \
220	PHOLD.9 \
221	physio.9 \
222	pmap.9 \
223	pmap_activate.9 \
224	pmap_clear_modify.9 \
225	pmap_copy.9 \
226	pmap_enter.9 \
227	pmap_extract.9 \
228	pmap_growkernel.9 \
229	pmap_init.9 \
230	pmap_is_modified.9 \
231	pmap_is_prefaultable.9 \
232	pmap_map.9 \
233	pmap_mincore.9 \
234	pmap_object_init_pt.9 \
235	pmap_page_exists_quick.9 \
236	pmap_page_init.9 \
237	pmap_pinit.9 \
238	pmap_protect.9 \
239	pmap_qenter.9 \
240	pmap_quick_enter_page.9 \
241	pmap_release.9 \
242	pmap_remove.9 \
243	pmap_resident_count.9 \
244	pmap_unwire.9 \
245	pmap_zero_page.9 \
246	printf.9 \
247	prison_check.9 \
248	priv.9 \
249	proc_rwmem.9 \
250	pseudofs.9 \
251	psignal.9 \
252	random.9 \
253	random_harvest.9 \
254	redzone.9 \
255	refcount.9 \
256	resettodr.9 \
257	resource_int_value.9 \
258	rijndael.9 \
259	rman.9 \
260	rmlock.9 \
261	rtalloc.9 \
262	rtentry.9 \
263	runqueue.9 \
264	rwlock.9 \
265	sbuf.9 \
266	scheduler.9 \
267	SDT.9 \
268	securelevel_gt.9 \
269	selrecord.9 \
270	sema.9 \
271	sf_buf.9 \
272	sglist.9 \
273	shm_map.9 \
274	signal.9 \
275	sleep.9 \
276	sleepqueue.9 \
277	socket.9 \
278	stack.9 \
279	store.9 \
280	style.9 \
281	style.lua.9 \
282	swi.9 \
283	sx.9 \
284	SYSCALL_MODULE.9 \
285	sysctl.9 \
286	sysctl_add_oid.9 \
287	sysctl_ctx_init.9 \
288	SYSINIT.9 \
289	taskqueue.9 \
290	tcp_functions.9 \
291	thread_exit.9 \
292	time.9 \
293	timeout.9 \
294	tvtohz.9 \
295	ucred.9 \
296	uidinfo.9 \
297	uio.9 \
298	unr.9 \
299	utopia.9 \
300	vaccess.9 \
301	vaccess_acl_nfs4.9 \
302	vaccess_acl_posix1e.9 \
303	vcount.9 \
304	vflush.9 \
305	VFS.9 \
306	vfs_busy.9 \
307	VFS_CHECKEXP.9 \
308	vfsconf.9 \
309	VFS_FHTOVP.9 \
310	vfs_getnewfsid.9 \
311	vfs_getopt.9 \
312	vfs_getvfs.9 \
313	VFS_MOUNT.9 \
314	vfs_mountedfrom.9 \
315	VFS_QUOTACTL.9 \
316	VFS_ROOT.9 \
317	vfs_rootmountalloc.9 \
318	VFS_SET.9 \
319	VFS_STATFS.9 \
320	vfs_suser.9 \
321	VFS_SYNC.9 \
322	vfs_timestamp.9 \
323	vfs_unbusy.9 \
324	VFS_UNMOUNT.9 \
325	vfs_unmountall.9 \
326	VFS_VGET.9 \
327	vget.9 \
328	vgone.9 \
329	vhold.9 \
330	vinvalbuf.9 \
331	vm_fault_prefault.9 \
332	vm_map.9 \
333	vm_map_check_protection.9 \
334	vm_map_create.9 \
335	vm_map_delete.9 \
336	vm_map_entry_resize_free.9 \
337	vm_map_find.9 \
338	vm_map_findspace.9 \
339	vm_map_inherit.9 \
340	vm_map_init.9 \
341	vm_map_insert.9 \
342	vm_map_lock.9 \
343	vm_map_lookup.9 \
344	vm_map_madvise.9 \
345	vm_map_max.9 \
346	vm_map_protect.9 \
347	vm_map_remove.9 \
348	vm_map_simplify_entry.9 \
349	vm_map_stack.9 \
350	vm_map_submap.9 \
351	vm_map_sync.9 \
352	vm_map_wire.9 \
353	vm_page_alloc.9 \
354	vm_page_bits.9 \
355	vm_page_busy.9 \
356	vm_page_cache.9 \
357	vm_page_deactivate.9 \
358	vm_page_dontneed.9 \
359	vm_page_aflag.9 \
360	vm_page_free.9 \
361	vm_page_grab.9 \
362	vm_page_hold.9 \
363	vm_page_insert.9 \
364	vm_page_lookup.9 \
365	vm_page_rename.9 \
366	vm_page_wire.9 \
367	vm_set_page_size.9 \
368	vmem.9 \
369	vn_fullpath.9 \
370	vn_isdisk.9 \
371	vnet.9 \
372	vnode.9 \
373	VOP_ACCESS.9 \
374	VOP_ACLCHECK.9 \
375	VOP_ADVISE.9 \
376	VOP_ADVLOCK.9 \
377	VOP_ALLOCATE.9 \
378	VOP_ATTRIB.9 \
379	VOP_BWRITE.9 \
380	VOP_CREATE.9 \
381	VOP_FSYNC.9 \
382	VOP_GETACL.9 \
383	VOP_GETEXTATTR.9 \
384	VOP_GETPAGES.9 \
385	VOP_INACTIVE.9 \
386	VOP_IOCTL.9 \
387	VOP_LINK.9 \
388	VOP_LISTEXTATTR.9 \
389	VOP_LOCK.9 \
390	VOP_LOOKUP.9 \
391	VOP_OPENCLOSE.9 \
392	VOP_PATHCONF.9 \
393	VOP_PRINT.9 \
394	VOP_RDWR.9 \
395	VOP_READDIR.9 \
396	VOP_READLINK.9 \
397	VOP_REALLOCBLKS.9 \
398	VOP_REMOVE.9 \
399	VOP_RENAME.9 \
400	VOP_REVOKE.9 \
401	VOP_SETACL.9 \
402	VOP_SETEXTATTR.9 \
403	VOP_STRATEGY.9 \
404	VOP_VPTOCNP.9 \
405	VOP_VPTOFH.9 \
406	vref.9 \
407	vrefcnt.9 \
408	vrele.9 \
409	vslock.9 \
410	watchdog.9 \
411	zone.9
412
413MLINKS=	unr.9 alloc_unr.9 \
414	unr.9 alloc_unrl.9 \
415	unr.9 alloc_unr_specific.9 \
416	unr.9 delete_unrhdr.9 \
417	unr.9 free_unr.9 \
418	unr.9 new_unrhdr.9
419MLINKS+=accept_filter.9 accept_filt_add.9 \
420	accept_filter.9 accept_filt_del.9 \
421	accept_filter.9 accept_filt_generic_mod_event.9 \
422	accept_filter.9 accept_filt_get.9
423MLINKS+=alq.9 ALQ.9 \
424	alq.9 alq_close.9 \
425	alq.9 alq_flush.9 \
426	alq.9 alq_get.9 \
427	alq.9 alq_getn.9 \
428	alq.9 alq_open.9 \
429	alq.9 alq_open_flags.9 \
430	alq.9 alq_post.9 \
431	alq.9 alq_post_flags.9 \
432	alq.9 alq_write.9 \
433	alq.9 alq_writen.9
434MLINKS+=altq.9 ALTQ.9
435MLINKS+=atomic.9 atomic_add.9 \
436	atomic.9 atomic_clear.9 \
437	atomic.9 atomic_cmpset.9 \
438	atomic.9 atomic_fcmpset.9 \
439	atomic.9 atomic_fetchadd.9 \
440	atomic.9 atomic_load.9 \
441	atomic.9 atomic_readandclear.9 \
442	atomic.9 atomic_set.9 \
443	atomic.9 atomic_store.9 \
444	atomic.9 atomic_subtract.9 \
445	atomic.9 atomic_swap.9 \
446	atomic.9 atomic_testandset.9
447MLINKS+=bitset.9 BITSET_DEFINE.9 \
448	bitset.9 BITSET_T_INITIALIZER.9 \
449	bitset.9 BITSET_FSET.9 \
450	bitset.9 BIT_CLR.9 \
451	bitset.9 BIT_COPY.9 \
452	bitset.9 BIT_ISSET.9 \
453	bitset.9 BIT_SET.9 \
454	bitset.9 BIT_ZERO.9 \
455	bitset.9 BIT_FILL.9 \
456	bitset.9 BIT_SETOF.9 \
457	bitset.9 BIT_EMPTY.9 \
458	bitset.9 BIT_ISFULLSET.9 \
459	bitset.9 BIT_FFS.9 \
460	bitset.9 BIT_COUNT.9 \
461	bitset.9 BIT_SUBSET.9 \
462	bitset.9 BIT_OVERLAP.9 \
463	bitset.9 BIT_CMP.9 \
464	bitset.9 BIT_OR.9 \
465	bitset.9 BIT_AND.9 \
466	bitset.9 BIT_NAND.9 \
467	bitset.9 BIT_CLR_ATOMIC.9 \
468	bitset.9 BIT_SET_ATOMIC.9 \
469	bitset.9 BIT_SET_ATOMIC_ACQ.9 \
470	bitset.9 BIT_AND_ATOMIC.9 \
471	bitset.9 BIT_OR_ATOMIC.9 \
472	bitset.9 BIT_COPY_STORE_REL.9
473MLINKS+=bpf.9 bpfattach.9 \
474	bpf.9 bpfattach2.9 \
475	bpf.9 bpfdetach.9 \
476	bpf.9 bpf_filter.9 \
477	bpf.9 bpf_mtap.9 \
478	bpf.9 bpf_mtap2.9 \
479	bpf.9 bpf_tap.9 \
480	bpf.9 bpf_validate.9
481MLINKS+=buf.9 bp.9
482MLINKS+=buf_ring.9 buf_ring_alloc.9 \
483	buf_ring.9 buf_ring_free.9 \
484	buf_ring.9 buf_ring_enqueue.9 \
485	buf_ring.9 buf_ring_enqueue_bytes.9 \
486	buf_ring.9 buf_ring_dequeue_mc.9 \
487	buf_ring.9 buf_ring_dequeue_sc.9 \
488	buf_ring.9 buf_ring_count.9 \
489	buf_ring.9 buf_ring_empty.9 \
490	buf_ring.9 buf_ring_full.9 \
491	buf_ring.9 buf_ring_peek.9
492MLINKS+=bus_activate_resource.9 bus_deactivate_resource.9
493MLINKS+=bus_alloc_resource.9 bus_alloc_resource_any.9
494MLINKS+=BUS_BIND_INTR.9 bus_bind_intr.9
495MLINKS+=BUS_DESCRIBE_INTR.9 bus_describe_intr.9
496MLINKS+=bus_dma.9 busdma.9 \
497	bus_dma.9 bus_dmamap_create.9 \
498	bus_dma.9 bus_dmamap_destroy.9 \
499	bus_dma.9 bus_dmamap_load.9 \
500	bus_dma.9 bus_dmamap_load_bio.9 \
501	bus_dma.9 bus_dmamap_load_ccb.9 \
502	bus_dma.9 bus_dmamap_load_mbuf.9 \
503	bus_dma.9 bus_dmamap_load_mbuf_sg.9 \
504	bus_dma.9 bus_dmamap_load_uio.9 \
505	bus_dma.9 bus_dmamap_sync.9 \
506	bus_dma.9 bus_dmamap_unload.9 \
507	bus_dma.9 bus_dmamem_alloc.9 \
508	bus_dma.9 bus_dmamem_free.9 \
509	bus_dma.9 bus_dma_tag_create.9 \
510	bus_dma.9 bus_dma_tag_destroy.9
511MLINKS+=bus_generic_read_ivar.9 bus_generic_write_ivar.9
512MLINKS+=BUS_GET_CPUS.9 bus_get_cpus.9
513MLINKS+=bus_map_resource.9 bus_unmap_resource.9 \
514	bus_map_resource.9 resource_init_map_request.9
515MLINKS+=BUS_READ_IVAR.9 BUS_WRITE_IVAR.9
516MLINKS+=BUS_SETUP_INTR.9 bus_setup_intr.9 \
517	BUS_SETUP_INTR.9 BUS_TEARDOWN_INTR.9 \
518	BUS_SETUP_INTR.9 bus_teardown_intr.9
519MLINKS+=bus_space.9 bus_space_alloc.9 \
520	bus_space.9 bus_space_barrier.9 \
521	bus_space.9 bus_space_copy_region_1.9 \
522	bus_space.9 bus_space_copy_region_2.9 \
523	bus_space.9 bus_space_copy_region_4.9 \
524	bus_space.9 bus_space_copy_region_8.9 \
525	bus_space.9 bus_space_copy_region_stream_1.9 \
526	bus_space.9 bus_space_copy_region_stream_2.9 \
527	bus_space.9 bus_space_copy_region_stream_4.9 \
528	bus_space.9 bus_space_copy_region_stream_8.9 \
529	bus_space.9 bus_space_free.9 \
530	bus_space.9 bus_space_map.9 \
531	bus_space.9 bus_space_read_1.9 \
532	bus_space.9 bus_space_read_2.9 \
533	bus_space.9 bus_space_read_4.9 \
534	bus_space.9 bus_space_read_8.9 \
535	bus_space.9 bus_space_read_multi_1.9 \
536	bus_space.9 bus_space_read_multi_2.9 \
537	bus_space.9 bus_space_read_multi_4.9 \
538	bus_space.9 bus_space_read_multi_8.9 \
539	bus_space.9 bus_space_read_multi_stream_1.9 \
540	bus_space.9 bus_space_read_multi_stream_2.9 \
541	bus_space.9 bus_space_read_multi_stream_4.9 \
542	bus_space.9 bus_space_read_multi_stream_8.9 \
543	bus_space.9 bus_space_read_region_1.9 \
544	bus_space.9 bus_space_read_region_2.9 \
545	bus_space.9 bus_space_read_region_4.9 \
546	bus_space.9 bus_space_read_region_8.9 \
547	bus_space.9 bus_space_read_region_stream_1.9 \
548	bus_space.9 bus_space_read_region_stream_2.9 \
549	bus_space.9 bus_space_read_region_stream_4.9 \
550	bus_space.9 bus_space_read_region_stream_8.9 \
551	bus_space.9 bus_space_read_stream_1.9 \
552	bus_space.9 bus_space_read_stream_2.9 \
553	bus_space.9 bus_space_read_stream_4.9 \
554	bus_space.9 bus_space_read_stream_8.9 \
555	bus_space.9 bus_space_set_multi_1.9 \
556	bus_space.9 bus_space_set_multi_2.9 \
557	bus_space.9 bus_space_set_multi_4.9 \
558	bus_space.9 bus_space_set_multi_8.9 \
559	bus_space.9 bus_space_set_multi_stream_1.9 \
560	bus_space.9 bus_space_set_multi_stream_2.9 \
561	bus_space.9 bus_space_set_multi_stream_4.9 \
562	bus_space.9 bus_space_set_multi_stream_8.9 \
563	bus_space.9 bus_space_set_region_1.9 \
564	bus_space.9 bus_space_set_region_2.9 \
565	bus_space.9 bus_space_set_region_4.9 \
566	bus_space.9 bus_space_set_region_8.9 \
567	bus_space.9 bus_space_set_region_stream_1.9 \
568	bus_space.9 bus_space_set_region_stream_2.9 \
569	bus_space.9 bus_space_set_region_stream_4.9 \
570	bus_space.9 bus_space_set_region_stream_8.9 \
571	bus_space.9 bus_space_subregion.9 \
572	bus_space.9 bus_space_unmap.9 \
573	bus_space.9 bus_space_write_1.9 \
574	bus_space.9 bus_space_write_2.9 \
575	bus_space.9 bus_space_write_4.9 \
576	bus_space.9 bus_space_write_8.9 \
577	bus_space.9 bus_space_write_multi_1.9 \
578	bus_space.9 bus_space_write_multi_2.9 \
579	bus_space.9 bus_space_write_multi_4.9 \
580	bus_space.9 bus_space_write_multi_8.9 \
581	bus_space.9 bus_space_write_multi_stream_1.9 \
582	bus_space.9 bus_space_write_multi_stream_2.9 \
583	bus_space.9 bus_space_write_multi_stream_4.9 \
584	bus_space.9 bus_space_write_multi_stream_8.9 \
585	bus_space.9 bus_space_write_region_1.9 \
586	bus_space.9 bus_space_write_region_2.9 \
587	bus_space.9 bus_space_write_region_4.9 \
588	bus_space.9 bus_space_write_region_8.9 \
589	bus_space.9 bus_space_write_region_stream_1.9 \
590	bus_space.9 bus_space_write_region_stream_2.9 \
591	bus_space.9 bus_space_write_region_stream_4.9 \
592	bus_space.9 bus_space_write_region_stream_8.9 \
593	bus_space.9 bus_space_write_stream_1.9 \
594	bus_space.9 bus_space_write_stream_2.9 \
595	bus_space.9 bus_space_write_stream_4.9 \
596	bus_space.9 bus_space_write_stream_8.9
597MLINKS+=byteorder.9 be16dec.9 \
598	byteorder.9 be16enc.9 \
599	byteorder.9 be16toh.9 \
600	byteorder.9 be32dec.9 \
601	byteorder.9 be32enc.9 \
602	byteorder.9 be32toh.9 \
603	byteorder.9 be64dec.9 \
604	byteorder.9 be64enc.9 \
605	byteorder.9 be64toh.9 \
606	byteorder.9 bswap16.9 \
607	byteorder.9 bswap32.9 \
608	byteorder.9 bswap64.9 \
609	byteorder.9 htobe16.9 \
610	byteorder.9 htobe32.9 \
611	byteorder.9 htobe64.9 \
612	byteorder.9 htole16.9 \
613	byteorder.9 htole32.9 \
614	byteorder.9 htole64.9 \
615	byteorder.9 le16dec.9 \
616	byteorder.9 le16enc.9 \
617	byteorder.9 le16toh.9 \
618	byteorder.9 le32dec.9 \
619	byteorder.9 le32enc.9 \
620	byteorder.9 le32toh.9 \
621	byteorder.9 le64dec.9 \
622	byteorder.9 le64enc.9 \
623	byteorder.9 le64toh.9
624MLINKS+=cnv.9 cnvlist.9 \
625	cnv.9 cnvlist_free_binary.9 \
626	cnv.9 cnvlist_free_bool.9 \
627	cnv.9 cnvlist_free_bool_array.9 \
628	cnv.9 cnvlist_free_descriptor.9 \
629	cnv.9 cnvlist_free_descriptor_array.9 \
630	cnv.9 cnvlist_free_null.9 \
631	cnv.9 cnvlist_free_number.9 \
632	cnv.9 cnvlist_free_number_array.9 \
633	cnv.9 cnvlist_free_nvlist.9 \
634	cnv.9 cnvlist_free_nvlist_array.9 \
635	cnv.9 cnvlist_free_string.9 \
636	cnv.9 cnvlist_free_string_array.9 \
637	cnv.9 cnvlist_get_binary.9 \
638	cnv.9 cnvlist_get_bool.9 \
639	cnv.9 cnvlist_get_bool_array.9 \
640	cnv.9 cnvlist_get_descriptor.9 \
641	cnv.9 cnvlist_get_descriptor_array.9 \
642	cnv.9 cnvlist_get_number.9 \
643	cnv.9 cnvlist_get_number_array.9 \
644	cnv.9 cnvlist_get_nvlist.9 \
645	cnv.9 cnvlist_get_nvlist_array.9 \
646	cnv.9 cnvlist_get_string.9 \
647	cnv.9 cnvlist_get_string_array.9 \
648	cnv.9 cnvlist_take_binary.9 \
649	cnv.9 cnvlist_take_bool.9 \
650	cnv.9 cnvlist_take_bool_array.9 \
651	cnv.9 cnvlist_take_descriptor.9 \
652	cnv.9 cnvlist_take_descriptor_array.9 \
653	cnv.9 cnvlist_take_number.9 \
654	cnv.9 cnvlist_take_number_array.9 \
655	cnv.9 cnvlist_take_nvlist.9 \
656	cnv.9 cnvlist_take_nvlist_array.9 \
657	cnv.9 cnvlist_take_string.9 \
658	cnv.9 cnvlist_take_string_array.9
659MLINKS+=condvar.9 cv_broadcast.9 \
660	condvar.9 cv_broadcastpri.9 \
661	condvar.9 cv_destroy.9 \
662	condvar.9 cv_init.9 \
663	condvar.9 cv_signal.9 \
664	condvar.9 cv_timedwait.9 \
665	condvar.9 cv_timedwait_sig.9 \
666	condvar.9 cv_timedwait_sig_sbt.9 \
667	condvar.9 cv_wait.9 \
668	condvar.9 cv_wait_sig.9 \
669	condvar.9 cv_wait_unlock.9 \
670	condvar.9 cv_wmesg.9
671MLINKS+=config_intrhook.9 config_intrhook_disestablish.9 \
672	config_intrhook.9 config_intrhook_establish.9 \
673	config_intrhook.9 config_intrhook_oneshot.9
674MLINKS+=contigmalloc.9 contigfree.9
675MLINKS+=casuword.9 casueword.9 \
676	casuword.9 casueword32.9 \
677	casuword.9 casuword32.9
678MLINKS+=copy.9 copyin.9 \
679	copy.9 copyin_nofault.9 \
680	copy.9 copyinstr.9 \
681	copy.9 copyout.9 \
682	copy.9 copyout_nofault.9 \
683	copy.9 copystr.9
684MLINKS+=counter.9 counter_u64_alloc.9 \
685	counter.9 counter_u64_free.9 \
686	counter.9 counter_u64_add.9 \
687	counter.9 counter_enter.9 \
688	counter.9 counter_exit.9 \
689	counter.9 counter_u64_add_protected.9 \
690	counter.9 counter_u64_fetch.9 \
691	counter.9 counter_u64_zero.9 \
692	counter.9 SYSCTL_COUNTER_U64.9 \
693	counter.9 SYSCTL_ADD_COUNTER_U64.9 \
694	counter.9 SYSCTL_COUNTER_U64_ARRAY.9 \
695	counter.9 SYSCTL_ADD_COUNTER_U64_ARRAY.9
696MLINKS+=cpuset.9 CPUSET_T_INITIALIZER.9 \
697	cpuset.9 CPUSET_FSET.9 \
698	cpuset.9 CPU_CLR.9 \
699	cpuset.9 CPU_COPY.9 \
700	cpuset.9 CPU_ISSET.9 \
701	cpuset.9 CPU_SET.9 \
702	cpuset.9 CPU_ZERO.9 \
703	cpuset.9 CPU_FILL.9 \
704	cpuset.9 CPU_SETOF.9 \
705	cpuset.9 CPU_EMPTY.9 \
706	cpuset.9 CPU_ISFULLSET.9 \
707	cpuset.9 CPU_FFS.9 \
708	cpuset.9 CPU_COUNT.9 \
709	cpuset.9 CPU_SUBSET.9 \
710	cpuset.9 CPU_OVERLAP.9 \
711	cpuset.9 CPU_CMP.9 \
712	cpuset.9 CPU_OR.9 \
713	cpuset.9 CPU_AND.9 \
714	cpuset.9 CPU_NAND.9 \
715	cpuset.9 CPU_CLR_ATOMIC.9 \
716	cpuset.9 CPU_SET_ATOMIC.9 \
717	cpuset.9 CPU_SET_ATOMIC_ACQ.9 \
718	cpuset.9 CPU_AND_ATOMIC.9 \
719	cpuset.9 CPU_OR_ATOMIC.9 \
720	cpuset.9 CPU_COPY_STORE_REL.9
721MLINKS+=critical_enter.9 critical.9 \
722	critical_enter.9 critical_exit.9
723MLINKS+=crypto.9 crypto_dispatch.9 \
724	crypto.9 crypto_done.9 \
725	crypto.9 crypto_freereq.9 \
726	crypto.9 crypto_freesession.9 \
727	crypto.9 crypto_get_driverid.9 \
728	crypto.9 crypto_getreq.9 \
729	crypto.9 crypto_kdispatch.9 \
730	crypto.9 crypto_kdone.9 \
731	crypto.9 crypto_kregister.9 \
732	crypto.9 crypto_newsession.9 \
733	crypto.9 crypto_register.9 \
734	crypto.9 crypto_unblock.9 \
735	crypto.9 crypto_unregister.9 \
736	crypto.9 crypto_unregister_all.9
737MLINKS+=DB_COMMAND.9 DB_SHOW_ALL_COMMAND.9 \
738	DB_COMMAND.9 DB_SHOW_COMMAND.9
739MLINKS+=dev_clone.9 drain_dev_clone_events.9
740MLINKS+=devfs_set_cdevpriv.9 devfs_clear_cdevpriv.9 \
741	devfs_set_cdevpriv.9 devfs_get_cdevpriv.9
742MLINKS+=device_add_child.9 device_add_child_ordered.9
743MLINKS+=device_enable.9 device_disable.9 \
744	device_enable.9 device_is_enabled.9
745MLINKS+=device_get_ivars.9 device_set_ivars.9
746MLINKS+=device_get_name.9 device_get_nameunit.9
747MLINKS+=device_get_state.9 device_busy.9 \
748	device_get_state.9 device_is_alive.9 \
749	device_get_state.9 device_is_attached.9 \
750	device_get_state.9 device_unbusy.9
751MLINKS+=device_get_sysctl.9 device_get_sysctl_ctx.9 \
752	device_get_sysctl.9 device_get_sysctl_tree.9
753MLINKS+=device_quiet.9 device_is_quiet.9 \
754	device_quiet.9 device_verbose.9
755MLINKS+=device_set_desc.9 device_get_desc.9 \
756	device_set_desc.9 device_set_desc_copy.9
757MLINKS+=device_set_flags.9 device_get_flags.9
758MLINKS+=devstat.9 devicestat.9 \
759	devstat.9 devstat_add_entry.9 \
760	devstat.9 devstat_end_transaction.9 \
761	devstat.9 devstat_remove_entry.9 \
762	devstat.9 devstat_start_transaction.9
763MLINKS+=disk.9 disk_add_alias.9 \
764	disk.9 disk_alloc.9 \
765	disk.9 disk_create.9 \
766	disk.9 disk_destroy.9 \
767	disk.9 disk_gone.9 \
768	disk.9 disk_resize.9
769MLINKS+=domain.9 DOMAIN_SET.9 \
770	domain.9 domain_add.9 \
771	domain.9 pfctlinput.9 \
772	domain.9 pfctlinput2.9 \
773	domain.9 pffinddomain.9 \
774	domain.9 pffindproto.9 \
775	domain.9 pffindtype.9
776MLINKS+=drbr.9 drbr_free.9 \
777	drbr.9 drbr_enqueue.9 \
778	drbr.9 drbr_dequeue.9 \
779	drbr.9 drbr_dequeue_cond.9 \
780	drbr.9 drbr_flush.9 \
781	drbr.9 drbr_empty.9 \
782	drbr.9 drbr_inuse.9 \
783	drbr.9 drbr_stats_update.9
784MLINKS+=DRIVER_MODULE.9 DRIVER_MODULE_ORDERED.9 \
785	DRIVER_MODULE.9 EARLY_DRIVER_MODULE.9 \
786	DRIVER_MODULE.9 EARLY_DRIVER_MODULE_ORDERED.9
787MLINKS+=EVENTHANDLER.9 EVENTHANDLER_DECLARE.9 \
788	EVENTHANDLER.9 EVENTHANDLER_DEFINE.9 \
789	EVENTHANDLER.9 EVENTHANDLER_DEREGISTER.9 \
790	EVENTHANDLER.9 eventhandler_deregister.9 \
791	EVENTHANDLER.9 eventhandler_find_list.9 \
792	EVENTHANDLER.9 EVENTHANDLER_INVOKE.9 \
793	EVENTHANDLER.9 eventhandler_prune_list.9 \
794	EVENTHANDLER.9 EVENTHANDLER_REGISTER.9 \
795	EVENTHANDLER.9 eventhandler_register.9
796MLINKS+=eventtimers.9 et_register.9 \
797	eventtimers.9 et_deregister.9 \
798	eventtimers.9 et_ban.9 \
799	eventtimers.9 et_find.9 \
800	eventtimers.9 et_free.9 \
801	eventtimers.9 et_init.9 \
802	eventtimers.9 ET_LOCK.9 \
803	eventtimers.9 ET_UNLOCK.9 \
804	eventtimers.9 et_start.9 \
805	eventtimers.9 et_stop.9
806MLINKS+=fail.9 KFAIL_POINT_CODE.9 \
807	fail.9 KFAIL_POINT_ERROR.9 \
808	fail.9 KFAIL_POINT_GOTO.9 \
809	fail.9 KFAIL_POINT_RETURN.9 \
810	fail.9 KFAIL_POINT_RETURN_VOID.9
811MLINKS+=fdt_pinctrl.9 fdt_pinctrl_configure.9 \
812	fdt_pinctrl.9 fdt_pinctrl_configure_by_name.9 \
813	fdt_pinctrl.9 fdt_pinctrl_configure_tree.9 \
814	fdt_pinctrl.9 fdt_pinctrl_register.9
815MLINKS+=fetch.9 fubyte.9 \
816	fetch.9 fuswintr.9 \
817	fetch.9 fuword.9 \
818	fetch.9 fuword16.9 \
819	fetch.9 fuword32.9 \
820	fetch.9 fuword64.9 \
821	fetch.9 fueword.9 \
822	fetch.9 fueword32.9 \
823	fetch.9 fueword64.9
824MLINKS+=firmware.9 firmware_get.9 \
825	firmware.9 firmware_put.9 \
826	firmware.9 firmware_register.9 \
827	firmware.9 firmware_unregister.9
828MLINKS+=fpu_kern.9 fpu_kern_alloc_ctx.9 \
829	fpu_kern.9 fpu_kern_free_ctx.9 \
830	fpu_kern.9 fpu_kern_enter.9 \
831	fpu_kern.9 fpu_kern_leave.9 \
832	fpu_kern.9 fpu_kern_thread.9 \
833	fpu_kern.9 is_fpu_kern_thread.9
834MLINKS+=g_attach.9 g_detach.9
835MLINKS+=g_bio.9 g_alloc_bio.9 \
836	g_bio.9 g_clone_bio.9 \
837	g_bio.9 g_destroy_bio.9 \
838	g_bio.9 g_duplicate_bio.9 \
839	g_bio.9 g_new_bio.9 \
840	g_bio.9 g_print_bio.9 \
841	g_bio.9 g_reset_bio.9
842MLINKS+=g_consumer.9 g_destroy_consumer.9 \
843	g_consumer.9 g_new_consumer.9
844MLINKS+=g_data.9 g_read_data.9 \
845	g_data.9 g_write_data.9
846MLINKS+=getenv.9 freeenv.9 \
847	getenv.9 getenv_int.9 \
848	getenv.9 getenv_long.9 \
849	getenv.9 getenv_string.9 \
850	getenv.9 getenv_quad.9 \
851	getenv.9 getenv_uint.9 \
852	getenv.9 getenv_ulong.9 \
853	getenv.9 setenv.9 \
854	getenv.9 testenv.9 \
855	getenv.9 unsetenv.9
856MLINKS+=g_event.9 g_cancel_event.9 \
857	g_event.9 g_post_event.9 \
858	g_event.9 g_waitfor_event.9
859MLINKS+=g_geom.9 g_destroy_geom.9 \
860	g_geom.9 g_new_geomf.9
861MLINKS+=g_provider.9 g_destroy_provider.9 \
862	g_provider.9 g_error_provider.9 \
863	g_provider.9 g_new_providerf.9
864MLINKS+=hash.9 hash32.9 \
865	hash.9 hash32_buf.9 \
866	hash.9 hash32_str.9 \
867	hash.9 hash32_stre.9 \
868	hash.9 hash32_strn.9 \
869	hash.9 hash32_strne.9 \
870	hash.9 jenkins_hash.9 \
871	hash.9 jenkins_hash32.9
872MLINKS+=hashinit.9 hashdestroy.9 \
873	hashinit.9 hashinit_flags.9 \
874	hashinit.9 phashinit.9
875MLINKS+=hhook.9 hhook_head_register.9 \
876	hhook.9 hhook_head_deregister.9 \
877	hhook.9 hhook_head_deregister_lookup.9 \
878	hhook.9 hhook_run_hooks.9 \
879	hhook.9 HHOOKS_RUN_IF.9 \
880	hhook.9 HHOOKS_RUN_LOOKUP_IF.9
881MLINKS+=ieee80211.9 ieee80211_ifattach.9 \
882	ieee80211.9 ieee80211_ifdetach.9
883MLINKS+=ieee80211_amrr.9 ieee80211_amrr_choose.9 \
884	ieee80211_amrr.9 ieee80211_amrr_cleanup.9 \
885	ieee80211_amrr.9 ieee80211_amrr_init.9 \
886	ieee80211_amrr.9 ieee80211_amrr_node_init.9 \
887	ieee80211_amrr.9 ieee80211_amrr_setinterval.9 \
888	ieee80211_amrr.9 ieee80211_amrr_tx_complete.9 \
889	ieee80211_amrr.9 ieee80211_amrr_tx_update.9
890MLINKS+=ieee80211_beacon.9 ieee80211_beacon_alloc.9 \
891	ieee80211_beacon.9 ieee80211_beacon_notify.9 \
892	ieee80211_beacon.9 ieee80211_beacon_update.9
893MLINKS+=ieee80211_bmiss.9 ieee80211_beacon_miss.9
894MLINKS+=ieee80211_crypto.9 ieee80211_crypto_available.9 \
895	ieee80211_crypto.9 ieee80211_crypto_decap.9 \
896	ieee80211_crypto.9 ieee80211_crypto_delglobalkeys.9 \
897	ieee80211_crypto.9 ieee80211_crypto_delkey.9 \
898	ieee80211_crypto.9 ieee80211_crypto_demic.9 \
899	ieee80211_crypto.9 ieee80211_crypto_encap.9 \
900	ieee80211_crypto.9 ieee80211_crypto_enmic.9 \
901	ieee80211_crypto.9 ieee80211_crypto_newkey.9 \
902	ieee80211_crypto.9 ieee80211_crypto_register.9 \
903	ieee80211_crypto.9 ieee80211_crypto_reload_keys.9 \
904	ieee80211_crypto.9 ieee80211_crypto_setkey.9 \
905	ieee80211_crypto.9 ieee80211_crypto_unregister.9 \
906	ieee80211_crypto.9 ieee80211_key_update_begin.9 \
907	ieee80211_crypto.9 ieee80211_key_update_end.9 \
908	ieee80211_crypto.9 ieee80211_notify_michael_failure.9 \
909	ieee80211_crypto.9 ieee80211_notify_replay_failure.9
910MLINKS+=ieee80211_input.9 ieee80211_input_all.9
911MLINKS+=ieee80211_node.9 ieee80211_dump_node.9 \
912	ieee80211_node.9 ieee80211_dump_nodes.9 \
913	ieee80211_node.9 ieee80211_find_rxnode.9 \
914	ieee80211_node.9 ieee80211_find_rxnode_withkey.9 \
915	ieee80211_node.9 ieee80211_free_node.9 \
916	ieee80211_node.9 ieee80211_iterate_nodes.9 \
917	ieee80211_node.9 ieee80211_ref_node.9 \
918	ieee80211_node.9 ieee80211_unref_node.9
919MLINKS+=ieee80211_output.9 ieee80211_process_callback.9 \
920	ieee80211_output.9 M_SEQNO_GET.9 \
921	ieee80211_output.9 M_WME_GETAC.9
922MLINKS+=ieee80211_proto.9 ieee80211_new_state.9 \
923	ieee80211_proto.9 ieee80211_resume_all.9 \
924	ieee80211_proto.9 ieee80211_start_all.9 \
925	ieee80211_proto.9 ieee80211_stop_all.9 \
926	ieee80211_proto.9 ieee80211_suspend_all.9 \
927	ieee80211_proto.9 ieee80211_waitfor_parent.9
928MLINKS+=ieee80211_radiotap.9 ieee80211_radiotap_active.9 \
929	ieee80211_radiotap.9 ieee80211_radiotap_active_vap.9 \
930	ieee80211_radiotap.9 ieee80211_radiotap_attach.9 \
931	ieee80211_radiotap.9 ieee80211_radiotap_tx.9 \
932	ieee80211_radiotap.9 radiotap.9
933MLINKS+=ieee80211_regdomain.9 ieee80211_alloc_countryie.9 \
934	ieee80211_regdomain.9 ieee80211_init_channels.9 \
935	ieee80211_regdomain.9 ieee80211_sort_channels.9
936MLINKS+=ieee80211_scan.9 ieee80211_add_scan.9 \
937	ieee80211_scan.9 ieee80211_bg_scan.9 \
938	ieee80211_scan.9 ieee80211_cancel_scan.9 \
939	ieee80211_scan.9 ieee80211_cancel_scan_any.9 \
940	ieee80211_scan.9 ieee80211_check_scan.9 \
941	ieee80211_scan.9 ieee80211_check_scan_current.9 \
942	ieee80211_scan.9 ieee80211_flush.9 \
943	ieee80211_scan.9 ieee80211_probe_curchan.9 \
944	ieee80211_scan.9 ieee80211_scan_assoc_fail.9 \
945	ieee80211_scan.9 ieee80211_scan_done.9 \
946	ieee80211_scan.9 ieee80211_scan_dump_channels.9 \
947	ieee80211_scan.9 ieee80211_scan_flush.9 \
948	ieee80211_scan.9 ieee80211_scan_iterate.9 \
949	ieee80211_scan.9 ieee80211_scan_next.9 \
950	ieee80211_scan.9 ieee80211_scan_timeout.9 \
951	ieee80211_scan.9 ieee80211_scanner_get.9 \
952	ieee80211_scan.9 ieee80211_scanner_register.9 \
953	ieee80211_scan.9 ieee80211_scanner_unregister.9 \
954	ieee80211_scan.9 ieee80211_scanner_unregister_all.9 \
955	ieee80211_scan.9 ieee80211_start_scan.9
956MLINKS+=ieee80211_vap.9 ieee80211_vap_attach.9 \
957	ieee80211_vap.9 ieee80211_vap_detach.9 \
958	ieee80211_vap.9 ieee80211_vap_setup.9
959MLINKS+=ifnet.9 if_addmulti.9 \
960	ifnet.9 if_alloc.9 \
961	ifnet.9 if_allmulti.9 \
962	ifnet.9 if_attach.9 \
963	ifnet.9 if_data.9 \
964	ifnet.9 IF_DEQUEUE.9 \
965	ifnet.9 if_delmulti.9 \
966	ifnet.9 if_detach.9 \
967	ifnet.9 if_down.9 \
968	ifnet.9 if_findmulti.9 \
969	ifnet.9 if_free.9 \
970	ifnet.9 if_free_type.9 \
971	ifnet.9 if_up.9 \
972	ifnet.9 ifa_free.9 \
973	ifnet.9 ifa_ifwithaddr.9 \
974	ifnet.9 ifa_ifwithdstaddr.9 \
975	ifnet.9 ifa_ifwithnet.9 \
976	ifnet.9 ifa_ref.9 \
977	ifnet.9 ifaddr.9 \
978	ifnet.9 ifaddr_byindex.9 \
979	ifnet.9 ifaof_ifpforaddr.9 \
980	ifnet.9 ifioctl.9 \
981	ifnet.9 ifpromisc.9 \
982	ifnet.9 ifqueue.9 \
983	ifnet.9 ifunit.9 \
984	ifnet.9 ifunit_ref.9
985MLINKS+=insmntque.9 insmntque1.9
986MLINKS+=ithread.9 ithread_add_handler.9 \
987	ithread.9 ithread_create.9 \
988	ithread.9 ithread_destroy.9 \
989	ithread.9 ithread_priority.9 \
990	ithread.9 ithread_remove_handler.9 \
991	ithread.9 ithread_schedule.9
992MLINKS+=kernacc.9 useracc.9
993MLINKS+=kernel_mount.9 free_mntarg.9 \
994	kernel_mount.9 kernel_vmount.9 \
995	kernel_mount.9 mount_arg.9 \
996	kernel_mount.9 mount_argb.9 \
997	kernel_mount.9 mount_argf.9 \
998	kernel_mount.9 mount_argsu.9
999MLINKS+=khelp.9 khelp_add_hhook.9 \
1000	khelp.9 KHELP_DECLARE_MOD.9 \
1001	khelp.9 KHELP_DECLARE_MOD_UMA.9 \
1002	khelp.9 khelp_destroy_osd.9 \
1003	khelp.9 khelp_get_id.9 \
1004	khelp.9 khelp_get_osd.9 \
1005	khelp.9 khelp_init_osd.9 \
1006	khelp.9 khelp_remove_hhook.9
1007MLINKS+=kobj.9 DEFINE_CLASS.9 \
1008	kobj.9 kobj_class_compile.9 \
1009	kobj.9 kobj_class_compile_static.9 \
1010	kobj.9 kobj_class_free.9 \
1011	kobj.9 kobj_create.9 \
1012	kobj.9 kobj_delete.9 \
1013	kobj.9 kobj_init.9 \
1014	kobj.9 kobj_init_static.9
1015MLINKS+=kproc.9 kproc_create.9 \
1016	kproc.9 kproc_exit.9 \
1017	kproc.9 kproc_kthread_add.9 \
1018	kproc.9 kproc_resume.9 \
1019	kproc.9 kproc_shutdown.9 \
1020	kproc.9 kproc_start.9 \
1021	kproc.9 kproc_suspend.9 \
1022	kproc.9 kproc_suspend_check.9 \
1023	kproc.9 kthread_create.9
1024MLINKS+=kqueue.9 knlist_add.9 \
1025	kqueue.9 knlist_clear.9 \
1026	kqueue.9 knlist_delete.9 \
1027	kqueue.9 knlist_destroy.9 \
1028	kqueue.9 knlist_empty.9 \
1029	kqueue.9 knlist_init.9 \
1030	kqueue.9 knlist_init_mtx.9 \
1031	kqueue.9 knlist_init_rw_reader.9 \
1032	kqueue.9 knlist_remove.9 \
1033	kqueue.9 knlist_remove_inevent.9 \
1034	kqueue.9 knote_fdclose.9 \
1035	kqueue.9 KNOTE_LOCKED.9 \
1036	kqueue.9 KNOTE_UNLOCKED.9 \
1037	kqueue.9 kqfd_register.9 \
1038	kqueue.9 kqueue_add_filteropts.9 \
1039	kqueue.9 kqueue_del_filteropts.9
1040MLINKS+=kthread.9 kthread_add.9 \
1041	kthread.9 kthread_exit.9 \
1042	kthread.9 kthread_resume.9 \
1043	kthread.9 kthread_shutdown.9 \
1044	kthread.9 kthread_start.9 \
1045	kthread.9 kthread_suspend.9 \
1046	kthread.9 kthread_suspend_check.9
1047MLINKS+=ktr.9 CTR0.9 \
1048	ktr.9 CTR1.9 \
1049	ktr.9 CTR2.9 \
1050	ktr.9 CTR3.9 \
1051	ktr.9 CTR4.9 \
1052	ktr.9 CTR5.9 \
1053	ktr.9 CTR6.9
1054MLINKS+=lock.9 lockdestroy.9 \
1055	lock.9 lockinit.9 \
1056	lock.9 lockmgr.9 \
1057	lock.9 lockmgr_args.9 \
1058	lock.9 lockmgr_args_rw.9 \
1059	lock.9 lockmgr_assert.9 \
1060	lock.9 lockmgr_disown.9 \
1061	lock.9 lockmgr_printinfo.9 \
1062	lock.9 lockmgr_recursed.9 \
1063	lock.9 lockmgr_rw.9 \
1064	lock.9 lockmgr_waiters.9 \
1065	lock.9 lockstatus.9
1066MLINKS+=LOCK_PROFILING.9 MUTEX_PROFILING.9
1067MLINKS+=make_dev.9 destroy_dev.9 \
1068	make_dev.9 destroy_dev_drain.9 \
1069	make_dev.9 destroy_dev_sched.9 \
1070	make_dev.9 destroy_dev_sched_cb.9 \
1071	make_dev.9 dev_depends.9 \
1072	make_dev.9 make_dev_alias.9 \
1073	make_dev.9 make_dev_alias_p.9 \
1074	make_dev.9 make_dev_cred.9 \
1075	make_dev.9 make_dev_credf.9 \
1076	make_dev.9 make_dev_p.9 \
1077	make_dev.9 make_dev_s.9
1078MLINKS+=malloc.9 free.9 \
1079	malloc.9 MALLOC_DECLARE.9 \
1080	malloc.9 MALLOC_DEFINE.9 \
1081	malloc.9 realloc.9 \
1082	malloc.9 reallocf.9
1083MLINKS+=mbchain.9 mb_detach.9 \
1084	mbchain.9 mb_done.9 \
1085	mbchain.9 mb_fixhdr.9 \
1086	mbchain.9 mb_init.9 \
1087	mbchain.9 mb_initm.9 \
1088	mbchain.9 mb_put_int64be.9 \
1089	mbchain.9 mb_put_int64le.9 \
1090	mbchain.9 mb_put_mbuf.9 \
1091	mbchain.9 mb_put_mem.9 \
1092	mbchain.9 mb_put_uint16be.9 \
1093	mbchain.9 mb_put_uint16le.9 \
1094	mbchain.9 mb_put_uint32be.9 \
1095	mbchain.9 mb_put_uint32le.9 \
1096	mbchain.9 mb_put_uint8.9 \
1097	mbchain.9 mb_put_uio.9 \
1098	mbchain.9 mb_reserve.9
1099MLINKS+=mbpool.9 mbp_alloc.9 \
1100	mbpool.9 mbp_card_free.9 \
1101	mbpool.9 mbp_count.9 \
1102	mbpool.9 mbp_create.9 \
1103	mbpool.9 mbp_destroy.9 \
1104	mbpool.9 mbp_ext_free.9 \
1105	mbpool.9 mbp_free.9 \
1106	mbpool.9 mbp_get.9 \
1107	mbpool.9 mbp_get_keep.9 \
1108	mbpool.9 mbp_sync.9
1109MLINKS+=\
1110	mbuf.9 m_adj.9 \
1111	mbuf.9 m_align.9 \
1112	mbuf.9 M_ALIGN.9 \
1113	mbuf.9 m_append.9 \
1114	mbuf.9 m_apply.9 \
1115	mbuf.9 m_cat.9 \
1116	mbuf.9 m_catpkt.9 \
1117	mbuf.9 MCHTYPE.9 \
1118	mbuf.9 MCLGET.9 \
1119	mbuf.9 m_collapse.9 \
1120	mbuf.9 m_copyback.9 \
1121	mbuf.9 m_copydata.9 \
1122	mbuf.9 m_copym.9 \
1123	mbuf.9 m_copypacket.9 \
1124	mbuf.9 m_copyup.9 \
1125	mbuf.9 m_defrag.9 \
1126	mbuf.9 m_devget.9 \
1127	mbuf.9 m_dup.9 \
1128	mbuf.9 m_dup_pkthdr.9 \
1129	mbuf.9 MEXTADD.9 \
1130	mbuf.9 m_fixhdr.9 \
1131	mbuf.9 m_free.9 \
1132	mbuf.9 m_freem.9 \
1133	mbuf.9 MGET.9 \
1134	mbuf.9 m_get.9 \
1135	mbuf.9 m_get2.9 \
1136	mbuf.9 m_getjcl.9 \
1137	mbuf.9 m_getcl.9 \
1138	mbuf.9 MGETHDR.9 \
1139	mbuf.9 m_gethdr.9 \
1140	mbuf.9 m_getm.9 \
1141	mbuf.9 m_getptr.9 \
1142	mbuf.9 MH_ALIGN.9 \
1143	mbuf.9 M_LEADINGSPACE.9 \
1144	mbuf.9 m_length.9 \
1145	mbuf.9 M_MOVE_PKTHDR.9 \
1146	mbuf.9 m_move_pkthdr.9 \
1147	mbuf.9 M_PREPEND.9 \
1148	mbuf.9 m_prepend.9 \
1149	mbuf.9 m_pulldown.9 \
1150	mbuf.9 m_pullup.9 \
1151	mbuf.9 m_split.9 \
1152	mbuf.9 mtod.9 \
1153	mbuf.9 M_TRAILINGSPACE.9 \
1154	mbuf.9 m_unshare.9 \
1155	mbuf.9 M_WRITABLE.9
1156MLINKS+=\
1157	mbuf_tags.9 m_tag_alloc.9 \
1158	mbuf_tags.9 m_tag_copy.9 \
1159	mbuf_tags.9 m_tag_copy_chain.9 \
1160	mbuf_tags.9 m_tag_delete.9 \
1161	mbuf_tags.9 m_tag_delete_chain.9 \
1162	mbuf_tags.9 m_tag_delete_nonpersistent.9 \
1163	mbuf_tags.9 m_tag_find.9 \
1164	mbuf_tags.9 m_tag_first.9 \
1165	mbuf_tags.9 m_tag_free.9 \
1166	mbuf_tags.9 m_tag_get.9 \
1167	mbuf_tags.9 m_tag_init.9 \
1168	mbuf_tags.9 m_tag_locate.9 \
1169	mbuf_tags.9 m_tag_next.9 \
1170	mbuf_tags.9 m_tag_prepend.9 \
1171	mbuf_tags.9 m_tag_unlink.9
1172MLINKS+=MD5.9 MD5Init.9 \
1173	MD5.9 MD5Transform.9
1174MLINKS+=mdchain.9 md_append_record.9 \
1175	mdchain.9 md_done.9 \
1176	mdchain.9 md_get_int64.9 \
1177	mdchain.9 md_get_int64be.9 \
1178	mdchain.9 md_get_int64le.9 \
1179	mdchain.9 md_get_mbuf.9 \
1180	mdchain.9 md_get_mem.9 \
1181	mdchain.9 md_get_uint16.9 \
1182	mdchain.9 md_get_uint16be.9 \
1183	mdchain.9 md_get_uint16le.9 \
1184	mdchain.9 md_get_uint32.9 \
1185	mdchain.9 md_get_uint32be.9 \
1186	mdchain.9 md_get_uint32le.9 \
1187	mdchain.9 md_get_uint8.9 \
1188	mdchain.9 md_get_uio.9 \
1189	mdchain.9 md_initm.9 \
1190	mdchain.9 md_next_record.9
1191MLINKS+=microtime.9 bintime.9 \
1192	microtime.9 getbintime.9 \
1193	microtime.9 getmicrotime.9 \
1194	microtime.9 getnanotime.9 \
1195	microtime.9 nanotime.9
1196MLINKS+=microuptime.9 binuptime.9 \
1197	microuptime.9 getbinuptime.9 \
1198	microuptime.9 getmicrouptime.9 \
1199	microuptime.9 getnanouptime.9 \
1200	microuptime.9 getsbinuptime.9 \
1201	microuptime.9 nanouptime.9 \
1202	microuptime.9 sbinuptime.9
1203MLINKS+=mi_switch.9 cpu_switch.9 \
1204	mi_switch.9 cpu_throw.9
1205MLINKS+=mod_cc.9 CCV.9 \
1206	mod_cc.9 DECLARE_CC_MODULE.9
1207MLINKS+=mtx_pool.9 mtx_pool_alloc.9 \
1208	mtx_pool.9 mtx_pool_create.9 \
1209	mtx_pool.9 mtx_pool_destroy.9 \
1210	mtx_pool.9 mtx_pool_find.9 \
1211	mtx_pool.9 mtx_pool_lock.9 \
1212	mtx_pool.9 mtx_pool_lock_spin.9 \
1213	mtx_pool.9 mtx_pool_unlock.9 \
1214	mtx_pool.9 mtx_pool_unlock_spin.9
1215MLINKS+=mutex.9 mtx_assert.9 \
1216	mutex.9 mtx_destroy.9 \
1217	mutex.9 mtx_init.9 \
1218	mutex.9 mtx_initialized.9 \
1219	mutex.9 mtx_lock.9 \
1220	mutex.9 mtx_lock_flags.9 \
1221	mutex.9 mtx_lock_spin.9 \
1222	mutex.9 mtx_lock_spin_flags.9 \
1223	mutex.9 mtx_owned.9 \
1224	mutex.9 mtx_recursed.9 \
1225	mutex.9 mtx_sleep.9 \
1226	mutex.9 MTX_SYSINIT.9 \
1227	mutex.9 mtx_trylock.9 \
1228	mutex.9 mtx_trylock_flags.9 \
1229	mutex.9 mtx_trylock_spin.9 \
1230	mutex.9 mtx_trylock_spin_flags.9 \
1231	mutex.9 mtx_unlock.9 \
1232	mutex.9 mtx_unlock_flags.9 \
1233	mutex.9 mtx_unlock_spin.9 \
1234	mutex.9 mtx_unlock_spin_flags.9
1235MLINKS+=namei.9 NDFREE.9 \
1236	namei.9 NDINIT.9
1237MLINKS+=netisr.9 netisr_clearqdrops.9 \
1238	netisr.9 netisr_default_flow2cpu.9 \
1239	netisr.9 netisr_dispatch.9 \
1240	netisr.9 netisr_dispatch_src.9 \
1241	netisr.9 netisr_get_cpucount.9 \
1242	netisr.9 netisr_get_cpuid.9 \
1243	netisr.9 netisr_getqdrops.9 \
1244	netisr.9 netisr_getqlimit.9 \
1245	netisr.9 netisr_queue.9 \
1246	netisr.9 netisr_queue_src.9 \
1247	netisr.9 netisr_register.9 \
1248	netisr.9 netisr_setqlimit.9 \
1249	netisr.9 netisr_unregister.9
1250MLINKS+=nv.9 libnv.9 \
1251	nv.9 nvlist.9 \
1252	nv.9 nvlist_add_binary.9 \
1253	nv.9 nvlist_add_bool.9 \
1254	nv.9 nvlist_add_bool_array.9 \
1255	nv.9 nvlist_add_descriptor.9 \
1256	nv.9 nvlist_add_descriptor_array.9 \
1257	nv.9 nvlist_add_null.9 \
1258	nv.9 nvlist_add_number.9 \
1259	nv.9 nvlist_add_number_array.9 \
1260	nv.9 nvlist_add_nvlist.9 \
1261	nv.9 nvlist_add_nvlist_array.9 \
1262	nv.9 nvlist_add_string.9 \
1263	nv.9 nvlist_add_stringf.9 \
1264	nv.9 nvlist_add_stringv.9 \
1265	nv.9 nvlist_add_string_array.9 \
1266	nv.9 nvlist_clone.9 \
1267	nv.9 nvlist_create.9 \
1268	nv.9 nvlist_destroy.9 \
1269	nv.9 nvlist_dump.9 \
1270	nv.9 nvlist_empty.9 \
1271	nv.9 nvlist_error.9 \
1272	nv.9 nvlist_exists.9 \
1273	nv.9 nvlist_exists_binary.9 \
1274	nv.9 nvlist_exists_bool.9 \
1275	nv.9 nvlist_exists_bool_array.9 \
1276	nv.9 nvlist_exists_descriptor.9 \
1277	nv.9 nvlist_exists_descriptor_array.9 \
1278	nv.9 nvlist_exists_null.9 \
1279	nv.9 nvlist_exists_number.9 \
1280	nv.9 nvlist_exists_number_array.9 \
1281	nv.9 nvlist_exists_nvlist.9 \
1282	nv.9 nvlist_exists_nvlist_array.9 \
1283	nv.9 nvlist_exists_string.9 \
1284	nv.9 nvlist_exists_type.9 \
1285	nv.9 nvlist_fdump.9 \
1286	nv.9 nvlist_flags.9 \
1287	nv.9 nvlist_free.9 \
1288	nv.9 nvlist_free_binary.9 \
1289	nv.9 nvlist_free_bool.9 \
1290	nv.9 nvlist_free_bool_array.9 \
1291	nv.9 nvlist_free_descriptor.9 \
1292	nv.9 nvlist_free_descriptor_array.9 \
1293	nv.9 nvlist_free_null.9 \
1294	nv.9 nvlist_free_number.9 \
1295	nv.9 nvlist_free_number_array.9 \
1296	nv.9 nvlist_free_nvlist.9 \
1297	nv.9 nvlist_free_nvlist_array.9 \
1298	nv.9 nvlist_free_string.9 \
1299	nv.9 nvlist_free_string_array.9 \
1300	nv.9 nvlist_free_type.9 \
1301	nv.9 nvlist_get_binary.9 \
1302	nv.9 nvlist_get_bool.9 \
1303	nv.9 nvlist_get_bool_array.9 \
1304	nv.9 nvlist_get_descriptor.9 \
1305	nv.9 nvlist_get_descriptor_array.9 \
1306	nv.9 nvlist_get_number.9 \
1307	nv.9 nvlist_get_number_array.9 \
1308	nv.9 nvlist_get_nvlist.9 \
1309	nv.9 nvlist_get_nvlist_array.9 \
1310	nv.9 nvlist_get_parent.9 \
1311	nv.9 nvlist_get_string.9 \
1312	nv.9 nvlist_get_string_array.9 \
1313	nv.9 nvlist_move_binary.9 \
1314	nv.9 nvlist_move_descriptor.9 \
1315	nv.9 nvlist_move_descriptor_array.9 \
1316	nv.9 nvlist_move_nvlist.9 \
1317	nv.9 nvlist_move_nvlist_array.9 \
1318	nv.9 nvlist_move_string.9 \
1319	nv.9 nvlist_move_string_array.9 \
1320	nv.9 nvlist_next.9 \
1321	nv.9 nvlist_pack.9 \
1322	nv.9 nvlist_recv.9 \
1323	nv.9 nvlist_send.9 \
1324	nv.9 nvlist_set_error.9 \
1325	nv.9 nvlist_size.9 \
1326	nv.9 nvlist_take_binary.9 \
1327	nv.9 nvlist_take_bool.9 \
1328	nv.9 nvlist_take_bool_array.9 \
1329	nv.9 nvlist_take_descriptor.9 \
1330	nv.9 nvlist_take_descriptor_array.9 \
1331	nv.9 nvlist_take_number.9 \
1332	nv.9 nvlist_take_number_array.9 \
1333	nv.9 nvlist_take_nvlist.9 \
1334	nv.9 nvlist_take_nvlist_array.9 \
1335	nv.9 nvlist_take_string.9 \
1336	nv.9 nvlist_take_string_array.9 \
1337	nv.9 nvlist_unpack.9 \
1338	nv.9 nvlist_xfer.9
1339MLINKS+=osd.9 osd_call.9 \
1340	osd.9 osd_del.9 \
1341	osd.9 osd_deregister.9 \
1342	osd.9 osd_exit.9 \
1343	osd.9 osd_get.9 \
1344	osd.9 osd_register.9 \
1345	osd.9 osd_set.9
1346MLINKS+=panic.9 vpanic.9
1347MLINKS+=pbuf.9 getpbuf.9 \
1348	pbuf.9 relpbuf.9 \
1349	pbuf.9 trypbuf.9
1350MLINKS+=PCBGROUP.9 in_pcbgroup_byhash.9 \
1351	PCBGROUP.9 in_pcbgroup_byinpcb.9 \
1352	PCBGROUP.9 in_pcbgroup_destroy.9 \
1353	PCBGROUP.9 in_pcbgroup_enabled.9 \
1354	PCBGROUP.9 in_pcbgroup_init.9 \
1355	PCBGROUP.9 in_pcbgroup_remove.9 \
1356	PCBGROUP.9 in_pcbgroup_update.9 \
1357	PCBGROUP.9 in_pcbgroup_update_mbuf.9 \
1358	PCBGROUP.9 in6_pcbgroup_byhash.9
1359MLINKS+=pci.9 pci_alloc_msi.9 \
1360	pci.9 pci_alloc_msix.9 \
1361	pci.9 pci_disable_busmaster.9 \
1362	pci.9 pci_disable_io.9 \
1363	pci.9 pci_enable_busmaster.9 \
1364	pci.9 pci_enable_io.9 \
1365	pci.9 pci_find_bsf.9 \
1366	pci.9 pci_find_cap.9 \
1367	pci.9 pci_find_dbsf.9 \
1368	pci.9 pci_find_device.9 \
1369	pci.9 pci_find_extcap.9 \
1370	pci.9 pci_find_htcap.9 \
1371	pci.9 pci_find_pcie_root_port.9 \
1372	pci.9 pci_get_id.9 \
1373	pci.9 pci_get_max_read_req.9 \
1374	pci.9 pci_get_powerstate.9 \
1375	pci.9 pci_get_vpd_ident.9 \
1376	pci.9 pci_get_vpd_readonly.9 \
1377	pci.9 pci_iov_attach.9 \
1378	pci.9 pci_iov_attach_name.9 \
1379	pci.9 pci_iov_detach.9 \
1380	pci.9 pci_msi_count.9 \
1381	pci.9 pci_msix_count.9 \
1382	pci.9 pci_msix_pba_bar.9 \
1383	pci.9 pci_msix_table_bar.9 \
1384	pci.9 pci_pending_msix.9 \
1385	pci.9 pci_read_config.9 \
1386	pci.9 pci_release_msi.9 \
1387	pci.9 pci_remap_msix.9 \
1388	pci.9 pci_restore_state.9 \
1389	pci.9 pci_save_state.9 \
1390	pci.9 pci_set_powerstate.9 \
1391	pci.9 pci_set_max_read_req.9 \
1392	pci.9 pci_write_config.9 \
1393	pci.9 pcie_adjust_config.9 \
1394	pci.9 pcie_flr.9 \
1395	pci.9 pcie_max_completion_timeout.9 \
1396	pci.9 pcie_read_config.9 \
1397	pci.9 pcie_wait_for_pending_transactions.9 \
1398	pci.9 pcie_write_config.9
1399MLINKS+=pci_iov_schema.9 pci_iov_schema_alloc_node.9 \
1400	pci_iov_schema.9 pci_iov_schema_add_bool.9 \
1401	pci_iov_schema.9 pci_iov_schema_add_string.9 \
1402	pci_iov_schema.9 pci_iov_schema_add_uint8.9 \
1403	pci_iov_schema.9 pci_iov_schema_add_uint16.9 \
1404	pci_iov_schema.9 pci_iov_schema_add_uint32.9 \
1405	pci_iov_schema.9 pci_iov_schema_add_uint64.9 \
1406	pci_iov_schema.9 pci_iov_schema_add_unicast_mac.9
1407MLINKS+=pfil.9 pfil_add_hook.9 \
1408	pfil.9 pfil_head_register.9 \
1409	pfil.9 pfil_head_unregister.9 \
1410	pfil.9 pfil_hook_get.9 \
1411	pfil.9 pfil_remove_hook.9 \
1412	pfil.9 pfil_rlock.9 \
1413	pfil.9 pfil_run_hooks.9 \
1414	pfil.9 pfil_runlock.9 \
1415	pfil.9 pfil_wlock.9 \
1416	pfil.9 pfil_wunlock.9
1417MLINKS+=pfind.9 zpfind.9
1418MLINKS+=PHOLD.9 PRELE.9 \
1419	PHOLD.9 _PHOLD.9 \
1420	PHOLD.9 _PRELE.9 \
1421	PHOLD.9 PROC_ASSERT_HELD.9 \
1422	PHOLD.9 PROC_ASSERT_NOT_HELD.9
1423MLINKS+=pmap_copy.9 pmap_copy_page.9
1424MLINKS+=pmap_extract.9 pmap_extract_and_hold.9
1425MLINKS+=pmap_init.9 pmap_init2.9
1426MLINKS+=pmap_is_modified.9 pmap_ts_referenced.9
1427MLINKS+=pmap_pinit.9 pmap_pinit0.9 \
1428	pmap_pinit.9 pmap_pinit2.9
1429MLINKS+=pmap_qenter.9 pmap_qremove.9
1430MLINKS+=pmap_quick_enter_page.9 pmap_quick_remove_page.9
1431MLINKS+=pmap_remove.9 pmap_remove_all.9 \
1432	pmap_remove.9 pmap_remove_pages.9
1433MLINKS+=pmap_resident_count.9 pmap_wired_count.9
1434MLINKS+=pmap_zero_page.9 pmap_zero_area.9 \
1435	pmap_zero_page.9 pmap_zero_idle.9
1436MLINKS+=printf.9 log.9 \
1437	printf.9 tprintf.9 \
1438	printf.9 uprintf.9
1439MLINKS+=priv.9 priv_check.9 \
1440	priv.9 priv_check_cred.9
1441MLINKS+=proc_rwmem.9 proc_readmem.9 \
1442	proc_rwmem.9 proc_writemem.9
1443MLINKS+=psignal.9 gsignal.9 \
1444	psignal.9 pgsignal.9 \
1445	psignal.9 tdsignal.9
1446MLINKS+=random.9 arc4rand.9 \
1447	random.9 arc4random.9 \
1448	random.9 read_random.9 \
1449	random.9 read_random_uio.9 \
1450	random.9 srandom.9
1451MLINKS+=refcount.9 refcount_acquire.9 \
1452	refcount.9 refcount_init.9 \
1453	refcount.9 refcount_release.9
1454MLINKS+=resource_int_value.9 resource_long_value.9 \
1455	resource_int_value.9 resource_string_value.9
1456MLINKS+=rman.9 rman_activate_resource.9 \
1457	rman.9 rman_adjust_resource.9 \
1458	rman.9 rman_deactivate_resource.9 \
1459	rman.9 rman_fini.9 \
1460	rman.9 rman_first_free_region.9 \
1461	rman.9 rman_get_bushandle.9 \
1462	rman.9 rman_get_bustag.9 \
1463	rman.9 rman_get_device.9 \
1464	rman.9 rman_get_end.9 \
1465	rman.9 rman_get_flags.9 \
1466	rman.9 rman_get_mapping.9 \
1467	rman.9 rman_get_rid.9 \
1468	rman.9 rman_get_size.9 \
1469	rman.9 rman_get_start.9 \
1470	rman.9 rman_get_virtual.9 \
1471	rman.9 rman_init.9 \
1472	rman.9 rman_init_from_resource.9 \
1473	rman.9 rman_is_region_manager.9 \
1474	rman.9 rman_last_free_region.9 \
1475	rman.9 rman_make_alignment_flags.9 \
1476	rman.9 rman_manage_region.9 \
1477	rman.9 rman_release_resource.9 \
1478	rman.9 rman_reserve_resource.9 \
1479	rman.9 rman_reserve_resource_bound.9 \
1480	rman.9 rman_set_bushandle.9 \
1481	rman.9 rman_set_bustag.9 \
1482	rman.9 rman_set_mapping.9 \
1483	rman.9 rman_set_rid.9 \
1484	rman.9 rman_set_virtual.9
1485MLINKS+=rmlock.9 rm_assert.9 \
1486	rmlock.9 rm_destroy.9 \
1487	rmlock.9 rm_init.9 \
1488	rmlock.9 rm_init_flags.9 \
1489	rmlock.9 rm_rlock.9 \
1490	rmlock.9 rm_runlock.9 \
1491	rmlock.9 rm_sleep.9 \
1492	rmlock.9 RM_SYSINIT.9 \
1493	rmlock.9 RM_SYSINIT_FLAGS.9 \
1494	rmlock.9 rm_try_rlock.9 \
1495	rmlock.9 rm_wlock.9 \
1496	rmlock.9 rm_wowned.9 \
1497	rmlock.9 rm_wunlock.9
1498MLINKS+=rtalloc.9 rtalloc1.9 \
1499	rtalloc.9 rtalloc_ign.9 \
1500	rtalloc.9 RT_ADDREF.9 \
1501	rtalloc.9 RT_LOCK.9 \
1502	rtalloc.9 RT_REMREF.9 \
1503	rtalloc.9 RT_RTFREE.9 \
1504	rtalloc.9 RT_UNLOCK.9 \
1505	rtalloc.9 RTFREE_LOCKED.9 \
1506	rtalloc.9 RTFREE.9 \
1507	rtalloc.9 rtfree.9 \
1508	rtalloc.9 rtalloc1_fib.9 \
1509	rtalloc.9 rtalloc_ign_fib.9 \
1510	rtalloc.9 rtalloc_fib.9
1511MLINKS+=runqueue.9 choosethread.9 \
1512	runqueue.9 procrunnable.9 \
1513	runqueue.9 remrunqueue.9 \
1514	runqueue.9 setrunqueue.9
1515MLINKS+=rwlock.9 rw_assert.9 \
1516	rwlock.9 rw_destroy.9 \
1517	rwlock.9 rw_downgrade.9 \
1518	rwlock.9 rw_init.9 \
1519	rwlock.9 rw_init_flags.9 \
1520	rwlock.9 rw_initialized.9 \
1521	rwlock.9 rw_rlock.9 \
1522	rwlock.9 rw_runlock.9 \
1523	rwlock.9 rw_unlock.9 \
1524	rwlock.9 rw_sleep.9 \
1525	rwlock.9 RW_SYSINIT.9 \
1526	rwlock.9 RW_SYSINIT_FLAGS.9 \
1527	rwlock.9 rw_try_rlock.9 \
1528	rwlock.9 rw_try_upgrade.9 \
1529	rwlock.9 rw_try_wlock.9 \
1530	rwlock.9 rw_wlock.9 \
1531	rwlock.9 rw_wowned.9 \
1532	rwlock.9 rw_wunlock.9
1533MLINKS+=sbuf.9 sbuf_bcat.9 \
1534	sbuf.9 sbuf_bcopyin.9 \
1535	sbuf.9 sbuf_bcpy.9 \
1536	sbuf.9 sbuf_cat.9 \
1537	sbuf.9 sbuf_clear.9 \
1538	sbuf.9 sbuf_clear_flags.9 \
1539	sbuf.9 sbuf_copyin.9 \
1540	sbuf.9 sbuf_cpy.9 \
1541	sbuf.9 sbuf_data.9 \
1542	sbuf.9 sbuf_delete.9 \
1543	sbuf.9 sbuf_done.9 \
1544	sbuf.9 sbuf_error.9 \
1545	sbuf.9 sbuf_finish.9 \
1546	sbuf.9 sbuf_get_flags.9 \
1547	sbuf.9 sbuf_hexdump.9 \
1548	sbuf.9 sbuf_len.9 \
1549	sbuf.9 sbuf_new.9 \
1550	sbuf.9 sbuf_new_auto.9 \
1551	sbuf.9 sbuf_new_for_sysctl.9 \
1552	sbuf.9 sbuf_printf.9 \
1553	sbuf.9 sbuf_putc.9 \
1554	sbuf.9 sbuf_set_drain.9 \
1555	sbuf.9 sbuf_set_flags.9 \
1556	sbuf.9 sbuf_setpos.9 \
1557	sbuf.9 sbuf_start_section.9 \
1558	sbuf.9 sbuf_end_section.9  \
1559	sbuf.9 sbuf_trim.9 \
1560	sbuf.9 sbuf_vprintf.9
1561MLINKS+=scheduler.9 curpriority_cmp.9 \
1562	scheduler.9 maybe_resched.9 \
1563	scheduler.9 propagate_priority.9 \
1564	scheduler.9 resetpriority.9 \
1565	scheduler.9 roundrobin.9 \
1566	scheduler.9 roundrobin_interval.9 \
1567	scheduler.9 schedclock.9 \
1568	scheduler.9 schedcpu.9 \
1569	scheduler.9 sched_setup.9 \
1570	scheduler.9 setrunnable.9 \
1571	scheduler.9 updatepri.9
1572MLINKS+=SDT.9 SDT_PROVIDER_DECLARE.9 \
1573	SDT.9 SDT_PROVIDER_DEFINE.9 \
1574	SDT.9 SDT_PROBE_DECLARE.9 \
1575	SDT.9 SDT_PROBE_DEFINE.9 \
1576	SDT.9 SDT_PROBE.9
1577MLINKS+=securelevel_gt.9 securelevel_ge.9
1578MLINKS+=selrecord.9 seldrain.9 \
1579	selrecord.9 selwakeup.9
1580MLINKS+=sema.9 sema_destroy.9 \
1581	sema.9 sema_init.9 \
1582	sema.9 sema_post.9 \
1583	sema.9 sema_timedwait.9 \
1584	sema.9 sema_trywait.9 \
1585	sema.9 sema_value.9 \
1586	sema.9 sema_wait.9
1587MLINKS+=sf_buf.9 sf_buf_alloc.9 \
1588	sf_buf.9 sf_buf_free.9 \
1589	sf_buf.9 sf_buf_kva.9 \
1590	sf_buf.9 sf_buf_page.9
1591MLINKS+=sglist.9 sglist_alloc.9 \
1592	sglist.9 sglist_append.9 \
1593	sglist.9 sglist_append_bio.9 \
1594	sglist.9 sglist_append_mbuf.9 \
1595	sglist.9 sglist_append_phys.9 \
1596	sglist.9 sglist_append_uio.9 \
1597	sglist.9 sglist_append_user.9 \
1598	sglist.9 sglist_append_vmpages.9 \
1599	sglist.9 sglist_build.9 \
1600	sglist.9 sglist_clone.9 \
1601	sglist.9 sglist_consume_uio.9 \
1602	sglist.9 sglist_count.9 \
1603	sglist.9 sglist_count_vmpages.9 \
1604	sglist.9 sglist_free.9 \
1605	sglist.9 sglist_hold.9 \
1606	sglist.9 sglist_init.9 \
1607	sglist.9 sglist_join.9 \
1608	sglist.9 sglist_length.9 \
1609	sglist.9 sglist_reset.9 \
1610	sglist.9 sglist_slice.9 \
1611	sglist.9 sglist_split.9
1612MLINKS+=shm_map.9 shm_unmap.9
1613MLINKS+=signal.9 cursig.9 \
1614	signal.9 execsigs.9 \
1615	signal.9 issignal.9 \
1616	signal.9 killproc.9 \
1617	signal.9 pgsigio.9 \
1618	signal.9 postsig.9 \
1619	signal.9 SETSETNEQ.9 \
1620	signal.9 SETSETOR.9 \
1621	signal.9 SIGADDSET.9 \
1622	signal.9 SIG_CONTSIGMASK.9 \
1623	signal.9 SIGDELSET.9 \
1624	signal.9 SIGEMPTYSET.9 \
1625	signal.9 sigexit.9 \
1626	signal.9 SIGFILLSET.9 \
1627	signal.9 siginit.9 \
1628	signal.9 SIGISEMPTY.9 \
1629	signal.9 SIGISMEMBER.9 \
1630	signal.9 SIGNOTEMPTY.9 \
1631	signal.9 signotify.9 \
1632	signal.9 SIGPENDING.9 \
1633	signal.9 SIGSETAND.9 \
1634	signal.9 SIGSETCANTMASK.9 \
1635	signal.9 SIGSETEQ.9 \
1636	signal.9 SIGSETNAND.9 \
1637	signal.9 SIG_STOPSIGMASK.9 \
1638	signal.9 trapsignal.9
1639MLINKS+=sleep.9 msleep.9 \
1640	sleep.9 msleep_sbt.9 \
1641	sleep.9 msleep_spin.9 \
1642	sleep.9 msleep_spin_sbt.9 \
1643	sleep.9 pause.9 \
1644	sleep.9 pause_sig.9 \
1645	sleep.9 pause_sbt.9 \
1646	sleep.9 tsleep.9 \
1647	sleep.9 tsleep_sbt.9 \
1648	sleep.9 wakeup.9 \
1649	sleep.9 wakeup_one.9
1650MLINKS+=sleepqueue.9 init_sleepqueues.9 \
1651	sleepqueue.9 sleepq_abort.9 \
1652	sleepqueue.9 sleepq_add.9 \
1653	sleepqueue.9 sleepq_alloc.9 \
1654	sleepqueue.9 sleepq_broadcast.9 \
1655	sleepqueue.9 sleepq_free.9 \
1656	sleepqueue.9 sleepq_lookup.9 \
1657	sleepqueue.9 sleepq_lock.9 \
1658	sleepqueue.9 sleepq_release.9 \
1659	sleepqueue.9 sleepq_remove.9 \
1660	sleepqueue.9 sleepq_set_timeout.9 \
1661	sleepqueue.9 sleepq_set_timeout_sbt.9 \
1662	sleepqueue.9 sleepq_signal.9 \
1663	sleepqueue.9 sleepq_sleepcnt.9 \
1664	sleepqueue.9 sleepq_timedwait.9 \
1665	sleepqueue.9 sleepq_timedwait_sig.9 \
1666	sleepqueue.9 sleepq_type.9 \
1667	sleepqueue.9 sleepq_wait.9 \
1668	sleepqueue.9 sleepq_wait_sig.9
1669MLINKS+=socket.9 soabort.9 \
1670	socket.9 soaccept.9 \
1671	socket.9 sobind.9 \
1672	socket.9 socheckuid.9 \
1673	socket.9 soclose.9 \
1674	socket.9 soconnect.9 \
1675	socket.9 socreate.9 \
1676	socket.9 sodisconnect.9 \
1677	socket.9 sodupsockaddr.9 \
1678	socket.9 sofree.9 \
1679	socket.9 sogetopt.9 \
1680	socket.9 sohasoutofband.9 \
1681	socket.9 solisten.9 \
1682	socket.9 solisten_proto.9 \
1683	socket.9 solisten_proto_check.9 \
1684	socket.9 sonewconn.9 \
1685	socket.9 sooptcopyin.9 \
1686	socket.9 sooptcopyout.9 \
1687	socket.9 sopoll.9 \
1688	socket.9 sopoll_generic.9 \
1689	socket.9 soreceive.9 \
1690	socket.9 soreceive_dgram.9 \
1691	socket.9 soreceive_generic.9 \
1692	socket.9 soreceive_stream.9 \
1693	socket.9 soreserve.9 \
1694	socket.9 sorflush.9 \
1695	socket.9 sosend.9 \
1696	socket.9 sosend_dgram.9 \
1697	socket.9 sosend_generic.9 \
1698	socket.9 sosetopt.9 \
1699	socket.9 soshutdown.9 \
1700	socket.9 sotoxsocket.9 \
1701	socket.9 soupcall_clear.9 \
1702	socket.9 soupcall_set.9 \
1703	socket.9 sowakeup.9
1704MLINKS+=stack.9 stack_copy.9 \
1705	stack.9 stack_create.9 \
1706	stack.9 stack_destroy.9 \
1707	stack.9 stack_print.9 \
1708	stack.9 stack_print_ddb.9 \
1709	stack.9 stack_print_short.9 \
1710	stack.9 stack_print_short_ddb.9 \
1711	stack.9 stack_put.9 \
1712	stack.9 stack_save.9 \
1713	stack.9 stack_sbuf_print.9 \
1714	stack.9 stack_sbuf_print_ddb.9 \
1715	stack.9 stack_zero.9
1716MLINKS+=store.9 subyte.9 \
1717	store.9 suswintr.9 \
1718	store.9 suword.9 \
1719	store.9 suword16.9 \
1720	store.9 suword32.9 \
1721	store.9 suword64.9
1722MLINKS+=swi.9 swi_add.9 \
1723	swi.9 swi_remove.9 \
1724	swi.9 swi_sched.9
1725MLINKS+=sx.9 sx_assert.9 \
1726	sx.9 sx_destroy.9 \
1727	sx.9 sx_downgrade.9 \
1728	sx.9 sx_init.9 \
1729	sx.9 sx_init_flags.9 \
1730	sx.9 sx_sleep.9 \
1731	sx.9 sx_slock.9 \
1732	sx.9 sx_slock_sig.9 \
1733	sx.9 sx_sunlock.9 \
1734	sx.9 SX_SYSINIT.9 \
1735	sx.9 SX_SYSINIT_FLAGS.9 \
1736	sx.9 sx_try_slock.9 \
1737	sx.9 sx_try_upgrade.9 \
1738	sx.9 sx_try_xlock.9 \
1739	sx.9 sx_unlock.9 \
1740	sx.9 sx_xholder.9 \
1741	sx.9 sx_xlock.9 \
1742	sx.9 sx_xlock_sig.9 \
1743	sx.9 sx_xlocked.9 \
1744	sx.9 sx_xunlock.9
1745MLINKS+=sysctl.9 SYSCTL_DECL.9 \
1746	sysctl.9 SYSCTL_ADD_INT.9 \
1747	sysctl.9 SYSCTL_ADD_LONG.9 \
1748	sysctl.9 SYSCTL_ADD_NODE.9 \
1749	sysctl.9 SYSCTL_ADD_OPAQUE.9 \
1750	sysctl.9 SYSCTL_ADD_PROC.9 \
1751	sysctl.9 SYSCTL_ADD_QUAD.9 \
1752	sysctl.9 SYSCTL_ADD_ROOT_NODE.9 \
1753	sysctl.9 SYSCTL_ADD_S8.9 \
1754	sysctl.9 SYSCTL_ADD_S16.9 \
1755	sysctl.9 SYSCTL_ADD_S32.9 \
1756	sysctl.9 SYSCTL_ADD_S64.9 \
1757	sysctl.9 SYSCTL_ADD_STRING.9 \
1758	sysctl.9 SYSCTL_ADD_STRUCT.9 \
1759	sysctl.9 SYSCTL_ADD_U8.9 \
1760	sysctl.9 SYSCTL_ADD_U16.9 \
1761	sysctl.9 SYSCTL_ADD_U32.9 \
1762	sysctl.9 SYSCTL_ADD_U64.9 \
1763	sysctl.9 SYSCTL_ADD_UAUTO.9 \
1764	sysctl.9 SYSCTL_ADD_UINT.9 \
1765	sysctl.9 SYSCTL_ADD_ULONG.9 \
1766	sysctl.9 SYSCTL_ADD_UQUAD.9 \
1767	sysctl.9 SYSCTL_CHILDREN.9 \
1768	sysctl.9 SYSCTL_STATIC_CHILDREN.9 \
1769	sysctl.9 SYSCTL_NODE_CHILDREN.9 \
1770	sysctl.9 SYSCTL_PARENT.9 \
1771	sysctl.9 SYSCTL_INT.9 \
1772	sysctl.9 SYSCTL_LONG.9 \
1773	sysctl.9 SYSCTL_NODE.9 \
1774	sysctl.9 SYSCTL_OPAQUE.9 \
1775	sysctl.9 SYSCTL_PROC.9 \
1776	sysctl.9 SYSCTL_QUAD.9 \
1777	sysctl.9 SYSCTL_ROOT_NODE.9 \
1778	sysctl.9 SYSCTL_S8.9 \
1779	sysctl.9 SYSCTL_S16.9 \
1780	sysctl.9 SYSCTL_S32.9 \
1781	sysctl.9 SYSCTL_S64.9 \
1782	sysctl.9 SYSCTL_STRING.9 \
1783	sysctl.9 SYSCTL_STRUCT.9 \
1784	sysctl.9 SYSCTL_U8.9 \
1785	sysctl.9 SYSCTL_U16.9 \
1786	sysctl.9 SYSCTL_U32.9 \
1787	sysctl.9 SYSCTL_U64.9 \
1788	sysctl.9 SYSCTL_UINT.9 \
1789	sysctl.9 SYSCTL_ULONG.9 \
1790	sysctl.9 SYSCTL_UQUAD.9
1791MLINKS+=sysctl_add_oid.9 sysctl_move_oid.9 \
1792	sysctl_add_oid.9 sysctl_remove_oid.9 \
1793	sysctl_add_oid.9 sysctl_remove_name.9
1794MLINKS+=sysctl_ctx_init.9 sysctl_ctx_entry_add.9 \
1795	sysctl_ctx_init.9 sysctl_ctx_entry_del.9 \
1796	sysctl_ctx_init.9 sysctl_ctx_entry_find.9 \
1797	sysctl_ctx_init.9 sysctl_ctx_free.9
1798MLINKS+=SYSINIT.9 SYSUNINIT.9
1799MLINKS+=taskqueue.9 TASK_INIT.9 \
1800	taskqueue.9 TASK_INITIALIZER.9 \
1801	taskqueue.9 taskqueue_block.9 \
1802	taskqueue.9 taskqueue_cancel.9 \
1803	taskqueue.9 taskqueue_cancel_timeout.9 \
1804	taskqueue.9 taskqueue_create.9 \
1805	taskqueue.9 taskqueue_create_fast.9 \
1806	taskqueue.9 TASKQUEUE_DECLARE.9 \
1807	taskqueue.9 TASKQUEUE_DEFINE.9 \
1808	taskqueue.9 TASKQUEUE_DEFINE_THREAD.9 \
1809	taskqueue.9 taskqueue_drain.9 \
1810	taskqueue.9 taskqueue_drain_all.9 \
1811	taskqueue.9 taskqueue_drain_timeout.9 \
1812	taskqueue.9 taskqueue_enqueue.9 \
1813	taskqueue.9 taskqueue_enqueue_timeout.9 \
1814	taskqueue.9 TASKQUEUE_FAST_DEFINE.9 \
1815	taskqueue.9 TASKQUEUE_FAST_DEFINE_THREAD.9 \
1816	taskqueue.9 taskqueue_free.9 \
1817	taskqueue.9 taskqueue_member.9 \
1818	taskqueue.9 taskqueue_quiesce.9 \
1819	taskqueue.9 taskqueue_run.9 \
1820	taskqueue.9 taskqueue_set_callback.9 \
1821	taskqueue.9 taskqueue_start_threads.9 \
1822	taskqueue.9 taskqueue_start_threads_pinned.9 \
1823	taskqueue.9 taskqueue_unblock.9 \
1824	taskqueue.9 TIMEOUT_TASK_INIT.9
1825MLINKS+=tcp_functions.9 register_tcp_functions.9 \
1826	tcp_functions.9 deregister_tcp_functions.9
1827MLINKS+=time.9 boottime.9 \
1828	time.9 time_second.9 \
1829	time.9 time_uptime.9
1830MLINKS+=timeout.9 callout.9 \
1831	timeout.9 callout_active.9 \
1832	timeout.9 callout_async_drain.9 \
1833	timeout.9 callout_deactivate.9 \
1834	timeout.9 callout_drain.9 \
1835	timeout.9 callout_handle_init.9 \
1836	timeout.9 callout_init.9 \
1837	timeout.9 callout_init_mtx.9 \
1838	timeout.9 callout_init_rm.9 \
1839	timeout.9 callout_init_rw.9 \
1840	timeout.9 callout_pending.9 \
1841	timeout.9 callout_reset.9 \
1842	timeout.9 callout_reset_curcpu.9 \
1843	timeout.9 callout_reset_on.9 \
1844	timeout.9 callout_reset_sbt.9 \
1845	timeout.9 callout_reset_sbt_curcpu.9 \
1846	timeout.9 callout_reset_sbt_on.9 \
1847	timeout.9 callout_schedule.9 \
1848	timeout.9 callout_schedule_curcpu.9 \
1849	timeout.9 callout_schedule_on.9 \
1850	timeout.9 callout_schedule_sbt.9 \
1851	timeout.9 callout_schedule_sbt_curcpu.9 \
1852	timeout.9 callout_schedule_sbt_on.9 \
1853	timeout.9 callout_stop.9 \
1854	timeout.9 callout_when.9 \
1855	timeout.9 untimeout.9
1856MLINKS+=ucred.9 crcopy.9 \
1857	ucred.9 crcopysafe.9 \
1858	ucred.9 crdup.9 \
1859	ucred.9 crfree.9 \
1860	ucred.9 crget.9 \
1861	ucred.9 crhold.9 \
1862	ucred.9 crsetgroups.9 \
1863	ucred.9 crshared.9 \
1864	ucred.9 cru2x.9
1865MLINKS+=uidinfo.9 uifind.9 \
1866	uidinfo.9 uifree.9 \
1867	uidinfo.9 uihashinit.9 \
1868	uidinfo.9 uihold.9
1869MLINKS+=uio.9 uiomove.9 \
1870	uio.9 uiomove_frombuf.9 \
1871	uio.9 uiomove_nofault.9
1872
1873.if ${MK_USB} != "no"
1874MAN+=	usbdi.9
1875MLINKS+=usbdi.9 usbd_do_request.9 \
1876	usbdi.9 usbd_do_request_flags.9 \
1877	usbdi.9 usbd_errstr.9 \
1878	usbdi.9 usbd_lookup_id_by_info.9 \
1879	usbdi.9 usbd_lookup_id_by_uaa.9 \
1880	usbdi.9 usbd_transfer_clear_stall.9 \
1881	usbdi.9 usbd_transfer_drain.9 \
1882	usbdi.9 usbd_transfer_pending.9 \
1883	usbdi.9 usbd_transfer_poll.9 \
1884	usbdi.9 usbd_transfer_setup.9 \
1885	usbdi.9 usbd_transfer_start.9 \
1886	usbdi.9 usbd_transfer_stop.9 \
1887	usbdi.9 usbd_transfer_submit.9 \
1888	usbdi.9 usbd_transfer_unsetup.9 \
1889	usbdi.9 usbd_xfer_clr_flag.9 \
1890	usbdi.9 usbd_xfer_frame_data.9 \
1891	usbdi.9 usbd_xfer_frame_len.9 \
1892	usbdi.9 usbd_xfer_get_frame.9 \
1893	usbdi.9 usbd_xfer_get_priv.9 \
1894	usbdi.9 usbd_xfer_is_stalled.9 \
1895	usbdi.9 usbd_xfer_max_framelen.9 \
1896	usbdi.9 usbd_xfer_max_frames.9 \
1897	usbdi.9 usbd_xfer_max_len.9 \
1898	usbdi.9 usbd_xfer_set_flag.9 \
1899	usbdi.9 usbd_xfer_set_frame_data.9 \
1900	usbdi.9 usbd_xfer_set_frame_len.9 \
1901	usbdi.9 usbd_xfer_set_frame_offset.9 \
1902	usbdi.9 usbd_xfer_set_frames.9 \
1903	usbdi.9 usbd_xfer_set_interval.9 \
1904	usbdi.9 usbd_xfer_set_priv.9 \
1905	usbdi.9 usbd_xfer_set_stall.9 \
1906	usbdi.9 usbd_xfer_set_timeout.9 \
1907	usbdi.9 usbd_xfer_softc.9 \
1908	usbdi.9 usbd_xfer_state.9 \
1909	usbdi.9 usbd_xfer_status.9 \
1910	usbdi.9 usb_fifo_alloc_buffer.9 \
1911	usbdi.9 usb_fifo_attach.9 \
1912	usbdi.9 usb_fifo_detach.9 \
1913	usbdi.9 usb_fifo_free_buffer.9 \
1914	usbdi.9 usb_fifo_get_data.9 \
1915	usbdi.9 usb_fifo_get_data_buffer.9 \
1916	usbdi.9 usb_fifo_get_data_error.9 \
1917	usbdi.9 usb_fifo_get_data_linear.9 \
1918	usbdi.9 usb_fifo_put_bytes_max.9 \
1919	usbdi.9 usb_fifo_put_data.9 \
1920	usbdi.9 usb_fifo_put_data_buffer.9 \
1921	usbdi.9 usb_fifo_put_data_error.9 \
1922	usbdi.9 usb_fifo_put_data_linear.9 \
1923	usbdi.9 usb_fifo_reset.9 \
1924	usbdi.9 usb_fifo_softc.9 \
1925	usbdi.9 usb_fifo_wakeup.9
1926.endif
1927MLINKS+=vcount.9 count_dev.9
1928MLINKS+=vfsconf.9 vfs_modevent.9 \
1929	vfsconf.9 vfs_register.9 \
1930	vfsconf.9 vfs_unregister.9
1931MLINKS+=vfs_getopt.9 vfs_copyopt.9 \
1932	vfs_getopt.9 vfs_filteropt.9 \
1933	vfs_getopt.9 vfs_flagopt.9 \
1934	vfs_getopt.9 vfs_getopts.9 \
1935	vfs_getopt.9 vfs_scanopt.9 \
1936	vfs_getopt.9 vfs_setopt.9 \
1937	vfs_getopt.9 vfs_setopt_part.9 \
1938	vfs_getopt.9 vfs_setopts.9
1939MLINKS+=vhold.9 vdrop.9 \
1940	vhold.9 vdropl.9 \
1941	vhold.9 vholdl.9
1942MLINKS+=vmem.9 vmem_add.9 \
1943	vmem.9 vmem_alloc.9 \
1944	vmem.9 vmem_create.9 \
1945	vmem.9 vmem_destroy.9 \
1946	vmem.9 vmem_free.9 \
1947	vmem.9 vmem_xalloc.9 \
1948	vmem.9 vmem_xfree.9  
1949MLINKS+=vm_map_lock.9 vm_map_lock_downgrade.9 \
1950	vm_map_lock.9 vm_map_lock_read.9 \
1951	vm_map_lock.9 vm_map_lock_upgrade.9 \
1952	vm_map_lock.9 vm_map_trylock.9 \
1953	vm_map_lock.9 vm_map_trylock_read.9 \
1954	vm_map_lock.9 vm_map_unlock.9 \
1955	vm_map_lock.9 vm_map_unlock_read.9
1956MLINKS+=vm_map_lookup.9 vm_map_lookup_done.9
1957MLINKS+=vm_map_max.9 vm_map_min.9 \
1958	vm_map_max.9 vm_map_pmap.9
1959MLINKS+=vm_map_stack.9 vm_map_growstack.9
1960MLINKS+=vm_map_wire.9 vm_map_unwire.9
1961MLINKS+=vm_page_bits.9 vm_page_clear_dirty.9 \
1962	vm_page_bits.9 vm_page_dirty.9 \
1963	vm_page_bits.9 vm_page_is_valid.9 \
1964	vm_page_bits.9 vm_page_set_invalid.9 \
1965	vm_page_bits.9 vm_page_set_validclean.9 \
1966	vm_page_bits.9 vm_page_test_dirty.9 \
1967	vm_page_bits.9 vm_page_undirty.9 \
1968	vm_page_bits.9 vm_page_zero_invalid.9
1969MLINKS+=vm_page_busy.9 vm_page_busied.9 \
1970	vm_page_busy.9 vm_page_busy_downgrade.9 \
1971	vm_page_busy.9 vm_page_busy_sleep.9 \
1972	vm_page_busy.9 vm_page_sbusied.9 \
1973	vm_page_busy.9 vm_page_sbusy.9 \
1974	vm_page_busy.9 vm_page_sleep_if_busy.9 \
1975	vm_page_busy.9 vm_page_sunbusy.9 \
1976	vm_page_busy.9 vm_page_trysbusy.9 \
1977	vm_page_busy.9 vm_page_tryxbusy.9 \
1978	vm_page_busy.9 vm_page_xbusied.9 \
1979	vm_page_busy.9 vm_page_xbusy.9 \
1980	vm_page_busy.9 vm_page_xunbusy.9 \
1981	vm_page_busy.9 vm_page_assert_sbusied.9 \
1982	vm_page_busy.9 vm_page_assert_unbusied.9 \
1983	vm_page_busy.9 vm_page_assert_xbusied.9
1984MLINKS+=vm_page_aflag.9 vm_page_aflag_clear.9 \
1985	vm_page_aflag.9 vm_page_aflag_set.9 \
1986	vm_page_aflag.9 vm_page_reference.9
1987MLINKS+=vm_page_free.9 vm_page_free_toq.9 \
1988	vm_page_free.9 vm_page_free_zero.9 \
1989	vm_page_free.9 vm_page_try_to_free.9
1990MLINKS+=vm_page_hold.9 vm_page_unhold.9
1991MLINKS+=vm_page_insert.9 vm_page_remove.9
1992MLINKS+=vm_page_wire.9 vm_page_unwire.9
1993MLINKS+=VOP_ACCESS.9 VOP_ACCESSX.9
1994MLINKS+=VOP_ATTRIB.9 VOP_GETATTR.9 \
1995	VOP_ATTRIB.9 VOP_SETATTR.9
1996MLINKS+=VOP_CREATE.9 VOP_MKDIR.9 \
1997	VOP_CREATE.9 VOP_MKNOD.9 \
1998	VOP_CREATE.9 VOP_SYMLINK.9
1999MLINKS+=VOP_GETPAGES.9 VOP_PUTPAGES.9
2000MLINKS+=VOP_INACTIVE.9 VOP_RECLAIM.9
2001MLINKS+=VOP_LOCK.9 vn_lock.9 \
2002	VOP_LOCK.9 VOP_ISLOCKED.9 \
2003	VOP_LOCK.9 VOP_UNLOCK.9
2004MLINKS+=VOP_OPENCLOSE.9 VOP_CLOSE.9 \
2005	VOP_OPENCLOSE.9 VOP_OPEN.9
2006MLINKS+=VOP_RDWR.9 VOP_READ.9 \
2007	VOP_RDWR.9 VOP_WRITE.9
2008MLINKS+=VOP_REMOVE.9 VOP_RMDIR.9
2009MLINKS+=vnet.9 vimage.9
2010MLINKS+=vref.9 VREF.9 \
2011	vref.9 vrefl.9
2012MLINKS+=vrele.9 vput.9 \
2013	vrele.9 vunref.9
2014MLINKS+=vslock.9 vsunlock.9
2015MLINKS+=zone.9 uma.9 \
2016	zone.9 uma_zalloc.9 \
2017	zone.9 uma_zalloc_arg.9 \
2018	zone.9 uma_zcreate.9 \
2019	zone.9 uma_zdestroy.9 \
2020	zone.9 uma_zfree.9 \
2021	zone.9 uma_zfree_arg.9 \
2022	zone.9 uma_zone_get_cur.9 \
2023	zone.9 uma_zone_get_max.9 \
2024	zone.9 uma_zone_set_max.9 \
2025	zone.9 uma_zone_set_warning.9 \
2026	zone.9 uma_zone_set_maxaction.9
2027
2028.include <bsd.prog.mk>
2029