AuxVector.cpp revision 360784
1296177Sjhibbits//===-- AuxVector.cpp -------------------------------------------*- C++ -*-===//
2296177Sjhibbits//
3296177Sjhibbits// Part of the LLVM Project, under the Apache License v2.0 with LLVM Exceptions.
4296177Sjhibbits// See https://llvm.org/LICENSE.txt for license information.
5296177Sjhibbits// SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception
6296177Sjhibbits//
7296177Sjhibbits//===----------------------------------------------------------------------===//
8296177Sjhibbits
9296177Sjhibbits#include "AuxVector.h"
10296177Sjhibbits
11296177SjhibbitsAuxVector::AuxVector(const lldb_private::DataExtractor &data) {
12296177Sjhibbits  ParseAuxv(data);
13296177Sjhibbits}
14296177Sjhibbits
15296177Sjhibbitsvoid AuxVector::ParseAuxv(const lldb_private::DataExtractor &data) {
16296177Sjhibbits  lldb::offset_t offset = 0;
17296177Sjhibbits  const size_t value_type_size = data.GetAddressByteSize() * 2;
18296177Sjhibbits  while (data.ValidOffsetForDataOfSize(offset, value_type_size)) {
19296177Sjhibbits    // We're not reading an address but an int that could be 32 or 64 bit
20296177Sjhibbits    // depending on the address size, which is what GetAddress does.
21296177Sjhibbits    const uint64_t type = data.GetAddress(&offset);
22296177Sjhibbits    const uint64_t value = data.GetAddress(&offset);
23296177Sjhibbits    if (type == AUXV_AT_NULL)
24296177Sjhibbits      break;
25296177Sjhibbits    if (type == AUXV_AT_IGNORE)
26296177Sjhibbits      continue;
27296177Sjhibbits
28296177Sjhibbits    m_auxv_entries[type] = value;
29296177Sjhibbits  }
30296177Sjhibbits}
31296177Sjhibbits
32296177Sjhibbitsllvm::Optional<uint64_t>
33296177SjhibbitsAuxVector::GetAuxValue(enum EntryType entry_type) const {
34296177Sjhibbits  auto it = m_auxv_entries.find(static_cast<uint64_t>(entry_type));
35296177Sjhibbits  if (it != m_auxv_entries.end())
36296177Sjhibbits    return it->second;
37296177Sjhibbits  return llvm::None;
38296177Sjhibbits}
39296177Sjhibbits
40296177Sjhibbitsvoid AuxVector::DumpToLog(lldb_private::Log *log) const {
41296177Sjhibbits  if (!log)
42296177Sjhibbits    return;
43296177Sjhibbits
44296177Sjhibbits  log->PutCString("AuxVector: ");
45296177Sjhibbits  for (auto entry : m_auxv_entries) {
46296177Sjhibbits    LLDB_LOGF(log, "   %s [%" PRIu64 "]: %" PRIx64,
47296177Sjhibbits              GetEntryName(static_cast<EntryType>(entry.first)), entry.first,
48296177Sjhibbits              entry.second);
49296177Sjhibbits  }
50296177Sjhibbits}
51296177Sjhibbits
52296177Sjhibbitsconst char *AuxVector::GetEntryName(EntryType type) const {
53296177Sjhibbits  const char *name = "AT_???";
54296177Sjhibbits
55296177Sjhibbits#define ENTRY_NAME(_type)                                                      \
56296177Sjhibbits  _type:                                                                       \
57296177Sjhibbits  name = &#_type[5]
58296177Sjhibbits  switch (type) {
59296177Sjhibbits    case ENTRY_NAME(AUXV_AT_NULL);           break;
60296177Sjhibbits    case ENTRY_NAME(AUXV_AT_IGNORE);         break;
61296177Sjhibbits    case ENTRY_NAME(AUXV_AT_EXECFD);         break;
62296177Sjhibbits    case ENTRY_NAME(AUXV_AT_PHDR);           break;
63296177Sjhibbits    case ENTRY_NAME(AUXV_AT_PHENT);          break;
64296177Sjhibbits    case ENTRY_NAME(AUXV_AT_PHNUM);          break;
65296177Sjhibbits    case ENTRY_NAME(AUXV_AT_PAGESZ);         break;
66296177Sjhibbits    case ENTRY_NAME(AUXV_AT_BASE);           break;
67296177Sjhibbits    case ENTRY_NAME(AUXV_AT_FLAGS);          break;
68296177Sjhibbits    case ENTRY_NAME(AUXV_AT_ENTRY);          break;
69296177Sjhibbits    case ENTRY_NAME(AUXV_AT_NOTELF);         break;
70296177Sjhibbits    case ENTRY_NAME(AUXV_AT_UID);            break;
71296177Sjhibbits    case ENTRY_NAME(AUXV_AT_EUID);           break;
72296177Sjhibbits    case ENTRY_NAME(AUXV_AT_GID);            break;
73296177Sjhibbits    case ENTRY_NAME(AUXV_AT_EGID);           break;
74296177Sjhibbits    case ENTRY_NAME(AUXV_AT_CLKTCK);         break;
75296177Sjhibbits    case ENTRY_NAME(AUXV_AT_PLATFORM);       break;
76296177Sjhibbits    case ENTRY_NAME(AUXV_AT_HWCAP);          break;
77296177Sjhibbits    case ENTRY_NAME(AUXV_AT_FPUCW);          break;
78296177Sjhibbits    case ENTRY_NAME(AUXV_AT_DCACHEBSIZE);    break;
79296177Sjhibbits    case ENTRY_NAME(AUXV_AT_ICACHEBSIZE);    break;
80296177Sjhibbits    case ENTRY_NAME(AUXV_AT_UCACHEBSIZE);    break;
81296177Sjhibbits    case ENTRY_NAME(AUXV_AT_IGNOREPPC);      break;
82296177Sjhibbits    case ENTRY_NAME(AUXV_AT_SECURE);         break;
83296177Sjhibbits    case ENTRY_NAME(AUXV_AT_BASE_PLATFORM);  break;
84296177Sjhibbits    case ENTRY_NAME(AUXV_AT_RANDOM);         break;
85296177Sjhibbits    case ENTRY_NAME(AUXV_AT_EXECFN);         break;
86296177Sjhibbits    case ENTRY_NAME(AUXV_AT_SYSINFO);        break;
87296177Sjhibbits    case ENTRY_NAME(AUXV_AT_SYSINFO_EHDR);   break;
88296177Sjhibbits    case ENTRY_NAME(AUXV_AT_L1I_CACHESHAPE); break;
89296177Sjhibbits    case ENTRY_NAME(AUXV_AT_L1D_CACHESHAPE); break;
90296177Sjhibbits    case ENTRY_NAME(AUXV_AT_L2_CACHESHAPE);  break;
91296177Sjhibbits    case ENTRY_NAME(AUXV_AT_L3_CACHESHAPE);  break;
92296177Sjhibbits    }
93296177Sjhibbits#undef ENTRY_NAME
94296177Sjhibbits
95296177Sjhibbits    return name;
96296177Sjhibbits}
97296177Sjhibbits