1// StdAfx.h
2
3#ifndef __STDAFX_H
4#define __STDAFX_H
5
6#endif
7