Searched refs:new_rows (Results 1 - 5 of 5) sorted by relevance

/linux-master/drivers/s390/char/
H A Draw3270.h61 int new_model, int new_cols, int new_rows,
H A Dcon3270.c963 int new_model, int new_rows, int new_cols,
977 old_rows == new_rows) {
990 screen = tty3270_alloc_screen(tp, new_rows, new_cols, &new_allocated);
1003 tp->view.rows = new_rows;
1031 tty3270_free_screen(screen, new_rows);
962 tty3270_resize(struct raw3270_view *view, int new_model, int new_rows, int new_cols, int old_model, int old_rows, int old_cols) argument
/linux-master/drivers/tty/vt/
H A Dvt.c1138 unsigned int new_cols, new_rows, new_row_size, new_screen_size; local
1148 new_rows = (lines ? lines : vc->vc_rows);
1150 new_screen_size = new_row_size * new_rows;
1152 if (new_cols == vc->vc_cols && new_rows == vc->vc_rows) {
1169 return resize_screen(vc, new_cols, new_rows, from_user);
1179 new_uniscr = vc_uniscr_alloc(new_cols, new_rows);
1192 err = resize_screen(vc, new_cols, new_rows, from_user);
1199 vc->vc_rows = new_rows;
1210 if (vc->state.y > new_rows) {
1211 if (old_rows - vc->state.y < new_rows) {
[all...]
/linux-master/drivers/video/fbdev/core/
H A Dfbcon.c547 int cols, int rows, int new_cols, int new_rows)
553 int cols, int rows, int new_cols, int new_rows)
580 if (r != q && new_rows >= rows + logo_lines) {
996 int logo = 1, new_rows, new_cols, rows, cols; local
1080 new_rows = FBCON_SWAP(ops->rotate, info->var.yres, info->var.xres);
1082 new_rows /= vc->vc_font.height;
1121 vc->vc_rows = new_rows;
1123 vc_resize(vc, new_cols, new_rows);
1126 fbcon_prepare_logo(vc, info, cols, rows, new_cols, new_rows);
546 fbcon_prepare_logo(struct vc_data *vc, struct fb_info *info, int cols, int rows, int new_cols, int new_rows) argument
552 fbcon_prepare_logo(struct vc_data *vc, struct fb_info *info, int cols, int rows, int new_cols, int new_rows) argument
/linux-master/drivers/net/ethernet/chelsio/cxgb4/
H A Dcxgb4_debugfs.c115 static int seq_tab_trim(struct seq_tab *p, unsigned int new_rows) argument
117 if (new_rows > p->rows)
119 p->rows = new_rows;

Completed in 146 milliseconds