Searched refs:mantissa (Results 1 - 10 of 10) sorted by relevance

/opensolaris-onvv-gate/usr/src/lib/libnsl/rpc/
H A Dxdr_float.c79 unsigned int mantissa: 23; member in struct:ieee_single
131 is.mantissa = (vs.mantissa1 << 16) | vs.mantissa2;
133 is.mantissa >>= 3 - vs.exp;
134 is.mantissa += (1 << (20 + vs.exp));
149 is.mantissa = (vs.mantissa1 << 16) | vs.mantissa2;
189 val = val << 23; /* for the mantissa */
211 (is.mantissa == lim->ieee.mantissa)) {
216 unsigned tmp = is.mantissa >> 20;
225 tmp = is.mantissa
[all...]
/opensolaris-onvv-gate/usr/src/uts/common/pcmcia/cis/
H A Dcis.c843 unsigned exponent = 0, mantissa = 0; local
865 mantissa = CISTPL_DEVSPEED_MAX_MAN;
871 (mantissa == CISTPL_DEVSPEED_MAX_MAN)) {
872 /* find the mantissa */
873 for (mantissa = 0; mantissa < CISTPL_DEVSPEED_MAX_MAN;
874 mantissa++) {
875 if (cd->mantissa[mantissa] == tmanv) {
876 cs->devspeed = ((((mantissa<<
[all...]
/opensolaris-onvv-gate/usr/src/lib/libresolv2/common/resolv/
H A Dres_debug.c789 int mantissa, exponent; local
791 mantissa = (int)((prec >> 4) & 0x0f) % 10;
794 val = mantissa * poweroften[exponent];
807 int mantissa; local
829 mantissa = cmval / poweroften[exponent];
830 if (mantissa > 9)
831 mantissa = 9;
833 retval = (mantissa << 4) | exponent;
/opensolaris-onvv-gate/usr/src/uts/common/pcmcia/sys/
H A Dcis_handlers.h87 #define CISTPL_DEVSPEED_MAX_MAN 16 /* max mantissa entries */
90 #define CISTPL_DEVSPEED_MAN(m) cistpl_devspeed_struct.mantissa[(m) & \
97 uint32_t *mantissa; member in struct:cistpl_devspeed_struct_t
620 #define CISTPL_PD_MAN(m) cistpl_pd_struct.mantissa[m&15]
623 uint32_t *mantissa; member in struct:cistpl_pd_struct_t
/opensolaris-onvv-gate/usr/src/cmd/perl/5.8.4/distrib/lib/Math/BigInt/t/
H A Dbigfltpm.inc53 } elsif ($f eq "mantissa") {
55 $try .= '$x->mantissa()->bstr();';
141 # float numbers are normalized (for now), so mantissa shouldn't have
181 # mantissa
183 ok ($class->new($monster)->mantissa(),
1422 &mantissa
/opensolaris-onvv-gate/usr/src/cmd/audio/utilities/
H A Dfilehdr.c1280 int mantissa; local
1290 mantissa = (int)fmantissa << 16;
1294 data[2] = mantissa >> 24;
1295 data[3] = mantissa >> 16;
1296 data[4] = mantissa >> 8;
1297 data[5] = mantissa;
/opensolaris-onvv-gate/usr/src/cmd/perl/5.8.4/distrib/lib/Math/
H A DBigFloat.pm9 # _m : mantissa (ref to $CALC object)
114 # _m: mantissa
167 # make integer from mantissa by adjusting exp, then convert to int
170 my $mantissa = "$$miv$$mfv"; # create mant.
171 $mantissa =~ s/^0+(\d)/$1/; # strip leading zeros
172 $self->{_m} = $MBI->_new($mantissa); # create mant.
183 # we can only have trailing zeros on the mantissa of $$mfv eq ''
466 # so make mantissa equal length by padding with zero (shift left)
530 # so make mantissa equal-length by padding with zero (shift left)
1418 # $ym is now mantissa o
2223 sub mantissa subroutine
[all...]
H A DBigInt.pm517 # split str in m mantissa, e exponent, i integer, f fraction, v value, s sign
536 # make integer from mantissa by adjusting exp, then convert to bigint
1982 sub mantissa subroutine
1984 # return the mantissa (compatible to Math::BigFloat, e.g. reduced)
2001 # return a copy of both the exponent and the mantissa
2004 ($x->mantissa(),$x->exponent());
2515 # valid mantissa?
2673 $x->mantissa(); # return (signed) mantissa as BigInt
2674 $x->parts(); # return (mantissa,exponen
[all...]
/opensolaris-onvv-gate/usr/src/cmd/perl/5.8.4/distrib/lib/
H A DCPAN.pm5952 my($mantissa) = $n =~ /\.(\d{1,12})/; # limit to 12 digits to limit
5954 $mantissa ||= 0;
5955 $mantissa .= "0" while length($mantissa)%3;
5957 while ($mantissa) {
5958 $mantissa =~ s/(\d{1,3})// or
5959 die "Panic: length>0 but not a digit? mantissa[$mantissa]";
/opensolaris-onvv-gate/usr/src/cmd/perl/5.8.4/distrib/ext/B/B/
H A DDeparse.pm3393 # Split a floating point number into an integer mantissa and a binary
3410 my $mantissa = sprintf("%.0f", $f);
3411 return ($mantissa, $exponent);

Completed in 210 milliseconds