Searched refs:raddr (Results 1 - 4 of 4) sorted by relevance

/openjdk10/jdk/src/java.security.jgss/share/classes/sun/security/krb5/
H A DKrbPriv.java51 HostAddress raddr
65 raddr
74 HostAddress raddr,
89 raddr,
69 KrbPriv(byte[] msg, Credentials creds, EncryptionKey subKey, SeqNumber seqNumber, HostAddress saddr, HostAddress raddr, boolean timestampRequired, boolean seqNumberRequired ) argument
H A DKrbSafe.java50 HostAddress raddr
63 raddr
72 HostAddress raddr,
90 raddr,
67 KrbSafe(byte[] msg, Credentials creds, EncryptionKey subKey, SeqNumber seqNumber, HostAddress saddr, HostAddress raddr, boolean timestampRequired, boolean seqNumberRequired ) argument
/openjdk10/hotspot/src/cpu/x86/vm/
H A Dc1_LIRAssembler_x86.cpp2015 Address raddr = frame_map()->address_for_slot(right->single_stack_ix()); local
2017 case lir_add: __ addl(lreg, raddr); break;
2018 case lir_sub: __ subl(lreg, raddr); break;
2129 Address raddr; local
2131 raddr = frame_map()->address_for_slot(right->single_stack_ix());
2134 raddr = __ as_Address(InternalAddress(float_constant(right->as_jfloat())));
2139 case lir_add: __ addss(lreg, raddr); break;
2140 case lir_sub: __ subss(lreg, raddr); break;
2142 case lir_mul: __ mulss(lreg, raddr); break;
2144 case lir_div: __ divss(lreg, raddr); brea
2165 Address raddr; local
2195 Address raddr; local
2234 Address raddr; local
2405 Address raddr = frame_map()->address_for_slot(right->single_stack_ix()); local
[all...]
/openjdk10/hotspot/src/cpu/s390/vm/
H A Dc1_LIRAssembler_s390.cpp1442 Address raddr = frame_map()->address_for_slot(right->single_stack_ix()); local
1444 case lir_add: __ z_ay(lreg, raddr); break;
1445 case lir_sub: __ z_sy(lreg, raddr); break;
1517 Address raddr; local
1521 raddr = frame_map()->address_for_slot(right->single_stack_ix());
1522 if (!Immediate::is_uimm12(raddr.disp())) {
1523 __ mem2freg_opt(rreg = Z_fscratch_1, raddr, false);
1539 case lir_add: __ z_aeb(lreg, raddr); break;
1540 case lir_sub: __ z_seb(lreg, raddr); break;
1542 case lir_mul: __ z_meeb(lreg, raddr); brea
1552 Address raddr; local
1644 Address raddr = frame_map()->address_for_slot(right->single_stack_ix()); local
[all...]

Completed in 72 milliseconds