Searched refs:testnum (Results 1 - 7 of 7) sorted by relevance

/openbsd-current/gnu/usr.bin/perl/cpan/Pod-Checker/t/pod/
H A Dselfcheck.t22 my $testnum = 1;
37 print "not ok $testnum\n";
40 print "ok $testnum\n";
42 $testnum++;
/openbsd-current/gnu/usr.bin/perl/cpan/podlators/t/man/
H A Dheading.t28 my $testnum = 1;
42 is($heading, $data->{output}, "Test $testnum");
43 $testnum++;
/openbsd-current/regress/lib/libutil/fmt_scaled/
H A Dfmt_test.c22 static int assert_int(int testnum, int checknum, int expect, int result);
23 static int assert_errno(int testnum, int checknum, int expect, int result);
24 static int assert_llong(int testnum, int checknum, long long expect, long long result);
25 static int assert_str(int testnum, int checknum, char * expect, char * result);
301 assert_int(int testnum, int check, int expect, int result) argument
306 testnum, check, expect, result);
311 assert_errno(int testnum, int check, int expect, int result) argument
316 testnum, check);
325 assert_llong(int testnum, int check, long long expect, long long result) argument
330 testnum, chec
335 assert_str(int testnum, int check, char * expect, char * result) argument
[all...]
/openbsd-current/regress/sys/kern/flock/
H A Dlockf.c115 int testnum = 0; local
130 testnum = strtonum(argv[0], 1, test_count, &errstr);
131 if (testnum == 0)
139 if (testnum == 0 || i + 1 == testnum)
H A Dflock.c1897 int testnum = 0; local
1912 testnum = strtonum(argv[0], 1, test_count, &errstr);
1913 if (testnum == 0)
1925 if (testnum == 0 || testnum == i + 1)
/openbsd-current/gnu/usr.bin/perl/cpan/DB_File/t/
H A Ddb-recno.t1321 my $testnum = 194;
1330 ok($testnum++, 0);
1332 else { ok($testnum++, 1) }
1337 print STDERR '# skipping ', $testnum++, "\n";
1355 ok($testnum++, not $failed);
1358 die "testnum ($testnum) != total_tests ($total_tests) + 1"
1359 if $testnum != $total_tests + 1;
/openbsd-current/regress/sys/netinet/in_pcbbind/
H A Druntest.c188 int testnum = 1; local
275 int testnum = 1; local

Completed in 410 milliseconds