Searched refs:shifted (Results 1 - 25 of 29) sorted by relevance

12

/openbsd-current/gnu/lib/libstdc++/libstdc++/testsuite/23_containers/
H A Dbitset_shift.cc64 std::bitset<size> shifted; local
75 shifted = original;
76 shifted <<= shift_step;
79 VERIFY( shifted == correct );
81 shifted = original;
82 shifted >>= shift_step;
85 VERIFY( shifted == correct );
/openbsd-current/usr.bin/less/
H A Dline.c211 int shifted = 0; /* Number of display columns already discarded. */ local
227 * We keep on going when shifted == shift
230 while (shifted <= shift && from < curr) {
267 if (width == 2 && shift - shifted == 1) {
277 shifted++;
296 if (shift - shifted < width)
299 shifted += width;
300 if (shifted < 0)
301 shifted = 0;
308 column -= shifted;
[all...]
/openbsd-current/gnu/usr.bin/perl/t/op/
H A Dbop.t38 my $shifted;
39 { use integer; $shifted = $iv_min << 0 };
40 is($shifted, $iv_min, "IV_MIN << 0 yields IV_MIN under 'use integer'");
/openbsd-current/gnu/llvm/lldb/source/Plugins/Instruction/ARM/
H A DEmulateInstructionARM.cpp1665 (shifted, carry) = Shift_C(R[m], shift_t, shift_n, APSR.C);
1666 result = NOT(shifted);
1719 uint32_t shifted =
1723 uint32_t result = ~shifted;
1945 shifted = Shift(R[m], shift_t, shift_n, APSR.C);
1946 (result, carry, overflow) = AddWithCarry(SP, shifted, '0');
3193 // This instruction adds a register value and an optionally-shifted register
3202 shifted = Shift(R[m], shift_t, shift_n, APSR.C);
3203 (result, carry, overflow) = AddWithCarry(R[n], shifted, '0');
3263 uint32_t shifted
[all...]
/openbsd-current/lib/libc/arch/mips64/gen/
H A Dldexp.S135 dsrl t8, t2, t1 # save bits shifted out
/openbsd-current/usr.bin/vi/vi/
H A Dvs_refresh.c615 goto shifted;
625 shifted: /* Fill in screen map with the new offset. */
739 * If the screen shifted, we recalculate the "most favorite"
/openbsd-current/gnu/usr.bin/gcc/gcc/
H A Dexpmed.c1650 /* Unless the msb of the field used to be the msb when we shifted,
1737 VALUE truncated to BITSIZE bits and then shifted left BITPOS bits. */
1909 expand_shift (code, mode, shifted, amount, target, unsignedp)
1912 rtx shifted;
1923 and shifted in the other direction; but that does not work
1943 return shifted;
1975 rtx subtarget = target == shifted ? 0 : target;
1986 shifted = force_reg (mode, shifted);
1989 mode, shifted, new_amoun
[all...]
/openbsd-current/gnu/gcc/gcc/
H A Dexpmed.c1783 /* Unless the msb of the field used to be the msb when we shifted,
1871 VALUE truncated to BITSIZE bits and then shifted left BITPOS bits. */
2194 expand_shift (enum tree_code code, enum machine_mode mode, rtx shifted,
2203 and shifted in the other direction; but that does not work
2221 return shifted;
2236 temp = force_reg (mode, shifted);
2237 shifted = expand_binop (mode, add_optab, temp, temp, NULL_RTX,
2240 return shifted;
2273 rtx subtarget = target == shifted ? 0 : target;
2286 shifted
2185 expand_shift(enum tree_code code, enum machine_mode mode, rtx shifted, tree amount, rtx target, int unsignedp) argument
[all...]
/openbsd-current/gnu/usr.bin/perl/cpan/DB_File/t/
H A Ddb-recno.t251 my $shifted = shift @data ;
252 ok(30, $value eq $shifted );
801 print "shifted $first\n" ;
819 shifted white
/openbsd-current/sys/arch/hppa/spmath/
H A Ddivu.S137 addc,<> 0,0,0 ; if bit shifted out of dvdu,
/openbsd-current/gnu/usr.bin/perl/regen/
H A Dmph.pl156 my %shifted;
160 next SEED1 if $shifted{ ($h >> $RSHIFT) & $MASK }++;
H A Dop_private135 defines are suitably shifted. The example above will generate
/openbsd-current/gnu/usr.bin/binutils-2.17/gas/config/
H A Dtc-arm.c288 unsigned shifted : 1; /* Shift applied to operation. */ member in struct:arm_it::__anon5300
3279 inst.operands[i].shifted = 1;
3818 inst.operands[0].shifted = 1;
4429 if (inst.operands[i].shifted)
4455 if (inst.operands[i].immisreg && inst.operands[i].shifted)
5082 || inst.operands[1].immisreg || inst.operands[1].shifted
5560 || inst.operands[2].immisreg || inst.operands[2].shifted
6042 /* inst.operands[i] is a shifted-register operand; encode
6103 constraint (inst.operands[i].shifted && inst.operands[i].shift_kind != SHIFT_LSL,
6104 _("Thumb supports only LSL in shifted registe
[all...]
/openbsd-current/gnu/gcc/gcc/config/h8300/
H A Dlib1funcs.asm650 ;; er0 contains shifted dividend
652 ;; er2 contains shifted divisor
/openbsd-current/gnu/usr.bin/gcc/gcc/config/arm/
H A Dlib1funcs.asm211 @ shifted out at the bottom.
330 @ since the "bit" will have been shifted out at the bottom.
/openbsd-current/sys/arch/amd64/amd64/
H A Daes_intel.S1000 pxor %xmm8,%xmm7 # xor the shifted versions
1014 pxor %xmm4,%xmm2 # xor the shifted versions
/openbsd-current/gnu/usr.bin/perl/cpan/Pod-Simple/lib/Pod/Simple/
H A DBlackBox.pm1779 my @shifted = (shift @{$para}, shift @{$para});
1781 unshift @{$para}, @shifted;
/openbsd-current/gnu/gcc/gcc/config/bfin/
H A Dbfin.c2155 We modify *V to contain the original value arithmetically shifted right by
2188 HOST_WIDE_INT shifted = val;
2190 int num_zero = shiftr_zero (&shifted);
2198 && shifted >= -32768 && shifted < 65536
2202 emit_insn (gen_movsi (operands[0], GEN_INT (shifted)));
2178 HOST_WIDE_INT shifted = val; local
/openbsd-current/usr.bin/tic/
H A Dtic.c2806 const char *shifted; member in struct:__anon68
2822 * the special cases in the "shifted" column. When using these
2844 (table[k].shifted
2845 ? table[k].shifted
/openbsd-current/gnu/gcc/gcc/config/arm/
H A Dieee754-sf.S134 @ Result needs to be shifted right.
154 @ Result must be shifted left and exponent adjusted.
H A Dieee754-df.S181 @ Result needs to be shifted right.
204 @ Result must be shifted left and exponent adjusted.
H A Dlib1funcs.asm621 @ since the "bit" will have been shifted out at the bottom.
/openbsd-current/gnu/usr.bin/perl/dist/I18N-LangTags/lib/I18N/
H A DLangTags.pm841 language tags with their ASCII characters shifted into Plane 14.
/openbsd-current/gnu/gcc/gcc/config/sh/
H A Dcrt1.asm934 shlr2 r0 ! trapa code is shifted by 2.
/openbsd-current/gnu/gcc/gcc/config/m68k/
H A Dlb1sf68.asm661 | unsigned int exponent : 11; /* exponent, shifted by 126 */
2565 | unsigned int exponent : 8; /* exponent, shifted by 126 */
2634 | shifted right once, so bit #FLT_MANT_DIG is set (so we have one extra

Completed in 577 milliseconds

12