Searched refs:FPGA_TEST_COUNT (Results 1 - 1 of 1) sorted by relevance

/openbsd-current/sys/dev/pci/
H A Dczreg.h115 #define FPGA_TEST_COUNT 0x2c /* test count register */ macro

Completed in 54 milliseconds