Searched refs:FPGA_TEST (Results 1 - 1 of 1) sorted by relevance

/openbsd-current/sys/dev/pci/
H A Dczreg.h114 #define FPGA_TEST 0x28 /* test register */ macro

Completed in 63 milliseconds