Searched refs:newbit (Results 1 - 2 of 2) sorted by relevance

/netgear-WNDR4500v2-V1.0.0.60_1.0.38/src/linux/linux-2.6/arch/parisc/math-emu/
H A Dsfsqrt.c59 register unsigned int newbit, sum; local
134 newbit = 1 << SGL_P;
135 while (newbit && Sgl_isnotzero(src)) {
136 Sgl_addition(result,newbit,sum);
139 Sgl_addition(result,(newbit<<1),result);
142 Sgl_rightshiftby1(newbit);
/netgear-WNDR4500v2-V1.0.0.60_1.0.38/src/linux/linux-2.6/fs/udf/
H A Dballoc.c287 int newbit, bit=0, block, block_group, group_start; local
324 newbit = (ptr - ((char *)bh->b_data)) << 3;
325 if (newbit < sb->s_blocksize << 3)
327 bit = newbit;
330 newbit = udf_find_next_one_bit(bh->b_data, sb->s_blocksize << 3, bit);
331 if (newbit < sb->s_blocksize << 3)
333 bit = newbit;

Completed in 47 milliseconds