Searched refs:i_shift (Results 1 - 4 of 4) sorted by relevance

/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/minidlna/ffmpeg-2.3.4/tests/
H A Dbase64.c30 int i_shift = 0; local
36 putchar(b64[(i_bits << 6 >> i_shift) & 0x3f]); \
38 i_shift -= 6; \
43 i_shift += 8;
44 while (i_shift > 6)
47 while (i_shift > 0)
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/iserver/libav-0.8.8/tests/
H A Dbase64.c30 int i_shift = 0; local
36 putchar(b64[(i_bits << 6 >> i_shift) & 0x3f]); \
38 i_shift -= 6; \
43 i_shift += 8;
44 while (i_shift > 6)
47 while (i_shift > 0)
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/iserver/libav-0.8.8/libavutil/
H A Dbase64.c78 int i_shift = 0; local
88 i_shift += 8;
91 *dst++ = b64[(i_bits << 6 >> i_shift) & 0x3f];
92 i_shift -= 6;
93 } while (i_shift > 6 || (bytes_remaining == 0 && i_shift > 0));
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/minidlna/ffmpeg-2.3.4/libavutil/
H A Dbase64.c144 int i_shift = 0; local
163 i_shift += 8;
165 while (i_shift > 0) {
166 *dst++ = b64[(i_bits << 6 >> i_shift) & 0x3f];
167 i_shift -= 6;

Completed in 157 milliseconds