Searched refs:ff_audio_data_read_from_fifo (Results 1 - 4 of 4) sorted by relevance

/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/minidlna/ffmpeg-2.3.4/libavresample/
H A Daudio_data.h173 int ff_audio_data_read_from_fifo(AVAudioFifo *af, AudioData *a, int nb_samples);
H A Daudio_data.c357 int ff_audio_data_read_from_fifo(AVAudioFifo *af, AudioData *a, int nb_samples) function
H A Dresample.c258 ret = ff_audio_data_read_from_fifo(avr->out_fifo, fifo_buf,
H A Dutils.c315 return ff_audio_data_read_from_fifo(avr->out_fifo, output,

Completed in 51 milliseconds