Searched refs:SYSREG_BIT (Results 1 - 11 of 11) sorted by relevance

/netgear-R7000-V1.0.7.12_1.2.5/components/opensource/linux/linux-2.6.36/arch/avr32/oprofile/
H A Dop_model_avr32.c43 .ie_mask = SYSREG_BIT(IEC),
44 .flag_mask = SYSREG_BIT(FC),
46 .ie_mask = SYSREG_BIT(IE0),
47 .flag_mask = SYSREG_BIT(F0),
49 .ie_mask = SYSREG_BIT(IE1),
50 .flag_mask = SYSREG_BIT(F1),
57 sysreg_write(PCCR, (SYSREG_BIT(PCCR_R)
58 | SYSREG_BIT(PCCR_C)
59 | SYSREG_BIT(FC)
60 | SYSREG_BIT(F
[all...]
/netgear-R7000-V1.0.7.12_1.2.5/components/opensource/linux/linux-2.6.36/arch/avr32/include/asm/
H A Dirqflags.h46 return (flags & SYSREG_BIT(GM)) != 0;
H A Dmmu_context.h131 sysreg_write(MMUCR, (SYSREG_BIT(MMUCR_S)
132 | SYSREG_BIT(E)
133 | SYSREG_BIT(MMUCR_I)));
144 sysreg_write(MMUCR, SYSREG_BIT(MMUCR_S));
H A Dsysreg.h269 #define SYSREG_BIT(name) \ macro
/netgear-R7000-V1.0.7.12_1.2.5/components/opensource/linux/linux-2.6.36/arch/avr32/mach-at32ap/
H A Dintc.c82 status_reg &= ~(SYSREG_BIT(I0M) | SYSREG_BIT(I1M)
83 | SYSREG_BIT(I2M) | SYSREG_BIT(I3M));
H A Dpm.c23 #define SRAM_PAGE_FLAGS (SYSREG_BIT(TLBELO_D) | SYSREG_BF(SZ, 1) \
24 | SYSREG_BF(AP, 3) | SYSREG_BIT(G))
60 tlbehi |= SYSREG_BIT(TLBEHI_V);
/netgear-R7000-V1.0.7.12_1.2.5/components/opensource/linux/linux-2.6.36/arch/avr32/kernel/
H A Dcpu.c302 if (config0 & SYSREG_BIT(CONFIG0_R))
304 if (config0 & SYSREG_BIT(CONFIG0_D))
306 if (config0 & SYSREG_BIT(CONFIG0_S))
308 if (config0 & SYSREG_BIT(CONFIG0_O))
310 if (config0 & SYSREG_BIT(CONFIG0_P))
312 if (config0 & SYSREG_BIT(CONFIG0_J))
314 if (config0 & SYSREG_BIT(CONFIG0_F))
H A Dkprobes.c71 BUG_ON(!(sysreg_read(SR) & SYSREG_BIT(SR_D)));
H A Dentry-avr32b.S549 orh r8, hi(SYSREG_BIT(M1) | SYSREG_BIT(M2))
/netgear-R7000-V1.0.7.12_1.2.5/components/opensource/linux/linux-2.6.36/arch/avr32/mm/
H A Dtlb.c75 tlbehi |= SYSREG_BIT(TLBEHI_V);
82 if (mmucr & SYSREG_BIT(MMUCR_N)) {
132 if (!(mmucr & SYSREG_BIT(MMUCR_N))) {
266 sysreg_write(MMUCR, sysreg_read(MMUCR) | SYSREG_BIT(MMUCR_I));
H A Dfault.c84 if (in_atomic() || !mm || regs->sr & SYSREG_BIT(GM))

Completed in 94 milliseconds