Searched refs:add_round_key (Results 1 - 4 of 4) sorted by relevance

/netbsd-current/sys/crypto/aes/
H A Daes_ct_enc.c35 add_round_key(uint32_t *q, const uint32_t *sk) function
109 add_round_key(q, skey);
114 add_round_key(q, skey + (u << 3));
118 add_round_key(q, skey + (num_rounds << 3));
H A Daes_ct_dec.c96 add_round_key(uint32_t *q, const uint32_t *sk) function
167 add_round_key(q, skey + (num_rounds << 3));
171 add_round_key(q, skey + (u << 3));
176 add_round_key(q, skey);
/netbsd-current/sys/crypto/aes/arch/x86/
H A Daes_sse2_enc.c33 add_round_key(__m128i q[static 4], const uint64_t sk[static 8]) function
126 add_round_key(q, skey);
131 add_round_key(q, skey + (u << 3));
135 add_round_key(q, skey + (num_rounds << 3));
H A Daes_sse2_dec.c74 add_round_key(__m128i q[static 4], const uint64_t sk[static 8]) function
168 add_round_key(q, skey + (num_rounds << 3));
172 add_round_key(q, skey + (u << 3));
177 add_round_key(q, skey);

Completed in 201 milliseconds