Searched refs:FPGA_TEST_COUNT (Results 1 - 1 of 1) sorted by relevance

/netbsd-6-1-5-RELEASE/sys/dev/pci/
H A Dczreg.h114 #define FPGA_TEST_COUNT 0x2c /* test count register */ macro

Completed in 82 milliseconds