Searched refs:f_foldclosed (Results 1 - 1 of 1) sorted by relevance

/macosx-10.9.5/vim-53/src/
H A Deval.c554 static void f_foldclosed __ARGS((typval_T *argvars, typval_T *rettv));
7727 {"foldclosed", 1, 1, f_foldclosed},
10497 f_foldclosed(argvars, rettv) function

Completed in 209 milliseconds