Searched refs:StartBit (Results 1 - 1 of 1) sorted by relevance

/macosx-10.9.5/llvmCore-3425.0.33/utils/TableGen/
H A DFixedLenDecoderEmitter.cpp223 unsigned StartBit; // the starting bit position member in class:__anon10828::Filter
403 bool fieldFromInsn(uint64_t &Field, insn_t &Insn, unsigned StartBit,
468 void reportRegion(bitAttr_t RA, unsigned StartBit, unsigned BitIndex,
495 : Owner(f.Owner), StartBit(f.StartBit), NumBits(f.NumBits), Mixed(f.Mixed),
504 : Owner(&owner), StartBit(startBit), NumBits(numBits), Mixed(mixed) {
505 assert(StartBit + NumBits - 1 < Owner->BitWidth);
518 bool ok = Owner->fieldFromInsn(Field, Insn, StartBit, NumBits);
561 BitValueArray[StartBit + bitIndex] = BIT_UNSET;
591 BitValueArray[StartBit
[all...]

Completed in 78 milliseconds