Searched refs:regSize (Results 1 - 4 of 4) sorted by relevance

/freebsd-11-stable/sys/arm/xscale/ixp425/
H A Dixp425_npe.c221 uint32_t regAddr, uint32_t regSize,
225 uint32_t regSize, uint32_t ctxtNum, int verify);
1212 uint32_t regAddr, uint32_t regSize,
1218 switch (regSize) {
1244 __func__, regAddr, regSize, ctxtNum, error);
1251 *regVal = (*regVal >> (IX_NPEDL_REG_SIZE_WORD - regSize)) & mask;
1258 uint32_t regSize, uint32_t ctxtNum, int verify)
1263 __func__, regAddr, regVal, regSize, ctxtNum);
1264 if (regSize == IX_NPEDL_REG_SIZE_WORD) {
1283 switch (regSize) {
1211 npe_logical_reg_read(struct ixpnpe_softc *sc, uint32_t regAddr, uint32_t regSize, uint32_t ctxtNum, uint32_t *regVal) argument
1257 npe_logical_reg_write(struct ixpnpe_softc *sc, uint32_t regAddr, uint32_t regVal, uint32_t regSize, uint32_t ctxtNum, int verify) argument
1381 uint32_t regSize; member in struct:__anon5185
[all...]
/freebsd-11-stable/contrib/llvm-project/llvm/lib/Target/AArch64/MCTargetDesc/
H A DAArch64AddressingModes.h275 static inline bool isLogicalImmediate(uint64_t imm, unsigned regSize) { argument
277 return processLogicalImmediate(imm, regSize, encoding);
282 static inline uint64_t encodeLogicalImmediate(uint64_t imm, unsigned regSize) { argument
284 bool res = processLogicalImmediate(imm, regSize, encoding);
292 /// integer value it represents with regSize bits.
293 static inline uint64_t decodeLogicalImmediate(uint64_t val, unsigned regSize) { argument
299 assert((regSize == 64 || N == 0) && "undefined logical immediate encoding");
310 // Replicate the pattern to fill the regSize.
311 while (size != regSize) {
320 /// is a valid encoding for an integer value with regSize bit
321 isValidDecodeLogicalImmediate(uint64_t val, unsigned regSize) argument
[all...]
/freebsd-11-stable/sys/dev/mrsas/
H A Dmrsas_fp.c1012 REGION_LEN regSize; local
1104 regSize = stripSize;
1122 regSize = numBlocks;
1135 regSize = stripSize - ref_in_start_stripe;
1139 regSize += (numRows - 2) << raid->stripeShift;
1143 regSize += ref_in_end_stripe + 1;
1145 regSize += stripSize;
1154 regSize = stripSize - ref_in_start_stripe;
1158 regSize += (numRows - 2) << raid->stripeShift;
1162 regSize
[all...]
/freebsd-11-stable/contrib/llvm-project/llvm/lib/Target/SystemZ/
H A DSystemZInstrInfo.cpp913 LogicOp(unsigned regSize, unsigned immLSB, unsigned immSize) argument
914 : RegSize(regSize), ImmLSB(immLSB), ImmSize(immSize) {}

Completed in 67 milliseconds