Searched refs:FIFOLOG_LENGTH (Results 1 - 3 of 3) sorted by relevance

/freebsd-10.3-release/usr.sbin/fifolog/lib/
H A Dfifolog.h118 * If (ident & FIFOLOG_LENGTH) the record is prefixed by:
135 #define FIFOLOG_LENGTH 0x40000000 macro
H A Dfifolog_write_poll.c324 assert(!(id & (FIFOLOG_TIMESTAMP|FIFOLOG_LENGTH)));
333 id |= FIFOLOG_LENGTH;
352 if (id & FIFOLOG_LENGTH)
387 assert(!(id & (FIFOLOG_TIMESTAMP|FIFOLOG_LENGTH)));
H A Dfifolog_reader.c225 if (u & FIFOLOG_LENGTH) {

Completed in 166 milliseconds