Searched refs:regB (Results 1 - 2 of 2) sorted by relevance

/freebsd-10.2-release/contrib/llvm/include/llvm/Target/
H A DTargetRegisterInfo.h394 bool regsOverlap(unsigned regA, unsigned regB) const {
395 if (regA == regB) return true;
396 if (isVirtualRegister(regA) || isVirtualRegister(regB))
401 MCRegUnitIterator RUB(regB, this);
/freebsd-10.2-release/contrib/llvm/lib/CodeGen/
H A DTwoAddressInstructionPass.cpp105 bool isProfitableToCommute(unsigned regA, unsigned regB, unsigned regC,
508 isProfitableToCommute(unsigned regA, unsigned regB, unsigned regC, argument
546 unsigned FromRegB = getMappedReg(regB, SrcRegMap);
560 // If there is a use of regB between its last def (could be livein) and this
563 if (!noUseAfterLastDef(regB, Dist, LastDefB))
1103 unsigned regB = MI.getOperand(SrcIdx).getReg(); local
1105 assert(TargetRegisterInfo::isVirtualRegister(regB) &&
1107 bool regBKilled = isKilled(MI, regB, MRI, TII, LIS, true);
1131 else if (isProfitableToCommute(regA, regB, regC, &MI, Dist)) {
1139 if (TryCommute && commuteInstruction(mi, regB, reg
[all...]

Completed in 60 milliseconds