Searched refs:clocks (Results 1 - 25 of 321) sorted by relevance

1234567891011>>

/freebsd-10-stable/sys/gnu/dts/arm/
H A Ds3c2416.dtsi36 clocks: clock-controller@0x4c000000 {
47 clocks = <&clocks PCLK_PWM>;
55 clocks = <&clocks PCLK_UART0>, <&clocks PCLK_UART0>,
56 <&clocks SCLK_UART>;
63 clocks = <&clocks PCLK_UART1>, <&clocks PCLK_UART
[all...]
H A Ds5pv210.dtsi60 external-clocks {
89 clocks = <&clocks CLK_NANDXL>, <&clocks DOUT_FLASH>;
101 clocks: clock-controller@e0100000 {
105 clocks = <&xxti>, <&xusbxti>;
141 clocks = <&clocks CLK_PDMA0>;
153 clocks = <&clocks CLK_PDMA
[all...]
H A Domap3xxx-clocks.dtsi20 clocks = <&virt_12m_ck>, <&virt_13m_ck>, <&virt_19200000_ck>, <&virt_26000000_ck>, <&virt_38_4m_ck>, <&virt_16_8m_ck>;
27 clocks = <&osc_sys_ck>;
37 clocks = <&osc_sys_ck>;
45 clocks = <&dpll3_ck>;
53 clocks = <&dpll3_m2_ck>;
61 clocks = <&dpll4_ck>;
69 clocks = <&dpll3_m2x2_ck>;
77 clocks = <&sys_ck>;
86 clocks = <&core_96m_fck>, <&mcbsp_clks>;
94 clocks
[all...]
H A Domap3430es1-clocks.dtsi14 clocks = <&l3_ick>;
22 clocks = <&l3_ick>;
31 clocks = <&gfx_l3_ck>;
39 clocks = <&gfx_l3_fck>;
47 clocks = <&gfx_l3_fck>;
55 clocks = <&sys_ck>;
63 clocks = <&core_48m_fck>;
71 clocks = <&corex2_fck>;
79 clocks = <&corex2_fck>;
88 clocks
[all...]
H A Dam33xx-clocks.dtsi14 clocks = <&virt_19200000_ck>, <&virt_24000000_ck>, <&virt_25000000_ck>, <&virt_26000000_ck>;
22 clocks = <&sys_clkin_ck>;
30 clocks = <&sys_clkin_ck>;
38 clocks = <&sys_clkin_ck>;
46 clocks = <&sys_clkin_ck>;
54 clocks = <&sys_clkin_ck>;
62 clocks = <&sys_clkin_ck>;
70 clocks = <&sys_clkin_ck>;
78 clocks = <&sys_clkin_ck>;
86 clocks
[all...]
H A Domap2420-clocks.dtsi15 clocks = <&core_ck>;
23 clocks = <&core_ck>, <&sys_ck>, <&func_96m_ck>, <&func_54m_ck>;
31 clocks = <&sys_clkout2_src_gate>, <&sys_clkout2_src_mux>;
37 clocks = <&sys_clkout2_src>;
47 clocks = <&dsp_fck>;
55 clocks = <&dsp_fck>;
65 clocks = <&dsp_gate_ick>, <&dsp_div_ick>;
71 clocks = <&core_ck>;
79 clocks = <&core_ck>;
88 clocks
[all...]
H A Domap34xx-omap36xx-clocks.dtsi14 clocks = <&l4_ick>;
22 clocks = <&security_l4_ick2>;
30 clocks = <&security_l4_ick2>;
38 clocks = <&security_l4_ick2>;
46 clocks = <&security_l4_ick2>;
54 clocks = <&dpll4_m5x2_ck>;
63 clocks = <&l4_ick>;
71 clocks = <&core_96m_fck>;
79 clocks = <&l3_ick>;
87 clocks
[all...]
H A Domap446x-clocks.dtsi14 clocks = <&l4_wkup_clk_mux_ck>;
23 clocks = <&div_ts_ck>;
H A Domap36xx-omap3430es2plus-clocks.dtsi14 clocks = <&corex2_fck>;
22 clocks = <&corex2_fck>;
31 clocks = <&ssi_ssr_gate_fck_3430es2>, <&ssi_ssr_div_fck_3430es2>;
37 clocks = <&ssi_ssr_fck>;
45 clocks = <&core_l3_ick>;
53 clocks = <&l4_ick>;
61 clocks = <&ssi_l4_ick>;
69 clocks = <&omap_96m_fck>;
77 clocks = <&sys_ck>;
85 clocks
[all...]
H A Dam43xx-clocks.dtsi14 clocks = <&sysboot_freq_sel_ck>, <&crystal_freq_sel_ck>;
22 clocks = <&virt_19200000_ck>, <&virt_24000000_ck>, <&virt_25000000_ck>, <&virt_26000000_ck>;
30 clocks = <&virt_19200000_ck>, <&virt_24000000_ck>, <&virt_25000000_ck>, <&virt_26000000_ck>;
38 clocks = <&sys_clkin_ck>;
46 clocks = <&sys_clkin_ck>;
54 clocks = <&sys_clkin_ck>;
62 clocks = <&sys_clkin_ck>;
70 clocks = <&sys_clkin_ck>;
78 clocks = <&sys_clkin_ck>;
86 clocks
[all...]
H A Domap36xx-am35xx-omap3430es2plus-clocks.dtsi14 clocks = <&corex2_fck>;
22 clocks = <&corex2_fck>;
31 clocks = <&sys_ck>, <&sys_ck>;
40 clocks = <&dpll5_ck>;
49 clocks = <&core_ck>;
57 clocks = <&core_ck>;
65 clocks = <&core_ck>;
73 clocks = <&core_ck>;
81 clocks = <&dpll4_m2x2_ck>;
89 clocks
[all...]
H A Domap2430-clocks.dtsi15 clocks = <&func_96m_ck>, <&mcbsp_clks>;
22 clocks = <&mcbsp3_gate_fck>, <&mcbsp3_mux_fck>;
28 clocks = <&func_96m_ck>, <&mcbsp_clks>;
36 clocks = <&mcbsp4_gate_fck>, <&mcbsp4_mux_fck>;
42 clocks = <&func_96m_ck>, <&mcbsp_clks>;
50 clocks = <&mcbsp5_gate_fck>, <&mcbsp5_mux_fck>;
58 clocks = <&dsp_fck>;
66 clocks = <&dsp_fck>;
76 clocks = <&iva2_1_gate_ick>, <&iva2_1_div_ick>;
82 clocks
[all...]
H A Defm32gg.dtsi29 clocks = <&cmu clk_HFPERCLKADC0>;
41 clocks = <&cmu clk_HFPERCLKGPIO>;
51 clocks = <&cmu clk_HFPERCLKI2C0>;
62 clocks = <&cmu clk_HFPERCLKI2C1>;
73 clocks = <&cmu clk_HFPERCLKUSART0>;
83 clocks = <&cmu clk_HFPERCLKUSART1>;
93 clocks = <&cmu clk_HFPERCLKUSART2>;
101 clocks = <&cmu clk_HFPERCLKUSART0>;
109 clocks = <&cmu clk_HFPERCLKUSART1>;
117 clocks
[all...]
H A Domap443x-clocks.dtsi14 clocks = <&sys_32k_ck>;
H A Dste-nomadik-stn8815.dtsi32 clocks = <&timclk>, <&pclk>;
41 clocks = <&timclk>, <&pclk>;
55 clocks = <&pclk>;
68 clocks = <&pclk>;
81 clocks = <&pclk>;
94 clocks = <&pclk>;
199 clocks = <&mxtal>;
207 clocks = <&mxtal>;
214 clocks = <&pll1>;
222 clocks
[all...]
H A Domap54xx-clocks.dtsi20 clocks = <&pad_clks_src_ck>;
40 clocks = <&slimbus_src_clk>;
108 clocks = <&abe_dpll_clk_mux>, <&abe_dpll_bypass_clk_mux>;
115 clocks = <&dpll_abe_ck>;
121 clocks = <&dpll_abe_x2_ck>;
130 clocks = <&dpll_abe_m2x2_ck>;
138 clocks = <&dpll_abe_m2x2_ck>;
147 clocks = <&aess_fclk>;
156 clocks = <&dpll_abe_m2x2_ck>;
164 clocks
[all...]
H A Dintegratorcp.dts18 * ARM DUI 0184B page 7-28 "Integrator/CP922T system clocks" which
35 clocks = <&xtal_codec>;
74 clocks = <&xtal24mhz>;
83 clocks = <&xtal24mhz>;
92 clocks = <&xtal24mhz>;
104 clocks = <&xtal25mhz>;
110 clocks = <&timclk>;
116 clocks = <&timclk>;
159 clocks = <&pclk>;
165 clocks
[all...]
H A Domap24xx-clocks.dtsi14 clocks = <&func_96m_ck>, <&mcbsp_clks>;
22 clocks = <&mcbsp1_gate_fck>, <&mcbsp1_mux_fck>;
28 clocks = <&func_96m_ck>, <&mcbsp_clks>;
36 clocks = <&mcbsp2_gate_fck>, <&mcbsp2_mux_fck>;
80 clocks = <&virt_19200000_ck>, <&virt_26m_ck>, <&virt_13m_ck>, <&virt_12m_ck>;
88 clocks = <&aplls_clkin_ck>;
96 clocks = <&aplls_clkin_ck>, <&aplls_clkin_x2_ck>;
105 clocks = <&osc_ck>;
127 clocks = <&sys_ck>, <&sys_ck>;
134 clocks
[all...]
H A Ddra7xx-clocks.dtsi14 clocks = <&atl_gfclk_mux>;
20 clocks = <&atl_gfclk_mux>;
26 clocks = <&atl_gfclk_mux>;
32 clocks = <&atl_gfclk_mux>;
194 clocks = <&abe_dpll_clk_mux>, <&abe_dpll_bypass_clk_mux>;
201 clocks = <&dpll_abe_ck>;
207 clocks = <&dpll_abe_x2_ck>;
218 clocks = <&dpll_abe_m2x2_ck>;
227 clocks = <&dpll_abe_ck>;
238 clocks
[all...]
H A Ddm816x-clocks.dtsi12 clocks = <&sys_clkin_ck &sys_clkin_ck>;
28 clocks = <&sys_clkin_ck &sys_clkin_ck>;
40 clocks = <&sys_clkin_ck &sys_clkin_ck>;
51 clocks = <&main_fapll 7>, < &sys_clkin_ck>;
92 clocks = <&main_fapll 5 &ddr_fapll 1 &video_fapll 1
100 clocks = <&clkout_pre_ck>;
109 clocks = <&clkout_div_ck>;
114 /* CM_DPLL clocks p1795 */
118 clocks = <&main_fapll 1>;
126 clocks
[all...]
H A Dwm8850.dtsi68 clocks {
87 clocks = <&ref24>;
94 clocks = <&ref24>;
101 clocks = <&ref24>;
108 clocks = <&ref24>;
115 clocks = <&ref24>;
122 clocks = <&ref24>;
129 clocks = <&ref24>;
136 clocks = <&plla>;
143 clocks
[all...]
H A Dstih415-clock.dtsi12 clocks {
36 clocks = <&clk_sysin>;
48 clocks = <&clk_sysin>;
58 clocks = <&clk_s_a0_osc_prediv>,
73 clocks = <&clk_s_a0_osc_prediv>,
94 clocks = <&clk_sysin>;
106 clocks = <&clk_sysin>;
116 clocks = <&clk_s_a1_osc_prediv>,
131 clocks = <&clk_s_a1_osc_prediv>,
157 clocks
[all...]
H A Decx-common.dtsi52 clocks = <&eclk>;
60 clocks = <&pclk>;
70 clocks = <&pclk>;
81 clocks = <&pclk>;
92 clocks = <&pclk>;
103 clocks = <&pclk>;
112 clocks = <&pclk>;
120 clocks = <&pclk>;
128 clocks = <&pclk>;
145 clocks {
[all...]
H A Dstih407-clock.dtsi10 clocks {
31 clocks = <&clk_m_a9>;
47 clocks = <&clk_sysin>;
54 * ARM CPU related clocks.
61 clocks = <&clockgen_a9_pll 0>,
74 clocks = <&clk_s_c0_flexgen 13>;
101 clocks = <&clk_sysin>;
111 clocks = <&clk_s_a0_pll 0>,
123 clocks = <&clk_sysin>;
139 clocks
[all...]
/freebsd-10-stable/crypto/heimdal/appl/telnet/telnet/
H A Dtypes.h52 extern Clocks clocks;

Completed in 396 milliseconds

1234567891011>>