Searched refs:psa_sigdefault (Results 1 - 2 of 2) sorted by relevance

/darwin-on-arm/xnu/bsd/sys/
H A Dspawn_internal.h98 sigset_t psa_sigdefault; /* signal set to default */ member in struct:_posix_spawnattr
/darwin-on-arm/xnu/bsd/kern/
H A Dkern_exec.c2020 if (px_sa.psa_sigdefault & (1 << sig)) {

Completed in 18 milliseconds