Searched refs:random_cursor (Results 1 - 6 of 6) sorted by relevance

/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src/router/db-4.8.30/test/
H A Ddbscript.tcl160 set dbcinfo [random_cursor $curslist]
212 set dbcinfo [random_cursor $curslist]
256 set dbcinfo [random_cursor $curslist]
296 set dbcinfo [random_cursor $curslist]
H A Dtestutils.tcl886 proc random_cursor { curslist } { procedure
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt/router/db-4.8.30/test/
H A Ddbscript.tcl160 set dbcinfo [random_cursor $curslist]
212 set dbcinfo [random_cursor $curslist]
256 set dbcinfo [random_cursor $curslist]
296 set dbcinfo [random_cursor $curslist]
H A Dtestutils.tcl886 proc random_cursor { curslist } { procedure
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt-6.x.4708/router/db-4.8.30/test/
H A Ddbscript.tcl160 set dbcinfo [random_cursor $curslist]
212 set dbcinfo [random_cursor $curslist]
256 set dbcinfo [random_cursor $curslist]
296 set dbcinfo [random_cursor $curslist]
H A Dtestutils.tcl886 proc random_cursor { curslist } { procedure

Completed in 225 milliseconds