Searched refs:cbc (Results 26 - 50 of 63) sorted by relevance

123

/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/timemachine/openssl-0.9.8e/crypto/cast/
H A DMakefile46 cx86-elf.s: asm/cast-586.pl ../perlasm/x86asm.pl ../perlasm/cbc.pl
49 cx86-cof.s: asm/cast-586.pl ../perlasm/x86asm.pl ../perlasm/cbc.pl
52 cx86-out.s: asm/cast-586.pl ../perlasm/x86asm.pl ../perlasm/cbc.pl
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/openssl/crypto/evp/
H A De_aes.c73 cbc128_f cbc; member in union:__anon4480::__anon4482
280 dat->stream.cbc = mode == EVP_CIPH_CBC_MODE ?
286 dat->stream.cbc = (cbc128_f) aesni_cbc_encrypt;
290 dat->stream.cbc = NULL;
564 dat->stream.cbc = mode == EVP_CIPH_CBC_MODE ?
568 dat->stream.cbc = mode == EVP_CIPH_CBC_MODE ?
572 dat->stream.cbc = mode == EVP_CIPH_CBC_MODE ?
585 dat->stream.cbc = (cbc128_f) aes128_t4_cbc_encrypt;
589 dat->stream.cbc = NULL;
593 dat->stream.cbc
[all...]
H A Devp_locl.h153 BLOCK_CIPHER_def1(cname, cbc, cbc, CBC, kstruct, nid, block_size, key_len, \
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/openssl-1.0.2h/crypto/evp/
H A De_aes.c73 cbc128_f cbc; member in union:__anon4829::__anon4831
280 dat->stream.cbc = mode == EVP_CIPH_CBC_MODE ?
286 dat->stream.cbc = (cbc128_f) aesni_cbc_encrypt;
290 dat->stream.cbc = NULL;
564 dat->stream.cbc = mode == EVP_CIPH_CBC_MODE ?
568 dat->stream.cbc = mode == EVP_CIPH_CBC_MODE ?
572 dat->stream.cbc = mode == EVP_CIPH_CBC_MODE ?
585 dat->stream.cbc = (cbc128_f) aes128_t4_cbc_encrypt;
589 dat->stream.cbc = NULL;
593 dat->stream.cbc
[all...]
H A Devp_locl.h153 BLOCK_CIPHER_def1(cname, cbc, cbc, CBC, kstruct, nid, block_size, key_len, \
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/openssl/crypto/bf/asm/
H A Dbf-686.pl5 require "cbc.pl";
21 &cbc("BF_cbc_encrypt","BF_encrypt","BF_decrypt",1,4,5,3,-1,-1);
H A Dbf-586.pl6 require "cbc.pl";
22 &cbc("BF_cbc_encrypt","BF_encrypt","BF_decrypt",1,4,5,3,-1,-1);
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/timemachine/openssl-0.9.8e/crypto/bf/asm/
H A Dbf-686.pl5 require "cbc.pl";
21 &cbc("BF_cbc_encrypt","BF_encrypt","BF_decrypt",1,4,5,3,-1,-1);
H A Dbf-586.pl5 require "cbc.pl";
21 &cbc("BF_cbc_encrypt","BF_encrypt","BF_decrypt",1,4,5,3,-1,-1);
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/openssl-1.0.2h/crypto/bf/asm/
H A Dbf-686.pl5 require "cbc.pl";
21 &cbc("BF_cbc_encrypt","BF_encrypt","BF_decrypt",1,4,5,3,-1,-1);
H A Dbf-586.pl6 require "cbc.pl";
22 &cbc("BF_cbc_encrypt","BF_encrypt","BF_decrypt",1,4,5,3,-1,-1);
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/timemachine/openssl-0.9.8e/crypto/des/asm/
H A Ddes-586.pl9 require "cbc.pl";
27 &cbc("DES_ncbc_encrypt","DES_encrypt1","DES_encrypt1",0,4,5,3,5,-1);
28 &cbc("DES_ede3_cbc_encrypt","DES_encrypt3","DES_decrypt3",0,6,7,3,4,5);
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/timemachine/openssl-0.9.8e/crypto/evp/
H A Devp_locl.h124 BLOCK_CIPHER_def1(cname, cbc, cbc, CBC, kstruct, nid, block_size, key_len, \
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/openssl-1.0.2h/crypto/rc5/
H A DMakefile45 rc5-586.s: asm/rc5-586.pl ../perlasm/x86asm.pl ../perlasm/cbc.pl
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/openssl/crypto/rc5/
H A DMakefile45 rc5-586.s: asm/rc5-586.pl ../perlasm/x86asm.pl ../perlasm/cbc.pl
/netgear-R7000-V1.0.7.12_1.2.5/components/opensource/linux/linux-2.6.36/crypto/
H A DMakefile51 obj-$(CONFIG_CRYPTO_CBC) += cbc.o
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/timemachine/openssl-0.9.8e/crypto/des/
H A DMakefile68 dx86-elf.s: asm/des-586.pl ../perlasm/x86asm.pl ../perlasm/cbc.pl
70 yx86-elf.s: asm/crypt586.pl ../perlasm/x86asm.pl ../perlasm/cbc.pl
73 dx86-cof.s: asm/des-586.pl ../perlasm/x86asm.pl ../perlasm/cbc.pl
75 yx86-cof.s: asm/crypt586.pl ../perlasm/x86asm.pl ../perlasm/cbc.pl
78 dx86-out.s: asm/des-586.pl ../perlasm/x86asm.pl ../perlasm/cbc.pl
80 yx86-out.s: asm/crypt586.pl ../perlasm/x86asm.pl ../perlasm/cbc.pl
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/amule/libcryptoxx-5.6.0/
H A Dfipstest.cpp100 const char *cbc,
117 if (cbc)
118 KnownAnswerTest(CBC_Mode_ExternalCipher::Encryption(encryption, iv).Ref(), CBC_Mode_ExternalCipher::Decryption(decryption, iv).Ref(), plaintext, cbc);
474 "7649abac8119b246cee98e9b12e9197d5086cb9b507219ee95db113a917678b273bed6b8e3c1743b7116e69e222295163ff1caa1681fac09120eca307586e1a7", // cbc
95 SymmetricEncryptionKnownAnswerTest( const char *key, const char *hexIV, const char *plaintext, const char *ecb, const char *cbc, const char *cfb, const char *ofb, const char *ctr, CIPHER *dummy = NULL) argument
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/minidlna/ffmpeg-2.3.4/libavutil/
H A Ddes.c362 static int run_test(int cbc, int decrypt) { argument
364 int delay = cbc && !decrypt ? 2 : 1;
370 av_des_crypt(&d, large_buffer[delay], large_buffer[0], 10000, cbc ? tmp : NULL, decrypt);
372 if (cbc) {
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/openssl/crypto/bf/
H A DMakefile45 bf-586.s: asm/bf-586.pl ../perlasm/x86asm.pl ../perlasm/cbc.pl
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/openssl-1.0.2h/crypto/perlasm/
H A Dcbc.pl15 #&cbc("des_ncbc_encrypt","des_encrypt",0);
16 #&cbc("BF_cbc_encrypt","BF_encrypt","BF_encrypt",
18 #&cbc("des_ncbc_encrypt","des_encrypt","des_encrypt",
20 #&cbc("des_ede3_cbc_encrypt","des_encrypt3","des_decrypt3",
26 sub cbc subroutine
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/timemachine/openssl-0.9.8e/crypto/perlasm/
H A Dcbc.pl15 #&cbc("des_ncbc_encrypt","des_encrypt",0);
16 #&cbc("BF_cbc_encrypt","BF_encrypt","BF_encrypt",
18 #&cbc("des_ncbc_encrypt","des_encrypt","des_encrypt",
20 #&cbc("des_ede3_cbc_encrypt","des_encrypt3","des_decrypt3",
26 sub cbc subroutine
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/iserver/libav-0.8.8/libavutil/
H A Ddes.c363 static int run_test(int cbc, int decrypt) { argument
365 int delay = cbc && !decrypt ? 2 : 1;
371 av_des_crypt(&d, large_buffer[delay], large_buffer[0], 10000, cbc ? tmp : NULL, decrypt);
373 if (cbc) {
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/openssl/crypto/cast/
H A DMakefile45 cast-586.s: asm/cast-586.pl ../perlasm/x86asm.pl ../perlasm/cbc.pl
/netgear-R7000-V1.0.7.12_1.2.5/ap/gpl/openssl/crypto/perlasm/
H A Dcbc.pl15 #&cbc("des_ncbc_encrypt","des_encrypt",0);
16 #&cbc("BF_cbc_encrypt","BF_encrypt","BF_encrypt",
18 #&cbc("des_ncbc_encrypt","des_encrypt","des_encrypt",
20 #&cbc("des_ede3_cbc_encrypt","des_encrypt3","des_decrypt3",
26 sub cbc subroutine

Completed in 225 milliseconds

123