Searched refs:CYGPATH_W (Results 1026 - 1050 of 1160) sorted by relevance

<<41424344454647

/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt-6.x.4708/router/libexif/
H A DMakefile.in159 CYGPATH_W = @CYGPATH_W@
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt-6.x.4708/router/libgcrypt-1.5.1/
H A DMakefile.in173 CYGPATH_W = @CYGPATH_W@
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt-6.x.4708/router/libgcrypt-1.5.1/doc/
H A DMakefile.in156 CYGPATH_W = @CYGPATH_W@
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt-6.x.4708/router/libxml2/doc/
H A DMakefile.in133 CYGPATH_W = @CYGPATH_W@
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt-6.x.4708/router/libusb10/
H A DMakefile.in151 CYGPATH_W = @CYGPATH_W@
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt-6.x.4708/router/libvorbis/
H A DMakefile.in112 CYGPATH_W = @CYGPATH_W@
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt-6.x.4708/router/mt-daapd/admin-root/
H A DMakefile92 CYGPATH_W = echo macro
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt-6.x.4708/toolchains/hndtools-arm-linux-2.6.36-uclibc-4.5.3/share/libtool/libltdl/
H A DMakefile.in195 CYGPATH_W = @CYGPATH_W@
519 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
523 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt-6.x.4708/router/sdparm-1.02/
H A Dconfigure622 CYGPATH_W
2032 if test -z "$CYGPATH_W"; then
2034 CYGPATH_W='cygpath -w'
2036 CYGPATH_W=echo
4891 CYGPATH_W!$CYGPATH_W$ac_delim
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt/router/sdparm-1.02/
H A Dconfigure622 CYGPATH_W
2032 if test -z "$CYGPATH_W"; then
2034 CYGPATH_W='cygpath -w'
2036 CYGPATH_W=echo
4891 CYGPATH_W!$CYGPATH_W$ac_delim
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src/router/sdparm-1.02/
H A Dconfigure622 CYGPATH_W
2032 if test -z "$CYGPATH_W"; then
2034 CYGPATH_W='cygpath -w'
2036 CYGPATH_W=echo
4891 CYGPATH_W!$CYGPATH_W$ac_delim
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src/router/avahi-0.6.31/
H A DMakefile.in274 CYGPATH_W = @CYGPATH_W@
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt/router/avahi-0.6.31/
H A DMakefile.in274 CYGPATH_W = @CYGPATH_W@
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt-6.x.4708/router/avahi-0.6.31/
H A DMakefile.in274 CYGPATH_W = @CYGPATH_W@
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt-6.x.4708/router/bridge/
H A Dconfigure312 ac_subst_vars='SHELL PATH_SEPARATOR PACKAGE_NAME PACKAGE_TARNAME PACKAGE_VERSION PACKAGE_STRING PACKAGE_BUGREPORT exec_prefix prefix program_transform_name bindir sbindir libexecdir datadir sysconfdir sharedstatedir localstatedir libdir includedir oldincludedir infodir mandir build_alias host_alias target_alias DEFS ECHO_C ECHO_N ECHO_T LIBS INSTALL_PROGRAM INSTALL_SCRIPT INSTALL_DATA CYGPATH_W PACKAGE VERSION ACLOCAL AUTOCONF AUTOMAKE AUTOHEADER MAKEINFO install_sh STRIP ac_ct_STRIP INSTALL_STRIP_PROGRAM mkdir_p AWK SET_MAKE am__leading_dot AMTAR am__tar am__untar CC CFLAGS LDFLAGS CPPFLAGS ac_ct_CC EXEEXT OBJEXT DEPDIR am__include am__quote AMDEP_TRUE AMDEP_FALSE AMDEPBACKSLASH CCDEPMODE am__fastdepCC_TRUE am__fastdepCC_FALSE RANLIB ac_ct_RANLIB CPP EGREP LIBOBJS KERNEL_HEADERS LTLIBOBJS'
1601 if test -z "$CYGPATH_W"; then
1603 CYGPATH_W='cygpath -w'
1605 CYGPATH_W=echo
5038 s,@CYGPATH_W@,$CYGPATH_W,;t t
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src/router/bridge/
H A Dconfigure312 ac_subst_vars='SHELL PATH_SEPARATOR PACKAGE_NAME PACKAGE_TARNAME PACKAGE_VERSION PACKAGE_STRING PACKAGE_BUGREPORT exec_prefix prefix program_transform_name bindir sbindir libexecdir datadir sysconfdir sharedstatedir localstatedir libdir includedir oldincludedir infodir mandir build_alias host_alias target_alias DEFS ECHO_C ECHO_N ECHO_T LIBS INSTALL_PROGRAM INSTALL_SCRIPT INSTALL_DATA CYGPATH_W PACKAGE VERSION ACLOCAL AUTOCONF AUTOMAKE AUTOHEADER MAKEINFO install_sh STRIP ac_ct_STRIP INSTALL_STRIP_PROGRAM mkdir_p AWK SET_MAKE am__leading_dot AMTAR am__tar am__untar CC CFLAGS LDFLAGS CPPFLAGS ac_ct_CC EXEEXT OBJEXT DEPDIR am__include am__quote AMDEP_TRUE AMDEP_FALSE AMDEPBACKSLASH CCDEPMODE am__fastdepCC_TRUE am__fastdepCC_FALSE RANLIB ac_ct_RANLIB CPP EGREP LIBOBJS KERNEL_HEADERS LTLIBOBJS'
1601 if test -z "$CYGPATH_W"; then
1603 CYGPATH_W='cygpath -w'
1605 CYGPATH_W=echo
5038 s,@CYGPATH_W@,$CYGPATH_W,;t t
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt/router/bridge/
H A Dconfigure312 ac_subst_vars='SHELL PATH_SEPARATOR PACKAGE_NAME PACKAGE_TARNAME PACKAGE_VERSION PACKAGE_STRING PACKAGE_BUGREPORT exec_prefix prefix program_transform_name bindir sbindir libexecdir datadir sysconfdir sharedstatedir localstatedir libdir includedir oldincludedir infodir mandir build_alias host_alias target_alias DEFS ECHO_C ECHO_N ECHO_T LIBS INSTALL_PROGRAM INSTALL_SCRIPT INSTALL_DATA CYGPATH_W PACKAGE VERSION ACLOCAL AUTOCONF AUTOMAKE AUTOHEADER MAKEINFO install_sh STRIP ac_ct_STRIP INSTALL_STRIP_PROGRAM mkdir_p AWK SET_MAKE am__leading_dot AMTAR am__tar am__untar CC CFLAGS LDFLAGS CPPFLAGS ac_ct_CC EXEEXT OBJEXT DEPDIR am__include am__quote AMDEP_TRUE AMDEP_FALSE AMDEPBACKSLASH CCDEPMODE am__fastdepCC_TRUE am__fastdepCC_FALSE RANLIB ac_ct_RANLIB CPP EGREP LIBOBJS KERNEL_HEADERS LTLIBOBJS'
1601 if test -z "$CYGPATH_W"; then
1603 CYGPATH_W='cygpath -w'
1605 CYGPATH_W=echo
5038 s,@CYGPATH_W@,$CYGPATH_W,;t t
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src/router/libxml2/
H A DMakefile.in360 CYGPATH_W = @CYGPATH_W@
1064 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
1068 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt/router/libxml2/
H A DMakefile.in360 CYGPATH_W = @CYGPATH_W@
1064 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
1068 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt-6.x.4708/router/libxml2/
H A DMakefile.in360 CYGPATH_W = @CYGPATH_W@
1064 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
1068 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src/router/igmpproxy/
H A Dconfigure611 CYGPATH_W
2281 if test -z "$CYGPATH_W"; then
2283 CYGPATH_W='cygpath -w'
2285 CYGPATH_W=echo
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src/router/phddns/
H A Dconfigure676 CYGPATH_W
2701 if test -z "$CYGPATH_W"; then
2703 CYGPATH_W='cygpath -w'
2705 CYGPATH_W=echo
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt/router/phddns/
H A Dconfigure676 CYGPATH_W
2701 if test -z "$CYGPATH_W"; then
2703 CYGPATH_W='cygpath -w'
2705 CYGPATH_W=echo
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src/router/netstat-nat/
H A Dconfigure633 CYGPATH_W
2281 if test -z "$CYGPATH_W"; then
2283 CYGPATH_W='cygpath -w'
2285 CYGPATH_W=echo
/asuswrt-rt-n18u-9.0.0.4.380.2695/release/src-rt/router/netstat-nat/
H A Dconfigure633 CYGPATH_W
2281 if test -z "$CYGPATH_W"; then
2283 CYGPATH_W='cygpath -w'
2285 CYGPATH_W=echo

Completed in 453 milliseconds

<<41424344454647