Searched defs:slots_out (Results 1 - 4 of 4) sorted by relevance

/linux-master/fs/dlm/
H A Dmember.c160 dlm_slots_assign(struct dlm_ls *ls, int *num_slots, int *slots_size, struct dlm_slot **slots_out, uint32_t *gen_out) argument
/linux-master/drivers/net/wireless/intel/iwlwifi/fw/api/
H A Dstats.h251 __le32 slots_out; member in struct:mvm_statistics_general_common_v19
280 __le32 slots_out; member in struct:mvm_statistics_general_common
/linux-master/drivers/net/wireless/intel/iwlegacy/
H A Dcommands.h2773 __le32 slots_out; member in struct:iwl39_stats_general
2917 __le32 slots_out; member in struct:stats_general_common
/linux-master/drivers/net/wireless/intel/iwlwifi/dvm/
H A Dcommands.h2628 __le32 slots_out; member in struct:statistics_general_common

Completed in 144 milliseconds