Searched defs:raddr (Results 1 - 4 of 4) sorted by relevance

/openjdk10/jdk/src/java.security.jgss/share/classes/sun/security/krb5/
H A DKrbPriv.java69 KrbPriv(byte[] msg, Credentials creds, EncryptionKey subKey, SeqNumber seqNumber, HostAddress saddr, HostAddress raddr, boolean timestampRequired, boolean seqNumberRequired ) argument
H A DKrbSafe.java67 KrbSafe(byte[] msg, Credentials creds, EncryptionKey subKey, SeqNumber seqNumber, HostAddress saddr, HostAddress raddr, boolean timestampRequired, boolean seqNumberRequired ) argument
/openjdk10/hotspot/src/cpu/x86/vm/
H A Dc1_LIRAssembler_x86.cpp2015 Address raddr = frame_map()->address_for_slot(right->single_stack_ix()); local
2129 Address raddr; local
2165 Address raddr; local
2195 Address raddr; local
2234 Address raddr; local
2405 Address raddr = frame_map()->address_for_slot(right->single_stack_ix()); local
[all...]
/openjdk10/hotspot/src/cpu/s390/vm/
H A Dc1_LIRAssembler_s390.cpp1442 Address raddr = frame_map()->address_for_slot(right->single_stack_ix()); local
1517 Address raddr; local
1552 Address raddr; local
1644 Address raddr = frame_map()->address_for_slot(right->single_stack_ix()); local
[all...]

Completed in 93 milliseconds