Searched defs:out_enable (Results 1 - 2 of 2) sorted by relevance

/linux-master/sound/drivers/mpu401/
H A Dmpu401_uart.c524 int in_enable, out_enable; local
/linux-master/sound/pci/hda/
H A Dpatch_ca0132.c4733 ca0132_set_out_node_pincfg(struct hda_codec *codec, hda_nid_t nid, bool out_enable, bool hp_enable) argument

Completed in 150 milliseconds