Searched defs:gen_out (Results 1 - 1 of 1) sorted by relevance

/linux-master/fs/dlm/
H A Dmember.c160 dlm_slots_assign(struct dlm_ls *ls, int *num_slots, int *slots_size, struct dlm_slot **slots_out, uint32_t *gen_out) argument

Completed in 142 milliseconds