Lines Matching refs:lane_count

152  * @lane_count:                    Currently selected lane count for this link
166 u8 lane_count;
716 * @lane_count: The lane count to be checked for validity
720 static bool is_lane_count_valid(struct udevice *dev, u8 lane_count)
725 if (lane_count != LANE_COUNT_SET_1 &&
726 lane_count != LANE_COUNT_SET_2 &&
727 lane_count != LANE_COUNT_SET_4)
729 else if (lane_count > dp_tx->link_config.max_lane_count)
884 * @lane_count: Lane count to set
891 static int set_lane_count(struct udevice *dev, u8 lane_count)
900 printf(" set lane count to %u\n", lane_count);
902 dp_tx->link_config.lane_count = lane_count;
905 set_reg(dev, REG_LANE_COUNT_SET, dp_tx->link_config.lane_count);
912 val |= dp_tx->link_config.lane_count;
1127 for (index = 0; index < dp_tx->link_config.lane_count; index++) {
1200 * @lane_count: The number of lanes for which to check clock recovery success
1210 static int check_clock_recovery(struct udevice *dev, u8 lane_count)
1216 switch (lane_count) {
1231 /* All (lane_count) lanes have achieved clock recovery. */
1244 * @lane_count: The number of lanes for which to check channel equalization
1255 static int check_channel_equalization(struct udevice *dev, u8 lane_count)
1261 switch (lane_count) {
1276 /* All (lane_count) lanes have achieved channel equalization. */
1281 switch (lane_count) {
1296 /* All (lane_count) lanes have achieved symbol lock. */
1435 dp_tx->link_config.lane_count);
1533 dp_tx->link_config.lane_count);
1543 dp_tx->link_config.lane_count);
1626 switch (dp_tx->link_config.lane_count) {
1670 * @lane_count: The lane count to use for the check
1678 static int check_link_status(struct udevice *dev, u8 lane_count)
1695 if ((check_clock_recovery(dev, lane_count) == 0) &&
1696 (check_channel_equalization(dev, lane_count) == 0))
1773 status = check_link_status(dev, dp_tx->link_config.lane_count);
1906 link_config->lane_count == LANE_COUNT_SET_4) {
1913 (link_config->lane_count != LANE_COUNT_SET_1)) {
1965 msa_config->data_per_lane = words_per_line - link_config->lane_count;
1966 if (words_per_line % link_config->lane_count)
1968 link_config->lane_count);
1982 link_bw = (link_config->lane_count * link_config->link_rate * 27);