• Home
  • History
  • Annotate
  • Raw
  • Download
  • only in /netgear-WNDR4500-V1.0.1.40_1.0.68/src/linux/linux-2.6/drivers/pci/hotplug/

Lines Matching refs:debug

52 static int debug;
53 module_param(debug, bool, S_IRUGO | S_IWUSR);
54 MODULE_PARM_DESC (debug, "Debugging mode enabled or not");
79 debug("options = %x\n", slot_cur->ctrl->options);
80 debug("revision = %x\n", slot_cur->ctrl->revision);
95 debug("busstatus = %x, bus_speed = %x, bus_mode = %x\n",
161 debug("(*cur_slot)->irq[0] = %x\n",
163 debug("(*cur_slot)->irq[1] = %x\n",
165 debug("(*cur_slot)->irq[2] = %x\n",
167 debug("(*cur_slot)->irq[3] = %x\n",
170 debug("rtable->exlusive_irqs = %x\n",
172 debug("rtable->slots[loop].irq[0].bitmap = %x\n",
174 debug("rtable->slots[loop].irq[1].bitmap = %x\n",
176 debug("rtable->slots[loop].irq[2].bitmap = %x\n",
178 debug("rtable->slots[loop].irq[3].bitmap = %x\n",
181 debug("rtable->slots[loop].irq[0].link = %x\n",
183 debug("rtable->slots[loop].irq[1].link = %x\n",
185 debug("rtable->slots[loop].irq[2].link = %x\n",
187 debug("rtable->slots[loop].irq[3].link = %x\n",
189 debug("end of init_devno\n");
239 debug("set_attention_status - Entry hotplug_slot[%lx] value[%x]\n",
273 debug("set_attention_status - Exit rc[%d]\n", rc);
283 debug("get_attention_status - Entry hotplug_slot[%lx] pvalue[%lx]\n",
304 debug("get_attention_status - Exit rc[%d] value[%x]\n", rc, *value);
314 debug("get_latch_status - Entry hotplug_slot[%lx] pvalue[%lx]\n",
329 debug("get_latch_status - Exit rc[%d] rc[%x] value[%x]\n",
341 debug("get_power_status - Entry hotplug_slot[%lx] pvalue[%lx]\n",
356 debug("get_power_status - Exit rc[%d] rc[%x] value[%x]\n",
368 debug("get_adapter_status - Entry hotplug_slot[%lx] pvalue[%lx]\n",
388 debug("get_adapter_present - Exit rc[%d] value[%x]\n", rc, *value);
398 debug("%s - Entry hotplug_slot[%p] pvalue[%p]\n", __FUNCTION__,
428 debug("%s - Exit rc[%d] value[%x]\n", __FUNCTION__, rc, *value);
438 debug("%s - Entry hotplug_slot[%p] pvalue[%p]\n", __FUNCTION__,
474 debug("%s - Exit rc[%d] value[%x]\n", __FUNCTION__, rc, *value);
485 debug("get_max_adapter_speed_1 - Entry hotplug_slot[%lx] pvalue[%lx]\n",
513 debug("get_max_adapter_speed_1 - Exit rc[%d] value[%x]\n", rc, *value);
522 debug("get_bus_name - Entry hotplug_slot[%lx]\n", (ulong)hotplug_slot);
536 debug("get_bus_name - Exit rc[%d] value[%x]\n", rc, *value);
559 debug("BEFORE GETTING SLOT STATUS, slot # %x\n",
578 debug("status = %x\n", slot_cur->status);
579 debug("ext_status = %x\n", slot_cur->ext_status);
580 debug("SLOT_POWER = %x\n", SLOT_POWER(slot_cur->status));
581 debug("SLOT_PRESENT = %x\n", SLOT_PRESENT(slot_cur->status));
582 debug("SLOT_LATCH = %x\n", SLOT_LATCH(slot_cur->status));
587 debug("BEFORE POWER OFF COMMAND\n");
618 debug("slot_number in validate is %d\n", slot_cur->number);
744 debug("%s -- enter\n", __FUNCTION__);
750 debug("%s -- exit\n", __FUNCTION__);
758 debug("inside %s\n", __FUNCTION__);
759 debug("func->device = %x, func->function = %x\n",
761 debug("func->device << 3 | 0x0 = %x\n", func->device << 3 | 0x0);
802 debug("%s - Inside bus_struture_fixup()\n",
900 debug("%s - entry slot # %d\n", __FUNCTION__, slot_cur->number);
906 debug("ext_status = %x, speed = %x\n", slot_cur->ext_status, speed);
960 debug("setting bus speed for slot %d, cmd %x\n",
975 debug("%s -Exit\n", __FUNCTION__);
1053 debug("ENABLING SLOT........\n");
1071 debug("the current bus speed right after set_bus = %x\n",
1114 debug("after power_on\n");
1117 debug("the current bus speed right after power_on = %x\n",
1156 debug("b4 configure_card, slot_cur->bus = %x, slot_cur->device = %x\n",
1164 debug("after unconfigure_card\n");
1234 debug("DISABLING SLOT...\n");
1278 debug("in disable_slot. after unconfigure_card\n");
1331 debug("after slots\n");
1333 debug("after resources\n");
1335 debug("after bus info\n");
1337 debug("after ebda hpc\n");
1339 debug("after ebda pci rsrc\n");
1368 ibmphp_debug = debug;
1377 debug("after ibmphp_access_ebda()\n");
1381 debug("AFTER Resource & EBDA INITIALIZATIONS\n");
1413 debug("after polling\n");
1415 debug("done\n");