• Home
  • History
  • Annotate
  • Raw
  • Download
  • only in /macosx-10.9.5/emacs-92/emacs/lisp/progmodes/

Lines Matching defs:declaration

1496   "*Non-nil means highlight declaration names and construct labels.
1962 entity -- inside an entity declaration
1963 configuration -- inside a configuration declaration
1964 package -- inside a package declaration
2725 (define-key vhdl-mode-map "\C-c\C-s\C-d" 'vhdl-subprog-paste-declaration)
3060 ("alias declaration" vhdl-template-alias)
3063 ("attribute declaration" vhdl-template-attribute-decl)
3069 ("component declaration" vhdl-template-component-decl)
3072 ("configuration declaration" vhdl-template-configuration-decl)
3074 ("constant declaration" vhdl-template-constant)
3076 ("entity declaration" vhdl-template-entity)
3078 ("file declaration" vhdl-template-file)
3081 ("group declaration" vhdl-template-group-decl)
3082 ("group template declaration" vhdl-template-group-template)
3087 ("package declaration" vhdl-template-package-decl)
3094 ("signal declaration" vhdl-template-signal)
3095 ("subprogram declaration" vhdl-template-subprogram-decl)
3097 ("subtype declaration" vhdl-template-subtype)
3098 ("type declaration" vhdl-template-type)
3100 ("variable declaration" vhdl-template-variable)
3106 ("nature declaration" vhdl-template-nature)
3107 ("quantity declaration" vhdl-template-quantity)
3112 ("subnature declaration" vhdl-template-subnature)
3113 ("terminal declaration" vhdl-template-terminal)
3390 ["Paste As Declaration" vhdl-subprog-paste-declaration vhdl-subprog-list]
4339 and then pasted as a subprogram declaration, body or call (uses
4346 configuration. The architecture contains the component declaration and
4398 for a new component. Subcomponents (i.e. component declaration and
5672 ;; "for" (inside configuration declaration):
5821 ;; "end for" (inside configuration declaration):
8213 "Insert alias declaration."
8277 "Insert an attribute declaration or specification."
8285 "Insert an attribute declaration."
8410 "Insert a component declaration."
8428 "Insert a component declaration."
8522 a block or component configuration if within a configuration declaration,
8523 a configuration declaration if not within a design unit."
8531 ((and (save-excursion ; configuration declaration
8590 "Insert a configuration declaration."
8620 "Insert a constant declaration."
8742 "Insert a file declaration."
8763 declaration, a configuration specification if within an architecture
8772 ((and (save-excursion ; configuration declaration
8831 "Insert a function declaration or body."
8854 "Insert a function declaration."
8859 "Insert a function declaration."
8871 "Insert generic declaration, or generic map in instantiation statements."
8876 ((and (save-excursion ; entity declaration
8889 "Insert group or group template declaration."
8893 "group" "(d)eclaration or (t)emplate declaration?" t) ?t)
8898 "Insert group declaration."
8908 "Insert group template declaration."
9106 "Insert a nature declaration."
9207 "Insert a port declaration, or port map in instantiation statements."
9212 ((and (save-excursion ; entity declaration
9246 "Insert a procedure declaration or body."
9269 "Insert a procedure declaration."
9357 "Insert a quantity declaration."
9376 "Insert a free quantity declaration."
9390 "Insert a branch quantity declaration."
9407 "Insert a source quantity declaration."
9423 "Insert a record type declaration."
9507 "Insert a signal declaration."
9527 "Insert a subnature declaration."
9557 "Insert a subprogram declaration."
9564 "Insert a subtype declaration."
9578 "Insert a terminal declaration."
9589 "Insert a type declaration."
9640 "Insert a variable declaration."
10983 "Get generic and port information from an entity or component declaration."
10993 ;; check if within entity or component declaration
10998 (throw 'parse "ERROR: Not within an entity or component declaration"))
11234 (defun vhdl-port-paste-declaration (kind &optional no-indent)
11235 "Paste as an entity or component declaration."
11271 "Paste as an entity declaration."
11276 (vhdl-port-paste-declaration 'entity no-indent)
11280 "Paste as a component declaration."
11285 (vhdl-port-paste-declaration 'component no-indent)
11603 ;; paste entity declaration
11650 ;; paste component declaration
11761 ;; check if within function declaration
11946 (defun vhdl-subprog-paste-declaration ()
11947 "Paste as a subprogram declaration."
11951 (message "Pasting interface as subprogram declaration \"%s\"..."
11955 (message "Pasting interface as subprogram declaration \"%s\"...done"
12462 ;; configuration declaration
12478 ;; component declaration
12499 ;; subprogram declaration/body
12521 ;; configuration declaration
12591 "Match, and move over, any declaration item after point. Adapted from
12592 `font-lock-match-c-style-declaration-item-and-skip-to-next'."
12725 ;; highlight signal/variable/constant declaration names
12753 ;; highlight alias/group/quantity declaration names and for-loop/-generate
13497 ;; check whether each package body has a package declaration
15432 ;; insert entity declaration
15505 "Place new component by pasting current port as component declaration and
15521 ;; place component declaration
15602 ;; ... from component declaration
15608 (error "ERROR: Component declaration not found: \"%s\"" comp-name))
15610 ;; ... from entity declaration (direct instantiation)
15619 (error "ERROR: Entity declaration not found: \"%s\"" comp-ent-name))
15853 "Insert ENTRY as generic declaration."
15867 "Insert ENTRY as port declaration."
15878 "Insert ENTRY as signal declaration."
15918 ;; insert package declaration
16048 "Generate configuration declaration."
16090 (concat "Configuration declaration for design \""
16552 ;; rule dependency for corresponding package declaration
16905 - Automatic generation of a configuration declaration for a design.