• Home
  • History
  • Annotate
  • Raw
  • Download
  • only in /macosx-10.10/emacs-93/emacs/lisp/progmodes/

Lines Matching +defs:title +defs:font +defs:size

509   Title            : title of project (single-line string)
535 Project title and description are used to insert into the file header (see
615 Is used to determine the project title and description to be inserted in file
690 'vhdl-words-init 'vhdl-font-lock-init))
906 -- Title : <title string>
940 <project> : replaced by title of current project (`vhdl-project')
946 <title string>: replaced by file title in automatically generated files
1482 `font-lock-keyword-face' : keywords
1483 `font-lock-type-face' : standardized types
1484 `vhdl-font-lock-attribute-face': standardized attributes
1485 `vhdl-font-lock-enumvalue-face': standardized enumeration values
1486 `vhdl-font-lock-function-face' : standardized function and package names
1492 (vhdl-custom-set variable value 'vhdl-font-lock-init))
1498 `font-lock-function-name-face' : names in declarations of units,
1500 `font-lock-type-face' : names in type/nature declarations
1501 `vhdl-font-lock-attribute-face': names in attribute declarations
1502 `font-lock-variable-name-face' : names in declarations of signals,
1509 (vhdl-custom-set variable value 'vhdl-font-lock-init))
1522 (vhdl-custom-set variable value 'vhdl-font-lock-init))
1529 warning color (face `vhdl-font-lock-reserved-words-face') to indicate not to
1537 'vhdl-words-init 'vhdl-font-lock-init))
1543 `vhdl-font-lock-reserved-words-face') to indicate not to use them.
1550 'vhdl-words-init 'vhdl-font-lock-init))
1557 \(face `vhdl-font-lock-translate-off-face').
1564 (vhdl-custom-set variable value 'vhdl-font-lock-init))
1574 Overrides local option `font-lock-keywords-case-fold-search'.
1604 \"vhdl-font-lock-\" + name + \"-face\".
1614 (vhdl-custom-set variable value 'vhdl-font-lock-init))
1627 'vhdl-words-init 'vhdl-font-lock-init))
1642 'vhdl-words-init 'vhdl-font-lock-init))
1653 'vhdl-words-init 'vhdl-font-lock-init))
1678 Design units: maximum file size to scan for design units
1680 File size: maximum file size to scan for instances (in bytes)
1692 (integer :tag "File size"))
1694 (choice :tag "File size"
1862 (defvar vhdl-menu-max-size 20
1863 "*Specifies the maximum size of a menu before splitting it into submenues.")
2037 (require 'font-lock)
2507 (defun vhdl-menu-split (list title)
2509 elements > `vhdl-menu-max-size'."
2510 (if (> (length list) vhdl-menu-max-size)
2520 (if (= i vhdl-menu-max-size)
2522 (setq result (cons (cons (format "%s %s" title menuno)
2528 (setq result (cons (cons (format "%s %s" title menuno)
3878 (if (fboundp 'global-font-lock-mode)
3879 'global-font-lock-mode 'font-lock-auto-fontify)) t]
4087 (if (or (not (boundp 'font-lock-maximum-size))
4088 (> font-lock-maximum-size (buffer-size)))
4491 the menu or speedbar (temporarily). For each project, title and
4516 larger than `font-lock-maximum-size'). Also, a source file menu can be
4571 option `global-font-lock-mode' (`font-lock-auto-fontify' in XEmacs).
4727 ;; initialize font locking
4728 (set (make-local-variable 'font-lock-defaults)
4730 '(nil vhdl-font-lock-keywords) nil
4732 '(font-lock-syntactic-keywords . vhdl-font-lock-syntactic-keywords)))
4734 (set (make-local-variable 'font-lock-support-mode) 'lazy-lock-mode)
4739 ; (turn-on-font-lock)
9909 (defun vhdl-template-header (&optional file-title)
9919 (point-min-marker) pos file-title))))
9934 (defun vhdl-template-replace-header-keywords (beg end &optional file-title
9937 (let ((project-title (or (nth 0 (aget vhdl-project-alist vhdl-project)) ""))
9961 (replace-match project-title t t))
9988 (when file-title
9989 (while (search-forward "<title string>" end t)
9990 (replace-match file-title t t))
10003 (when (or (not project-title) (equal project-title ""))
10004 (message "You can specify a project title in user option `vhdl-project-alist'"))
11390 (defun vhdl-port-paste-instance (&optional name no-indent title)
11419 (when title
12556 ;; (using `font-lock.el')
12590 (defun vhdl-font-lock-match-item (limit)
12592 `font-lock-match-c-style-declaration-item-and-skip-to-next'."
12609 (defconst vhdl-font-lock-syntactic-keywords
12613 (defvar vhdl-font-lock-keywords nil
12616 (defvar vhdl-font-lock-keywords-0
12617 ;; set in `vhdl-font-lock-init' because dependent on user options
12618 "For consideration as a value of `vhdl-font-lock-keywords'.
12621 (defvar vhdl-font-lock-keywords-1 nil
12622 ;; set in `vhdl-font-lock-init' because dependent on user options
12623 "For consideration as a value of `vhdl-font-lock-keywords'.
12626 (defconst vhdl-font-lock-keywords-2
12635 5 'font-lock-function-name-face)
12640 2 'font-lock-function-name-face)
12651 1 'font-lock-function-name-face)
12658 '(1 font-lock-function-name-face) '(2 font-lock-function-name-face))
12666 '(1 font-lock-function-name-face) '(3 font-lock-function-name-face)
12667 '(5 font-lock-function-name-face nil t)
12668 '(7 font-lock-function-name-face nil t))
12680 5 'font-lock-function-name-face)
12686 3 'font-lock-function-name-face)
12692 1 'font-lock-function-name-face)
12699 '(1 font-lock-function-name-face) '(4 font-lock-function-name-face nil t))
12703 '(vhdl-font-lock-match-item nil nil (1 font-lock-function-name-face)))
12710 '(3 font-lock-function-name-face) '(5 font-lock-function-name-face nil t)
12711 '(7 font-lock-function-name-face nil t))
12717 1 'vhdl-font-lock-attribute-face)
12723 3 'font-lock-type-face)
12727 '(vhdl-font-lock-match-item
12738 (goto-char (match-end 1)) (1 font-lock-variable-name-face)))
12743 '(vhdl-font-lock-match-item
12751 (goto-char (match-end 1)) (1 font-lock-variable-name-face)))
12756 '(vhdl-font-lock-match-item
12758 nil (1 font-lock-variable-name-face)))
12760 "For consideration as a value of `vhdl-font-lock-keywords'.
12763 (defvar vhdl-font-lock-keywords-3 nil
12764 ;; set in `vhdl-font-lock-init' because dependent on user options
12765 "For consideration as a value of `vhdl-font-lock-keywords'.
12768 (defvar vhdl-font-lock-keywords-4 nil
12769 ;; set in `vhdl-font-lock-init' because dependent on user options
12770 "For consideration as a value of `vhdl-font-lock-keywords'.
12773 (defconst vhdl-font-lock-keywords-5
12775 '((vhdl-match-translate-off (0 vhdl-font-lock-translate-off-face append)))
12776 "For consideration as a value of `vhdl-font-lock-keywords'.
12782 (defvar vhdl-font-lock-prompt-face 'vhdl-font-lock-prompt-face
12785 (defvar vhdl-font-lock-attribute-face 'vhdl-font-lock-attribute-face
12788 (defvar vhdl-font-lock-enumvalue-face 'vhdl-font-lock-enumvalue-face
12791 (defvar vhdl-font-lock-function-face 'vhdl-font-lock-function-face
12794 (defvar vhdl-font-lock-directive-face 'vhdl-font-lock-directive-face
12797 (defvar vhdl-font-lock-reserved-words-face 'vhdl-font-lock-reserved-words-face
12800 (defvar vhdl-font-lock-translate-off-face 'vhdl-font-lock-translate-off-face
12808 "vhdl-font-lock" (nth 0 (car syntax-alist)) "face"))
12818 ;; add faces used from `font-lock'
12820 'vhdl-highlight-faces 'font-lock-comment-face 'custom-face)
12822 'vhdl-highlight-faces 'font-lock-string-face 'custom-face)
12824 'vhdl-highlight-faces 'font-lock-keyword-face 'custom-face)
12826 'vhdl-highlight-faces 'font-lock-type-face 'custom-face)
12828 'vhdl-highlight-faces 'font-lock-function-name-face 'custom-face)
12830 'vhdl-highlight-faces 'font-lock-variable-name-face 'custom-face)
12832 (defface vhdl-font-lock-prompt-face
12841 (defface vhdl-font-lock-attribute-face
12848 (defface vhdl-font-lock-enumvalue-face
12855 (defface vhdl-font-lock-function-face
12862 (defface vhdl-font-lock-directive-face
12869 (defface vhdl-font-lock-reserved-words-face
12878 (defface vhdl-font-lock-translate-off-face
12885 ;; font lock mode faces used to highlight words with special syntax.
12889 "vhdl-font-lock" (caar syntax-alist) "face")
12903 (defun vhdl-font-lock-init ()
12906 (setq vhdl-font-lock-keywords-0
12909 2 'vhdl-font-lock-prompt-face t)
12912 2 'vhdl-font-lock-directive-face t)
12915 '(1 font-lock-builtin-face)
12916 '(3 font-lock-variable-name-face nil t))))
12919 (setq vhdl-font-lock-keywords-1
12922 1 'vhdl-font-lock-attribute-face)
12923 (list vhdl-types-regexp 1 'font-lock-type-face)
12924 (list vhdl-functions-regexp 1 'vhdl-font-lock-function-face)
12925 (list vhdl-packages-regexp 1 'vhdl-font-lock-function-face)
12926 (list vhdl-enum-values-regexp 1 'vhdl-font-lock-enumvalue-face)
12927 (list vhdl-keywords-regexp 1 'font-lock-keyword-face)))
12929 (setq vhdl-font-lock-keywords-3
12937 "vhdl-font-lock" (nth 0 (car syntax-alist)) "face"))
12942 (setq vhdl-font-lock-keywords-4
12944 'vhdl-font-lock-reserved-words-face)))
12946 (setq vhdl-font-lock-keywords
12948 vhdl-font-lock-keywords-0
12949 (when vhdl-highlight-keywords vhdl-font-lock-keywords-1)
12951 vhdl-highlight-verilog-keywords) vhdl-font-lock-keywords-4)
12952 (when vhdl-highlight-special-words vhdl-font-lock-keywords-3)
12953 (when vhdl-highlight-names vhdl-font-lock-keywords-2)
12954 (when vhdl-highlight-translate-off vhdl-font-lock-keywords-5))))
12957 (vhdl-font-lock-init)
12962 (setq font-lock-defaults
12964 'vhdl-font-lock-keywords nil
12966 '(font-lock-syntactic-keywords . vhdl-font-lock-syntactic-keywords)))
12967 (when (fboundp 'font-lock-unset-defaults)
12968 (font-lock-unset-defaults)) ; not implemented in XEmacs
12969 (font-lock-set-defaults)
12970 (font-lock-mode nil)
12971 (font-lock-mode t))
12982 '(font-lock-keyword-face
12983 font-lock-type-face
12984 vhdl-font-lock-attribute-face
12985 vhdl-font-lock-enumvalue-face
12986 vhdl-font-lock-directive-face))
12988 '(font-lock-comment-face
12989 font-lock-function-name-face
12990 font-lock-type-face
12991 vhdl-font-lock-attribute-face
12992 vhdl-font-lock-enumvalue-face
12993 vhdl-font-lock-directive-face))
12995 '(font-lock-string-face))
13002 (set (make-local-variable 'ps-font-size) 7.0)
13003 (set (make-local-variable 'ps-header-title-font-size) 10.0)
13004 (set (make-local-variable 'ps-header-font-size) 9.0)
13152 (limit-design-file-size (nth 0 vhdl-speedbar-scan-limit))
13153 (limit-hier-file-size (nth 0 (nth 1 vhdl-speedbar-scan-limit)))
13195 (if (and limit-design-file-size
13196 (< limit-design-file-size (buffer-size)))
13197 (progn (message "WARNING: Scan limit (design units: file size) reached in file:\n \"%s\"" file-name)
13341 (if (and limit-hier-file-size
13342 (< limit-hier-file-size (buffer-size)))
13343 (progn (message "WARNING: Scan limit (hierarchy: file size) reached in file:\n \"%s\"" file-name)
14150 (vhdl-speedbar-make-title-line "Projects:")
14214 (vhdl-speedbar-make-title-line "No VHDL design units!" depth)
14217 (when ent-alist (vhdl-speedbar-make-title-line "Entities:" depth))
14231 (when conf-alist (vhdl-speedbar-make-title-line "Configurations:" depth))
14241 (when pack-alist (vhdl-speedbar-make-title-line "Packages:" depth))
14422 (vhdl-speedbar-make-title-line "Architectures:" (1+ indent)))
14437 (vhdl-speedbar-make-title-line "Instantiated as:" (1+ indent)))
14494 (vhdl-speedbar-make-title-line "Subcomponent hierarchy:"
14552 (vhdl-speedbar-make-title-line "Design hierarchy:" (1+ indent)))
14608 (vhdl-speedbar-make-title-line "Components:" (1+ indent)))
14620 (vhdl-speedbar-make-title-line "Subprograms:" (1+ indent)))
14659 (vhdl-speedbar-make-title-line "Packages Used:" indent))
14955 (defun vhdl-speedbar-make-title-line (text &optional depth)
14956 "Insert design unit title entry."
15897 (lazy-lock-minimum-size 0)