• Home
  • History
  • Annotate
  • Raw
  • Download
  • only in /macosx-10.10.1/emacs-93/emacs/lisp/progmodes/

Lines Matching +defs:custom +defs:initialize +defs:default

154 (defun vhdl-custom-set (variable value &rest functions)
155 "Set variables as in `custom-set-default' and call FUNCTIONS afterwards."
156 (if (fboundp 'custom-set-default)
157 (custom-set-default variable value)
158 (set-default variable value))
354 Library directory: directory of default library
355 Makefile name : name of Makefile (default is \"Makefile\")
394 \(a default file name message will be printed out instead, does not work in
444 (vhdl-custom-set variable value 'vhdl-update-mode-menu))
480 (defcustom vhdl-default-library "work"
481 "*Name of default library.
492 '(("Example 1" "Source files in two directories, custom library name, VHDL'87"
510 Default directory: default project directory (absolute path)
518 (\"\\1\" inserts library name, \"\\2\" default options)
520 (\"\\1\" inserts Makefile name, \"\\2\" default options)
524 (\"\\1\" inserts library name, \"\\2\" default options,
529 Library name : name of library (default is \"work\")
538 The default directory must have an absolute path (use `M-TAB' for completion).
539 All other paths can be absolute or relative to the default directory. All
546 specified, the default directory is taken as source directory. Otherwise,
547 the default directory is only taken as source directory if there is a sources
563 options which overwrite default options (lowest priority). Lower priority
565 default options (e.g. \"-file\") in project- or file-specific options (e.g.
576 ,(abbreviate-file-name default-directory))
607 (vhdl-custom-set variable value
613 "*Specifies the default for the current project.
684 (vhdl-custom-set variable value
704 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
712 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
720 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
728 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
736 (vhdl-custom-set variable value 'vhdl-abbrev-list-init))
774 access to both names (see default setting as example)."
834 (vhdl-custom-set variable value 'vhdl-mode-abbrev-table-init))
1108 (vhdl-custom-set variable value
1139 default setting as example)."
1295 names (see default setting as example)."
1349 (defcustom vhdl-testbench-initialize-signals nil
1350 "*Non-nil means initialize signals with `0' when declared in testbench."
1397 names (see default setting as example). Testbench files can be created in
1492 (vhdl-custom-set variable value 'vhdl-font-lock-init))
1509 (vhdl-custom-set variable value 'vhdl-font-lock-init))
1522 (vhdl-custom-set variable value 'vhdl-font-lock-init))
1536 (vhdl-custom-set variable value
1549 (vhdl-custom-set variable value
1564 (vhdl-custom-set variable value 'vhdl-font-lock-init))
1614 (vhdl-custom-set variable value 'vhdl-font-lock-init))
1626 (vhdl-custom-set variable value
1641 (vhdl-custom-set variable value
1652 (vhdl-custom-set variable value
1668 "*Specifies the default displaying mode when opening speedbar.
1837 (vhdl-custom-set variable value 'vhdl-mode-syntax-table-init))
1846 (custom-add-to-group 'vhdl-related 'hideshow 'custom-group)
1848 (custom-add-to-group 'vhdl-related 'paren-mode 'custom-variable)
1849 (custom-add-to-group 'vhdl-related 'paren-showing 'custom-group))
1850 (custom-add-to-group 'vhdl-related 'ps-print 'custom-group)
1851 (custom-add-to-group 'vhdl-related 'speedbar 'custom-group)
1852 (custom-add-to-group 'vhdl-related 'line-number-mode 'custom-variable)
1854 (custom-add-to-group 'vhdl-related 'transient-mark-mode 'custom-variable))
1855 (custom-add-to-group 'vhdl-related 'user-full-name 'custom-variable)
1856 (custom-add-to-group 'vhdl-related 'mail-host-address 'custom-variable)
1857 (custom-add-to-group 'vhdl-related 'user-mail-address 'custom-variable)
1882 (defconst vhdl-offsets-alist-default
1907 (defvar vhdl-offsets-alist (copy-alist vhdl-offsets-alist-default)
2003 your style, only those that are different from the default.")
2005 ;; dynamically append the default value of most variables
2013 (default (cons "Default"
2019 (setq vhdl-style-alist (cons default vhdl-style-alist))))
2287 ;; set default to 2 if not already customized
2318 (defun vhdl-default-directory ()
2319 "Return the default directory of the current project or the directory of the
2324 default-directory))
2639 ;; initialize template map for VHDL Mode
2668 ;; initialize user model map for VHDL Mode
2799 ;; initialize mode map for VHDL Mode
2881 ;; initialize syntax table for VHDL Mode
2902 ("abs" "" vhdl-template-default-hook 0)
2903 ("access" "" vhdl-template-default-hook 0)
2904 ("after" "" vhdl-template-default-hook 0)
2906 ("all" "" vhdl-template-default-hook 0)
2907 ("and" "" vhdl-template-default-hook 0)
2910 ("array" "" vhdl-template-default-hook 0)
2914 ("begin" "" vhdl-template-default-indent-hook 0)
2916 ("body" "" vhdl-template-default-hook 0)
2917 ("buffer" "" vhdl-template-default-hook 0)
2918 ("bus" "" vhdl-template-default-hook 0)
2929 ("downto" "" vhdl-template-default-hook 0)
2933 ("end" "" vhdl-template-default-indent-hook 0)
2942 ("guarded" "" vhdl-template-default-hook 0)
2944 ("impure" "" vhdl-template-default-hook 0)
2945 ("in" "" vhdl-template-default-hook 0)
2946 ("inertial" "" vhdl-template-default-hook 0)
2947 ("inout" "" vhdl-template-default-hook 0)
2950 ("is" "" vhdl-template-default-hook 0)
2951 ("label" "" vhdl-template-default-hook 0)
2953 ("linkage" "" vhdl-template-default-hook 0)
2954 ("literal" "" vhdl-template-default-hook 0)
2957 ("mod" "" vhdl-template-default-hook 0)
2958 ("nand" "" vhdl-template-default-hook 0)
2959 ("new" "" vhdl-template-default-hook 0)
2961 ("nor" "" vhdl-template-default-hook 0)
2962 ("not" "" vhdl-template-default-hook 0)
2963 ("null" "" vhdl-template-default-hook 0)
2964 ("of" "" vhdl-template-default-hook 0)
2965 ("on" "" vhdl-template-default-hook 0)
2966 ("open" "" vhdl-template-default-hook 0)
2967 ("or" "" vhdl-template-default-hook 0)
2969 ("out" "" vhdl-template-default-hook 0)
2973 ("postponed" "" vhdl-template-default-hook 0)
2976 ("pure" "" vhdl-template-default-hook 0)
2977 ("range" "" vhdl-template-default-hook 0)
2978 ("record" "" vhdl-template-default-hook 0)
2979 ("register" "" vhdl-template-default-hook 0)
2980 ("reject" "" vhdl-template-default-hook 0)
2981 ("rem" "" vhdl-template-default-hook 0)
2984 ("rol" "" vhdl-template-default-hook 0)
2985 ("ror" "" vhdl-template-default-hook 0)
2987 ("severity" "" vhdl-template-default-hook 0)
2988 ("shared" "" vhdl-template-default-hook 0)
2991 ("sla" "" vhdl-template-default-hook 0)
2992 ("sll" "" vhdl-template-default-hook 0)
2993 ("sra" "" vhdl-template-default-hook 0)
2994 ("srl" "" vhdl-template-default-hook 0)
2996 ("then" "" vhdl-template-default-hook 0)
2997 ("to" "" vhdl-template-default-hook 0)
2998 ("transport" "" vhdl-template-default-hook 0)
3000 ("unaffected" "" vhdl-template-default-hook 0)
3001 ("units" "" vhdl-template-default-hook 0)
3002 ("until" "" vhdl-template-default-hook 0)
3010 ("xnor" "" vhdl-template-default-hook 0)
3011 ("xor" "" vhdl-template-default-hook 0)
3016 ("across" "" vhdl-template-default-hook 0)
3020 ("noise" "" vhdl-template-default-hook 0)
3023 ("reference" "" vhdl-template-default-hook 0)
3024 ("spectrum" "" vhdl-template-default-hook 0)
3027 ("through" "" vhdl-template-default-hook 0)
3028 ("tolerance" "" vhdl-template-default-hook 0)
3045 ;; initialize abbrev table for VHDL Mode
3116 ;; initialize for VHDL Mode
3144 ;; initialize for VHDL Mode
3194 ["Set As Default Project" vhdl-set-default-project t]
3560 ["Default Library Name" (customize-option 'vhdl-default-library) t]
3780 (customize-set-variable 'vhdl-testbench-initialize-signals
3781 (not vhdl-testbench-initialize-signals))
3782 :style toggle :selected vhdl-testbench-initialize-signals]
4123 (or directory default-directory) full filename-regexp)))
4471 The Makefile's default target \"all\" compiles the entire design, the
4611 appropriate default settings for nice landscape two-column printing.
4636 As default, files with extensions \".vhd\" and \".vhdl\" are
4727 ;; initialize font locking
4754 ;; initialize hideshow and add menu
4854 "case" "casex" "casez" "cmos" "deassign" "default" "defparam" "disable"
5122 ;; initialize reserved words for VHDL Mode
5289 changed buffer local, instead of the default, which is to set the
5309 ;; reset vhdl-offsets-alist to the default value first
5310 (setq vhdl-offsets-alist (copy-alist vhdl-offsets-alist-default))
6384 ;; set a default stop point at the begin
6407 ;; set a default stop point at the when
8068 (or (nth 6 (aget vhdl-project-alist vhdl-project)) vhdl-default-library)))
8639 (defun vhdl-template-default ()
8648 (defun vhdl-template-default-indent ()
10395 begin end is-string default)
10400 with double-quotes is to be inserted. DEFAULT specifies a default string."
10407 (or (and is-string '("\"\"" . 2)) default)
10737 (defun vhdl-template-default-hook ()
10738 (vhdl-hooked-abbrev 'vhdl-template-default))
10739 (defun vhdl-template-default-indent-hook ()
10740 (vhdl-hooked-abbrev 'vhdl-template-default-indent))
11481 (defun vhdl-port-paste-signals (&optional initialize no-indent)
11511 (when (and initialize (equal "IN" (upcase (nth 2 port))))
11661 (vhdl-port-paste-signals vhdl-testbench-initialize-signals t)
11663 ;; paste custom declarations
11692 ;; paste custom statements
12330 (defun vhdl-set-default-project ()
12331 "Set current project as default on startup."
12539 ;; initialize hideshow
12819 (custom-add-to-group
12820 'vhdl-highlight-faces 'font-lock-comment-face 'custom-face)
12821 (custom-add-to-group
12822 'vhdl-highlight-faces 'font-lock-string-face 'custom-face)
12823 (custom-add-to-group
12824 'vhdl-highlight-faces 'font-lock-keyword-face 'custom-face)
12825 (custom-add-to-group
12826 'vhdl-highlight-faces 'font-lock-type-face 'custom-face)
12827 (custom-add-to-group
12828 'vhdl-highlight-faces 'font-lock-function-name-face 'custom-face)
12829 (custom-add-to-group
12830 'vhdl-highlight-faces 'font-lock-variable-name-face 'custom-face)
12956 ;; initialize fontification for VHDL Mode
12960 "Re-initialize fontification and fontify buffer."
12977 "Initialize custom face and page settings for postscript printing."
12978 ;; define custom face settings
12997 ;; define page settings, so that a line containing 79 characters (default)
13541 (default-dir (vhdl-resolve-env-variable
13552 ;; expand directory names by default-directory
13561 (if (file-name-absolute-p dir-name) "" default-dir)
13864 (default-directory key)
13865 (directory (abbreviate-file-name (vhdl-default-directory)))
13934 (default-directory key)
13935 (directory (vhdl-default-directory))
13965 (let ((directory (abbreviate-file-name default-directory)))
13979 (defun vhdl-speedbar-initialize ()
14275 ;; (nicked from `speedbar-default-directory-list')
14279 (list (expand-file-name default-directory)))
14723 (abbreviate-file-name default-directory) t)))
15063 (vhdl-default-directory)))))
15228 (or (vhdl-project-p) default-directory) t))
15247 (let ((default-directory directory))
15254 (default-directory (file-name-as-directory
15376 ;; initialize speedbar
15378 (add-hook 'speedbar-load-hook 'vhdl-speedbar-initialize)
15379 (vhdl-speedbar-initialize)
15896 (or project default-directory) t))
16052 (or (vhdl-project-p) default-directory) t))
16054 (or (vhdl-project-p) default-directory) t))
16152 (expand-file-name directory (vhdl-default-directory))))))
16234 'default
16286 (default-directory (vhdl-compile-directory))
16288 (unless (file-directory-p default-directory)
16289 (error "ERROR: Compile directory does not exist: \"%s\"" default-directory))
16321 (default-directory (vhdl-compile-directory)))
16322 (unless (file-directory-p default-directory)
16323 (error "ERROR: Compile directory does not exist: \"%s\"" default-directory))
16339 (let ((default-directory (vhdl-compile-directory)))
16361 (let ((directory (abbreviate-file-name default-directory)))
16365 (let* ((directory (abbreviate-file-name (vhdl-default-directory)))
16379 default-directory))
16710 (if (eq options 'default) "$(OPTIONS)" options) " "
16771 'vhdl-default-library
16822 'vhdl-testbench-initialize-signals